##// END OF EJS Templates
MiniSpartan6:...
MiniSpartan6: added ftdi chip config to switch between UART and Async FIFO. added few WIP designs with either spwlight core, FIFO_deom IP... Libs: added SpaceWire Light IP (Works really well!) started design of ahb_ftdi_fifo -> same protocol than AHBUART but over FTDI's Async FIFO interface. This might lead to much faster transfers UP to 12MB/s.

File last commit:

r168:0b190be76d60 alexis
r681:9d85f9f8f05a default
Show More
leon3mp.xcf
9 lines | 281 B | text/plain | TextLexer
NET "clk27" TNM_NET = "clk27";
TIMESPEC "TS_clk27" = PERIOD "clk27" 37.00 ns HIGH 50 %;
NET "clk200p" TNM_NET = "clk200p";
TIMESPEC "TS_clk200p" = PERIOD "clk200p" 5.00 ns HIGH 50 %;
NET "erx_clk" TNM_NET = "erx_clk";
TIMESPEC "TS_erx_clk" = PERIOD "erx_clk" 8.00 ns HIGH 50 %;