##// END OF EJS Templates
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen....
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen. Solved in place design developpment problem on Windows, look at EGSE_ICI makefile.

File last commit:

r39:41d4b170f72e default
r220:9cd9574d2765 alexis
Show More
Makefile
5 lines | 39 B | text/x-makefile | MakefileLexer
EXEC=doxygen
doc:
$(EXEC) Doxyfile