##// END OF EJS Templates
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen....
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen. Solved in place design developpment problem on Windows, look at EGSE_ICI makefile.

File last commit:

r100:fc97c34d69e3 martin
r220:9cd9574d2765 alexis
Show More
.recordref
7 lines | 259 B | text/plain | TextLexer
leon3mp port ADC_in_0.SDI ADC_in[0]
leon3mp port ADC_in_1.SDI ADC_in[1]
leon3mp port ADC_in_2.SDI ADC_in[2]
leon3mp port ADC_in_3.SDI ADC_in[3]
leon3mp port ADC_in_4.SDI ADC_in[4]
leon3mp port ADC_out.CNV ADC_out[0]
leon3mp port ADC_out.SCK ADC_out[1]