##// END OF EJS Templates
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen....
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen. Solved in place design developpment problem on Windows, look at EGSE_ICI makefile.
Alexis Jeandet -
r220:9cd9574d2765 alexis
Show More
Name Size Modified Last Commit Author
/ designs / EGSE_ICI
.config Loading ...
DC_GATE_GEN.vhd Loading ...
EGSE_ICI.vhd Loading ...
ICI_EGSE_PROTOCOL.vhd Loading ...
ICI_EGSE_PROTOCOL2.vhd Loading ...
LF_GATE_GEN.vhd Loading ...
MajF_Gen.vhd Loading ...
Makefile Loading ...
MinF_Gen.vhd Loading ...
Serial_driver.vhd Loading ...
config.help Loading ...
config.in Loading ...
config.vhd Loading ...
config.vhd.h Loading ...
config.vhd.in Loading ...
defconfig Loading ...
indata Loading ...
lconfig.tk Loading ...
rhumc.dc Loading ...
testbench.vhd Loading ...
tkconfig.h Loading ...
top.qsf Loading ...
top.rc Loading ...
top.xise Loading ...
top_dc.tcl Loading ...
top_designer.tcl Loading ...
top_designer_act.tcl Loading ...
tsmc13.rc Loading ...
wave.do Loading ...