##// END OF EJS Templates
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen....
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen. Solved in place design developpment problem on Windows, look at EGSE_ICI makefile.

File last commit:

r168:0b190be76d60 alexis
r220:9cd9574d2765 alexis
Show More
ICI4-Main-BD.ucf
11 lines | 559 B | text/plain | TextLexer
NET "CLK" LOC = "B10";
NET "RESET" LOC = "A5" | IOSTANDARD = LVTTL;
NET "SCLK" LOC = "V22" | IOSTANDARD = LVTTL;
NET "GATE" LOC = "T22" | IOSTANDARD = LVTTL;
NET "MINF" LOC = "T21" | IOSTANDARD = LVTTL;
NET "MAJF" LOC = "U22" | IOSTANDARD = LVTTL;
NET "DATA" LOC = "V21";
NET "DC_ADC_SCLK" LOC = "AB17";
NET "DC_ADC_IN(0)" LOC = "AB19" | IOSTANDARD = LVTTL;
NET "DC_ADC_IN(1)" LOC = "AA18" | IOSTANDARD = LVTTL;
NET "DC_ADC_FSynch" LOC = "AB18";