##// END OF EJS Templates
Restored previous ALU version as ALU_V0 for IIR filter first version...
Restored previous ALU version as ALU_V0 for IIR filter first version compatibility. Plus some improvments on ICI4 design.

File last commit:

r100:fc97c34d69e3 martin
r226:9c9d4ca3fdbf alexis
Show More
leon3mp_sdc.sdc
25 lines | 381 B | application/vnd.stardivision.calc | TextLexer
# Top Level Design Parameters
# Clocks
create_clock -period 10.000000 -waveform {0.000000 5.000000} clk50MHz
# False Paths Between Clocks
# False Path Constraints
# Maximum Delay Constraints
# Multicycle Constraints
# Virtual Clocks
# Output Load Constraints
# Driving Cell Constraints
# Wire Loads
# set_wire_load_mode top
# Other Constraints