##// END OF EJS Templates
Data Line second version
Data Line second version

File last commit:

r80:b0b64ad7fab8 martin
r97:5dd8398817e7 martin
Show More
leon3mp_synplify.prj
31 lines | 776 B | text/plain | TextLexer
source compile.synp
add_file -vhdl -lib work config.vhd
add_file -vhdl -lib work ahbrom.vhd
add_file -vhdl -lib work leon3mp.vhd
add_file -constraint ../../boards/Projet-Blanc-LPP-M7A3P1000/synplify.sdc
#implementation: "synplify"
impl -add synplify
#device options
set_option -technology PROASIC3
set_option -part PROASIC3
set_option -speed_grade Std
#compilation/mapping options
set_option -use_fsm_explorer 0
set_option -write_vhdl 1
#set_option -disable_io_insertion 0
#map options
set_option -frequency 50
set_option -top_module leon3mp
#set result format/file last
project -result_file "synplify/leon3mp.edf"
#implementation attributes
set_option -package ""
set_option -pipe 0; set_option -retiming 0; set_option -write_apr_constraint 0
impl -active "synplify"