##// END OF EJS Templates
added re-run option to LFR filter random ram init test.
added re-run option to LFR filter random ram init test.

File last commit:

r35:56441034bcde default
r680:45edea4f35b8 default
Show More
make.asim
307 lines | 24.4 KiB | text/plain | TextLexer
acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd
acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd
acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd
acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd
acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd
acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd
acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd
acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd
acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd
acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd
acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd
acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd
acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd
acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd
acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd
acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd
acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd
acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd
acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd
alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd
acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd
acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd
acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd
acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd
acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd
acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd
acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd
acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd
acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd
acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd
acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd
acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Clk_divider.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/clock.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/Serialize.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/APB_UART.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/BaudGen.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/lpp_uart.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/Shift_REG.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/UART.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd
acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd
acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd
acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd
acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd
acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd
acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd
acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd
acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd
alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v
acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd
acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd
alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v
alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v
acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd
acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd
acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd
acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd
acom -quiet -accept87 -work work ../../config.vhd
acom -quiet -accept87 -work work ../../ahbrom.vhd
acom -quiet -accept87 -work work ../../leon3mp.vhd
acom -quiet -accept87 -work work ../../testbench.vhd