##// END OF EJS Templates
Remove arbitration in front of FFT based on Pong Status...
Remove arbitration in front of FFT based on Pong Status Add arbitration in front of FFT based on falling edge of fft_ready and sample_load

File last commit:

r286:8b7f4967459c JC
r384:328a814d7018 (MINI-LFR) WFP_MS-0-1-20 JC
Show More
vhdlsyn.txt
3 lines | 72 B | text/plain | TextLexer
lpp_debug_lfr_pkg.vhd
lpp_debug_dma_singleOrBurst.vhd
lpp_debug_lfr.vhd