##// END OF EJS Templates
Remove arbitration in front of FFT based on Pong Status...
Remove arbitration in front of FFT based on Pong Status Add arbitration in front of FFT based on falling edge of fft_ready and sample_load

File last commit:

r168:0b190be76d60 alexis
r384:328a814d7018 (MINI-LFR) WFP_MS-0-1-20 JC
Show More
config.vhd.in
18 lines | 391 B | text/plain | TextLexer
#include "config.h"
#include "tkconfig.h"
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
#include "config.vhd.h"
end;