##// END OF EJS Templates
removed dependency to Xonsh for Validation LFR Filters
removed dependency to Xonsh for Validation LFR Filters

File last commit:

r500:50f24bdc968c JC
r648:0343834a1e0e default
Show More
run_calc.vhd
10 lines | 100 B | text/x-vhdl | VhdlLexer
vcom -quiet -93 -work work tb_calc.vhd
vsim work.testbench
log -r *
do wave_calc.do
run -all