##// END OF EJS Templates
LFR-EQM 2.1.83...
LFR-EQM 2.1.83 > ad_conv_RH1401_withFilter version idem EM

File last commit:

r219:df1aff8cd31b alexis
r601:1b6a99d2ea09 (LFR-EQM) 2-1-83 simu_with_Leon3
Show More
DC_GATE_GEN.vhd
52 lines | 1.0 KiB | text/x-vhdl | VhdlLexer
-- DC_GATE_GEN.vhd
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity DC_GATE_GEN is
generic(WordCnt : integer := 144);
port
(
clk : in std_logic;
Wcount : in integer range 0 to WordCnt-1;
Gate : out std_logic
);
end entity;
architecture ar_DC_GATE_GEN of DC_GATE_GEN is
begin
process(clk)
begin
if clk'event and clk ='0' then
case Wcount is
when 48 =>
gate <= '1';
when 49 =>
gate <= '1';
when 50 =>
gate <= '1';
when 51 =>
gate <= '1';
when 52 =>
gate <= '1';
when 53 =>
gate <= '1';
when others =>
gate <= '0';
end case;
end if;
end process;
end architecture;