##// END OF EJS Templates
LFR-EQM et MINI-LFR x.1.70
LFR-EQM et MINI-LFR x.1.70

File last commit:

r38:3488e1e84506 default
r577:bcb5a865d2bb (MINI-LFR) 0-1-70 (LFR-EQM) 2-1-70 JC
Show More
VHDListSTART
18 lines | 436 B | text/plain | TextLexer
--=================================================================================
--THIS FILE IS GENERATED BY A SCRIPT, DON'T TRY TO EDIT
--
--TAKE A LOOK AT VHD_LIB/APB_DEVICES FOLDER TO ADD A DEVICE ID OR VENDOR ID
--=================================================================================
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use std.textio.all;
package apb_devices_list is