##// END OF EJS Templates
Correction de la FSM qui regule les données entrant dans la FFT
Correction de la FSM qui regule les données entrant dans la FFT

File last commit:

r354:668500b2114b next
r557:7faec0eb9fbb (MINI-LFR) WFP_MS-0-1-67 (LFR-EM) WFP_MS_1-1-67 JC
Show More
.hgignore
37 lines | 324 B | text/plain | TextLexer
# use glob syntax.
syntax: glob
*.tex
*.html
*log*
*.png
*.dot
*.css
*.md5
*.eps
*.pdf
*.toc
*.map
*.sty
*.3
*.js
*.aux
*.idx
*doc*
*Doc*
*vhdlsyn.txt
*dirs.txt
*.orig
*.o
*.a
*.bin
*~
apb_devices_list.h
apb_devices_list.vhd
twiddle.vhd
primitives.vhd
fftSm.vhd
fftDp.vhd
fft_components.vhd
CoreFFT.vhd
actram.vhd
actar.vhd