##// END OF EJS Templates
Removed reference to ssram_plugin2.
jeandet@PC-DE-JEANDET.lpp.polytechnique.fr -
r89:ca0a305588e9 alexis
parent child
Show More
@@ -256,7 +256,7 addr_pad : outpadv generic map (width =>
256 --port map
256 --port map
257 --(lclk2x,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ);
257 --(lclk2x,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ);
258
258
259 SSRAM_0:entity work.ssram_plugin2
259 SSRAM_0:entity ssram_plugin
260 generic map (tech => padtech)
260 generic map (tech => padtech)
261 port map
261 port map
262 (lclk2x,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ);
262 (lclk2x,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ);
General Comments 0
You need to be logged in to leave comments. Login now