##// END OF EJS Templates
Preliminary working IAP Memctrlr integration....
pellion -
r482:792dac4c614c JC
parent child
Show More
@@ -1,19 +1,20
1 1 PACKAGE=\"\"
2 2 SPEED=Std
3 3 SYNFREQ=50
4 4
5 5 TECHNOLOGY=ProASIC3E
6 6 LIBERO_DIE=IT14X14M4
7 7 PART=A3PE3000
8 8
9 9 DESIGNER_VOLTAGE=COM
10 10 DESIGNER_TEMP=COM
11 11 DESIGNER_PACKAGE=FBGA
12 12 DESIGNER_PINS=324
13 13
14 14 MANUFACTURER=Actel
15 15 MGCTECHNOLOGY=Proasic3
16 16 MGCPART=$(PART)
17 17 MGCPACKAGE= {$(DESIGNER_PINS) $(DESIGNER_PACKAGE)}
18 18 LIBERO_PACKAGE=fg$(DESIGNER_PINS)
19 19
20
@@ -1,548 +1,453
1 1 # Actel Physical design constraints file
2 2 # Generated file
3 3
4 4 # Version: 9.1 SP3 9.1.3.4
5 5 # Family: ProASIC3E , Die: A3PE3000 , Package: 324 FBGA
6 6 # Date generated: Tue Dec 23 19:40:04 2014
7 7
8 8
9 9 #
10 10 # IO banks setting
11 11 #
12 12
13 13
14 14 #
15 15 # I/O constraints
16 16 #
17 17
18 #set_io BP0 \
19 # -pinname J12 \
20 # -fixed yes \
21 # -DIRECTION Inout
22
23
24 #set_io BP1 \
25 # -pinname F13 \
26 # -fixed yes \
27 # -DIRECTION Inout
28
29
30 18 set_io LED0 \
31 19 -pinname R13 \
32 20 -fixed yes \
33 21 -DIRECTION Inout
34 22
35 23
36 24 set_io LED1 \
37 25 -pinname P13 \
38 26 -fixed yes \
39 27 -DIRECTION Inout
40 28
41 29
42 30 set_io LED2 \
43 31 -pinname N11 \
44 32 -fixed yes \
45 33 -DIRECTION Inout
46 34
47 35
48 36 set_io RXD1 \
49 37 -pinname N10 \
50 38 -fixed yes \
51 39 -DIRECTION Inout
52 40
53 41
54 42 set_io RXD2 \
55 43 -pinname F6 \
56 44 -fixed yes \
57 45 -DIRECTION Inout
58 46
59 47
60 48 set_io {SRAM_A[0]} \
61 49 -pinname T12 \
62 50 -fixed yes \
63 51 -DIRECTION Inout \
64 52 -register yes
65 53
66 54
67 55 set_io {SRAM_A[1]} \
68 56 -pinname U13 \
69 57 -fixed yes \
70 58 -DIRECTION Inout \
71 59 -register yes
72 60
73 61
74 62 set_io {SRAM_A[2]} \
75 63 -pinname T13 \
76 64 -fixed yes \
77 65 -DIRECTION Inout \
78 66 -register yes
79 67
80 68
81 69 set_io {SRAM_A[3]} \
82 70 -pinname N15 \
83 71 -fixed yes \
84 72 -DIRECTION Inout \
85 73 -register yes
86 74
87 75
88 76 set_io {SRAM_A[4]} \
89 77 -pinname P17 \
90 78 -fixed yes \
91 79 -DIRECTION Inout \
92 80 -register yes
93 81
94 82
95 83 set_io {SRAM_A[5]} \
96 84 -pinname N13 \
97 85 -fixed yes \
98 86 -DIRECTION Inout \
99 87 -register yes
100 88
101 89
102 90 set_io {SRAM_A[6]} \
103 91 -pinname M16 \
104 92 -fixed yes \
105 93 -DIRECTION Inout \
106 94 -register yes
107 95
108 96
109 97 set_io {SRAM_A[7]} \
110 98 -pinname M13 \
111 99 -fixed yes \
112 100 -DIRECTION Inout \
113 101 -register yes
114 102
115 103
116 104 set_io {SRAM_A[8]} \
117 105 -pinname U12 \
118 106 -fixed yes \
119 107 -DIRECTION Inout \
120 108 -register yes
121 109
122 110
123 111 set_io {SRAM_A[9]} \
124 112 -pinname V11 \
125 113 -fixed yes \
126 114 -DIRECTION Inout \
127 115 -register yes
128 116
129 117
130 118 set_io {SRAM_A[10]} \
131 119 -pinname V13 \
132 120 -fixed yes \
133 121 -DIRECTION Inout \
134 122 -register yes
135 123
136 124
137 125 set_io {SRAM_A[11]} \
138 126 -pinname V14 \
139 127 -fixed yes \
140 128 -DIRECTION Inout \
141 129 -register yes
142 130
143 131
144 132 set_io {SRAM_A[12]} \
145 133 -pinname V15 \
146 134 -fixed yes \
147 135 -DIRECTION Inout \
148 136 -register yes
149 137
150 138
151 139 set_io {SRAM_A[13]} \
152 140 -pinname P16 \
153 141 -fixed yes \
154 142 -DIRECTION Inout \
155 143 -register yes
156 144
157 145
158 146 set_io {SRAM_A[14]} \
159 147 -pinname N16 \
160 148 -fixed yes \
161 149 -DIRECTION Inout \
162 150 -register yes
163 151
164 152
165 153 set_io {SRAM_A[15]} \
166 154 -pinname V16 \
167 155 -fixed yes \
168 156 -DIRECTION Inout \
169 157 -register yes
170 158
171 159
172 160 set_io {SRAM_A[16]} \
173 161 -pinname V17 \
174 162 -fixed yes \
175 163 -DIRECTION Inout \
176 164 -register yes
177 165
178 166
179 167 set_io {SRAM_A[17]} \
180 168 -pinname U18 \
181 169 -fixed yes \
182 170 -DIRECTION Inout \
183 171 -register yes
184 172
185 173
186 174 set_io {SRAM_A[18]} \
187 175 -pinname R18 \
188 176 -fixed yes \
189 177 -DIRECTION Inout \
190 178 -register yes
191 179
192 180
193 181 set_io {SRAM_DQ[0]} \
194 182 -pinname T18 \
195 183 -fixed yes \
196 184 -DIRECTION Inout
197 185
198 186
199 187 set_io {SRAM_DQ[1]} \
200 188 -pinname L15 \
201 189 -fixed yes \
202 190 -DIRECTION Inout
203 191
204 192
205 193 set_io {SRAM_DQ[2]} \
206 194 -pinname K18 \
207 195 -fixed yes \
208 196 -DIRECTION Inout
209 197
210 198
211 199 set_io {SRAM_DQ[3]} \
212 200 -pinname G17 \
213 201 -fixed yes \
214 202 -DIRECTION Inout
215 203
216 204
217 205 set_io {SRAM_DQ[4]} \
218 206 -pinname K17 \
219 207 -fixed yes \
220 208 -DIRECTION Inout
221 209
222 210
223 211 set_io {SRAM_DQ[5]} \
224 212 -pinname H18 \
225 213 -fixed yes \
226 214 -DIRECTION Inout
227 215
228 216
229 217 set_io {SRAM_DQ[6]} \
230 218 -pinname L18 \
231 219 -fixed yes \
232 220 -DIRECTION Inout
233 221
234 222
235 223 set_io {SRAM_DQ[7]} \
236 224 -pinname J18 \
237 225 -fixed yes \
238 226 -DIRECTION Inout
239 227
240 228
241 229 set_io {SRAM_DQ[8]} \
242 230 -pinname M17 \
243 231 -fixed yes \
244 232 -DIRECTION Inout
245 233
246 234
247 235 set_io {SRAM_DQ[9]} \
248 236 -pinname J17 \
249 237 -fixed yes \
250 238 -DIRECTION Inout
251 239
252 240
253 241 set_io {SRAM_DQ[10]} \
254 242 -pinname N18 \
255 243 -fixed yes \
256 244 -DIRECTION Inout
257 245
258 246
259 247 set_io {SRAM_DQ[11]} \
260 248 -pinname J13 \
261 249 -fixed yes \
262 250 -DIRECTION Inout
263 251
264 252
265 253 set_io {SRAM_DQ[12]} \
266 254 -pinname N17 \
267 255 -fixed yes \
268 256 -DIRECTION Inout
269 257
270 258
271 259 set_io {SRAM_DQ[13]} \
272 260 -pinname K13 \
273 261 -fixed yes \
274 262 -DIRECTION Inout
275 263
276 264
277 265 set_io {SRAM_DQ[14]} \
278 266 -pinname P18 \
279 267 -fixed yes \
280 268 -DIRECTION Inout
281 269
282 270
283 271 set_io {SRAM_DQ[15]} \
284 272 -pinname K14 \
285 273 -fixed yes \
286 274 -DIRECTION Inout
287 275
288 276
289 277 set_io {SRAM_DQ[16]} \
290 278 -pinname K15 \
291 279 -fixed yes \
292 280 -DIRECTION Inout
293 281
294 282
295 283 set_io {SRAM_DQ[17]} \
296 284 -pinname B18 \
297 285 -fixed yes \
298 286 -DIRECTION Inout
299 287
300 288
301 289 set_io {SRAM_DQ[18]} \
302 290 -pinname D16 \
303 291 -fixed yes \
304 292 -DIRECTION Inout
305 293
306 294
307 295 set_io {SRAM_DQ[19]} \
308 296 -pinname D15 \
309 297 -fixed yes \
310 298 -DIRECTION Inout
311 299
312 300
313 301 set_io {SRAM_DQ[20]} \
314 302 -pinname C18 \
315 303 -fixed yes \
316 304 -DIRECTION Inout
317 305
318 306
319 307 set_io {SRAM_DQ[21]} \
320 308 -pinname E15 \
321 309 -fixed yes \
322 310 -DIRECTION Inout
323 311
324 312
325 313 set_io {SRAM_DQ[22]} \
326 314 -pinname D18 \
327 315 -fixed yes \
328 316 -DIRECTION Inout
329 317
330 318
331 319 set_io {SRAM_DQ[23]} \
332 320 -pinname F15 \
333 321 -fixed yes \
334 322 -DIRECTION Inout
335 323
336 324
337 325 set_io {SRAM_DQ[24]} \
338 326 -pinname E18 \
339 327 -fixed yes \
340 328 -DIRECTION Inout
341 329
342 330
343 331 set_io {SRAM_DQ[25]} \
344 332 -pinname G15 \
345 333 -fixed yes \
346 334 -DIRECTION Inout
347 335
348 336
349 337 set_io {SRAM_DQ[26]} \
350 338 -pinname F17 \
351 339 -fixed yes \
352 340 -DIRECTION Inout
353 341
354 342
355 343 set_io {SRAM_DQ[27]} \
356 344 -pinname H15 \
357 345 -fixed yes \
358 346 -DIRECTION Inout
359 347
360 348
361 349 set_io {SRAM_DQ[28]} \
362 350 -pinname F18 \
363 351 -fixed yes \
364 352 -DIRECTION Inout
365 353
366 354
367 355 set_io {SRAM_DQ[29]} \
368 356 -pinname J15 \
369 357 -fixed yes \
370 358 -DIRECTION Inout
371 359
372 360
373 361 set_io {SRAM_DQ[30]} \
374 362 -pinname D11 \
375 363 -fixed yes \
376 364 -DIRECTION Inout
377 365
378 366
379 367 set_io {SRAM_DQ[31]} \
380 368 -pinname C16 \
381 369 -fixed yes \
382 370 -DIRECTION Inout
383 371
384 372
385 373 set_io SRAM_MBE \
386 374 -pinname D13 \
387 375 -fixed yes \
388 376 -DIRECTION Inout
389 377
390 378
391 379 set_io SRAM_nBUSY \
392 380 -pinname D12 \
393 381 -fixed yes \
394 382 -DIRECTION Inout
395 383
396 384
397 385 set_io SRAM_nCE1 \
398 386 -pinname C17 \
399 387 -fixed yes \
400 388 -DIRECTION Inout \
401 389 -register yes
402 390
403 391
404 392 set_io SRAM_nCE2 \
405 393 -pinname B17 \
406 394 -fixed yes \
407 395 -DIRECTION Inout \
408 396 -register yes
409 397
410 398
411 399 set_io SRAM_nOE \
412 400 -pinname J14 \
413 401 -fixed yes \
414 402 -DIRECTION Inout \
415 403 -register yes
416 404
417 405
418 406 set_io SRAM_nWE \
419 407 -pinname B16 \
420 408 -fixed yes \
421 409 -DIRECTION Inout \
422 410 -register yes
423 411
424 412
425 413 set_io TXD1 \
426 414 -pinname N12 \
427 415 -fixed yes \
428 416 -DIRECTION Inout
429 417
430 418
431 419 set_io TXD2 \
432 420 -pinname G6 \
433 421 -fixed yes \
434 422 -DIRECTION Inout
435 423
436 424
437 425 #set_io clk_49 \
438 426 # -pinname F8 \
439 427 # -fixed yes \
440 428 # -DIRECTION Inout
441 429
442 430
443 431 set_io clk_50 \
444 432 -pinname F7 \
445 433 -fixed yes \
446 434 -DIRECTION Inout
447 435
448 436
449 437 set_io nCTS1 \
450 438 -pinname L13 \
451 439 -fixed yes \
452 440 -DIRECTION Inout
453 441
454 442
455 443 #set_io nRTS1 \
456 444 # -pinname M9 \
457 445 # -fixed yes \
458 446 # -DIRECTION Inout
459 447
460 448
461 449 set_io reset \
462 450 -pinname F16 \
463 451 -fixed yes \
464 452 -DIRECTION Inout
465 453
466
467
468 #
469 # Non IO constraints
470 #
471
472
473 #
474 # Old IO constraints, commented out for reference
475 #
476
477 # set_io BP0 -pinname J12 -fixed yes -DIRECTION Inout
478 # set_io BP1 -pinname F13 -fixed yes -DIRECTION Inout
479 # set_io LED0 -pinname R13 -fixed yes -DIRECTION Inout
480 # set_io LED1 -pinname P13 -fixed yes -DIRECTION Inout
481 # set_io LED2 -pinname N11 -fixed yes -DIRECTION Inout
482 # set_io RXD1 -pinname N10 -fixed yes -DIRECTION Inout
483 # set_io RXD2 -pinname F6 -fixed yes -DIRECTION Inout
484 # set_io {SRAM_A[0]} -pinname T12 -fixed yes -DIRECTION Inout
485 # set_io {SRAM_A[1]} -pinname U13 -fixed yes -DIRECTION Inout
486 # set_io {SRAM_A[2]} -pinname T13 -fixed yes -DIRECTION Inout
487 # set_io {SRAM_A[3]} -pinname N15 -fixed yes -DIRECTION Inout
488 # set_io {SRAM_A[4]} -pinname P17 -fixed yes -DIRECTION Inout
489 # set_io {SRAM_A[5]} -pinname N13 -fixed yes -DIRECTION Inout
490 # set_io {SRAM_A[6]} -pinname M16 -fixed yes -DIRECTION Inout
491 # set_io {SRAM_A[7]} -pinname M13 -fixed yes -DIRECTION Inout
492 # set_io {SRAM_A[8]} -pinname U12 -fixed yes -DIRECTION Inout
493 # set_io {SRAM_A[9]} -pinname V11 -fixed yes -DIRECTION Inout
494 # set_io {SRAM_A[10]} -pinname V13 -fixed yes -DIRECTION Inout
495 # set_io {SRAM_A[11]} -pinname V14 -fixed yes -DIRECTION Inout
496 # set_io {SRAM_A[12]} -pinname V15 -fixed yes -DIRECTION Inout
497 # set_io {SRAM_A[13]} -pinname P16 -fixed yes -DIRECTION Inout
498 # set_io {SRAM_A[14]} -pinname N16 -fixed yes -DIRECTION Inout
499 # set_io {SRAM_A[15]} -pinname V16 -fixed yes -DIRECTION Inout
500 # set_io {SRAM_A[16]} -pinname V17 -fixed yes -DIRECTION Inout
501 # set_io {SRAM_A[17]} -pinname U18 -fixed yes -DIRECTION Inout
502 # set_io {SRAM_A[18]} -pinname R18 -fixed yes -DIRECTION Inout
503 # set_io {SRAM_DQ[0]} -pinname T18 -fixed yes -DIRECTION Inout
504 # set_io {SRAM_DQ[1]} -pinname L15 -fixed yes -DIRECTION Inout
505 # set_io {SRAM_DQ[2]} -pinname K18 -fixed yes -DIRECTION Inout
506 # set_io {SRAM_DQ[3]} -pinname G17 -fixed yes -DIRECTION Inout
507 # set_io {SRAM_DQ[4]} -pinname K17 -fixed yes -DIRECTION Inout
508 # set_io {SRAM_DQ[5]} -pinname H18 -fixed yes -DIRECTION Inout
509 # set_io {SRAM_DQ[6]} -pinname L18 -fixed yes -DIRECTION Inout
510 # set_io {SRAM_DQ[7]} -pinname J18 -fixed yes -DIRECTION Inout
511 # set_io {SRAM_DQ[8]} -pinname M17 -fixed yes -DIRECTION Inout
512 # set_io {SRAM_DQ[9]} -pinname J17 -fixed yes -DIRECTION Inout
513 # set_io {SRAM_DQ[10]} -pinname N18 -fixed yes -DIRECTION Inout
514 # set_io {SRAM_DQ[11]} -pinname J13 -fixed yes -DIRECTION Inout
515 # set_io {SRAM_DQ[12]} -pinname N17 -fixed yes -DIRECTION Inout
516 # set_io {SRAM_DQ[13]} -pinname K13 -fixed yes -DIRECTION Inout
517 # set_io {SRAM_DQ[14]} -pinname P18 -fixed yes -DIRECTION Inout
518 # set_io {SRAM_DQ[15]} -pinname K14 -fixed yes -DIRECTION Inout
519 # set_io {SRAM_DQ[16]} -pinname K15 -fixed yes -DIRECTION Inout
520 # set_io {SRAM_DQ[17]} -pinname B18 -fixed yes -DIRECTION Inout
521 # set_io {SRAM_DQ[18]} -pinname D16 -fixed yes -DIRECTION Inout
522 # set_io {SRAM_DQ[19]} -pinname D15 -fixed yes -DIRECTION Inout
523 # set_io {SRAM_DQ[20]} -pinname C18 -fixed yes -DIRECTION Inout
524 # set_io {SRAM_DQ[21]} -pinname E15 -fixed yes -DIRECTION Inout
525 # set_io {SRAM_DQ[22]} -pinname D18 -fixed yes -DIRECTION Inout
526 # set_io {SRAM_DQ[23]} -pinname F15 -fixed yes -DIRECTION Inout
527 # set_io {SRAM_DQ[24]} -pinname E18 -fixed yes -DIRECTION Inout
528 # set_io {SRAM_DQ[25]} -pinname G15 -fixed yes -DIRECTION Inout
529 # set_io {SRAM_DQ[26]} -pinname F17 -fixed yes -DIRECTION Inout
530 # set_io {SRAM_DQ[27]} -pinname H15 -fixed yes -DIRECTION Inout
531 # set_io {SRAM_DQ[28]} -pinname F18 -fixed yes -DIRECTION Inout
532 # set_io {SRAM_DQ[29]} -pinname J15 -fixed yes -DIRECTION Inout
533 # set_io {SRAM_DQ[30]} -pinname D11 -fixed yes -DIRECTION Inout
534 # set_io {SRAM_DQ[31]} -pinname C16 -fixed yes -DIRECTION Inout
535 # set_io SRAM_MBE -pinname D13 -fixed yes -DIRECTION Inout
536 # set_io SRAM_nBUSY -pinname D12 -fixed yes -DIRECTION Inout
537 # set_io SRAM_nCE1 -pinname C17 -fixed yes -DIRECTION Inout
538 # set_io SRAM_nCE2 -pinname B17 -fixed yes -DIRECTION Inout
539 # set_io SRAM_nOE -pinname J14 -fixed yes -DIRECTION Inout
540 # set_io SRAM_nSCRUB -pinname E13 -fixed yes -DIRECTION Inout
541 # set_io SRAM_nWE -pinname B16 -fixed yes -DIRECTION Inout
542 # set_io TXD1 -pinname N12 -fixed yes -DIRECTION Inout
543 # set_io TXD2 -pinname G6 -fixed yes -DIRECTION Inout
544 # set_io clk_49 -pinname F8 -fixed yes -DIRECTION Inout
545 # set_io clk_50 -pinname F7 -fixed yes -DIRECTION Inout
546 # set_io nCTS1 -pinname L13 -fixed yes -DIRECTION Inout
547 # set_io nRTS1 -pinname M9 -fixed yes -DIRECTION Inout
548 # set_io reset -pinname F16 -fixed yes -DIRECTION Inout
General Comments 0
You need to be logged in to leave comments. Login now