##// END OF EJS Templates
correction du leon3_soc suite au merge avec la version incluant le memory_controler IAP
pellion -
r495:0dee9fea5e79 (MINI-LFR) WFP_MS-0-1-41 JC
parent child
Show More
@@ -511,7 +511,7 BEGIN -- beh
511 511 pirq_ms => 6,
512 512 pirq_wfp => 14,
513 513 hindex => 2,
514 top_lfr_version => X"000128") -- aa.bb.cc version
514 top_lfr_version => X"000129") -- aa.bb.cc version
515 515 PORT MAP (
516 516 clk => clk_25,
517 517 rstn => LFR_rstn,
@@ -234,6 +234,7 ARCHITECTURE Behavioral OF leon3_soc IS
234 234 SIGNAl mbe : std_logic; -- enable memory programming
235 235 SIGNAL mbe_drive : std_logic; -- drive the MBE memory signal
236 236 SIGNAL nSRAM_CE_s : STD_LOGIC_VECTOR(1 downto 0);
237 SIGNAL nSRAM_OE_s : STD_LOGIC;
237 238 --IRQ
238 239 SIGNAL irqi : irq_in_vector(0 TO CFG_NCPU-1);
239 240 SIGNAL irqo : irq_out_vector(0 TO CFG_NCPU-1);
@@ -324,6 +325,9 ESAMEMCT: IF USES_IAP_MEMCTRLR =0 GENERA
324 325 PORT MAP (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
325 326 memi.bexcn <= '1';
326 327 memi.brdyn <= '1';
328
329 nSRAM_CE_s <= NOT (memo.ramsn(1 downto 0));
330 nSRAM_OE_s <= memo.ramoen(0);
327 331 END GENERATE;
328 332
329 333 IAPMEMCT: IF USES_IAP_MEMCTRLR =1 GENERATE
@@ -366,6 +370,10 IAPMEMCT: IF USES_IAP_MEMCTRLR =1 GENERA
366 370 i => mbe,
367 371 en => mbe_drive,
368 372 o => memi.bexcn );
373
374 nSRAM_CE_s <= (memo.ramsn(1 downto 0));
375 nSRAM_OE_s <= memo.oen;
376
369 377 END GENERATE;
370 378
371 379
@@ -384,9 +392,8 END GENERATE;
384 392
385 393 addr_pad : outpadv GENERIC MAP (width => ADDRESS_SIZE, tech => padtech)
386 394 PORT MAP (address, memo.address(ADDRESS_SIZE+1 DOWNTO 2));
387 nSRAM_CE_s <= (memo.ramsn(1 downto 0));
388 395 rams_pad : outpadv GENERIC MAP (tech => padtech,width => 2) PORT MAP (nSRAM_CE, nSRAM_CE_s);
389 oen_pad : outpad GENERIC MAP (tech => padtech) PORT MAP (nSRAM_OE, memo.oen);
396 oen_pad : outpad GENERIC MAP (tech => padtech) PORT MAP (nSRAM_OE, nSRAM_OE_s);
390 397 nBWE_pad : outpad GENERIC MAP (tech => padtech) PORT MAP (nSRAM_WE, memo.writen);
391 398 nBWa_pad : outpad GENERIC MAP (tech => padtech) PORT MAP (nSRAM_BE0, memo.mben(3));
392 399 nBWb_pad : outpad GENERIC MAP (tech => padtech) PORT MAP (nSRAM_BE1, memo.mben(2));
@@ -481,4 +488,4 END GENERATE;
481 488
482 489
483 490
484 END Behavioral; No newline at end of file
491 END Behavioral;
General Comments 0
You need to be logged in to leave comments. Login now