##// END OF EJS Templates
FFT + 1 FIFO Okai...
martin -
r107:043850cd1ec6 martin
parent child
Show More
@@ -42,59 +42,80 begin
42 42 DataCount <= 0;
43 43
44 44 elsif(clk'event and clk='1')then
45
46 45 case ect is
47 46
48 47 when e0 =>
49 48 if(Load='1' and Empty='0')then
50 49 Read <= '0';
51 ect <= eX;
50 ect <= e1;
52 51 end if;
53 52
54 when eX =>
55 ect <= e1;
53 when e1 =>
54 Valid <= '0';
55 Read <= '1';
56 ect <= e2;
56 57
57 when e1 =>
58 when e2 =>
58 59 Data_re <= DATA;
59 60 Data_im <= (others => '0');
60 61 Valid <= '1';
61 if(DataCount=NbData-2)then
62 Read <= '1';
63 DataCount <= DataCount + 1;
64 elsif(DataCount=NbData)then
65 Valid <= '0';
62 if(DataCount=NbData-1)then
66 63 DataCount <= 0;
67 ect <= e0;
64 ect <= eX;
68 65 else
69 66 DataCount <= DataCount + 1;
67 if(Load='1' and Empty='0')then
68 Read <= '0';
69 ect <= e1;
70 else
71 ect <= eX;
70 72 end if;
73 end if;
74
75 when eX =>
76 Valid <= '0';
77 ect <= e0;
71 78
72 79 when others =>
73 80 null;
74 81
75 82 end case;
83
84 --***********************************************************
85 -- Chargement Rapide (toutes a la suite)
86 --***********************************************************
87 -- case ect is
88 --
89 -- when e0 =>
90 -- if(Load='1' and Empty='0')then
91 -- Read <= '0';
92 -- ect <= eX;
93 -- end if;
94 --
95 -- when eX =>
96 -- ect <= e1;
97 --
98 -- when e1 =>
99 -- Data_re <= DATA;
100 -- Data_im <= (others => '0');
101 -- Valid <= '1';
102 -- if(DataCount=NbData-2)then
103 -- Read <= '1';
104 -- DataCount <= DataCount + 1;
105 -- elsif(DataCount=NbData)then
106 -- Valid <= '0';
107 -- DataCount <= 0;
108 -- ect <= e0;
109 -- else
110 -- DataCount <= DataCount + 1;
111 -- end if;
112 --
113 -- when others =>
114 -- null;
115 --
116 -- end case;
117 --***********************************************************
76 118 end if;
77 119 end process;
78 120
79 121 end architecture;
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
@@ -319,10 +319,9 SPW2_EN <= '0';
319 319 -- generic map (pindex => 8, paddr => 8, FifoCnt => 1, Data_sz => 16, Addr_sz => 8, Enable_ReUse => '0', R => 0, W => 1)
320 320 -- port map (clkm,rstn,clkm,clkm,(others => '0'),Drive_Read,(others =>'1'),FifoIN_Empty,FifoIN_Full,FifoIN_Data,(others => '0'),open,open,apbi,apbo(8));
321 321 --
322 test(0) <= gpio(1);
322
323 323 Start <= '0';
324 rstnFFT <= gpio(0);
325 --
324
326 325 DRIVE : FFTamont
327 326 generic map(Data_sz => 16,NbData => 256)
328 327 port map(clkm,rstn,FFT_Load,FifoIN_Empty(0),FifoIN_Data,Drive_Write,Drive_Read(0),Drive_DataRE,Drive_DataIM);
@@ -343,7 +342,7 rstnFFT <= gpio(0);
343 342 PTS => gPTS,
344 343 HALFPTS => gHALFPTS,
345 344 inBuf_RWDLY => gInBuf_RWDLY)
346 port map(clkm,start,rstnFFT,Drive_Write,Link_Read,Drive_DataIM,Drive_DataRE,FFT_Load,open,FFT_DataIM,FFT_DataRE,FFT_Valid,FFT_Ready);
345 port map(clkm,start,rstn,Drive_Write,Link_Read,Drive_DataIM,Drive_DataRE,FFT_Load,open,FFT_DataIM,FFT_DataRE,FFT_Valid,FFT_Ready);
347 346 --
348 347 -- LINK : Linker_FFT
349 348 -- generic map(Data_sz => 16)
General Comments 0
You need to be logged in to leave comments. Login now