##// END OF EJS Templates
Update TAG connexion (cross UART link)
Update TAG connexion (cross UART link)

File last commit:

r286:8b7f4967459c JC
r343:db9610d754c6 (LFR-EM) WFP_MS_0-1-9b JC
Show More
vhdlsyn.txt
3 lines | 72 B | text/plain | TextLexer
pellion
DEBUG LPP_DMA
r268 lpp_debug_lfr_pkg.vhd
lpp_debug_dma_singleOrBurst.vhd
pellion
Correction LPP_DMA - simulation ok avec une RAM externe (CY7C1061DV33)
r286 lpp_debug_lfr.vhd