##// END OF EJS Templates
LFR-EQM 2.1.81...
LFR-EQM 2.1.81 > all is ok, the ADC data are sampled at 500M.sample.Hz

File last commit:

r524:cca844e6506f JC
r598:a4da461dd67d simu_with_Leon3
Show More
vhdlsyn.txt
2 lines | 36 B | text/plain | TextLexer
pellion
MINI LFR blank project
r266 lpp_leon3_soc_pkg.vhd
leon3_soc.vhd