##// END OF EJS Templates
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen....
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen. Solved in place design developpment problem on Windows, look at EGSE_ICI makefile.

File last commit:

r217:13429b36c676 alexis
r220:9cd9574d2765 alexis
Show More
vhdlsyn.txt
4 lines | 75 B | text/plain | TextLexer
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 APB_MULTI_DIODE.vhd
APB_SIMPLE_DIODE.vhd
pellion
temp
r176 apb_devices_list.vhd
lpp_amba.vhd