Project

General

Profile

Actions

Task #10

closed

Task #4: WaveFormPicker Verification

LFR EM Bitsream - 0.0.6 - Validation

Added by paul leroy over 10 years ago. Updated about 10 years ago.

Status:
Closed
Priority:
Normal
Target version:
-
Start date:
21/11/2013
Due date:
% Done:

0%

Estimated time:
revision:

Description

Description à compléter


Files

Actions #1

Updated by paul leroy over 10 years ago

L'addresse des buffers de données a été alignée sur des valeurs se finissant par 0x00.

Les deux ports SpaceWire fonctionnent, ce qui est une amélioration par rapport à 0.0.5

L'émission des snapshot commence à la date correcte, on attends 16 s après le lancement du mode NORMAL pour obtenir le premier snapshot, ce qui est le comportement attendu. Le changement des valeurs des paramètres a donc résolu le problème du délais anormalement long avant le premier snapshot.

Le mode normal implémenté ne comporte que les snapshots à f0. Il y a des "trous" dans les données. Au niveau des données, les valeurs reçues et affichées ressemblent aux résultats obtenus avec les bitstreams rpécédents.

Screenshot from 2013-11-21 07:31:37.png
2013_11_21-7_29_51_packet_log.data
2013_11_21-7_11_11_packet_log.data

Actions #2

Updated by paul leroy over 10 years ago

Screenshot from 2013-11-21 14:48:3
2013_11_21-14_38_59_packet_log.data
2013_11_21-15_3_28_packet_log.data

Mode NORMAL avec uniquement les snapshots à f2.
Période de 32 secondes pour les snapshots.
Pour plus de lisibilité, seuls les pquets SWF_F2 sont émis, un snapshot = 1 groupe de 7 paquets espacés de 300 ms.

new_waveform_picker_regs->delta_snapshot = 0x2000; // 0x1c 32 * 256 = 8192
new_waveform_picker_regs->delta_f2 = 0xc00; // 0x2c *** 12 * 256 = 3072
new_waveform_picker_regs->nb_data_by_buffer = 0x7ff; // 0x30 *** 2048 -1 => nb samples -1
new_waveform_picker_regs->snapshot_param = 0x800; // 0x34 *** 2048 => nb samples
new_waveform_picker_regs->start_date = 0x00; // 0x38
new_waveform_picker_regs->nb_word_in_buffer = 0x1802; // 0x3c *** 2048 * 3 + 2 = 6146

Observations:
=> la période d'émission des snapshots n'est pas conforme au paramètre delta_snapshot
=> le démarrage des snapshots est trop rapide, on attends 20 s et on obtient 16 s
=> dans la console, on lit des messages à chaque fois que le bit full a été correctement remis à 0. Ces messages sont trop fréquents car on lit plusieurs messages entre les incréments du compteur de survie (il s'écoule 10 s entre deux incréments de ce compteur).

Actions #3

Updated by Jean-Christophe Pellion about 10 years ago

  • Parent task set to #4
Actions #4

Updated by Jean-Christophe Pellion about 10 years ago

  • Status changed from Feedback to Closed
Actions

Also available in: Atom PDF