@@ -80,8 +80,6 signal nCE3int : std_logic:='1'; | |||
|
80 | 80 | Type stateT is (idle,st1,st2,st3,st4); |
|
81 | 81 | signal state : stateT; |
|
82 | 82 | |
|
83 | SIGNAL nclk : STD_LOGIC; | |
|
84 | ||
|
85 | 83 | begin |
|
86 | 84 | |
|
87 | 85 | process(clk , mem_ctrlr_o.RAMSN(0)) |
@@ -104,9 +102,8 begin | |||
|
104 | 102 | end if; |
|
105 | 103 | end process; |
|
106 | 104 | |
|
107 | nclk <= NOT clk; | |
|
108 | 105 | ssram_clk_pad : outpad generic map (tech => tech) |
|
109 | port map (SSRAM_CLK,nclk); | |
|
106 | port map (SSRAM_CLK,not clk); | |
|
110 | 107 | |
|
111 | 108 | |
|
112 | 109 | nBWaint <= mem_ctrlr_o.WRN(3)or mem_ctrlr_o.ramsn(0); |
@@ -184,4 +181,4 MODE_pad : outpad generic map (tech => t | |||
|
184 | 181 | ZZ_pad : outpad generic map (tech => tech) |
|
185 | 182 | port map (ZZ, '0'); |
|
186 | 183 | |
|
187 |
end architecture; |
|
|
184 | end architecture; No newline at end of file |
General Comments 0
You need to be logged in to leave comments.
Login now