##// END OF EJS Templates
Fixed Makefile (C drivers) for mingw users
yannic -
r34:21db59beeea7 default
parent child
Show More
@@ -17,18 +17,23
17 17 #-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 18 #------------------------------------------------------------------------------
19 19
20 .PHONY:exemples lib
20
21 21
22 22 all:
23 mkdir -p lib/
24 mkdir -p includes/
23 25 make all -C libsrc
24 26 make all -C exemples
25 27
28 .PHONY:exemples lib
26 29
27 30 exemples:
28 31 make all -C exemples
29 32
30 33
31 34 lib:
35 mkdir -p lib/
36 mkdir -p includes/
32 37 make all -C libsrc
33 38
34 39
1 NO CONTENT: modified file, binary diff hidden
@@ -31,34 +31,4 cleanall:
31 31 make clean -C AMBA
32 32 make clean -C LCD
33 33 make clean -C DAC
34 #------------------------------------------------------------------------------
35 #-- This file is a part of the LPP VHDL IP LIBRARY
36 #-- Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
37 #--
38 #-- This program is free software; you can redistribute it and/or modify
39 #-- it under the terms of the GNU General Public License as published by
40 #-- the Free Software Foundation; either version 3 of the License, or
41 #-- (at your option) any later version.
42 #--
43 #-- This program is distributed in the hope that it will be useful,
44 #-- but WITHOUT ANY WARRANTY; without even the implied warranty of
45 #-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
46 #-- GNU General Public License for more details.
47 #--
48 #-- You should have received a copy of the GNU General Public License
49 #-- along with this program; if not, write to the Free Software
50 #-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
51 #------------------------------------------------------------------------------
52 34
53 include ../rules.mk
54
55
56
57 all:
58 make all -C AMBA
59 make all -C LCD
60
61
62 cleanall:
63 make clean -C AMBA
64 make clean -C LCD
@@ -27,9 +27,6 EXEC=exec.bin
27 27 INPUTFILE=main.c
28 28
29 29
30 all:bin
31 @echo " "
32
33 30
34 31 $(FILE): $(FILE).a
35 32 @echo "library ""lib"$(FILE)" created"
@@ -31,9 +31,11 allGPL:
31 31 @echo "Scanning H files ..."
32 32 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R h LPP_drivers
33 33
34 init:
34 init: C-libs
35 35 sh $(SCRIPTSDIR)/vhdlsynPatcher.sh
36 36 sh $(SCRIPTSDIR)/makeDirs.sh lib/lpp
37
38 C-libs:
37 39 make -C LPP_drivers
38 40
39 41 Patch-GRLIB: init doc
@@ -40,6 +40,24 constant LPP_LCD_CTRLR : amba_d
40 40 constant LPP_UART : amba_device_type := 16#006#;
41 41 constant LPP_CNA : amba_device_type := 16#007#;
42 42 constant LPP_ADC_7688 : amba_device_type := 16#008#;
43 constant LPP_CHENILLARD : amba_device_type := 16#009#;
44
45 component APB_CHENILLARD is
46 generic (
47 pindex : integer := 0;
48 paddr : integer := 0;
49 pmask : integer := 16#fff#;
50 pirq : integer := 0;
51 abits : integer := 8);
52 port (
53 rst : in std_ulogic;
54 clk : in std_ulogic;
55 RegLed : in std_logic_vector (7 downto 0);
56 apbi : in apb_slv_in_type;
57 apbo : out apb_slv_out_type;
58 Leds : out std_logic_vector (7 downto 0)
59 );
60 end component;
43 61
44 62 component APB_SIMPLE_DIODE is
45 63 generic (
@@ -1,5 +1,4
1 APB_CHENILLARD.vhd
1 2 APB_MULTI_DIODE.vhd
2 APB_MULTI_DIODE.vhd.orig
3 3 APB_SIMPLE_DIODE.vhd
4 APB_SIMPLE_DIODE.vhd.orig
5 4 lpp_amba.vhd
General Comments 0
You need to be logged in to leave comments. Login now