# HG changeset patch # User jeandet # Date 2012-01-06 15:50:36 # Node ID 75324080a75ffc64b46d6ba3eff94c15d6a4850e # Parent 4ce02a06b2a6d19b58466b22490524b3a39961dd SD card Library started, SDCARD init and detection OK diff --git a/Doc/PortingGuide/src/PortingGuide.log b/Doc/PortingGuide/src/PortingGuide.log --- a/Doc/PortingGuide/src/PortingGuide.log +++ b/Doc/PortingGuide/src/PortingGuide.log @@ -1,4 +1,4 @@ -This is pdfTeXk, Version 3.141592-1.40.3 (Web2C 7.5.6) (format=pdflatex 2011.9.8) 24 DEC 2011 03:00 +This is pdfTeXk, Version 3.141592-1.40.3 (Web2C 7.5.6) (format=pdflatex 2011.9.8) 6 JAN 2012 16:08 entering extended mode %&-line parsing enabled. **PortingGuide.tex diff --git a/Doc/PortingGuide/src/PortingGuide.pdf b/Doc/PortingGuide/src/PortingGuide.pdf index ecd4530c44e44b6bd5ff943b2b684ae6c4836a9a..f032978b12eabca531ece7d13498b08d751f73d8 GIT binary patch literal 170208 zc%1CIbySsGyYNk?gn)DkNW)sxqPvl9>F(}ETDluSQW^ni2`TArNu|3RUc$Y%&)Ls; zpMBo**Ehz;8281zuX)Y-T`|`Z$z_E^=z#Rh2;@U!9a9KQ!~kMzJu_l1E(C_xhE~Q7 zCd7;^?8Hw$2n-^o77m7X#0(-9x(&PI8k z=>=Qi-=n@iqeF)1h-iN?M5mpa#XR4W|1}EVNxw!-3id2RGc`n5(@@?&c-Yh#EFhSk zF-{13hiL7UXRg^ay2HAFW-vrBK$M}0Q;RMA;1`Yi);&oC z#@~o4y4n~LGsx;18zL|WT3b07S~=JgKOmP!V30Sow|2DCH?$`PJ}IOO4NP?ftX+uJ z0rv_Pb{2X@5GyeoGmxH*T@&Gc7Q6f5#B7hoJUqm|mIMB_oXGtW_lE8a#GuFKy*C+T?X2|`3>}Eo@AoPsLd>9O=;A=E`THWkzlRA6EAc-L z6MKZE5oac5h4QgVW+p}jIB^94GhmX5nMDx*`aDz#V3LOumkXW%jD#T_EZZS1L4che zAPD@OEfDaZ-~xgFl`i}LhA#WRr~3!Te+G~F@9>zIf&UoZN*uS$eU1g5-6BMuqW<9Y z?ywN{5DU|Jr32Rzu zIFpN&pvEHqfLpbIKlGf{rB2%ygsYa1T0^CQ4S%Q z6D+Hfo0UpDez1jvXip!y;PCZUnOAs2}KS=Nbs%jipq>mx_CNA1mTUZ~ZWY4d0o(!><~< za6PWIwMD}!`;^H-^KT-H=|4sm^WXB8@qd-LjQ=rnLBznnrS3lqIkvxt91y_zk3&u> z42Z^eU)HbE^m=npr!`+v;dDO}Y;#%ti>)#WK$Ke*j<93Zg9Ad#V_s>IS zb%9{l9gSxc;3RzN+%lx6&qQ8(2?(hVvRhc`@EA}U!8yc$})BJ(Wis#Vj=r;hxQWj`?n3=LYsr!tbD+7@e z-&#`I=|kz2QLqa5ZdQ*h%|O8<3^>X=Jlt;J&m4ys2X5&U8C~~EQyV)kCtL!&BYXMm zyWQ#85vqzFPEQ_p_!k12KXCJ1+I(U)Mu7tmBFIq{4Y#Tx!ZGk29LFr%wWeJ$v7@nU z-hNk>W`-78h18(3*=L`u<@0EAB#0Liav+jkKI1SCqM?p|t_CA7TW0r4+7-SaAaKH{ z8;SQD{7q9Gcs1S-5`{h+F;;Cijglfn&Z~2>6qwe51E(wb-r<4JYR^DGOj%{tdvHa8QGh&Dp zsZWU(f37-nxqF|j{Mea;Ov#$b_O;RFE^f2|U{+w`+c1m6BML|)AM-AJhkdt?<*q4# zM#VV?7sod2P$pzjb{b0cI^N1`pGfkqOY4gG4L=%5W0cufM_{(oJX7=0hVXnVePI`_oZU)DY_g0H{>*O~ zCAK#4R`?TaKVKj5pLd!{QE|YHF$f#=b1NCjRex^Fgz|IWJF{}JzyKuUfYlGdqY2wZ zX|jdAtpQ>wy&0QrG=1$D4&R4Zv=pEr@v_Qa-Z58nqvDP4U}-}N+9ZJ@eBn_V0}^3Q z?U>XX+R?%dl*+!b6GesdeueoA+J5`@C!6|eCEpaxoUyk)*fq^r_D%2!>ewGQ^DNa< zgL0KF6R^zVv_H#2ZGv3Y##}}|uQica#vx>Tge5%_z!COB1iv0-i=o99$Ht2>>F6Oy zGHjy4hsTN;a-r?kC(CX$KY`)dnR^?0g>Iy2j>d0`95dgVEV$m32Uj7*&U*LOnZGJe zVGnzvuMUd8mBC&sHE*siO^{EbFJ1c#rhwM468 ze11$_9qf?s220Fn;uuo5uyOic2(iIpuQ<&{ql%()VeH(kQd__)W!UN1nFCS+?jg%)a6g@Ea3KZwjdH4HT}Ni+*IQ`wqDo`k6J zCWZ>;2N$)O>ws~M1D>&s<(5^3>b?E2_yS!zNBU=o_}3U){H66~ku%3gYHR71onhso zikao^$*KV+oegP1EriJDSXl!b#=x^}7Kqrj=Seghivi?8 z-}V~1er`>vDAjShUnA)@3WPp0vE>Dy&k}a^Qt3zuKHup%{%)_Psg)~x!fMN|V=lsW zoz9U>KT+p-SZd43&?o+L;jJD2!KRh%3DHh)ZT-KgvMm3x%Ci2g$}<12sx0$A|K#@H z7GI|Oiu*tKCd>r*d&Ok}{PQn!Qqc`o?Zg;DS2qX}l&|~n61%BsEX|zd5cJ9|x*2rQ z$i0aGs?!n4z0a8v6HgBvNO6a7CB>ml+%w@;!yu{1BK#(Nom~j}SYh^LJK}sWJgcme zmsL%L(Ukl`K&t5P-x;^VRZTQ_I#z#7H+Ig@{}QvV4>n3PJr2m;7Tlbpt;FiPTk)Bkw@1mWatZ zws)D36y2rS$S|R*=e&J;bv%-4QTNL3iV5qP-~rL;oi+kdvI$)|=1VW!=%k)QbLxKj zvYi!_ck3aO|0cxP|5J!D0sauy7-Rc?y2<}pEdBb4C&7zz2(`(X97HfE~#CtN)koaqO?znOGl2u&_L6p5}kB zyMKTH#y^+8e^`MJQ~!0)U#+KsEP&s7o^;I2%=9e((q;lYwEwbr8u3fZ_!o}*Z)W$0 zeX{w>`pJTc9e95fCichq*x2rm`Frov0iN1V^4Bf^f8QUF^-m}d&(qQW4)_VxBj5*= ze>{JV`xELD$lr1PF8^iz-^M;s{avy#J)G*7BcJHqe|r2QY@W{ZceelaoWI!qeK!Es z2e$Wie~|o*=kM~7?Y|KIjq~qo{=OH+--GveLjUDq2QdEWtw+Ltr4;Colwy74@Fdw; z9>V`gvViV)cRvR+8}NSJzjRM6w)@>P-`5QG$DM)h=YNt97Qgo~u|34tQ~ROspFY1v zKgy^1ewQrFOb;G>(y+2WNFdvzPamR)?S9AiY56N2nC>MzfcbuJ><@l@^3)@Hb^!2x zBAnVF_Y;FnnsWu`=G9KOtg!uy_p9r-gyQN8kP0#iIm0Qvd7Bzgmy` zd9wIJ^9Og9r?`A--+LZ-PyS(V52K&7zj!=qSplG5?@zw?HSSN_hkjb+7aZ$jS~5PQC>#4D(nkx>V@f`ae`x%gEQet5OK5%|`XMUgwVrPFS zYfrXMWSJg`10Mas#{6i_{t#^se@tY%FLw7~{@@puhhhg{1^sF8P#f7F-O2K+&Bnz3 zPk`Flq`m?Ts{@5$< z@f^RO>=)g?Gk%m$jGuV^E`LG&UH%63FSLJtP<%xEFQ9+J{Y3Y7`EYdtAHDiG1BmTU zw!h@BlKL0nU-EA;#sp$}ysdum037!(b_rEON-x~l{;2&>{#EeXAjLd($-haRR?SFOLU+4euA1SKp92IBPPkF)` z+=mx;AAX=H-Y!bpIy(m?B*=fy4Zx(@wd$D5mDO@vhNV~p2Q6c!5^kf4kUQFUws=4U|5 z?IQpt&4c3Z6GQ%O6m1!Cad~l3^aJ!aNPfO=MH4VOD~PhtZmOH-^$_gf&l0@Bk;)rj zi{f*yig4j7?7_fsv^8^DOPf$(Io%_9fwgLCcS4sx=sHeuzt6yL@9h2rD~Fl}iJSeQhsuL$jHDQsd#5&6Cv=L2+P!x zK+_e|CoCr=f)?y!7ev(L_{7Cg0VI?fIEfQ27)!d!O$Jw4p!atrLq{^lXDkNn(|5(- zE%5sg0^bR4b8d*N9LoB@ycOIXKL_||-b#S!l%MU}ywEc~J3Te3JaRbGffU@H(n!Bs z&ez{tMBaxHOam*Efg8d(SJCC-XXS%yX(K!@1M@zG%3Rh#f~teOW9xoQs;Ap*tK9Y6<=Swc!apZ01eMRn52c0b8|3+D zQUx)dX?bWbjhu5F?~7Pl7rJm*?B(8D-t=uK2zS5hI~B7nu!>JN3MP0mDo&bFeyJ3) za;tY1+QO9Hz1u#7V8~!YNMOgGs*UerH_KMfNms8)y)+#&n`x$A=$LP8BR_K}hi>AL zy`H1{;sb^fOaU0W%Lus>p-j65!-F-CoNV&q#-sEWR)L!K1^2x}Yi7Kt*}QW~TPpMG zK6j(GY#}z*_CXrL4A4Z{KB&KYc6Wzgbk|M3wy|Aov;kpozUO;e7M~mHSGngsdlUJN zXCFl=@Ghv*uOZD3Wk{s0wQc5BTj?fIW4P_`^B_6D(?H84!G&bb)!I5+^Xd9ln(aAL zK3H)Ym&aYUyCttV1?tcoLCYBfXwe+$HfYa$>8>2QytjzDmx_w%PNw17kPNW`W|qxo z8%(3o156UGeFQ7u$7k4D7gzAxw6ZyK$m5G5ajk&8WOSnwPx68F($F=O8qw@}fIfcpLDk&$l9F2{YcLgkTK=3+pU?yWUZY<}kg@ z!{)EI?uez4k--}Jd5I}}2+mQ?Q$|j$znyHFZ|xKH_1?g`-HhHU>7AjR_w?Kn?Z+py z@n(Yg%v})ev()x3L>3el)WQ)5Oa5VH?ZJeeD1;q zV0F&UXBSyM2Z7FsHYX9>ZXuh{&MpyeosUeeapJs@pd*?aHtwvVn#-$Up|+vMoXcIm zaNp@>nQegE?gLkKez?%_c4bU9Hz(h!K+H4;4f8hU=CttK7IQ&(fGN}S&rcRzoRr(! z+1bP1CYGHv2VQ88{`|ai7k(F}1ctf}StX*D2C0r1#A(DL+JqQGm+FEdL-Wn)`Ym4{ z9~HC}&^asCUo6ih6oFFfwwi@3vJ}5Ki`W1+?f_HKln*ar@7leD?&in&=kUDtYQrcq zRQSN+pJLI3sa7WMHwC_3Sy6XF=4Q#aQNJ%}JdJa*^V$9Op-RViP!M8r3h~tNRebl= zX*`d=yo;hUnGtY>bH8W~5tPYMab$F>`j&cMpbBCrsa>3}mA`_{5S$L$loBTRG z5wB<44>c!W;)DybXD7nw+t z(o_45ksE?%u6-T1Nro$p`$5cAnU8Y$O?bs<#NT9(-x&~-s^r5%pNEjSvM+lLo@E)P zT}J*MiC-h&*ry~;QHK59N0ll{=m~zl@Ks09;Cd87C#+Xj2`U`!8CttM*LxPlkIF>1 zBD!kFUaVx5BQCga{OFfOOwu#30wlwv@nhFj#g6l&sUuI&MLf>0jXTlZKAX5~m^$$6 zr>Z6_yUUKNj5-G4&1G;8xHuI7PoJ~A9xTC5r(P&*JgQu+b}SQO%3Kgr4?Q__&uq3B zd4qm{V-)*yfgh75awxzy=M+=Jz0%^ymBYAUp_>3J@Y8uFKf#XOti0OtnWb-9+6OjO zH@JM)18MO>$rNuPa_{XN)$)ijp1{qDNP%w8SrlnF_D)O5PWnJs8CxyKVr`;#r@pu? zs8n|2tG*ONq(|9uYjBJLy(zusD=4K{xmQI~8(!@w;BoivuulUC8wsh~-_o>> zy^+Uf$c{?yj}cr-nRIKOomjvh_hK@@wEyn)TH2>G8|XMc6!(ENRIYmVQ(3}iw`i;j z*^z*EFT{G}s9^Lq6(bt`r9UJeV9Dl&icc|Sme7AX8YEbi?%*Q+nwCwR4xeI6;evd+ z%xF7$IbhGRg1*^zg{$0Z z)>5NHzS^BS+?x~E!Rogu+Hgrci=4N*C}%2{u)tlneEW#{Hx+#eByyd(i&|}Kp?qi6 zhYmhn16DUFHWTxi^G#}MYhcEQ5p#51g0Hu7i=7YiDXdLw4a=}ob+o4X!z&=?&-gGE zoh>%<@_pSmN;s76T7KwT%r$&5rxPR7FYtJvu=gH&% ztXffPY>PY&TsjqRg{pmV+{8H!EC&P9UBj(&&u}LWRpC)daB56M=Y~X{^Xl(&7jnXh ziBV+PTZThAv@&DgsyqJC(*>$ythWBGscFvs!X~^x^d~l*isIT|_I3$qXK0 zZNz^)z^LkjGJv>J$eL)|-`Fu@WA(|b%X#6(O^m25UXwvUrYX~`*JF?Fi!q=-|0`ZP z_E1x*nUD1xW1B{O!`7S;TIv!8a2xToUVi@#FikS z`87qrkqnn~4ar_$ROba5RZQN6SX=DuNO+6(CxzKEVKe|2Mn@NPU2__cZM#u{^m#Li`^F=Z+wgh?H=X;iYt%Z_0o5Cm9 z)RJ*J48Pz#bo8u~06^@q#@APtlsbl=f96UJo3hR?IZrXHEOu8*@dR95=tdR*F}NX}Ml z;v3`a%`*iojl#l~>L1QsoI(a`r#s|dQdfZ?^ysflOTJ@6ucKS~WliC`c&lN&rJ6#d z+a_=A^#h~a>26zcX7&uI99|Xc`<_s}kEj{Gb?_OnfFZ_I8#B#n&H^nQi!O>fwRT+z z$4?mr*Hp@aFfXFf_W6Xm;kZ77vs{Fmp)!mpbC0CO)EBHva0s=wmu_h@9#s^?^3v23 z8aqdp8C;uX+f~D3GIQXExJSr(DOcj{oL^;gO=f?5(THqQ`Z>BM{cI%v2IezkG<9}{ zCpJc6JhLw5KzDfk4?I8f&iN}~B5m2}yqWOMRy3l^i1F~id(*oRqBl7%SDO3!M8bxx zAux+UaPvz^hHJZ@w2_1QK77Y)MhS}%bLaOytUS9IzcLUpV~ADo$Pzpti{%<|Zb=a9 z7MTa%JMmp>jHlU>$l$>Hm>0Ai=V6Sn1;Dh0(noDg z(YA@f$)uEIF&p|kAmyc_&L((mv~AN%PM0v;*cRhjH`;>j9CxvZPMTgcNq6?HV#^%_ zvqBF-*l7O*0~qzJuP|?JA|&z|KvR3i>Ad<8i&~%BS?FhM zck$pVoaWaozn>CKTNJ@bk`5S>f%I)t7XXV@7~Bp{>z3vkNWIP3M&HOn?Z1eluu@l} zVQ5s+(-Y})4x_fLIS^i@Kf-bYTh)L zW})KswGdskYgHOjY{FVx)dIx$xT@l(Sod?E@EyHf5j7QZf-a5aHVQV0tQo}m{MZnV zbslNBRa3F%D0HQOX-X{sAC?jLynabWK4q+L@-t?K{Lsr=%lg=+pYLH=eR!rh#Ac34 z)Li@d=Lsj%jJ^__y8~?DZMU=0KXRK+N$U$OrHfPH(#|ymfGwuMX~8xu!_#QDYE9Rl zE((?5kWrbCeB^3;Sy>VD0;90wLjZdGX|!5%-^bTe*aI@NzFLhwmC7MEG?dxF=hEK; zwQ7d6Kjp2}h6rNAy#4M{6Z~bzuybQml-HR4g732n=b5Gal5CQ^fEQu2LVQNp68XJFtr!yq;k4ONuq`8(WMM_-fS`2jOibL1GK z=&lv}EFpppMxVBNZK;*A&5+$G9fPw53Y-Zt@aV!aSNkI7my{NrVci>Lo!%A6q7Yjs zv=TVO`-}7i%=k}t;TyW!I(afEONP$Ohcz``2-K%VXP1Ih!1{8>5TxtsgeIX4eHMnY zzpc|pO&^TGKl8q2A%TOkdvmEgAEPa5HrjuQpSQ$|L@`Lgh*><-6pQ}@P&H=DU*~KP zJFf915ngX-KLKg>VjwY1+H&qWsPfyTZg$~w5`+rDj3LnioV>&kwn7yXJt}Ww+Bk~( znvMg(r6!~qu(|a$D-;e}so*or?$h^k<)i2bG9`6++WWUEF5g7`>zKU5aB5Z@UT$lW z!YRX(fy-?smBL=NUNxq)z76W5zdXz(UnMja7}U6E5ZWPMuX&9c$}M}O-UgN3-vF)E z6DLD(Nn4!2-NtTxMf>rYGc?fkh#^KNlx^K*+%e!lBn}R1rwdr^x~?{iO0*>yttzvQ zIe2=uebC&ApF1oz2_=N>J_8KplT*XPE&U;mEtLj@Ets@!-PC=a9trK?l_}p@In7aw zTb#8U7ksBi>tfvHPxnLF(zQr_thOVROv?LN_N#;4`upwI zdfVqKtv>r=GE#1#F3Q(VDTN8%AFf^}zR7ONZ@5Ba*l7T@(nn{bPK&VSKf)AN;^$13 zA1@$rk$&yVp7XVexF|L(6h*(Wbq$H&nox7vY+Rb4^NXzBmN-i5A2p8b|L*Ru9b}WC2lMvb{?wI) zV;V|Zci0?Pv3w;hM4IWYoibcrCEs&{kT4+xa+9hQaI4mvVMH++|JX56%6G0r>~t?t zR~v~ynY7Vz>)p5gLF(aGpG~V^c*be{5(hw4iT0R&=U7d;rN_gL11nJ_3`>?Zcx|Dy zdZ$X~TFW=`S1YE1r_fw08HDTl%y95wyVmM#1Dz7#p{Fm&3!CTZV36@CbF6m}paWGi z^YB<164hZ4h48=E=C<`%YQ6J5e)Vxk;CRq$c5YcqaH`^U>!^|CVw4LvEh^&a2$7j-#Bvg8|MbZMkw>$v=R2Wxfxs^^!* zWbX*e(HG?Q4Lsz^0t~}e!t2y@2@^jh@cRSbNMTtrhHM)kEhsrpSmk<2Gjy$++Z_yR z;c$yAFzOCde0_$5M%Vu$hD@WwRfl2U=sBaTaGM>vv=?>ljltn38NQt1KJJ}SfsgGr zr>K7Zdm`FhGRmKUUDp@G6MfV6H6N>)CO|s5Z_}j(#F)4>)Y>x}=x_ng!Mqa*DEpEK zymj5)w@Ftp>g~*KHoX-Xpo&s|p%pzk%dFHTI|J7{G$GT4GP?pMA4sX*;+&z$-IFjL z0HZp=g-P2MPV5YAF+TrdMCFFqzM{;e;qBIYWjHcA=-A7;Ru_t(`RAWm&@IkWd4}g- zR`yrQdQ>-AWi4S$$Th+=;aly$aD@A6DJV9#h*osHxQF+(IG#nQQacIi+#kkNhdQ9C z-VQnAjVAO4zAGKQ@;gl+W|Dr*k;B(Y{dauc2P<=#CxUs49C$$q@n4a{p!#2nTM)QG zKcg#Y1WKxW!o*VCf34uAHj@mI^n#~oE~O^7Loq(ab85Q{W6`+@Dso6Cr=qWkCIgvw zegzX^Bg_3t`sFM+5p-vcg_Pq*xu&bA;o~_Mfm!0O91t+N8y4!XJ0eaUN@#Nm5Bqgf z5DViM2}-Qz_*1szy>|JDyoaEA1fk{!A&bu?gX>$ZoQ3owJmYz14VcI%wP4p`hv=op*u>X^6{1xKz=0*4In zi)9K~7*9SzyRH(BGj_|ATJZK#I3!Abqh76&Q`#u6D8&miczZrdMGE_#SE(q9A}%{D zPgaWdrE0e{KJWM%Z1BVt0eBEel6j^@YAU~qQZ+X8SDO7FIR%12iR&M^jI=Gvft za6R@O*sW^^8uEGKRggv%jn=1)f33?vUtpBP`0zp*@;u6IhTk$?^|CG%Blc<%^R24Y zf%q_7y1o|1cFhv{s0Pc0M^9a0E(C?*$<{GLwe1Nr{#jybl|6>zlpl9p)8tl?Zl#7G zZSUdv7rSAj2tcJ>&)YGwB!6}C~3vWqJc8+tA z&*8*Or-ETgM;!FVgg_GIh7o_juV9JjK#b1M@HS>|TBO$mKE7gqm#d>9?WZ3p57{DE z8Ppq=nqU<(+0m<3?p+^L1zki;f%GB=sJa>LZiRQmPWHFNxp+_Pn9LbpLgkOtaEnWxyoOU&AN8n)k<_M`ZX=N}; zF?(QLB3YTxo^kLg!=ZSyZM)nm4YfF#mk3o-cm!LTN_!sJE;C~YysL{m*&gnDRbIHe z^PJO(3})lydL9<_n1>u&w)aHDOUknxhR`Mp--U=us$ktpuaV83NSq-`A*q&el{?0v zp0};o$j*p2udLnPfNK;h*Pq66YZSJngfkHcXt0ps8x3aCE8DY<*@?F0aU2CznDKiNpkn$|80P-+z3@E@=kXE6J5TYT70 zg+aE|f%e7CQ$1LF{>lvA;Dth@fF_4^G7@_cF?h%k?PX>5E7qer+d4oINyj1e=JT%^ z?$AzNl@hHha_czmWt9q&AMNS{dr7j(YPMC)(9 z?PNdrHJi$IL5~EHv%pV8=-_5k-^eTa^?Ptmz}a3W2v>X(b?#{p>3=2V@i7=?qQFUg z=K6yNVu!nW`6!|RN7nMK3wi8tCP++sJFNg_7#{et$V+NBk1GE?OkiU43W1 z9+SJb%GiLZ7ly@p8Sf3f>so*yLN;D|G#p9k4Z~hnDPyKNsbMkY>AT$Lvlc?{-3_4^ z!zgg#US@beddvPy)0@6<-<=2US~`c1hAsA}X9XqS5C%>M^2fF}9L9_#8;!SVCw=EW z=^VhW>+?o1 zQrgM4Ul1r|ES!3K5sj{WcVIu()6j{?aVW=OnNv$sE|3URuW}G0{rvV4QA|By`Fk-F zVsUhI)n%T{7g0{ZPK)Y;6OC^I!9J*oBL}VCDf*q=zGvZ}l~{U;Y1wSZt#Zydb7J)5 zSSML|R69EJD3NRYM629kP}8A;@x=Ug4yD9A!QDF+be8bvJ8%Sw;Y2Dcp=@gZaFLmC0k zsfZZCGg_&>u8Byz>S#bS9kaoDc}h2xsXp7@y9TO_VA@8q^{A?n*S)(Q$y459m)vB9 zJ8z7=F3|=QkQY?yMMF=cS#R^K5=k(z+v)qV)F$})8Y|DE(LwE`EcysmutHy>l_;>> zXCmVCC_M|!qTTqcC7wm4?Ink41Y06))9V!r)0<7vbFCnn14G{Lems+Y<55?U4N-@J z#b!t`sy@E~lTnAJatS#<{^i0-Zb8l*y;meO+LI2ftw!8~py|Y7(%H$LR|MbxXy=0( z&a05k7_UXitvv#?5dBp54do4;kqY$|FBRd!8M6sH?ubyO_BrQ^q`n<#*qoOYvD1re z&F8pO;uBB})0#|`w54KMgsChm3JLX+TTDcl4!J)^ukb|8NQz$ip1kliy5c+D;U64V z!hg|+%0&3tNN%oH$5TRZLG%l|xJm_i7r}F1EkD(^!d^t}lvvT(0q#U=!E*U4jv>_@ zyq`|-Dk8n1f!{3)pu>xlo5xkd8fhy4mJH8r5&@S|-t<&~ToUThz{kq3J z-L(H?X;B6%hzH$%kd1IzTDzhcK~ORy-l9Tvv>Wy%7&ta1tk{PLn2m0JSPPXifxX^R zYkhQmRiP!EUd!hA=U-)u-vFrXHP#JZ*R^1?UfC`1Lsni~eAEpb!tS3g7iXT zw|t(URbp_n-YiJu!#xsQe&vpW5VGj%%M9`?MLm}&=1gIL_RlU| zRO?ma%w(tVvEf0t7q)q(HHc%&U21h2Yq5Zh_uYEZ3JFDw1+8UxT|9>=?yQ!QE9LF) zFl|*m;2gFbPLQ_--bD*o(Hv-vJ4?E176%KUVS{&+l;&p=Ch1N3w3W6m%7QM?78!Jk zQ!=^=n8vZ3n`?q6e#C9hyrai&YB5!C*8+x^S07ULA;sVLg1wpd=g?lcL96-x^V6*p zDQbiHg!l$lFK#Jq1wlt*b`G*2`p~W<{MHpzB0r%Y+iH$^JmBhsbzLk!l_pPp-I3>n zh-Q+BsB3cb535EKp6nmt-*xiQq=+IVzXl%fdk`1jb)#u%l=&LoAPu4%8AG~?)ukhz zpo<)`N6x=u*)V&apfJrE#pMr^Wa)S|-^bWa7^#BE z>{1qzbIQHMxQ9yWk(4yO0_ZL~zc%;TjM)dkwAU+ubQ%G`?aDCzZK&2n-%JZx@$0ANf;}k(A3w^=%v{ovcQl8`5T&9B zjQZkMq1?3`2lGo<%Nak}5{sTGdw9HEwg*cLy~I?JZ{ayjB(u3S&ETU_2uLXR+W`B4 zC%S;xW;@2%gbvh=O#L=#65x?&B5n#TQsgHCJO^oYQ5gp5z0xR8~9+paLOHXsw z6Z)oLnlF%$4eZOCoo^CTHfpCTY6#|^wt)$nqf{UXwbpPXz9qjraXhA@k}03A(EPDV zv%Ls=B4Ag(j-@b!b(Mar8Siv-?GSRNJ`otAhJD#T3>_O>^C6UGhISi{baVU@iXtA( zpz*HUh)*OFkr+G_;b#CHhpmeg6mj;q?fK^C(wCqeG$Vc!_9euA0k%+@p45-imDvHH zS0^siAPwsH{CxND$l;x8<&TcyEz7l>u&wh*qCuSyMz=(+883>)?GuiT`lVk_QIVEz zu*;i_9&vWhIFA`GlF7P;-=ulB)i;Qq#rW5p&7r*hf`w*}`+4`x4E(tn<@W{mgR3KG zN|YuNyf&lQn(I@Sy|>>ZSYqhsKGTyJ*?R)m*(Yh>-$IY;}vJw+p=JBs3N8k*qxPE8gh8&POXNYv5H^%s(*Zq>9 zjk=KD=F6q88cufuxL}4qhuTD{*%pK@2~q02Z0LYPl)a^WJE>mymbU1$T0`E8#3d)q z^Ab<Oue|6T?yHPPu)mo&X!h>v^ z0h#g%J%gwuiAsTT#}}JgG|RA*<4ladFsmVNxD9hO$u-E)PY+%&e{)DEO`4COh#{L( zG~z{c3wXz8?$3KCh8r>rls)TgJp`VJAo^D^a9=%<%=a5fMK4RDll!;ptT!a-xuEhi zMkf+Nko1eB-jPpyCIxjLtboHD!p=l{A!%=J79o8+gG1N_ex%tOh)d}E1cP_{ZPt5g zRbQ-#Ox7W4l0burkalvOQCsIuPu4ikm_8Y_mz-0#ebH0?jH%W{-ZqlV<@P4g8)DWM zf=@=*fM{PSaDDW&<2@C!($bea$!O7P20_4;8k=cJ9^Y<=0opRUylz%KT#xW;^-vi+ z+sYR+C>WkUQ4VDEc90F}aL6^96(i4up6^y=_+y1i$P2g@L(e3%>NY#hxm=PNQadya ztIB`!%|~ZmQTURG)xwbaK?ix|yI3X6nOOSGSpVEyITe9^7!sje2xlrf+o(Rjey+nO zgu=K;-0$cV_3OEn0Wxng3fgWiGj|bWbi0H@=lSQ3(p^%9mw8OmnsV3fLUiy>b%^p{ z^cgAYth08r-tkp82H53Usy2^pZmD?CcPcB!a-xm<`{;cI=H+2uU0;Ez`RsUrDvBFK z9i%)AWhgzPs1y)igh<9+zjhot)b-XQ9iQRCDwK?8be2O!PL&`K^3rNVsB6s|4I#fyNiLtCV3XRYXnSS#hvX zrkFR%S{tO`=WRcp>sav63%wIo(`$bbDU+AVJ)0QosOn05r73J*mA5EeQs1N_-OW#s zh_@w6u_}B@5sE%h}AoJ@0C-v z8sgpH&g)Oqf|5VDfZf>6U88~$A~-egf26PzfY|;V+XX<;qP5b(+i??l}n}~Fiyx?MGF0?LW2g3 z#%Np#)iNVoV(}z zQN5k_p!%@a97HLj2Wf7Pc6LqeA!y_Cs`0?}u1cd4Z&Y%^IN7u*Cc5%x{L}B|spg@+ z)OexxvmZ*-t;(SM1y|44=!)iZBk)kk$6pi;`e4BMGdTsz#K7;d8H7a;8(2$AR~hhN z$9Kde4li84&WRG&`vBFDf^q{kg>U7z8At7pT$Y$!z3HcB@Qk)|7=4Kxo^-<;01n=^+;9I;0_C}SsY;|K4E3%*t-@MS;m4QF+sBs6Lj@fOA z(D_f`E|!#T^k;kMOSu!4 zp%fil&*?-8<(EHz{fuaxIO~bzeAZ4BGO~N*khY9FB$$#Vm76m^`8psu;MpMu7joyM zkz)XYIkMqol(W?v4-+jNvkt@tDsiA5UvM(s&)rxQnS?LRKDrhP#LJ~t_E&M&4GYW> zTt*jI_F&81mnfMBKJb1;&#N&Kx`iy3j%Az(%a6Fu#gLW8ajI8BzryEz$s^ zIFqZ0Oc4}|mO+BqPlFV>%pc-m#_4Y%# zrdh0}S4O923eVTPL4Es4!Yulf&6AKi0eL@9AjZN>^d{w-pD6qNlsa%*{8X}yXX5K_jJZ~qeHuj*@^=bN4#a!!+-?Yrd=B%!5 z0|$GnnKijWY!<4XkW8@))ui9ULMIFwDRWtYhi}sAvXe0qDmP=q^>e?*H^K(}n3<)U z5zNr{?3#|da?7wqq(M;bvEfxoNxD2&cXKCpT21%jJ!SZmmYbBOR@>QVs)%6OJov4K zMt0qaByB0rFX&c-MieSo@6ETVuenTSMp(vQn#BCo_T1(mcHItlbKR`U78E34M}vy# ztb_I#z8D+3wF_t5y^=WqkF^0s+s*~hOAFrxtvJw3|Mb7@_!G(Y2v_$WnX2N*(Ye&E+aAJ;;}cPx_0FAaXy>oJT=^9CL-3Zz!x&$kNN&{0v>k}SX1YJPsDqf_izH0ENj zxnv82)>bOsJ$t-FvwQQ|A58gp?4U$nxvDcG(~w}QI(0oKbh4c`M>Osy_Gxi+B%Vx2r}711;rlU~G!7C=K`Z1ofs^3N2G^>3yX zOH||t`^|N5r!iughqh#d}@uHT}I=7Qms>i6* z>;Nltt0L?Ot4;Jf_ePfeNrxlCoq?KK3B(56WuP+AYwi@=9Tqmxrb-z75_=?;)q?3) z^oRZa1c&biF<#7ZP3DG~zGA`G%L13^Qkj+|UEfm0*BcwZ%vjV^Q;0O*k z*+l_G{R<3nz=wK_w=LAi&Z0R4Cc)!JJ9d+j;8$+Z+lVS!3S6(w(DF4D^Q!wcNFf$XcLDQi%4CxqK# zm&286FmLpl8xaC{x-X9lf(r_+BF*b*Tu6D&Hv-NvrZf*%1M2HpPTF$lq+%s>k z6KpIxMv;4D7>2&7R+R7`3a4DHY;7@X(48Waq>)#^qhu+#`tLg~WV0kl9kd<0N(=;` zLd8{&w32MmQxk+2Ak0|}x&8F_kbObO!l*+MjY_4#=hFMS$-X#4t?&IV&E(#?JT$t? zK;GzAW}%qmLC)ll>ay7f1MV7HmI`QdEWKSAusFIT^5KeYuQ5?BzGwb`$HawScEV*# zOHB`E^@hl}W^Ak_>v$oz`7H6>|6%MNf^-3z22rHWBa8O7Fz@9on1w()Iyv$+_gs+@&p_1cRm zD`bxrW~154PYXrZs(-nv+qgDMzx6GAXPIW+zPzNUgncb)@l`2*+#M2277Z4WV_G+l zDZ0Eex12UZnmVt&vYcP8A&@L6B$JjJJx{}7A}B*cnJ#-*DbTW95VQ}_E;{gx!Ld`OC1?h zcqMeDsidOqdukfW%xn)m@!b*sOXJB>*)Xjp58K0y9;qfTVBJ5Q-P1UYMW!tE< zTuM++fbul^422hWl+&0u1-0jK_O+ZRTk3EmSg(H%!W$qKLc* zi#lxScBq$JJtK&A%%gzeI|QFdP)7KQ+WK>)^P>2uKW}?a#SFjH!d8WxWG2A+zRiM z5^+sO|LwU?+Wp>;mJAC(bIc0XfQ6Xu#sH!K%YNbAs-{2s$QSqm)e<|!rBtbG9WCc z$Z0BBXGc$Qy&)Hf2NCYif4_vJfae8A`7YIEIOFL5{X4R?+guHIxK+Z=2u7qp$d?WmkF&sxoQ8kc=UL{@|bFkJlayojUyl1R?jKrGO7u} zRCdR>Mc!nQ^mN0IP2C|mQr{+ci2%_Q*Dg3Py<)+T6JOe2N&h#QxR!kKlGAm$>ZtFl z;&{zsUCe#CRqbmj*9oddHJ-vU7{^yC@Z~|jFAD`uth|IFTa*r3ineR*g96du-&>VJ6cAAQ zxUa7H;d=ap?_7zf9jV6R=q|+fW3&1*u1m<}{Ia%th@VEdIpAAn1BbSHg~QK3ine)C z71e_zhx%cD(B5+PiJh;7#XLJhnI;(wIcpVbwOgrMN#~GhJIok8tW&0M)B=$>S#G9K z;JW6cCZjU3?_1xX52a9uc>ntk|A{tlu2&r91S6Irrf=WHv$&!B#T~Bq9CH2%Iu5dA z`3wzP$m7a3%_zflOPdhamxy+3P%AN5)#eF+Oe01!F74Jh)V2y07#Eh_hwuJG5qf)r zW$rR^TiQHyb?Q_ilgpj@EbXOHA3jvz%6|(kKgLtr!r#(c^HorHKdwifB8{(HL@)w0-1|x=(Z)Pz@i6J#PS7|LLX(!0(QX zOKRC(_P?213tj)HYW?7yEygUZ&>^aOOUAK7Vw}8yPqg~HREj$to1j*bufMk~EO<>Y zXEorcdqi_O|6`?%$hXbQw#E6eyb={b(gg-AtMCM~qoTF6zO}aHmt_?3cc6j1^XOTM zyA;u*${>w%NE;AMN3b>BN_$V16kn*zxfxQ#L>?pH-3gJkG+nCx1soB_#vZy}vRzU| zP)1b(-^UOD(rRHmXfzc|18bKRI)rT1PgyyygI`oDg3V1>#%oon5fsNm+mX&uKUkY7P;GUj#Uv|VkqKHOIb^If zf@P`}1m-qqC0-g_$|x(%vT3AuT1I9albd*1U%vVfxR1mL0EXmT{t!i-+hSJvdE% z<~y)_GA8VcpL=dJ9%WB8MoHEiFFh%F__EK$;rZCeAj4mDAlu9FtcRVaC&ykK=j--C zTDrOc6SPYr9P+e>y-uAm6V8-5_5x-%LaAretG2~<87XK^yO;O`>}z;LD6U4r{<(3T zav+0t(H)IZK~$qN@?X%MxX|9YZL(1maOZpT5j(al$qDZ zH4cbY!Y`b)+{J3J69~F;>Rd6K@$Q|#MEGrs!;k}c|1>2**YDz&g-6Y$^V<1}gTwFN zZQ`I4`l2qaY%Pp5Lu=hBCQ5;DqQu)Q%B?#fm86-sY?ay?dv&hxJcCe7xFYgzM#|QQ+}W@8`C9VI zA2|^a%P-_jm6G#|BRiU8?2SA1iy(@97U)sQ@mKvV8{ntf6(NN>TKh7>Mi6oVzkV_5RiF zm&q?GL;^yeM+Q!re|DP(?e@v7deeFJnZ@Ft%QkZ;pGDk=LTpdiWZntF3b#YBLFRhs zO!guUEyA}SLr-u!t}B7KF#qDEwt}{YONDLU{UOefh>{zffIXgg`YJV=S?jY;p=wzf zH>(?BCx6;4p0q|%E=y4pxL8Y~HSuPh%IJ=NVKW*OIXFk^x=_DCKA}CtrZ|MT^k{FP6;BykS$)%y#ad?3e=Z*m(SboR{Tz#AuSw zy^7-88FL!f*Qk|e&W?pKZddbi4U6B0V$Tk@FQ)ZXQ&O%VAZ8#=Ndj3`PDYX{LXf^l zg*5q%MOW+_5q6TOh1o`Vrw>)mkb;!lc_g>w+k2jQxCEXaenEGG1;1&TmbyrQW!qWK zPZe`WhK^g^y^;JdH^%&FZ&pNYiqM+$o1+Y(n?ZO=i6^Agio33V(IY~u9^!itzM1+V_n@_vR)tY`3-|>MG zk~lj3y=%Hrzj}Al_mFalgt944yXf)C7>X82S;r9-C?y}Sh{(T=!vuk`=7jne3R$@zLj=OMU?^dT&KYW=kiMGrZJ{VtoUT{w+~DBMZ=*AOBv6gF z;2Qdr1hxK!hBnVM;~dr0?z~&W8||^ncB-sK)Lc_BSOr@KtAWgpQDvddKJI;1@3Pd` z%42Xaw-Q-J;Wbc12Ar`^2Kx2>641-nTUClIWiNgx#_lzqmT&lV{p4B%1shyj2 z=3%h$Vw0tCEWh)C6Kz4g(Th;rh0!W})JVO(w32a|iM z*I*=ahvtnqCv~>BRXrz@mYwBqzo!ll{0*KQ>G3XLPUvsTKF&K0FTRFsGp6JejeO^F zL1^guD8GEL;*N^tIqx9?c46|awSAlfyL?x($jF-RUo}13_wKX%PW%Bb#8$%mpN1sH zHDyVe=wxaLUeUO_BWz8P5Nmd2pT=1!v`W=?lPCpZrG-q|q{m=pMM~%I)2)ZyE_FM+$B8mL~Orj5;wxL+;D;+P1wqh zY1CQ)t2xA7VI;rz9WH@FKeIo*;`nW+Sbyer3FHkA>k~;kRQonc-f{$@azV%1q&^@c zbIXhq*H5UKS;AP6wJriml_adj9-Ifu8nxP@?Ip=bGl^D|TqYo?$ol64A! z2B$keum&?MOr0=tt46TFfHfoO(NN)3D`hbw2Al3cVlStbAN)niNj?I^%D_Z8`=*+^c)nMG@GpOMx+wb^_5V2D*RIdQ*?O@0^!kX+L!Hi!=467C zxAlvLLknbj55~7U_LQwtgPn_5X_M=aSej~r@TY*G_V))LBm|O!f94UTIkMt8rRE)~ zV9H8PGSaJp!OwE2XmmfGP(z1oUVTdqC8{@t0_p+}WBR)M0Y9kEr?TGz5Q<+6sOwC2 zD~<4MoInY0vHz!qDQUwMw~~`m1Um{Y*Pnbsin9NO+4PAQu6d{RB!hm$ekQvd-I8c+ z;FKt4ZLWEvCNqKmyYGH3%5QQc+Y61y()dNET72Y;$_|vsIfQ-BH#c2WVSI}I0xga# zN3US35Ei9rxL{ZG;|7RHzB%8d#5~b41icMrf?2!E>^wb#p#`0v;RHo&s1SWMMO=R0 zgNBu7y|j+DpvS`8qU*z5qcjv^qNDVAl$rW7YB<)V8|PDMyf8b=e&CQPH#Jm7NYi&u z`>jR`{NUBqiGb84SDJvfv447&7rNIO@Ddm8th+DxV1*t&KW3B$X|r1=-w@6z3355m zfufJ$oKuA5_d$jx^??M$U^}kor7xL^{{w zV!DUR+7eWvqpHIch#r0E>za&8c6QExg6h|S6Yswww_?yrXX(}|Ul|$C!q4Hk$|N`Z#}i0uIY#|89v?<@6y)j8q!WNIofIrM77BMkci+eab3~R zICUAGB`$m%1`j3XWCtO+UH&!QKz8u4^YOHjvd_89V-5ka(>=)d2A^Z`ecKQtpU>%Q z0xx?2IX#F!o6HyGuJg1OIIUZbCytm$A}lxkV#>O!dd4EzaA#Z*taiWF%?LE{;_9(z z0|lHyeC#;Ac~Z+E{s~d<{)_#G*IGTCny@!lr zHmWCr#855|4ASAv`XVCv0M$8+|6nMQ{9A85cb) zsfJdqNVAAr3(QkVpOQa^sa8Ook2k}-$uE4}cr+!TncH=IRXLPHE?^O-j{BqY$BiFa zt9T{tjS6^%Yz-RrU;IUy{U0%0)60>r zwEwiJq93Svw|b(e*ToP z3?%M3ZXTm4fw6)2ls7~K zLtc4nurtaqA`Dg3Wm`ek-jeZj+kaIuY0xzIm>8L-A6-|EiGF0m{90lh1IXhCZe!A$ zvkpdW(6=g$l&?Y{AH_7?-7;}u;;2ZI6gAhH9AOH?$sGG4Mc?jl$4@Zl%S2Rto`?r-YQ`yr;y zuCUBlhoMix#odmY>D?~ERm8W>Ud5b7@%8}eJtZIRssKd8gIJ>$IQ`H3IHN4iWBgb~ zvLw}+r3oGkGbf!`Q*-o_m$vfVMR~)Y?F%l_Q&bz4B=1I1Hl?S4vGY31kZz&0>@rX`gdJS!Zd|vFtT&%aWLKK3-R2MzhJv zj(me0SssctOxb68zSmt5ut3O|J-&mfG8ayR`_7@E4wES?7(rkGa;9TSd|?yjrpb(j zyHY4RcJQym{vHO)dh%a?N%*#2M1`C(#^y4M3$XF}R}~kza;Hk`G#T4G(HCNrG)~ z5VjK-{qW)idLd!SfjQV5e>bRM`=3&LqMcVa@KWq#bgXqVw4oy=Ite<_*sB6v05WD?hp3VOL+_q+b z`RhI>;Q0Sj`qBTGz(d3{k{)N|4^JXM+QsqK0<%$#NT}-{(s-EH(RiSjJyp~@!G)j= zKjD<7Uov%<@dn9^^0nZ*g2vCI`S@`N*On-LlGgf>vbd^HbD~jS?VLyU z(#(X;=H2}JoaIpo<7hCmUIji|!=#jCNf)PX(h280bqXF$BY8~Fftun1FF)zFflqcG2?;zi?M%lX@he~M^`WMSU^zvoEz zuJe#cLma@RxAR91?1e*>8WVr!ovVLS8?ox#0_ESp-Q4W^TdQXm0?dQhO?}MQbg^mz&Cd{UQSOpLOGpopWKO8W z;OFULgmrM4(Sw@lPxjwy+`4_OaI8OhWpDdFh0lrAD! z@SZ+|RsQp5egy;pt2mY9i3gqfo7wqcfH@1{vg@6jCCJJt8zpvVk!ksHO zTQbT<8jMk(lEESKrgb* zQ@u~H%tV()V_=C^S94ZxklkDZ?u>>;iMX+iZK>a`oaw^=(? z?H{Z0l0!iGc#0$u83W+zrL5D$@Q3p!40Gzdg?m9y_4AXxUQR4b?~8m&b4(<8YWt!3 zKtuiuMbiM{>5Xyu3ttO>a%ZpfJ!{}@KjeLY51onIMlsZw50T~IP!U;f3}>4jea~F* zN*~v$hZbp_YI%^F{m2Kti{`NI2Glz`GZhT>Cx?nj3b4ei?=Gn9w1_hhNKJ3S>m~N| zR46^QHFbm^htr$%-O_vO?8H?E*Z?okTAcwwwW$D5v61FNbC=x_Dp^J+1(82q%Jx2mQl zwE4RKtGls@rl+ngod1QWf6coNzQ-Xx)l&tqn?F!qwY1`Ee2T-2ycWct5XEQ?q56^9 zyK|q1UjehL4a)1KmVIV8GfMQbm&zDbwfy4}^(xp3Mo6_Z<_k)Umfx>X zfq2qQ(Bz}(0ydC&ZWKDA3J1=R=o+%$mQ@!Za1-pT0c}wN9L%Bsy_2h%GSMu= zL&KW_$BL}o=VvPU-=7YpQqzQF!lpF7bn5a?44sa(u1YefOf2_0NOf@-Xvg>W)7n4q zqEEranfqgi4wr)U4ETHW=SFZ}dQ?5V-dBo9l!cPFH7fqn=2zk#6!K1es{7}(uhCo; zwJ{<*o~d`Hd(qQO7)XYcuI%*0B18RWz`;7Z62-dqA6aHj(Lf6MpeoQS5S(E*HFcOY|Qw=VBQP{wA3Z zOrr&LUzzZJFY`k$32ay?h;eT2@9rYb-a1i;CkF+^(?<)PY-X? zT{&6S(c%ern?~!`zGs{p#J!UIeg3qMH)fGF-CVVq_*IWUR6%vb@%r^ICt7L(D5$!H zaSH!7eK~{gBUMbNtY_KXQCTZm1E-)M+&b|qmhg%NcvM>z3Ms{o7~lJ^zizo8@f_BB zh{ZvnBHq7-7Ql}@|I0Pl)V-`3{l+@(M#^JxIn;dg2+WHSZ+=eIiqk1)$;itIH(4+H zxnW&y>Jo)}Mkpp(nrM0t5ga+Smx*88ZN<@Orz`kvsP!R~U+aQr?w>YpTgI|iOsRA@ z-kQEB{-Nwg zdI3bQADM{cFNfr#AG+{TBDpuTOn&?tFV?~u&)v1v0?@!d9U;uJi*yIU#2u3)7=TYie*GQ_nVUo=0q$5x`s8iv*d0e z5N>;l>Vtq2#oJy1+Nyuxh+vLEXYSzaYy1+lUz#_asI5cZH7|HmvZJbz&nCPSFa%7jbH=)sU_qz3Kf>GO zU)65fA$zT>cRYHOV)MxW6i0I8L5@0DI|4F>*w-U8gDS*ya6p#-%JGoCn?MCWYPQnk^PNOR+ zv$f4mqQ>bz2~#CnQ^i-A*yrm~_hN-%i!`a(y0}hiof^$WvfcOlU5W4Ac9_guu12X( zzok~gZ&QRQdBBA9Iw=oe4;tUP(MFzb`fl^VoZl!#roCT~kSh~h7Y+M1!>um6>b`zi zIGxvb=66>7!&}g4F~(pH)BkKTe%g(k9ZY@8ltlo23??7NkQ^Otfg^SyCEXQhD_8|| zQ9QgRB-`YSe!-ZwEyOYU^=(M%0ZKzxc=A;ysSq;2xPRvuDS#b10?&KL?p^zcQBqiU z9^f6!4KH%#on%lVM*w&jo^Cmp?N$~~4XMmL-%~0q#81J|KjSmQ$QgY_v4Ipi_YNk= zr|>~KNy#vX;9DUVebW&MImvm7)3LixJdliwtTY#E%DUjf^(q&XZDs|!!qq`73L6Mgr30&MjqztC|e+Q7`aPXI@3lUe-?8O+1fy5#Bf2iuxHIYhW5H6^YZ)%iW%&d5vnu$(*C;D6fAsCTtgaX=*nH3D?<@9x->dQH|XDViwF;Cb| z@+56TNcWOAN`QO*YxX35h741Q>~``*`MIHrT3`MEY5&%+^rD!#{ZRe;KffQjcU2tn zS74BFXe=!J1hz4_d19G+DY=mv6nIGh`HU^r%J!wI8=lwSk2vN}q!|b-sf!6wR@pR1 z#MJhFkD%Z3pJ80}OyD4ypHMB1mX(qF|Z6Dbmx4QlI?6$PQ7EZVAi z62_Io`qc0+p0BlT;uU%^K`AKwQuFh?g-i z%rF&pYQG!}C=d=U5S|!&F4DHlv(NW>RQ>JI&cuR>)Q&<`ZY%4fkhalJckqVbc^TAy zh@v!Xx>@y|PjG4+78{4AO>W`u&yzD>T?8mTQtm+ZwqD zqM|!hCV$kYsH3XGZ}6q{qH{`Yn!~SNFkuTMhLa@?emtaU0vh9t`DcE4LMu>6|s%Y zf_{~}M2n=tyJBr!09%QmpMLOm{T8Jcq&N+bWchybex*Q4dMiJnMYDX~7ZCzn1`E)M zq`E#4Y?*Y2i#P^U;$5}E<*ex@*mi&D=Hx@pW96Iwh|AnK6!Ip;mN2>aXvs)3QJtlY zzphN_z+5s}8XT^;9u0oAA7Yj4W%y?fv=n>tb9&jLbMlXJPy=&^_6BG+$!x**a!gK# z#(}?5KNe$a8`Ch)@^N91Jx9mBLDeT|{4CCiwv!Nl0wvuL`Xdbs1nrn@4XSvgx=guW zK8d?@TR=dcGH7uqmgC?&=}9o4NAB9*Y)-)(qQ7V0r>4M+wTlGc_opPI7Wz53F%C#d zMq!zNZlhv-?ddXFuqyBI1ff)N!PsWBsIuBUalnW!7u}#~C~8iG=C09Hy5cUApt|^C z%&I%~;LzHrg{jaiSgcNrtI!jNI;i0gJmOFwfotiwk$dCoB}_Z9(IM~j?qVlNxOl^X zc%HdiNc?P5Y*1)F1>6Jrf)+>&zPxr~5)hn~L)ggJ#e2=S*{`Z)D;e7a}%5hS5YYy_;`YrMcsc)~-f*elwv}37Qe*Y>o4L`wM%2F~j>w=$SdeEQx>@Zs zWa%XMIab&+4`IF?Cnp!9x28oT`UI?g!>)|4ZO%otMOxV4*GIRy4sGY(oLwX$BPGGu z7C`Cmm(&1~WT2@wepK4q`ockp5_X4}RA&D5d0{*300bD`uc5qck%30ByicKP z_VFItM|?97IxlSv=2=cfiYzFHj8p{0XjwhqRthp`tz!g21-(GkrGB2B1dpHP^Dl3! z;6EC7pUl3@j=grAXiLK)?g|A|Q2zE&+kkl?cwz&Fi2STL`npAl;&bSjvzx00J0mdKwBe;HWP0=kgVmBPhfZ8uZ_yokX~65CQ((PB0h zojt0j>`V7Fbl&QqE-0zc{Nf6zHzi?l5xF?RJ0U(^^Pt*e&gsz(`u^TR#PpHI_kS(uwO|AlXL@w0{T@UD;l(_my} z`9BQC|FVc8CQe3<7WU3|j{n0X${E=FCnGK^EhZ&RDXKf7gUw6XH ziHP-oP73`$C?h>9#~&hkW==LDrvJ)aOiU~+Ixql1C!_zWjO>ieFaTi#dkGT@GxPr) z{U<5D*m;g)xrWXHMt|kC8fH}YdU=6SV*aGYTcD5z}dw?Ur z3E*VnYGMm;1~|Lf0bBsC)c^AfF^m6HW@Y~W=#i|f|5vbsllA`vb}(}?ar|Gw4pwGH zw*S9iN2iIhw$>_JGz8+UYI~QLy&Jr3i15KJfi~y>G!9;17Z_!GS6A0l!j}AN&(6{J zXAF)?*=Zv0nTFSm%9;4zc&Z9!sI0)~02Cr_GYAYZQ(gb;ZkX}a@z{_QK`>(@827dY z#vvtza4wLTY1l9z;CQf2VEnzFQXnHlf1ddb ziJ2jSagh8!AFxyb+1c39G1PpAnVy0o1Gvw zu{SchA-QllyEuU1ej9uG0!o#CQJ}!WJ2|+14&r~?rXKiNe^VJiJo{L5Z~=s*ZNEDW zuc!8pU*a}DpRKY2u5zhzvvR+h$WV+;&A>l@@BW$1(cSzsPQcPiNQYNdGI4cr|DxZU zK^rh}>H@K|Q2K|2;op}rjoiqH3bwZ13FYknD$7hi_OQ+pi6fT&UKm?($7kiN{c6t8 z-N?-RplvRX&l7M3ivk_!Oo9e<;DJr-P36UDM_QAz4rR@_f0V`G;bcn1Hs`FD@_ZgBcPyD1u9puN&fUy$Br&F_%jX-1#y#vYWv zVHl}yj`My*pI&t1w}iuVcZS!$u6=5Y1n1_^A7P%UZuGIg{&$+$Kfrq|*}t_T+-*&` z=Y+Sf%$@&vj@IoP_Q%bQ`a7f8PZs{PZ|mmQ*YIbsayFL8D!;Vk;&bkbU+6d{8=rp1 zB^^6s&uaSX?D@{l^H)^h;kEfgyfqwZd?za4yT=Dhy~6{D4o_SA4rQa=`-gVzSa0d4 z&f&?I;V0&IS_cT}9Dy}JFC9GN9$c$rIqc^ieGV58P`Yox!!U%hoEQM@GKM_0 zK?V+U0?GPOrgR!~T@ zmiG#%&Rq@tx?UjSR*!qNW-&`u2+W9Hs)u` zq2RTY6L|IH&&c6N-k0C6F3bnZ3!zM*=$QwJ+hHH2YnSpMy`gzCA~b3umM8D77DPD~ zgAji(-Y)FBOOJrNBh^-l23$616bV-tban?MZSz5;9;jz>N+9qo88^sd?06HrG!MPt z^+*c8p)A?A$Edul@lBf9Yzkd8sBxWx@+Z^q#@_*JF)d?%j2qH=?f8WjK^RSo=ei6l zXiE$sxSV~&e$5u{l7{@!x8UmgpUqqHxIhy!wwswmPc|>KGz|DI{@!s+<3s~n3+>3< zYmKdRe>HKqhL&r=DrGJzei4@!Sp&UiRxVZ5(YU!D$A-htPBUg+Tkm3sKlC0gf2N3L z1%vNzfUz54_cYsz+JHJ!?07ik_SVylKAJ~Dxn(-iPFt}=X&{y_iV{QNT458!&J!T( zE%ub~VM0zae@ve{u_EEpMKn1CIgJ)U{j?UiMA&iSMYI*LAAJx@$JW)D)4fz8tiS!N zmag{G^k+h1K!mBg%G%Z54GD1tr8WqRh3(-=sXFv8?@TK1m~JjA zyB;BS{q(qDHWnQr7@+7Y`Rm_dHCCL=34-*B@cP< zNKjPdHYBZdaLE^pM%bXM+|mKEA$vz|Uh8V~{=GZT`cV_5eeX!|(vvA#dI=-gvCHW}OBo+Gj}2Q8-}dmqNg1jxp=+ z*}@gMQP$1{)&!V}og3 z|4ZW@)neJ#V>x~+PRAKM9FVSE5UoAtdW&)*z7fQ-SZ*U3j8s%4o+$Hba~;z*h4huP z<7@nB^qGl!WGyHgCmz0NZTx8A-lJQ z`i18fYvIUvRnE~q5^tWn!4CuSJgT_hcdVbRhc+yxKBfBu(=YiPuZP9sw>A zx6Qo0%xZsIe>!2M6?EF2RZPx5Kb~cGl|9L(mZ;>zwC#K3*>{mS{SX$o6f-!CBq%0t;PHI_;%7r+oB(cvoF2>`W4doO1`f^)8NF*6~PrLnkc3dgT zq_Bg|xQ~xbbLJFQrwrs@3!U-EuhqoNcXK<*S7ZyLLfcPWl!H@pk`bhdlPWX0QaiLR zZc*;KkDyzy4tjRXgIe6l372-f8G_QaUDXHNoDShYVTv*TBtgmW<0e`>X_YT`0-LdW zolq?lqQIQHy$=426uk0g2F^}{{L!LDPAqeR76Nh|3*;PBM0>|9s-b$VP-QlpzIf{` zs9ftzJsZOyLw1E`48m{gI5#L0MP%7T$ zo+#f+4?3F`>&^G+a8oeO(VL}A_=DVDd#m0$HQXEcOCr&`%=V>%M|Ucn-GlICc!4Qv zN^hME*Fflotvrbv=!OB7j>ZobCHnD4IShFYgUg8Qx_l#A==iIA!J+5QE)lTYB0bGq zZnY!!{ZQj*OL$5Zc5(Jl;-~FZ)YG9j^(08z<{J)VHJ|OH{-av}fg9|3IIA!CghIaT zr&_w?B~4L4xR0V`eaKKU)yY3;M;ch&8JGu&Bcxjv`ZvBUGp6atkwq3aLUS6i~n7keQPz2c_fus zuhv=C$uV81e(}c>IG{K@HABEaf{w$!$lVs&WfDuQ%kplc9c+}xRcVXcUYPzDTIt*P zG44JDKb7{El9S&e+IU>mcnfFQ^WaHFFJ{tsGEJFK7{`dMJ;?3oVnpOJyR=ghnbk1w zGz#avi2e3R_xa~M4MZoniR+Xv`h=p^4#h#A?Td*kVlQr#D#8L20-IK~10mOB$!iLM z>vX}eU0M6WX`KA3i*0|Ux##ib!7+3wp+HC6x=^H4?^A*(((?Wj8mDHOg4)Ud2lrN) zlg+aVgu^IIoQ)+MBArEbZ>obFFIA^t`?)YLzj6tK6vu|!0^#vh{h$}qgwwSqEVawE z1gz@qBfQkjDg0rd#WdTc%z!%=4nD5YW8zorBq{!xE_dVMD#V}Xe*q~#*1xsuGuCb& zkY2lwHmZD8M51L(m7*k#o4emBcgrhf9nJ6yhgAhSYi5p$UkgsS*OK)4Dpy#Ek{*vG ze9n5@C!Nl9Yty~HvY~C9{@R1reO60;CqU4k91Cy(<46Y*O6iI@Eoh4OVbH|+p-D*U z;I%6oeR%zT@r<5zsq{>19pG_?TV~Dd?&{Xonjy8GTvBnrpZ zw@4P&e(CIOsu)7feD=q_JwGcXWcwx#eN5?9Z%PeZKV4%9rZ`IEXeBLfwa+1FlBh z`;F;)e>vZM*4N#JH6QkQX+S62QQe(`5bYgrU!$8nP^`cq$$a%kO3-MwtiJ{wN#A(u zEw=sC8|Oq?on<*JaMLCmOsX0MdC9BbM%zXofN&5B6Q>0IYTNX&}Sf>tcA`J${-y zYFn=RE$lPu+{5yXdFb@J7HqPu%fW*6npx$wdf7WvHTN0aP$+SHbZ}!O|ft zu{|mBpYY6dP!uUPXagVR)5482w|!N%%+jrV3hzMIwdB2Y;BppS2@3gbcFf91bCIK} zz>QI<-^Q2;8$zFcP+2|d;$O%#{eayLf^3XqAgr)5m;VOG6SBO-g{`8)H6_qLy}V1; ziveF3*QGIuTy8fpImeK#nM<%tHS!h=lkh?B4ELe!(cXYHqFnZ={uffLyyLM+l?bBp ztQaBTb+v6bjlqY~y|R<9U|E57$bv5;D8hx$6Pt)-hRu#K+nE#y*NQ#f?eFedOwmIFllfK*~6_@j+ z7_-L!P16>NlHIhBHNOo|w8^G~N(#~gZtsT@ct2_-UL-M`K^+9F)rF;Jzm(A&`S5BU z#b=;GQc-t=G0=U))K191j|gBfj;b4*q;q4lj~d?7IwQ%Rqu)*h*YI6N+xF|^W8?LM z+n-ePvyH|Y5>ZKw-p{0c+4Eeb2Cf9iJETUiZZo*r_Vaa@)<#k5k|RlbQ+3ihpU+D5v=>A$P6 z=oU{ix_Is?U+fEL#Onk4`IVZ}gr_#^(P4{@?)47t<#|bFAh&Im%4>vHTYShT;$pS? zBDrWPh-)xm1~@IC9?2MG4nRtQMpZ59r2HCj(O|gN>{gZ7dTK^29}z}o6Cjqp#?0g8 z&F#IZ*$6wE!5-)>kugz!5-mJQR1^pi2mtlFzKUCIhIHH#O(er_|Kt6VELgb^6K|<%yx^q@aU0-|orySWI zj>oqBgWKgtzMr5BP_AMSgFf+d3F@wVHvXKp)r`V?)t3`TWvo#a7kd!K0Dryn z#&|SsGE;q)$6xu-3O{`H38{LZ=cP}ZBz7Ixs8XhBimQ@5;FdUZ7kkOLN)F92yR|5C zGUtI-xat0@4}a^U+>Y*Pmb?yAU&5Bryo!s&`R8mnw=si(>Li>PGWwPMc0i+|@y}-Q z>b$w>#Ngv+U${@;5V*U-8S`su9U;LnuS@fKA;-#F=A6RU`P$I$7G z+wK&f`@R(L=^2d18(oCMcz1r~4dSt)K=|G`wnuZNZQDN)nxz1hge*Rh0vFwkj6R9m zkSC@NPsmobbYo&7vHraf9!ZYZ$D2_F%-)TjKVEsjxu8vb6#|hs@#A)OcgQh*{YLsh z^#R2EIgxgIRIO*ey~Go1Fpf=Mvz0K4_I11A`l~L{CrGM;F%mzobR11So3`W#|3?f` z;N_c9pM;1?8TLFTVPtp$PxLaW;Zi zBTF)PH5<+-7?y#DlCu#PV+*4Js|C7J5_6LTR#vC5s5ZTc}BlXiR^?1~{gSg`vf_S#hD)8C@|$e#*lfa_x5kc{=-v zY|Mz$xOw!QDWrA~YK1TMXzaiY{RUIh=TbIa)9|*xT;AOI-g}F8Gnb#^Z)(O_dRJu< z{=N6XXA~NM2pjJLrh{P|_aHcSTz^{45+K2t?wBe3?ECQO>Lef`RClWt>q?f7Uk~N{ zaXw&A;m5|fPkP~_kGI-TINqY7OQx@f-VmZ%N>m^r+qx!yxNe>K7j2Z2xZLdeAPX}l zFWs?z>|5FkB^~xd;a7#2EfZx3fHXQ?k40_^84DLX*vsN^D6a$8dfRExg<2#`R>c<1 z*(kosN1BD|GNtBK4^>(1vSRMZaCu!JyH^-rY{I#q{Axg?K)>2A$njq|-p!5yrZ#fq zGzr$PgG_IToh;nWEIE#IBMd@M-WD5n+tiijrXOLgA+G5dZ&4JXxMs9v^Pe1!78gj{ zD_ADTQgB^uW|b-#(y>(=9?uJ6!q4USfM(E1>wP~F;vss!?r?g^-D==D`QOekZIc>k*bZCs|Lo>|ouQ+(Lzx^ZoVg@B`IX_~N*>KfNOh&WoV1^o{PqEOzzX0He2Jl;dImD{KH5d-+I7HuYhCAA|($I=v zMU)coL)DT48)%xFZAMtD<~DmdL&QJeGcJ=hOt>?lQq`3Pc-PR+O*u?r+Q~s7`904B zq5-}zq%1Oh)TwTpDV;`2jRcRKE6g?2$fvoH<)VS8_Cfq44DBNHEI(8!>t?9u2}6P2 zAM1cLSfGd#?~>W7p5}AuZdDZ1sp4t~OO3K3kEX@RRyeAfs`ta{xRO`QAje<(z;N6q z%nWb$g$eG1QiazGzqM2InCr&QB#r~;#(qR1_v}P@o%#`Jn~7YxVT&D4*N=HOrbR}{lI5S&R`5E@EOEbv`mb%BBZ(Uw20h(hF@LiSVzW?gy^Q%d z5SDR&RD|+Z+Ir&iU9RCsp=~G`QtC**iMsSw zL{n7_ypoP2g1*!Ht)}u&gb#^e^vj5Ov)tn7-;&^%1q;=B31Uo2iY}aE8 zO{L)ucq8+T=h%!fxs$ONm*ehDoj10Aof|f1LTCJ-y(i)jm_i{OnuKHmJ!rStw6!-_ zNIFrn6{XVR1t5fGrYH=+nSUIpp_BGguATH-@_?3nBO5U69$PN0+ZBlz=d8hr;p5d7 z^oc<2Wcp0a3#m8zh6qLnG*+E-T=zVzz8oLy7%)U0^gVKO;c<)w6|8AgqA71zz?S=B zkzJc-T-JjPZSDjH>T_kw_^zfbeJURwwKWIW_+W$`H!KpOsDZ%X9zs}JFk9{m?Ig`v z&JtE=7L#&{+w)WQ&9!LJz4VJ$#s?vybts6gXj#*Yg{6LhAUf?{xb+*SGmf+4zsry7 zZsQ~9h7lR4_iKFsta3D~7C=OGKh7?qUIYLz86eo&NA$7we3*Sz5~0R_ERyjdp(O+< zw9oDV9``hflSQ6C@NsBnI2}d$D@FSGw`Mb2ixss^8JPGO+1Nuu$inWBW~^Teu$8G4 zM)v7Ri`3QO_^F#lA7Q#vw1gH_Z>}uYw4(VF$i)0cK=H1}^%+%JlSv<~UG=$o3VF)a zCPcA+E%sp0C=5^!bt@`=8-g6U3o)gR;Ko@iVuZylZ}?Vrhid4#NWrl8`^HUa6_izYGg~M||mK#6# zReVY(OcvTgQTFZ&0f*ZUchrc^5;h~~_<&#en)W#Rm@wd|VD5=K4zTLzGX9Tmxs&%_;^NHY(puj+a*E3N?0yU8;1N0!Ax^t((zOo79t5za z51T58QrDgpqR)A=-fA3yW5z$z9$8V^A`iVEpKX##R1Ar`$PXk`g8u?vQimv&lK%l6 z8m)PQ7yXAO?-3=yO&BGp`*iMfaXyz-+<9o?1v<}N%;Ot%?V?+7;3CK_Z=Ds(UW6Ku&1_Zpeg4#>V})a5s8nyw%uqTMkE!Jn!$w33`(2|z+jnW zl7oI!ZAqQo#KvcJbV|4ZG23sF0%N;csaPG(BMoub)r4k<<+LLJ&*v60j_Bba4?3|o z)nkC(PH%dg@KosWD`CNMXr08Tp=_4}BFv6YRNSNu1h{WkLF`v?a>-AEcQNLd`OONn zS`-Ok$?UZFpMm_FmR7&{tPCDuF`Yfn`&c ziY)%l9`TJYOTBCQoQz?qt}1mAcD9N|O&>GH7>!-{tRY%=sM2MieFhRRdM$G0j7xRs z?{JaAWs@v*gU09ISN%jI&N1DEERj1Rh42jVEPh!{jz}ZSc4zE)*2xVB8dG0*^ZLTy zL+l}4URm@)I`E+CvzLMgMsIKtQp6NVGAm^>jpu(1O%$eg2fs!8Q2J&hgz06=A(af{ zn90lM&4enEzb=o|rIUZ&HNix6v#QUPzJQi|xqr^Xev0K+}&wK}1fr+qXRUvXaD%`68^e31ecZHg#0 zwSu$cVhF&iBe}Pub^49|=;1leNics4W7h-^Jsgk^-V}kM529bA?*8yvwQ!yaeDfln z00AaOH)b%^Trd*PFR!0$vER!yKNvYu_vdCDz#jOG4pv^nRs2+*sXGPGpd*4H_`Z?Y6WwnQ)3YK5Aad+Cb{Ag}I`TPXKoDNhzs4E4#aqnlTc ze_c&RNl&b?JIu2uSI4WRbrwX{R0aC92+VO#)chVZdb{m=c$vezo6fPwy!B6Ard9ts zJU&oQvb@%k$|}ZKpoqTFs=iG!)I+*_m<};2Xa7CJe2?WC0q-zWZP9MCWEnxk*oRydKm1T^NWnc};j35;MW-e9?dmFPu{7e zQeEYpx6T`?-nz8Uuexl8IfJ(rqYW~p?jF8P+_+LT8wZOuqn@`u#zh$LP0JE_>nI5~ z-UJ&3k}?UuhC>$uqM6dH-FM53RJ3+Y1}D{@j&r=Wi4PQWHLSmGl7z~Lf0tQ2Hn}Cp zo(M=UBoLD3Jg->ahJ$79zzhG1JSqo8@TH9sVpD^>!m{Fu+_Z|c?CFcT7>`j}N;QO; zvE@%?>hKN3!F*Nl%n*3`qoaw zOKhJssYy_*i0TufRW{oUmfCkzT~3d<+hbJ=y&ERcB+hXa{;9nay&-6~*oDuA{YWT7 zmOF7xfueyR*GI$=cYX85LO3V{O;YRoNV6H#{vF*Vi*15KuuqfH_F50FIcroQr@UU{ z^0XAGW48=X{5feGnfWStOQkX9ogWx19%4K((HT}ket8^MrH2elka{~0O03?Fz0@f* z#?)gx_g>$2HO`2cfov!tm)UpWPtb;Pr8623-^rv!mkEq~?=-}a!;tc;+|D^(^iNcd zE-FqnspLhN(!bL(FtK++44RGnz{BR8{;X6%cndror7K!QPXB;I^j81KNnL%ERifNz zbUG-dB^S$&q~H-5&z+wbKl`A9->~?Z29GR*pyH!K`#OJ zQxpUNA!p8l>#s_@f+$g~bET+I6Krx7U@YN!jpGfp5PL6jf^Q-V>$*~$`wVv6gQu*9 zhc5*9z8Mu+`zW>j@uz1;l98T!ctWBFhWB-}vf+WzDa+5~uoi$sZ-u*}QrESYIPb_P zrIV|`}|vQ zrF@lg(zl?tP~zV(8_Wz=yj@VEG{WD{;;pS1E9;fVx8sU38l_~mYxRl-uT<9V`Q-hE ztvz}b6tP_!0*6H#^G^_#D1Zc6hCd6&BR^SOY^b1lE?@2avd}7X452r<`g>tZB!K9iQ{4k_eJTfKT=6^AhatC>M4jc#S?Sj zcm=9Gxr2**+tKM$gGwdpcaP=|_arKM^5zXN5hZW8uIjr-H*bqy_mLhT72~*%jqgCWcXH^de1-utsHdIkspvub zVHd=ZFl@$w#Hw_wSI7>51+pZ_o>SIyy^j>^$N!Y)Ijlb!n|ttFJsOlm$yHO>W^+$` zHntU<WWdHyi94{YD@N6j?^oTa(!3aCAYRKOgde3aoIj#; zk4~tGd^xCwxayh=S%HpQd79Hi*EbPD9M1+r@aA^g&?0#Sm*@U6urFO~k{PH1-^<4M z;H+mNO}ann1@+D4w4tBJy(?KBG3pu|QYLS#>1pM|u`(l(z)&(W!b2(J;7vnIvU>t` zd|WPuopKP?il)84nn`c&FNgY{hcNlNA8k;X2xbcGqktpwwJ_0!DQlYNRSjl%-?m!t zCpds#@$tC~Pc=8wX9ISp63TI6hxBJgGV!7wAUq9%E9^G-!h>QOqGh1C>ymJtF6R`? zE{J(?EKFRhxGP-}Zw)SMXiw@isSg~?mEkAf2Mzu;*!3@>yA89vfIaOjCtN_mh;U=W z6rXxfwzC@fBwd~&elBspNPft-@H|-|2-|x}EF>!gFkHQdKIIZFE@mR$u4M4g^(EKZ zS<{~xb%rn<-kpIGw5vy1ue=R=Uqm5LGpcW0>Q-ErVbhfiM>VI&vx%I0Fdqf4#Ahc?&YK#WY~wzaBh@-#9Pp^x zW0G*bHX^&wB(M_`xb^JO>iEUcHOutU6Kc0{#x{6;S*!{{Zx9d{{|Hq20U)qey~4?g zP=fQm3zc!g)exV7LFKv+?8I$sRL%J24mdL~4Qg9^!RqCz|Rwsri-mMZhL2gi(=z#A;*J|B@(*WAKgF}kG3;H;wEr}ECWWbFp?W&RuL%w5Z@pl$F)K!@*VZrYSEqzy<)T4p=SVD(< zd&(=^>LJpw@yO!zev=MeP0d3pxbj17wbW93O1qf-l9H-{#oh8G3~+ zN0=|Fx2aI*lMiHXuWeZwJz6NvOk`BQkcKowT@Qa++=njJUadzyg}bL*KKF65UDo)P zsh>RqJ!Om8!a)cnLjSo%zm>oHm zRj2D5G~9Tkio?ZYS6AY-9s~PYAI3DL_WNO?sPOXuX~PeGs;lY1(Xsk7xn>Y{Y_WQZbr~1Fz?4|)FWVBFSLGT6q>hM)?$<~XZ!QPm?Xl1 zH(tbeW8Wb>U4O0kjn;v2c-wRps^H4tniGa+`4NY3hG!V2@nF{jOgf4wmE9wrdW{ZJ zN~O=~xEDBgk7-1RkvjP-g3G=}X_BZjNKmB6mS~g2BOl*?3}0YzXCY(FHFCh&A~_jV zV~Kufdtr^#!!_NT#}A4(s|9mfe6Yx~h!_+|kQLy+0b`2qp)gpWhmKHu5w$IKlvL&~ z>l!w~WYgMKlvK;-jeFv>WrUd3Q(N67LqWEakcJ1p0TQj+fGDYw7PCfVf-slfp!|-U z`C~{Ukb-*st@LOua^_a~?;tO^x$jGSQHn-QcJR7;O&XI;#wmo8R>3|5#M5D}81*ok zV~=JTmmW`qpaVkSY&-^GsaR_7ioQcN#U)|1vTXUTe$#qV7z%o;Dx#^uHK5_jkPYue zIw$^&ZE^wHnAVSP<#?%NKBP+JrC89ox)GmwcCQFL9i}_|lK5+QE4w|Y%`t|H{2M}? zhUucc8oY1YkQpn}=m)R>FLJ1?U4s#-f6dfyLGy7O-B>ZYl9#INHK&cD?<>+9L&3 za37!zfo&oQdXf!elfhWq?XShQwIx`GNu*W`<1I6vKB#{8_jF>L9AF=VB~9rFt5;8_ z#3wmDZK2vKh=*0^w1aXs_7}S@w1-51Rh!)*#`mF$Q+{mxWCbr6xv4N6!Wm#WqXZ4u ztVm>_UlVI*ZTX?(>R*=UuJjWLzjXyI;#bh;FI*JFs#{gsViPm;F9;jzZUgkc;GBLv zIwLx4+z!%ML`2Gr+aS?~wlzx9(+;IICF6y$4#TkBpd3H0m1C9+Xf?5>6f+8S)SB$u z8`$+?ou}9v7fw~iQ&qGI@_bOr=n9^#H|gUq+Ch?QeLkpQiOwk;*A8$k4vs#}XI$7B`B zloufmgw31uXp?oq+m(+DZXv?dB1b`ioZAI|8B4}-hG~c6xT{sy5HeY6VN!xM`)h!4 zyVA4VJ*8HeJ&)+Exe6bUFqIm!6tiu+$z4#-`AQG#E_Aa+$&`hIv z(I*djNpN0=Mhq|`9* zIAhy>Je!l0sOm*loQWtI=@ZyZ)9t>*CYt|*p=>?oPIQ{2t^M4eptqDFT}75s;;*EiatIe*iYseL9Gj%OU>Zqk+yMR7kWK|XO;T(h z(@&*I*jnLUN~qTtI~Zn!iCWtEiKsOr*)f3_l2jLNR|Mn-(F!ec zUllN!?Ptm$n~k7pt~0;$=bw(|v4{m3j!zTfWVrzxNOpIXYRhp=Z`Xb!Bxw{HSlI`$ z4?oA>{{iaG(NIAlv6tXc=Xb+G?I*>=}u3_-Pp<*G;t6TV_-j#1)F$;X}e8vq%oO z>@@4Q^_aNSzTKn7ROjdDZE{2}X$KBHo`cWY=yOx4qcP?TAJ> zzAeYiB~+mpro}G>RxYJIEHo70D#f(ZY66jyIybR-8LM64wj zW$lt6Y*d{HgJh(=E|;r~lEOJO(HrLGk2mNTIxACHYS5{Fi*`s^u2lu?)~L-j=%KD| zI#%z1)2J$e;YLKi4uer$Y@M3j#cL>a%4ZvDBvU?{ z!$xA-v|q^pAs0(aCI|CbD(*{nmXaUO4g?-+lFg@{qwnxN>;+dA_0S= zNP1G(Kjkuo(_GaB7zy_n5-TSLHchnkMIwF*lJBDnZ{@9EsB-ILcE7RXccB$o^JhgU zu%;-p$ z#KmAw;mlh-8FHn0dJ6?4gEKhHejQ(UqXVm%W8)ev2C<0bA;EJ)9Z~eDhu|X5c`_`G zz{ZFa1-A?>u0%OZD=Z1n%iz;H)1%!>u^;V21h50-G7zHW*)d5O+;iY(X%G2d%y_Wu5tG*;IKGuf z-fY1eM%zw~EVor4c7%jPrZEHVY|Ww(os083@+S*J8fjk3nl4xiN%D|7I zm0%Sm;ofl3R&K|Zo01Jv!gE~{B9G|o48iYZhj7eCbM={=GM%Oqty-VMvB>W9LJCEY z(-{*Xn+4dl1jgmO!77k3!>UA!j>jdU@tUTxr294;pBDu_k>laHx9u=AGlb!mE;HLI z%?UKBAPEYzm(BcErn6+eCDHJ}fV?i5$Xm-XBLO2&uB0!@OWO$npqD0FnNzx7 z9+pJC{Y2IqAzS-oZH*?L#?9(-ZT%5D=_^`eqVo?7@qkGD;Y%=`4i~rdM}N{wPB73Y z;WO`w4V=Qwa9EyOc?WLFIxB+Rl`;6CY_w}7G4BOtp$rQL55Ve+kvn+ zIx>@WpnTtnBS%x0$Wc2zT99kg0MC5aTPsfG#PMz)xtigeE+))@1}7Wws`N767`;s_ z8YR8i5{)*cmZwBhOU{%qg_~TNGs-XXncm7ID%DW=W?#*rSm#y17To8@@1 z%-|s3IIjCuC@jHxK--3Y)=T49Fu}2y+u+kQU*Ih-poi~JvZ9o|qrh=an@wLBCJA&D zOV>?4u{RX{-CzJeeq|IfZ>LTf&UVI?($s9rSG!Bu7cVlUe9pxs2KcxEX+%8* zi~L%XAr!PCTQq2K5CfFPAlJ>4&X5d&kIV~4fRkgaLO-hAfXf%`hvK|u(Bz5gkD5tW zMzmsm2tJ%EW|Z24y;Q@rpOZ}pk?7iD@OG2odiScIOQT}FsB}E{A{B;&nxvP1i|B@a z^#7!oZjase=&i34;-m}##WIq!hjY!=Iy$z1rYF9{{WT0j@0*V*94-Dm?ap2C)rX(R z4jU$GeM&u7`u0SOoo4GecD1K5m%_}r8=y^(epdbhqR zOQ609YKbtpy{;*|bVB8^JFk|>Ecx9wC{G0dS&mn1Zkpz=z0kzEJ|h1Vt2di*5%WII zrqay`WAWt_#PkB+ug0%ps)8{tAkAB&8z;Thr)E~c^V&Qk{3hgLlvRe$>nZAr0dBV{ z+59r;hr7$+j(W>Q)txrG=l+4k{xYOZ2W29-K|Zx-l}Q+#pT~T{wJ%2Kb+_z6^d6IK zf!H*9?f1F(q5+PrsQd@@ck@?H(V%=F9jD<jlyOd7o>PXIqEBoRo@5Saq3S~Laid_#qw1GY2CXYWu)pF3fjBy z!!$`TQBzz(&k0Fj!AGvsvofLi(Xi`TLE1mL1{c47vvXzRjMmQ^K6^d~tzWbU(oyIg zcVzrv(7w<5*mJTn0+)miUop^o@PkQb>gZ8^-$vRa%>H9`{wwn>Nz`7YXtIgnf}UPk z9Ngo@#L&sza#g71sE5+mWKA}!fh9hpbwbk_yC_+IhrwY$oUv>TS>j3)(#5=*hVr4C z9dw7p!udrHzo&`GBWOoQ5Fs3s5JkfGoVi!Q3~uUp|!~u3iRrj$$bKi2} zYyEg*W2FVfrb`8u0 zFDu6P4$(ig(C&d7Sdm%yF6hqKi~{k=<*S9o1j4I?A^BR<4tTor5Z>r82EjCd_%edU zVjOvm{O4sP$=#3v^mr;f$&ZZSw7MnHKV2DHdzixa-$p(j$Vv9}6#`Y8unW7(qfv$= z@9}%VPUUqYj7}Ht_Z`QoPw-*a*f}u3#y`IhSg4SG)I5G8$;F&s0pWrj>roam!&Taf z^FEta&7pq~{o_nm4SVNmNorUmFHz?*6NClQlFFZ3euN#oT6NB0MQjYCfbdkqJI=l8 zQpX`v#Er%)LtZUlLsXaV;|QQhEdrgxhG;1`+e@BBRl%LSfc@mk`U=2{!~pcnFyA2e za4>imz@1?AaFU>Q9up3Mh9Q0~x45CX*qp1cC%sPijNuWVum2Tg-bGug0eGkI&^W*H zw?5*P#VzZ+iCYIt)(4r!p5`JhkRPm~Qn4^M>+0gu?Y0susGO^Y5 zQR$pYc%f2AP>T08T+2ilGk+`Sie%B-R+&}+TsagNx1?aA-b9%4T7lllHVnC~h!rU2 z#cda1QiyN>e9Ah587-HwC{dnF%kR*1=C4B8t8)i$Fq7c!x#c=5iHB($uP=>O0vgPQ zB|C6Dqq-ee@HNWARn=c2v`eJZt1zA#y0j(v3W*f$tY@Mq(--3CsE0Oo8(RRetok@z zoUB(?VPpKx!WDY_kKSOQru1+z6O1j~(Wn&Q`jZ*?KVi8}rp!$vJ|MfzLidbD)-J@p z0mVg511E~$+hZ_wWe3>3v-xsn=A#fmt`mxcW>%fWhsI9UfRlE}GvxIk{9~sVnE}ko zh;Q-$6#gB^k{k|HTLKLia>Io$(RL{V36MNN)Rh3YkMW|a8lCW_heQ;OM3^kW#?@ym zYbbz>>zmf>UT8@fqX8IJYMY%vZ9C$3mgO7flv;;K02_d&VSh-vu<@H_3X&!l5;2z7 zC=Bb-3!3nbBic@M-_B7FP)gcWHq4?b2*t$g{-5gKwDK7}%>s?i+gbY(%e!%f z#Yxn|_PTUwZBOp%DowI15>i`5sz5e)?kNYs+?#Y1oL^Ex)5|41HmFRkId z4J6o6ffubH02G6jMX?8uIM**32fVfee3E}sPUi~JPZSFHCHSLoi(1m;LH4sCJ^f|X zfXLogxIyT3h#DQpi<)+st;J!zivWEH7P>fl2z_&zlgw}4Z@o~4Vd36dGn%LHJ`Ed$ zD_w&aJ^)9QEHKb(IHh4a~bW^_-KLRTs1)e2EFebtpobJ9U{1WxPt*6 zuu^c6U|g33+1f>GLB)mDX<(w)?L0=gj-Rf0rvjFBJm`4Ugmd*Qv+l}uY_UEy+@>k1 zz>SP}_Oag5PQ1@{U!vH?L7{3$Hq0a+$;%=|PDEWFhjuls6(F9m+oj5!^VVH zZ5%>>!ZbZxDdO4WfYYp=^tdzGQO>ppIwQS#wRW9u0DcL@o76Q*BinbJ zXtyxZV}o1i`T{^WA#w#Y(8LyQLL_N4-2lLj@))o(RP$CH{0UbWe$T+|^}(n$cr*&) ztOd=fg)px6dr3*Nwc*?$eu^Kxn}UmuUEUFKozpS!J`Xo$k9Ws?93B`^UtGhxDW!AK zQ=i+VyW?=w>8t{JP(s<&Dj^AIAKT&z?^9W_+PHaopzuB}pR1b7hp-&Oo~71HU#dhD zO&V`6i~gEz-iQLb-Cr3nqr8Nqu%LVBd}uJyof*q7mo$Mcq*O_Id;@cl031wfVUe>o ztWR673t8CSQFj42RIv#WU|)wMR=D*9RDE_^;gUg_Y%k~(IR<%o#c~A*sq z5-PzJu<4j{*^g7D#+3EFptufj&5j#vms007Zt9OjRju$SgUv+zuU{~*Aw>vcq?W6G zfJksnb+&?5pVVeD&qWt?{=o-!+PCgb-YMQ{6TmZfVEyCD-EDqNRv5U6sn98rWnLDS-%m+J2%}DjkFLD;O}EQMdr=#)_HzZ(qE!;clS9iD zQ5PJf;qAy>Jx}cUKqVD)%I1be;q|NpdV&P>WX~z43#8tzKllx$^Gi4#sWQvcu~Ki< zw?6Prt$#(3g!makAE4F(e)z@}5h^LISFfGVl;q;8vy1JbjWyRYg4FT(ptqZdJM? za^tWy(vLNezRSf3)bHT0G^l1T>(yw>lWrl|*Fh4NjU2sZ82hlqH=Wou|CGw}UM|AA zp`_Ie3w}L`i>z3WHhzTETl?B&AST+!7_ zuRhm3NLsLdlhaOcyUXd;1jYf5a)rrpFLuA!D^XGE zzH?V4x-bR>xwz%_hEr`USY#p8V%R8dCSA9*6oa_`#+!Gqqf&HOg9G{K7mG_Tzz+9j z=g{Qpbyle-cQ&;Y=e`xE`x(dMF{-cXT##SD(JXGuVD~K+=5} z!w^5TlN7&F3&?A~E!fZ(0ads~j7PFVzN0_^$au&md~Wfk9V?NP-9xM}0JkOBYumPM z+qP}nwr$(CZQHheziap3Z%|dKyslIa&vfS`JA1Td{;+QuI!o70Svms&CZ5*q+wAQyRK^CqB@0cm5s_I9CrIy*vb%e~ZL||FJ`3^>+xi$Y}tSS6!T3 zN<$ARtG>)H46YX`@QzC%4@sw-UR00QmLvwaI%=nv7|-*W)zdC7bjRCwctWzV-}eO~ zKsXaTvZ&|gVJsdLLSd}a!}FIxB2E%cqsj8gmJ6^0>k`?gFAl2A`hY%+wDArO#_dQb zDZudooTA-+C^_^z1M=sazDFpXEkyjY*CiVm0ilb#!l$C z{eX2zL!x%x^=en&gfdeC=`ztq6^wQZ>}BxO3o8n#F##Id0!#A;)Kaak9B!R?0Obr& zY)g=@B(aEMcRICd)+pRLvl#8z?6)s0{{p*xA7R5`F1UooQZxJIsqR!W3zfvOml$jv zBA|hO>yw&d40yJ9KzH#eW-R+$0=84RUZeFaS+6VYX*c0cj(_(D>M|N0hF^5@Rriu) z@KpH_{@{tU4{x(1@=##*kUV{LZ>D&URs=+%b7w65N?d=73e)*(-q`$d<#ftsJW=0= z#dpMg4W|_NUCgAcY8pAYtOD5;?CQPsh*Wxa1QF5U7ljvkr zC6_~m!Z^eML42QRY@myWqh*?;BHx+b7E;XkGdITx$_J10xH=R(j$@ZSz;quJI=Dq( z3})t_D|KJ9MJP$?8kbj>(|VitXA1>tVCT#Qh~V9sMO9Z`z|p;dx6LWS%mm6hFzYGy(H3VG7z z0YHPuvXM2v0#GRNn=?LFvJ!I1tgn}vwXqUcc1}+r`S&#`Oo*LrpNLRPp1Nkfe3I%Z zO?MQmFa&=A$Vq*W6Mt8S0en||_l4C%{asc@mmy7(hS*nk7*J9Y1Tf{pZa6LEiKg`w zmfg3%L1=7K-tXDkF_5G;(M9E(9RwlOG{Xsg05t5vK+miG5WBvMbQ&Qj63^LvmkR^G zdqR`1wzZO)<~Fl}nb+*?ad$H$i(2#G$7_stLIqDegKjiamhRp{bQJZ;lG!$e`4oN* zaJRXTYIUYK6lJ)#m7#fns@~3wzh3Pblo|1rcIt>0c(c&Jn z{FpU9E0bhQ*Uh4M-K3k1jV_}q`P}ThFd>vbj-4t4>_c$q#i6=zr*R)~M0|d0PN^Yg zyTTKMb$Fx2pT4yV&9&5WN6|;4eGkF=PR11rY0~h4sTSC9+ zQbME95Jitd>oJ=)h^_QX#5G7`YQj&5=p@(QYVy|W6dktQeR%9WgdpeX;sV5mUd880 zbhH^v%Y@q8IOs6LO#kN?Ga?Aw3TH51aLJ|2oc&UZi@G&%Y0p#*(Gk7f8B?{gD%_GG zxI9%dXm|1NqkMH=y!x;RSFtvjbdJ(d)W`VpY zLwxfn*^s-5h_V4?P?`3;-BU@ZTE$77>8M5exhL*eW5tI_pkbvLYGOXQ0c2X*(h=-i zLf9{LqI~e}5x<{mxOv$D4|r*`l)t&R0d#W$md(5D9g5o(w!!$)=*S8gx>=x&*0dEX zBkLF70VT|2twpTjnNaUmehl8~yx;qTA@nrMFER)-grdc*37c2Ixk`%g2tpAWt%x@t z$ppW7v1@;FQ=BBhNI1Q);6Mr7i>Z0XAF zo`JQ9HH=!}BGe9|mj+m8gn4tYCdDE6i?XR^u7L*mz?WJ7NgoqSIh zKziRmh1=VixG8)Z)12dUt6t1jaizxVty<8z;0j$dEYM`y8F>gFi2qqz&4XcoEYGIK zsLV!sYlp)~M4P4jaO{yyJWP{Op0m3LI5bl?q6K%@N}O&B3=wfuVD`Ker@BA`M?Vvk zg;NFLg)*XYyQ%V9*RjO!ljFXb@H-pxnRadXEP_Oa!X>sp@L9_a7&C>GC6OL(3^YKs zk%%XRCeVyPS>ZuT_)Sb^R~7r)giXa!{o?a{n8s}1tkkbe-wWu}0YSvMBaqdUiTqjk zRU!TSi$yg50XSES22dv;KAO{$5!h{WZeKr)y?TRX8TEBXg0WiNc2Il>4Ui|17B@~j zwm188ZX@OAFxdOwSryqriupTC_APQ9IF^O6*DaPSu$<+@uz~-16rkWbtx#gFR|~Hem;U&?G3%C`s(_e)7%+I@pD92tt-&hxNIA+3qmyC zbWwYE^kRo!7+~;S61asY+tSfA8}59dH);~442+}Qfwk%trZ)A5(HB6TlHyevX1pav zVCUu9cwPe}9xN@2LTs#!1JOB64yTZiIQe*JJ?9%D4r_<`eIUT+mXeSME2iFA7+%h% z`Z5b@2N5>&9|O0RI#g<1`@@p?t&3cGnRryTHN$LZDnMa;xHPqpxoc46 z{lzG(yXr%{3AOoIofdNQ$|j+HMX;?^F8i!H;uoeRqP_R`OfTIH*I9)w@P}*E$~s8T zaYvdgsJPHe0_gXJdu!^5t)2&M@M5P)xW4N58z+|=O@&_?XtZA_S``V@v4$3-F1W54 z={rOmx;SV->G_z|xgt9iBEerBuwq6v;MFlRp9KQBYn~_xpdQxWwdlX(Z7ZiW1Q<&M z#pwk85U8od`cC6B?aGbk%gGY3Au3HSUV|oL`9LZ8yRy_O~aWI2&ljNOEMB!5!nRGWyk zgYsK~KL$H_y}(L5$wo~CrA2=BE(k@Rri8bKE%)8QG)X+L~9ka zv&-rLP~+F4`}cAB9KXUVz6-{2SyEtiCZS}eJn0)b#TzUv{~qf~<_ejXmeM=*mU3qwtu@_Q6}?AJJYW zIARi)a+%3b@0?pUm8>cS8n!+r!h-#w=_Jqfqf!geVOm@~qALHs3Jl+|&EcN;urAGf z6aw>3tXm}{&@-4lF!|O|O%aMvlSjj=LTiVX3TPnTH>&il+JUxR8~c%?cT>BPOg3^{iC#f5V zp(}X4j4e}yhsb+Sas-b!V(S=GOmt&!_2fWv1M}`TN1#$Aw^;*Z%mIQxM(b5u z5n|p3cSYCuEdrjc5m#FSlZb97hc6CI#6b~Y%1PC{YFl|~k();AZ(4_H*UPj2+EVoB z9!ZEHLR%yhRWz~@YdT?#-vC_oiz_wZU+|5$V<%6p-Lqa*{%yrDW0IyPb9_bPDU(UQ zwM5!vCO4?cenWjHXzBi0b=FWZfS>v;d_SBIf;1gc7t4V?+3LeCv099$gueKJE}ZzM zGW!h9ASe7j4F^-ISQ{v8N%=c$Kc5AA@WK`K9x}oaj&LXe*mK;tJRhs^G`8eMZ-&nWl zBBoiwZu?)`1F-lx?`pO)3IzZnMIIW2G*_r$xTsSKNG{}YH(Vr}w-R-CJC6|_fW-|M zy`e$@uee86rmc56$q98K;=_rCP8|>=eP$s8o?g%sKls;KTX9!H!%R4S?s`sS3-mD~ zWfO7%BTN^7L6kHJ$ZxejI~=vr=J2}Xv13L#*4n67;P|0HgK!*H$YL3)0Ed` zCH%(d>oqRmc0*i)19h12E0iM6&i(HXsj+k%_Y)kFelQH+K~xnBb3a&3%vzn<@U+4X z4TGkJdo13!xO>MngA{mhg?HQO`|5S>wBlC$*p!ErsGiA&0+*vvMQ-kZ6JZa2rX&Ge1V^h=v;Mx9qhpJ+(k)bylG5_*B|Kdu~E(mVx3Pe zW#G@#@-0ZwH*7dc!Iw;_hmH8zM~vWSkjY^ ziq$;`t^+K)_9!1!a13x}-_YHyB`}QUHtT%COd2$SbcXxo2@D`qBMsT44hVEim!K30GOdLyMd+C2J#w~}IGeiy5}q;f_xhn$xTzS)(!$`dKdgUFVugMeT9QImHi8C-%K7+s{q2wM8<|cGpZCFN1(UU@Z zpi0fR<@Fs(h#G#n5C`0aVL{tc8xB+$@1RMAw4R1iAovm59OFOKP>yo_nf*$hdyctJ z2|smwJi=UDdf^Y+N%;hFFORtWi%GtcNvOVLe!Dse;gsRE#TLT+JXgV9P7FLhHXQir zHw3Fn7Kn5irsV_`sf)RM>Kq9KuNQ%RzCC^~M&A(-1xFPs{6EQ&d&x%F3KCvX&nQl; z#om~r6u3g?)*mySWQ0;7iqKE{wO@s-%~C$Pb5}04=$U-2{%yw^b99kNc$))BILNS! z>JfTw_jpEpAmylFf$>2EpZ;bZTWM?c;n&# z=|hxviwErgEZJalDF!LQ?Zh~EqJfM;XBUzmBkgD*K3_xWw_+H@F~Z_b4!h!e~AI?|5FTL z<6!w;#en}h|8K+q2G0MZ7$8C~PA@~R@V}@5M)bz?#`ZS$cK@XZn3_8o{(sN`4yI0) z_9p)&2>kbU0L%Yw2eAD&b^s$g6Z8MH0~k5jIR9HafRU4fneqSD4)`!tz}ZP-gE_|9 z>2-8>hq$|=i?u$!yCbx4a1TGYlOxb*IJiT|N#8L)%j7IS`}$B-pZ;fE?^>(7yP8rm zWffC&7FQ-9DGshKrbdRw7tj+_Rooj1ObuO}5t$rKwXifh2LkfW(AY$vpcEAtKvuUF z2X{nPC%^|lonDP9ur@L{3vvQ#U~G6ORsbRah#OD%izcW zKEXuE0EmLi%a^hSfF%wdK)EnAG6!^E0jL0O1+SP#WjUg zGvhxGU;x?yEJ(NJKm7~NRt0Yo@E7=sXa(rh@>dQJFgCTi8X2FtIXoOZximYPI*Dj9 zd1CSg&*w^QjUEtAJc0J`2nVzU_-7fD9n6UU;?N58OFg*12yjw68vsW)&@Y%2_s6v5 z-|~<6LiqmkFTj^&=*3>H+rJZ7Ct$#z+Sv5ypL|VEQxng?-pJM<>V?6P!Rz6L)zQ@% z5W}zd+apMp@*gw-0>K#=&`)jQ!#>%vmA@7&DRA%1R9MNv#iNETOeb@??voWF8J)btaeheiiL zO%F~$|451h1G;ld`yaqn&_lcN(HefOqbrCfL;L%-n=?DP z87#Q9IeS6OyML%p1;VemIiw3{13eK$vpTcQ<)=T|oF}NR}T$~&LG%`1O zL4GUf!!hjO_~-~Ogac?N;E&I5`{6z*h?-qMXKUs)_%uHo=wbd16C7F`z@C34f5LbB zW&fG{(|p#F-%Uklad0mB0FFiAK+VO)=O9?t-yhAU|4NcebF(r_BlyyHzVDB`IkLAk zcfG&+A3Qqkf&K_fR2+dfa`#_@X19cvH_&Wqt?q2i@9LiarKyfA-v#}ZfhG7i#wA@%bHaPXH4Q>D(9YCCaC?J2a4BbD( zzaNGv|F;kPwx;j-%irswFL5l?L!*z1>3?sJ`}r`x zwE(AoHj{r*zu%jS%i)Q^>CgicV_%C1bMXuCBQ$=0Xx6Xw+~@dN{xOIBr~TXT06@Hf zW)RfTac19zY?ic#r@u&{&8Q10Ju>C08$w%6k_yzB&AA{QN1odz0*6HfYXkNwqH+J0 ze87Gw1>s1yQVKQP?6Gb2tIh3ZRYlxnzEQs+6G%nKomewjg8C_Gz|~xm7x5;~&@NJ( zzTemKR^(t7GC0tVZ*M$fjI;g3yX8oi>n-%B!(S=%41VGun}pwV#76IlH1ckN+Tz#GfU>$;)sw! z^d7B+ag)ZRkjG5fBG~q$_Z@xhGVOAjV`Lck{V3y4ugB17yn#pN@7<0vJ5HAUSz^Yp zS#^S#-=bU@gJSqQC9(>zm!9Df)r+B^=}PwSxl8lNXWaQIT{}r^sZ@C$dtkcyG8o0i z#R@CBvdvzt7rNmfN2U;Ydo0j}{I^Kfwa6v;Q4|7R_|9jGqW&^l6Msxroh?3PUmRpz zj-Qp~EY=zAyIZC_kR?PhA8$!@YZbN9;v$|Z^v_1jf)lKQv{OU`Xt zrx)&a+S8T+pD~0aIzCA)@i-Yjg1$M#-=y;=(G{R%yOZwX5YklK@SDv+XdXP_{#~s^JQD;DtKU%H?RwJW4c{V5t!%(jM z?jtiRq55yo&Sftsw2>U?9Yp|VJ5Z~=ezt)DX|JAmM(eN655RMyUE9NM#LYIO-wko0 zZ9%QMPbhvlc9ex?n8J+UB}vL6aCgD(GZb;7m)zHUUGvg(1R*-%jvh`tU4x8}**Q!< zIbG*1ae-^VG}X`&@b*utWH4#!vR?kt7sEwSBGy|aU+AZt+9qpqVCZ;6acZXv&}Atg zTd|H~S~3*z4DQQ@L$)bAWuA-eyNb%WEU@$_v4mRjTd#0V zC<%s6+-g37yi6Bh)&*eNJO4O*0EPUUPh86^UfGJIQnotOjLN;pbkNP7%Bbe$f z`xET-_9fux+hL5^8~cZHR@rP`z{Z^tB3k0ifIV8;{)vQ|+<-l>e7L^zeC#s~bWYY> z@5ubjjPU4XTH-NhK#WRH-;BS4%=clc!a`EVGf4b8mhR)yWBSS84j{A`zHfTP8#e4Jw#m!NtxL3?&SIdH`*wpUx+BGZ;ud zujb0FH}-KuizI$P$Gh0mm5n^L3Gus18}->;CJQT0c!SY0g{2u$kC=aU)*qai)@z2n z-C9a>F>TvmhA9{803U^t@$@Qk&1VWr}HU`E+Vw?l{BrE*)atVof))olzC zLjSJut{%WK@6cPU5vyNdl2n^@Xc$4GSj^nRF+e|js#59sLaalcgoOQ*JWO}A; zz_sgqKGw3rdA20g2_^_#u5YS!K|-A~#$}4vBUc`)5JsVW;4AcY3&9Dm8D&523^v() zs^mCxzjs`JpDUe8aCjMOR=h-;;>ZD)LL`uZ?1OzBaayt8s=4P~UuH@BMv0bcRJ7}h zQ&*E7?VZKcAeRM}{AtnWbd7i<;7uWUc(Q5qB)o&spy(@Z>%IeUH=j`^yoguMJewJV z$lXH;AHIwHj~43gtqhtP({$@rd%*B+MzIhefw6sJ%L`t$vwl&RMsZRK1M( z*VykSKwaDc`oD;T!3&DM$Gwm^i$I!Yjk#Pp{IiY1U+r~LdLZcGREvwfx4BQcs$5E9 zk%16IF|VsUoo&RcO*rAqYF&ycGjbW)3FFO*dE2@sT|r%};(8N0OlQw}(e2EA;86w^ zxgWI=`TBam*dNCB?m>=^M-l|oBKr#A;jjy7^(gQl7~5N4Puv65_}M<;fIQvHd9Mgo zgfq`*u!^TdW$ql395rSe3nl@yHfGKBMsv_XUSih5h-7`*2lbNj)EY$%)j|!umN@(_ zEgt&>fsx|q8jHR+!il2Pv8_sv5Gxu*fk+~R-qZYQs{TyMpz+pu-P~XXQ#ZLE~l1Rurdh(js zkgQF00n)64Uy!tsNtjU-dtHDwx&u!oNV(1gIMG)bUq4;$&~2!B>5thH`&vH9x0H3r z6{~p6Q>d#u`=N8>s<-Z#3`}c?L?Xljzhs4Y`Trz$&SD zTa*Y>FH=ZwMf?Y#Qr@_Y%i4Crg*WEspM(WR>%E1vnKAHgWc2ZdZ^V z-h{-jTE8@m182^B5mfAGfR}9CQGP7hDs%{Iy%0}YU`L(ZZ0eFm3>!y>k=&2;Vvs2B zo(b4$Vbg1j{JFD+qyBHr_YAqQf1dy20zr>^_W{Xhy4T2-zQ%J9Mv{6JcNTEw>jk52 zC^yt&w)4D~u|>#l5ta7{^fxxO^q|YqgQ$b z4$#xof=)C)Ybzwy)Kl??S)10v_NS<)T6mP;Lh9&|^F#KBt-rM^0{duF#%|U9fm`cLYL9kt ze8$%3I&YxeHrD!RBmMGR{U3uM^y%hq86z5bWQ@6+biMmVEx2zAiTJ zb-^(H6w;{Yl3nlJr*BnXKr&&XoL(^$1F~5wl>g;=n8;gIcS)jzX&ls%TYv{%_T<8=Zy+8sVW?n8&&SS&8 zqx$8eVhs?i38)_&`HJ8=?QXj8dM31(=5f4$zm7 zz)Z}4f)}kYk=DbZKq)>K`4(z$5Ox(uO|3Ql+|yIyivxWpz_kG^sw9aV{$nEqHL=*u zuMAVzaF9+&q@>ld+1>Z&tOyAUcKeH)j>>8xk;Iz1mTmk(;A{1Sr!gGp?rbU{)UArD zngSAQisZ2f;E-aeu?Ht#bg0ZuSA17!<#sX?Ty>VVNrRZFO%Uqh(KPzv%ZW{c6!J`K z-%p0#yvIKm4_7zDUQAFvt!A6PB=0tig8o-8H4e{F!eIFkglAim__fDMcgwuNl~oTP zf(L(`DZ4JSrK)f3xitU?OlX=;tIY`NgB?_+qTNnS-+e{orh?B7*e$CzayYcGecmIG z<&>!`&-d4Y_g_n=ene2hV7B(;-XPYsyTckF)~@{fNl8jp+P8UgRzMlmUnmkoi=5S$ z=<*vBNH%Xg1{cr*&8J3gm7bK&lY-&zN3%-C`Z2L-+z=MQ&nm$SxRrZcN81)n@k^;O zf6{&&x}Z?AjdU1msa?uGEWhMv4mn{n{?9gn*65i@2i_<;mp}A%dHH@#v+=kVVx??i zASHN;=%P46N_)Y;r#t!APhqTO&pWGqFLB1|jXIWBz1*Qs+QlyFLQg71Z$lQ3U||<0 zUq5QwnpL**Q@3jRSXb6rAF_@<+xzTzef0@GV?l70v8-%R~5Ap8${L{MOELq&FcpM10Pf0 zAvvezB+{(QSWrLg-Di(92IdatK+ZRRp`DDuitYQ z)IO9X_j7d76I@pAh1Ssdk~P9?vKCJB16Fzmpm=j_yv7*4-A-z3t>hezu9a^j{W9t~ zM^geHgN2WWK@^X#wcBE{IL%|0?n#R23@fBcN??g$^PJlnl^lo8q+Xn4uYc?Ej{!`n z+lh0snXS+AL;GL{P0eA!DzweadbldvAA)&ITGLsq7guSY&Exvg9)7Nbg9_;DA*^g; z=yWtUtj`rBV)5DHk%X~~{rBW&wGZp=pVv8wvyeS|rZv%y#N12YOz*KKJ{ng=XfzBr z^Pog+oi)0gl`fAC4@ilMsEe(|q1>FCn{zL%j?(K~f5S5ongI;!o*M;of*YOOt*8*~ z#)f&9fpBs=O%S+@4{`S4V#8o-Q|MXCButlgoqywc9XJ!OAg>7o`sla#_^?BG5EKu{ z6w9K)ehrgSp%iA3(f`8CCi>^&pFrpS{M2G?xpFe+>)$em%w=Q;1-#JI|KP%=q{ttL zwC|1#2dgdkK?}@xhs%iYDVaa#w0$>q?5({*g89U1PjKee!pI6R$w0)`D|5h>qhU-L zO12u@VQ|NtzS0zLd%3v?VD`+! z#x@)q8sE9ACfJmva2TZvd4nF(n2bS05dSO%ligW%s7qQ+#G0jQ-8Iba=?9Vje1BD= zd;B0HcB%J$nF`i3I49pK!-C-;1VHwzO<*l34l3Bi&Z^#EtPa~h;a{f2h&PbXPhLHQ z((u-x{dP=Lmi_x49yN>grq5?Fdh#N04620Hn`Dal*X4}65}Se(8??ocX3U{TCG|S^ z8*+kAC>45d%w8lH`$Ro@p6+KL{PZRyozw}GDEQv;tp4+hsmn$SvM0yp@AslEsKflW zaYfK*K~C8aTsx8jUT6O@ji%11;lLcNQDN}I!^*XjH)d-UBx$7@>nU|Eor#I`ckQlm z!O|Eazr-GuLyL*A>pnt}P)S~^DJaAMBUmq8L!3r=n}`V0WLqU%ME?=>0Hzl%ePDgdZ>@Ab z`n549Ru~T@n&+fu^&%6q`sq&Wf;~L*ogBB6>m$qj`BikW5;Ou90ZLKRrTo$XOoW{Nf={k&Uf`#y5T zlzT9WOEF{GGTYDUa1X)?0sxe})jNHJ=dlNj>Bq`L&k96@=&W<6q85V78`7==6dE4o z?>o=M6+BP;yU=r^Na>doNiZPU%PdpEgm&S?;5aHejc@cNhr0NzMkwA|X-37N6yn(Z`_VSNji8}0tX}QxGN1HWsXm?jzPKZJ3$5G3 zIUpuA?~UaiMWa_!GNLB&wvpH>lz$F|c@1%i^_WcrO8W`n@3pp3;t=|t0ZzgI`L00vZ32MPMrVUsa9B3}&r(QsxABWQkG!3o%A3zdu%+X=w_PkrVqD~Z|TsT%S9Z^ThD zz}Wp%1YejPos_4NT6rUpynzx=0ZWAv>KBLaqw1D^*U&abjry{BHF$1i2nT!t2Q3$r zV3Mm0EaK+}-GuQ<`<40n##N3!q>Uvbk@#Itj`b3pthrfUA*SUqtUd%KSuWFjU}z~M zwG8;YYbG{u4LmV^0zw1a|{^8;k&SEpnl!$gAuF z0eUM86+*Y*n@v9Ea@ypE-!vr+e3!ta>FXB(rFEqVzzV*Tu8`7U89=WRb+*SR4r^k< z^Er!Cb$3p>1rYVgiKN73aM*##M2)6S)no9wlEPOydhwjTYLq$0*pb!%VV{6Zk31AE zzKaYQXEKU3m#3qX{A{DVT{Z<2C`)R}yLx13cu@26x6b5OgU2vWpV|_*GuVB+V<$~c z>mwAl^z$FLrSNB@fFk;fhVBbt{JmW zr(Nesu>G!#&I3rUdKp@zAvp1>_g&!ZMEO1NuM1xR^g4M{+vM%O(Y+Kbry~^VHW-2? zAi*G+MDLf-t7THU@^1?%+dXFC!|M!LF>OrC*i@`P=f5wQ!A|R784D9wmH(vWslF#? z%Y>Q@H?QErs%$5x@Q6{~-W3brvVZDy_w)9Jgf$ubFl65Ha|oeiSxF&i!=F?in3dbk zN_SIcHtvWDv1x&YdZ-$a#)8q2AE`&lL%tU9XPU;HR{M(|h4tMples-mFyz6hm^ig@QF$Ws z<6kLais6F`9r4V4)$tm1sG&EdUsGFez=vHcccr7FU}B|>I3`xvmq=jWBkb&7$ZwY^ zU=KMr#|{knLytF2k67{y=W><`4D^_5FvZVF3&r1?Ai5eenD1*d5-F4jG^d%JAG=sf ztwVasD!>pNsR$`84t{e!nGx80V|p7|y<#A#-9m|_J@l!NWBqK-o^;rYIZ6bw)!(Xr zp%!V0#&TwcnBusJH5?mWdJMo>ifV-^6^2^g@Lg}o&9nVI&h{4G39Hlp@#M(j>rTy6 zkB3mWl|(o)=CO=S?N}Bvy~fNw{%L*I@tT=CzV1+ zBa^sYNC{bLsPB!)b5hczGB&9{Y6K%+wJ?zmL^0Xg{vfugUO9N$WS3c0or~oGBew_~ z15MY7Gj4YRkG#c`EX4w8mt{a_HLbW7%5H5Iwm~zBSM5BXiJ5@(ToR{@3vKCv046G$ zpA`qNxecP1c`hKFyx%y>C%9GzCu7Qn4mGlR3A%bU+Ru+`gQcA}gPw1{ca=DtP;VYl zbc)aR!h^?tk8kw=sb*r!;#jLLOkP)8Sqc6(c7VlD3(Nj8myXq9%c)=Jy-O+Q_fsSwgiIjAOuR%+Rh`B1DBA2U<)_85@XoElpxnD=;J&j)=97er z!8;;_Yl_A9u6*nrTr?Y3+cH^(_v-3B69G8gw%a26C}zFkvsEFnlFH8CKb|lqRLkp5 zypr(Fl_Tq;d*0UM8flpNm3e=@9m4nMY}ZdPvLrI*dWX7EM>${UaQ_*sX(Tw8?`ep9 zxYbJlR74(3*Ii_w|L^T~?h5&=esh>rL(I|8KB1BvpMU!Uj`bkuo+Pk}2b~eHZRLQ) zEc4L%?1It|&-aMSqmY{XS5Ls}x$EEol~R%Ll0QFB-FPlN?nrRy0RNlSAzi&jVHHgg!Y}LDtlHO= z?&ul(!&LaJj9B$fy`7?emvc3EleZ5&xX>w;duVrmmr*2DRh}VnD zrzK13jd2cI?~5~73ZS6dZ9@54?mI<6`OdB;shyP3y=fY=aA|di#!lEbZszZo1b@H$ z1Q)6CRV-qoX}N^fUHbzq6TqhyIU%rG3-)pe z+@_JzHRCbIXB$z_QrbndW#h_vAW1E4JYv~$5vv1q^aD%cbF>h7xfmO7%X2*e|brGRAmgzxS zy=k?T*{pN;^Fl%+vQN&2H7P00*U$K3`HA#I<^#FJV*3kJ!kD}t3$Wwv{-td2yT!u*K)%p|8I9#R&$q^3o}3JWh{%=3gs zmwpspQSb))FkM8?NaaAs+Q$qNEds^#5$u-|4+E}+6Mte8e59-2d55ws>z({0fz6lF z0O>8g^|aEIbvr&)$;)r7vfoh$21IA{j5ddIa~ofk3b%SCo-JziNu}{1Fyc`OnZ8Yy zd9vP*QnqY%o-CYkEDY1~8JcK$XfKTp@U?IttP7{mr3@@gd7kExd`na%uB6q5`lA{N zMaN`M-@%zpRwn+V+8$17y@zSF0~@gUV1N9!Dr&Z`POnkq_im+e9L(g4Ceo2c8ZG#* z+M-}gOEtK!Eg{4m#IHf=6g*{!oq|MuCNvaJ^hTkwGE0;VAHlihx;=tFRRtrn>*WhU zp!|~l?Ed;9Kr>x8kt>d#ezRjD7YQm`10uKP_|)g+92?enPZFlXLD3&o_@X}BVr^1p z0jrHHpGx8pT1qb@p^v5UNPN8#aV^hxmq{+4(&>Ay!pWgeRYLSX?LC_Rj9P};t>bLV z4`h5y=$!HA*b%==GNpyJH{8@nb^3R7DVX`#!$&@8idtHeA}$Xep)lJ&c4ok=pz8Tr z22Ri0y+-aAJa%w@Aw+G-!LKFds`91^rt}grxG~RoGRV@vnqf}I*Y8`FvGuOiP2i%W zJ^-9D#fm6-G`UJZHy{_fisa!Y*+CEV4Rf%}1Bh$gRhFl?*Un4chgpKB8U>LOKKuaDR{oE?LAA+yaGCC8bX)f?rX$pIQ6aoJA zL1Wo$C%9ydgUpjyj}2j5VFMCu77?1K#En93?}z~Q@9 zF1pJQelGmdwoIvJOr(~f<4Y=8s4p{k%nJ3We+QkYaL!K9(Z2(^?o(0P6PK z_w39u^RkzRA5!S{mDZx}@f0tW5Lc$v|2=W2H81aW?NxNy5y(p?=tcwiz0oGUAumkibnKT zoz5|fb!L`i@s#Z&(en}J#odGELnOtaacb`>$6=Lb^)L#hvgbj^^S+ge?>$^jC@-z2 zP=S_Th>J02RP=`C@pLC09GLYW%uJ=KMqaEuWWScJAhs1DNn?Q9s6TYr&DoHGvGXD9 z#+8^u>UQ;I1!reCirJzsz!v!?`rIR2b06dh^*nO?jQ>=pT^0%V3R9DM<>p9lPcp5|XIDQ3`B`hT^j=}_ zYf|_hzxAhgHzO{hbn4dj*(F~_2{o}d&r zqn~Bx3r*C*RvI^yR9j7t(0Bz%;hmgnOgr3b%{tDl2!2xvI)gGe9GGEtNN+SQ$&QOg z4Q5_9hR1_m;&!k9Ii?8e-$g#8y%v_N$B!(OJeU&8XqkQ%BZ|wCQ%;`!C^7vBKdKb( zRjMdly$9SC%499>_BmVkc5200_Ueb&@kuFduW*i$^aLNa5jL&?^ujwaZF{a}Ncazk zYZ=Pd>(>`+zTd^qTlgs)*B&XM(@c@w`{h=>UVXS@T2zUO_}jcNl4jlG@y8pH}3VhN>JBiaoY1`Ch?LCgvRrPjFBsYhXuv&c6 zShzy%vTmL!H!$_`&_l?;#NfCk_FS2}X00-CaWr{c@VzSk_GC%QSN;6pZp&?ommU2| zu6c?uopkk<=XQY1_*@K)SJ*&w&($-OI#WitAErwd5RjII^bQC3MB=K*>&b!49A@~T)|9h8nKkA~szimNz&(c9>~m4b zl4LaS3n3P)ud1n&x*jj))V(l^tz!)c2~(}mSYCrb`p-eeTU5p;W!nCo005w#u4C1; zvmmLCu8=G#EW@h0kX*yk_rc@N-81Rkdy4LOOT!t1=~V=5zLuBZ^K^#De5=SzRVC^A zgB=M0OFufBFWKX#Mw->=vtj61hOy#vG*R?r&C`24S9w7!EOcQSis%=-ISHAGoilTo z3;z3UykK)x9#l=P_-DDkpxX9F+`&R%i~9!8Bk)0U2+t5)6`8|v)jqVAV5Ctsn9s@D*ILhY0w9u zXsaGHrO9@lQJ8c3>-Q)Gxv(@DdsGJ!q%TI$8oP|=gaztrb-LI)xQ zM0o7c!s5m2%>pjtJds5-LT=C zh8lLlH^CMC+BZUFg$PTPtTk@F zIP9mTSIK$r_xcXiE}0NzjPvZWWfUuQ-3hxI>qcE-fkx#P!spEI%d^VWKK04tDvR8Zb!ka^f{F#Gh2|6W*Rm8KZJm#agX zYI6V?oW|Lo9tjplB(2UhLWOHH`%1t~Em@LAgU&*<3-8K}Agr|C2Dag|FYlxyo3Gcc zi+A_`PY0|0SUW=bfq|;|YkRmuXJ2bj2}4?Xa(YRb$A3jg``tH4pWwHrHMA5r=vIGz;#lQqxp3is|Kfi(8(*7q5n{3AP?Q> z7MynisO5XOX)ZB)@*r#}^C;O<>k^F407Y%`Le*s@CPuTR^7#(?99=yPXo_D%q#H*m z-ml(sYp5%v9r3OMnu!fQo4j%z-4Ifhg9C3p^BYlUzDF8xQ#0?Jk=9e$+#9NvS*RAi z`@Y-f_?6~k8nM!5t4Vu}h`p6QBAbtAs*y63C&SZmfSPcF;Q3xZLqi*aRF!Kjz?=4e2A?##uYM zZ^Wza=8k~v>L9jGB0#{PNs>B4#t7&Uh2w}&T%W<`BjZWp(m6U23xtvRWj$%V519P5UlhC>BKXq!m4Y6t`OD4drX|BYGav z1|mU&bo2Ux@ORwdy@I3K&Rg6isi4!iu4W@5D#F4Ub4Bn{Qz@pw$#T(<>z0n%j~+U~ zXs3_b*3OC9lS{OGg+)0V^&u&-^!(W_WoA5ryQI85E~x&?GqS9p$z{um)wgQ4fU~k- zf?Fnis|2BCM}q0<_-A!g)qS4MhQjmCW^Cr+MkDyF2{N^ew3CryNjP3L%a66dr&f;3 z%rl&E+Hhx)r*m3(4ahGEq#;WD`jOI}O>*M>jRjT=Uj?C!`0d5wV?32tc?GCj8z;D8 zOQ#G2P3Lg~F|*4)DxbPC1T_i)jf6TiQ?*S=Is6@rAwbyWIiWDGTnP!qf&-i5<$|Ar)(*Lvu_=jNQ1<9I9{D0 z(JnaL>K~$|0l8*SW~mGM7do$9g7|V^@K|W8995b~<;aN?4 z5#t$2h_(g}#*7)_RAr4@;{#E#J9EwFFH*RjP!ng&wDcQH;1zJQL^QKfWm4n;GCWcbGs$lg-q)A;qTVm98THtm4og}|t4gZ)>+6dY2%j*D^w7j5;e5!(=s0lVD~1;! ze$sT;3kKs5gXr>u>f#4jcQ#4`S|Fi9+h zRp7rV(JhKoe82fQ%Y6Hy=b*&)U)$|$ov1&99k{SdH>Um` zyIrce-i%wRNTSjobLQX-v|9n@u&I;Ny~D@FsU`Gq!W=>zjX(f@$mmphHRI&1PXrGR zca}#XNl#|p8St`ADhJ@utT(|iNX@SGuY`DDd#C;O zj0`))fF+tuftN5f4sdtffZMyTE6m!VEkuJ|7Lny3x`1>cpcYXd+n%!Rai~sEp*ORD zO)`|LrTeXY2FFOKG?SpQSZ3a25M7a&t-*8hA~>-q#fgy8GY3^u0vDaxhvF#NI_~aBszA< zFOw7(bK_85#oAzWMq40AK4plgQq1X7&BBArtXM8r{#TrWyC&JWK%Yp}EP!zfSfie0 zxkeHxQ~n=%|GUTbN|o`fnlKwjzTNiSCR1`p<3CjW3ee@l0`@ZY!67D!J>gt&D|vo04Nsm}FdhkL6eS?gpOR9WdhM~z#LyuXrdoZ?v}c5{+7{}fkp&R1_w ze!dRZho59Gx$@%lNdmIwDnK~3yC&$|OGZz{z^{sk?S?_q_z)cOpuf!W?6fBY&XOvr z08NVkjG0N>Gb`oyv$q;fS7J3k5$?8NDHNvTy2+sFR=-GPNO2b7#kJJGYQ@R;2G~<} zj?#)fuaV@|L=4e%4bvc|Q(rfqq^|Hn<4;kny61oYVhIC+9HW>i{CBG{zM|p%NlQA) zlpl^~5R)4Jpw!T&xPdZ^g+J+!q5T|1^CIe@M=gWB zQQg~+7m=~{6Pqx=l_VMBb3Awi2wR&pp{Wp1FMSCu+K|AmHZE@g7> zGL)GI9JGt7wQ`ICUCe@VEPh>husa`ww0u;ry?7y7T8MtTqIkX*=x}oYmZ2mC5mrIr zu=uhmwMpc&vGpS$;-#?pr58QGY#l+WOgFS;T+~6SpjvKwllkBrxp#d&k2bld#yitehv?7)%si-^TqPA*90N|_Dy_@rJ8z#9Ac30&Fj zx&j3&(fg_9b_e)}87-jD?((cY6Fo8tjl}cuaL`B5;0lXFr@!-36j6~T4FWgAH%!+)YZJZP#$!-8LiD^>kE!W zGAt*@2bghgTZB(89;JnXC1o2h<}~y~^&R9berm*lx;OsdeC>>k@}cT14aOCLFwJ$G zQk$9t?PfZOG&4Uon=n11hp%%LvqMX&VXdORL)X;X;f@_ zCs)|s{r(6#oLNL4HI6K2*pwirRmI$2ljNs)$m# zU8F~H!mX4?RhBFbkseZCxH?!^w71$FU`q%4Y{^TtbAyWjk_eM}6SAInS!E4FyvAoS zj=9Ti(N9JFRvoe;p^GWv|#;M88<@Th% zUGCC2rFOf^IQi8yqI@bSOfE3xTEhu;|6)>`n=yti{+wS#R2xe`oO#+yziTJ-LwU~o zdTw=H*}OAxCkTly_)E|1xUCEJ0>Y2O7Co<RYnWzzPC01xc^-^mj`qMgLHm zvM$&wB*gsh=v}e}tv!Cbc*kHCG3^95r&kt9vI&f*!ZXe0@LoUpXB&?qX5O`$)}|ZX zgW1I<{WiA?^E0_OQ|>XvsB>mRjR!4MS$IP6zKQ%(V-`Y-+sY*)vbXvlIiz9_BK>HG zOEe0SPB)E{HiYRyR)6w2k|3C`R9EfPGpD_6TuVgSzi-LI0%R?}i?ZBZ#2Oe2gr!|=opA?Q&_xh}jT=^#5o+Z45B4v}g$f%J%H9eBb$09(|2;Uqs3fxK%zA4x0pMGBJPz5*Ckk9c?uE!T9Gk_s?w4Evh^!vwzc7rvV2$l+AFydm94kq z);0~>(RQy`e90otJz|&U&ACJrsXaNk%w&%p?hs^5j;In(T=lcw9hH$r7WpPpE-D8VoQQ-Ti3Pd^`&d`CI@956by2nbtQn z%-~)c%A1F{jiOLM)zYLyH3=CBJl?*-doidr=+9{QrAL1{jdov@~PQxh3KY{ z5CL=(pqw@uZGCzvfyppL^aQj&Qhx(3U%*dK@=UD6<^fOgL^*U@w)~By_jb4CtDjRg z`11_rDJ>=)lIm!Hx)h^dP_)t7CLLM#P(SAe`O)N(!^mtzw~MtI)G=08s8yK@w8^t) z9<<2$12jP`tX%;gvzrs+XK;#2EGf5_v$w(=Af`I@jK_}K2=$ytEjI(ns71r*L|()g zc^TTuHx^RVvE<|9`Kf<>W|_qXDE#&qBkZ{}`7X)oiF5i2>EjnAdF0#9t*^iPVx~MA z&h2f$4<`%vLZV8Gn6G)IgyaE=rM}$m2+!uqUCO!^qz{g7TapU zj?Gz4;Ut#TJmX4#$LFHlFL5_!<*6&F-Vxo&W9T#p8#BVpyzq@zm<29fH0V@PyyL766AUXZV=XJ8%^l5G>1zC`G;vH zaAM=Z*>mwE<1iBYfM>R}6&=yLr@qE`7K8oZZ-uiTusG3?(uaE#O#8k# z=w91bkPO7pd;YDiAm|rYE3!~eStzb=xE`}x`{8)j_LC8w-LXBxH-$Tu zar4Ewg5g%0!A@`WHQv14R@fu0_#`pSv6R!4SJ~9Reu;m{F5|p_m*~V2>*s$<=|1hh zfVNIyUf-ap_x0){8bm?E1o?YJSDx2Re#o#XbxRE-LF%I}>$07gh|;$24B4OW9*U3F zm_L9bglm{(D7q)L>S`0o!%-L8_LE!~@O2YKfjRy+#|ct<5@T7-ckcb zF!kdl0Q!=)ar43)grh*Jvy%N>;@PE`BHP|1mJlt0KZZ<6IU2~YL;2zW=&U|aY9Rd1 z`hJa6Qbd_Bvm|i97qB1T|5w(_c3xacFdr^jbEc4D`O2Qr4vHDdE`)tp!GHve+YAR= z{Q)V#n$h8o8X{u$9_m>Im|A%ZcQg5Pye070zN1E?0w30mLK{j$H6S8hpX7;vvSnPM z#m)0eUM=*4Ze>+f_E+W_L_i%`9&W_bh|jyxmxsI=@aDemPZm(aD~}oz^DCrUrANoU z23Mw(c8=(`wCpqe@YyOhNiphNxN!=C)H79~i2va-z4R!oqU7zGDm7C!a)Yh7EteK` zrgru&I526(u$0gHC_4qt;j3MB;wij{12D>~!Es$#R?vyQ6oNL~48oXS+{v1?or=On@W4*Fx}v!rEMNEea{n$!}~D z0y0;t-tW9Yi>B|cgN|_j{713Ob0i2DYxdfJdcBYXWyhmS*@b|rM7ipDx9<`SiYRad zICj8J1;dP^GB?5B9#_$yCxF0sZKFKd1x=Uo*7OahHaJD@x`Nv2}1}0H~vcGq4F9F;LoHJ%=u*Gg=Ek zag1K4?G<*>5jU1OmQ`v94BXic`$Q@>eIJ{fFUvr+n_M(-hnJpwy?kA^w2a~Ry{%wff5<=VWHCzi!H(Ah~G*t{l&6_Al2iPX(Wj*I~O8qppxWBQpB z-L$A2H%kB@W+hFTlnORG!x#PABi^~VYXCG-OX)L>dOP8cXk1iSF--{y$8$tw^2o3$ zKahB5mCjOn+6Z#{WsNR?1CB~a*~7fhuT26$v%#L(;xDh9I%ccVOHLZ4unfIC<7&qr zxzyob8FYkbYWvkf_6=|Xi|q3HVW1dP+u{n(D=m|m$f${wr0>snxoCOBF3m@^?YlcS z8=y`kVV0%Ix5bR+Wz5nOXVBj`5P;z6pgvu8BF)cZ4`r9BS((n~H|RF3SiM=A6-q|iqbdu9xXXe{|{pw&RJnFzTs7~gqnUnjUSOtZPDAGxYZ zZ#aW%quTd?5c*4MF*GovBSDuMJW_r+yaU-xK}o=_8xd0y0zZ<%g;+mu0BkL%#=SI(00kJD*^|>v`d5V8!}rTr*%G7d_V6+BW)>EeE70+J%3$o0KtK)|73{S?NG@ix+gCzwI?0t2__El|7 zL;uj6h#X`pJ=IaBJ42?nET?R#GHF}^3J!&e$msouI-wwDn%*9;{fZ`ISca48DpGMW ztbyWq%=Lvonet9pV%=LS;}^L^7n&Q=Dg%isosFyV??XDm|29uOe1>S}U;au9Jqv6k zC!hL!>Z-6Cdr?dTQ;r-9Lz;JF-+s%eMB~g0N=qwXNiaw&juBx+5SJ-Tsw|?O`8(yA z?wv$z=eVZs`}3QqMtVgYpQsOpH_LBDpVvgr`4m<6DfKD?&{Lq=os;22;HLGAxv$DL zC;NWE(pK4b`WBA3BwVXWRZxXAes9CM{1q{%X8x@50L}3AsvDWa%6)E9gQ@v5!xGaT z@4ZlWTFXWv&w$Gfpc?|>pA}m5SP~;?t~{hn!^M54SCu~2Vflkzx5zlG1-VunAqsk+ z-o{6duvga7CLe}4Fp(JI8@_TrUr6Fo7{n_ z>t#d6Q)f%s6NRGDi@r>WLxNMPDIhU9of8`jak_!FGXzhN+@g`+<)s6^NFw&?tSn%< zBRYLu{gWio!4snRO&oVO=!lXuwL?d{S#mCl3#5fcHKzQg^pX1|=kLLgD!g5YC}aEy zDdsML7>B4`V1+Cc0Hc&u8g+ZdlkGYU#P_D9m&`h%wWw|%eo}BR5D;@4WA++iAoZ3q z@v*fyZRt*+9vq)pZ7U;lroC$I;`+DE)|`WaYDtN0X_ogd*O)L&aW~0Zaellft1m%v zV=;Ze?t-5_DbthW+^Tlr2YcM80;sn*)TE0xv5|7nwj@ZB=taN*ZlLbnS(R6{Zg<}^ zlm2MUtwi0M^9Br>v5x1n8FnzMy;a&%SluheUbaWEovAthhls2`S$9)LSBOWi-W2n{ zQ1aORACx>zB?)O^k^iCO(bEVS*gGkjn7LRRIQ}27JdXb#%j2NOXJDacz-M5fr~jW= z9t$Je|BB^t{7)>8{{JB5vHxc%kBQ+wLwS;P2LBDiv!!$XUw}K-{~fqv|1W?$Mwb5! z+%d9qFwp;3z#S7k6BG0QEpXRqqMWU@%7RI4Zod||e|vi?YhPwhx4o0Ie;d4i8?cXw z&ko||mL@fOahr7e_T?o8b7?@EV|CN=R%^&FT3s$kW^8B%g51{RQfO3Yb__N?NwKwo zzRAe2NC7;PT?2yyXlF<&%Ff7$AeLW{6p~X@UVs#j)jxb|3>(kd?855o3{KC)=-8hC zNbKLrKgL!A**}hh5AMvU?9v9#2uKd|2NdawPsT|kG@pfOZe?l!UF_+E(9q=O)=baj z_K6b|5_{`bytg~}Y&Q^7bFD3)iV7g4h2+oNgU!RgJNqJI4w&EE1d0wg15+ad=voS( zk`Kl&BOkRLhY27JfR;}*e(1LOcOd#Z`tKgDg-uOK zj4P`T5rBLqC;Pes16^B3=VB&XK=#=+*7W&x)$c)xr40a3`v$uPz)nsqpXI)8lzl4` z00&U^w7(DdTNl;8I)q^!73BMd*!Hb$@w6tzPS(z`><`|{DtPHGebp}un4f>&uY`dO zu2L}OyzNH@Q*A(uv#}u{IZ{j%k zxMX-lS@|MoJNuXM9Y;`HITfpaVjNcg&=B++SDHZ!34seMi>(m9eGdnz#oa0aCvZb_}Q&XCi@0s*_sABJ3z7UkI@fl;D?9_m=h2kkRKdier7%U zz-_Qk^tdhkqV2uL)lSZf%geu_fCb{*#sJFOtKTE=pN>BOnV4CA+gV=ZpQybwHUJW| zQCZuC?-qf*pGaCGOAE054~?(Uquom1YR+Tbjl@eUo6NO!%?=k6P#UCc-rn-99U)#lBdO5IPQ%Q20Q!_*JFJ2C)`1T--d7=4% zvGuQ;)nBR<2S#s9cYI9-%e{@pFJi^duE?t0(WmRN`ZsSQfU|V<^XXhAoStC z;12Xa=)->k(g0u)e(=!#0+)Etr(}O{hd}h?-{20tA`0K&48Z8ge*$(2%D)2D0AMSA z^H_2%fB3a*xuJgo)piR1_F8L;K*ulflGqSM|6^&|t|0~+CT0(oOR0=+*!mCgkEim_ z!S~4e8*lg(b#i_7(}v!xwOG!Y9+WmIbO`e_N`?58$3<<1cV8N!tfF zW6wg%4{$Gv(VN_ZU8`%WAM)7q==3k%OIBUa!Pdf$UXH2BXWDZg)=w)F`t@F>-J94| z`tId>kz{EbC;y-G0SyO)xzWSk)xhf(*g4R*&?72{@xiNDdYkm$v58mFk?}3WcTtaB zbB^}Suf4lf`V$}0L+U{tG@`Nf*}K_|osaVeaPNZCkCDt?;O{`d2Tj!%UrS$yCjic$ z?8jb~-osnY+uFqJ`<%sn9UQQq0ScKI7gc^|$ixdw7*WoUD40I_cs_w z0H7WJQG4hn*HLbPREih^J?~*C(#ibs_Vc(&h7XqFBLcKVvdxEj5hpfrf1r@x8DEU! z5ZA`U?M_~a-_{XKV*&J4Ix3nxDqrmka!6au&+(6af=I}iWA8#W=<)chH>xY*g3Ywq zi8X42oJsQ-I}(uc80>KS7Qe1IQ(Qlh4sp^23M-x2AV-=^Q%ga&W>J?cvC*6Uth{po zC(j*C*jW?pEtH~+{z-Ut?X{Gs> ziu{r53yW_($W)5A%vg|cC(-attO2BKyzXkb#Fh;F+M>G!&No5WXX;=#El)d}PB*!! zF{cpQQ()6NLxF(O!)Ag~I?Sl;k(G%Uv&!vK;ksw8Gro%EGoZ~Y8qrVYd#1iOLNCn{ z=Bi5s~9U`Ew?g8o=KQTF;&qxB2kZ#GN*EQjC*j#cBdbWEb7t%!Yp9BEqEWR2p*K z)p?*ddKF|nXoHI?@9lkApt*oir{*dLdo%j|777 z2))?&x8a|`#q=Cg+UL7?d^TV#@B4yNi@p{tHaWSHSM|!Lcy!cj((U)lijp>P;2>2P zIoc2Ihv0H6*UBpL?+@X568Kr0(I zf&2C1n#V8RuO!|48FW(C-0&p2;`{1{7M)En*)1@7Qt!fU@Q`eUeAOYYNA)6Q!U;cR z+~a>+*T3H2E!@N_E<(IUn%>RMl6qL54jBj99%^Z=&D(m5i+08 zzO?PtGsxFnxw57$kt5V6a!tBl8~JOtqME=bp40 zJ~9YBLT!-9p6Pnna1?Rd?3xwxq6+hUpBi=X;UG+;YL7Je3?hm4UKge+E?Nx#S2@dW#=hObuic?N)%Tf_n}xf*EjyH|I z9#0KUSZ%B4@~6(!I2hgqswtV62S!DEjFw!;6%yxG!0Vj`l5cMyYtY&b2q#UwbHTJ6 zCz5WNmUzE?i=Q0Ss0>~tm9!!L1YZ?7GO~+~l(p~NIA{_527Q&?QUv3aO0*w6?=wiLMa1uy+rE%j}f_+#{Gt7@%ag_$ZvBG2P{+%Y@=b|C6l>M+q`oNifVX+hel zdv_w8>%+C_Rd+*HsGaNj4q>-y@hBfN|iiUxg2I-8_YWCKogihV}Ei zwcdM!)}weLz`k7zW#1`pz*|%T4qe7CS?MjspU+}Qks`9OygdJ;w^o9!bdccz>WJd( z#!{QJweAyRp;H#-Rkyb8a4l{2*g$cTe}ZaK7?-R@qH_}44T#c<5j`TCH;QFI-Xbcp za8$1hiAB%4CK5rNUio6&Ua;u~2hJ-VxkjFFX`1E?@6E1p0^6$NewuSTup;AmE}DqGdB1a}I4e9av5*U*5E--pNv+PnXC^`@oqv(Li6=VuuKFEmoE* z_UpRm1&=ntK-_- z*^l_;F=^^fDsj7>bJM>7J%qO$`iKOpK@SbEVHG&!dcNLCpo9V5iat(o9EcqLb{B{8 zRkwj^yNy8);lJLLYw6)e#$q5w%y~P!|@yS_Qvg4?Ey=#0AAsckQ0A%u#bwyIy(dIL@ee4l8u+uj&foJ@Bt1$5ajboE%UQ1 zN9&8WKC>9yNM*#hLh6j#!)y9P-zB%~Hnwj&uU_DAqmS;qRKPA4ra#0(sdiTVBAddb z^u3usO@*}T_gACsr4Ut80CmNOTLZ!4V& zYAgR;BZ)W^l>lA&mGTYj&6zM8tnT%$Uw$f2N(sSN2xaCt4EYQS>Y7HFC~xm6u6yC! z&n$Ew6I`0N?6d78KOoLyyR#;AFdK~0jATqe^ger;khASPko3?@0CI}ZVr^^b=-ZH1 zOXnp&%9XRhahdfa@*lyIbLfz`6JU8A!BsPdHYmN^*0yQ3JO5D#^e=$Xd$BD9d&s(- zL(M2MHpWZBI+RtX4<4n8ZC9iY3~mgEEi7@t8->+{xS52#j?+jHM?np7R(^r5R0if( zR)8Xk0+(eGB?H*4hft4I=+L=RJ{ZC0^Va^9cGtKBW0--6j9h1)dKlZfOhqKf(9V+b zW3Qb7XhL~T4o%iOL#7wLF$>&THW28=rvd_?`}%-4#Pcy6){@)VhXF ziT2(%px|3AJJYB6f=~VOK_hvd7bh4gof(fROCcN`HEQMcwlaWZttQ*@iH>iMxrP82 z?y-{yjvx>XFB7kuC#H}GrQC560!`3=v%YyR;}gm?sKe$JwQ7h~%6epHJSo4etEkG6 z@IQ;#@$qM6G8Ke@tvsn#PE^3-IJl+?4Nq=;*UTp5ilpo_4a9=_0Kqd3#!wx9Mp=Md z#m$_m2Ls9Yht6U`S#R%#FBP=V9%ttE^vU^fuHzoFBdx3u88a(rB&_FjQz&8HWo21HpjgU6xKN}F z1-@f$MzgLuyNwHA+W2ojZP}^hX=$%jj=O$M2&N@f z^(yis^>;so7Mois!2x}bN&@Lp{ZEDfG7SV1WgrDHrUetDE%P2i)_x8A5zAha#-(wt z?;D$(8oY~eVlkdd6*}-<90O*YVqW+%X-aP04Nqc^Jfg_0qbRT?QUM*Lg*Ymee)zM5b`xP8+ z(=PMeV93mq1aUryIXbFE z(l$8<9kn^qHZ9qr`8_m|!nG1835DX{^ESVX;Y4}7K`H)=sfnbY%71cs@v0%as$;|K z(_zU~L9sP?RO>Xidz>1R@KriT40J*G#AL>l#pQ06dSoHTt%W#sZAl%0qp%VAhCfG< zRXn1?F?94NudDPDd52o~BlA(1TP*UFhO7t{3wg_n^xxs!Yg?csk^8AzYxU@ys^t7$LARUiJ*9$j1sU6Ol>fZ z@Dx2ogv{7Mi_sRK|NhNVWhs!Ge>b^)Gbs zgNE$AFcxeH^dRl!i}{s6(EL@z<^(~J&47;#oa%P8mED9sY3rl0rjU6C6g!ZEgBVD} z;s`5I461~JlKDwb2eBhg8U_L!wSD>H?^Al8tjy8_{$evEJnNcz|4P8+5>HdF%j?3E zhV7{&$>Udm5?w;T`A7X*0ukw6agwkHAu(tOHE1G*BU<829|=4qtZ1;U)3COPEH!l8 z^~}c|a=(A^pSd%}M_|nMRSFd4Lo)hcBm(hei47bD1bOgr2gp1WYz0J6(&TkoF|B}I ze`=TydS=2p0gQ|xYGO>ue)QP%fqtho4+v2=fhIw7DFR@1Wyt2OPF=>-VieJXf84Ty zJ4x|wHc-ouZ(!rtqye-xf!>0y;%L25-yZGwRn$R*Eof;^ZC@Bcx85R9*sYq=(Nv|v zeRNu{HA?c&CBl@2o>c4x4H@;wmQ$?1bu+bTlPGqB1C+SFS3FuCnSsY@BZY7 z479+MD6Qd#F;)f~b4j=f>gG8dxTJ$Mu60z`WFEr1Z3a;E!k3K2h&hlS>L$uONHAwT z#=yd`=_Zhv`&dW2)2|AoJR5dYq`M;L%fd)xG^n8Ng_^P*VBM_-BM%@m5?_APw2>x@ zq}K|g&v0vtb!O(<;cXB+cO2poRl4S$g~PQX!J)lHn2WguS#_>(wQ%QdBp7lFG3xBl z(Z6G;W|b&D;R1qdO^cx&WiR;01U=Z!}Ws;*V_E$|! zo|h~YXeC~vz?ac&GlQPf2xJckWsr8k=a;t^wlGKU;aK1hU=m+F+zvb5JGQ^T(%Ua_ zmB8znU&TgfIEd1i59c1L_W0O@!HH%+6qn#QNl4cRbQXe%L_0yUiMduKJCxA$IIGtf ze`x~ON?Zwj%~2G-ssr9)fTM0kiOUe}MVd-N@r2(ATCwzb8|GH3r_^C^pcmdSB*DV$ zB)q#?dOgpOr!BEc3NUPW`aJbO#%)$-_YyV=5asw0qhxO6wwnqTUT-0Bf4?f15q?Np zJRiS2;>r6O@if6Env!}L%dk2>F0H;;_Td>ve5_pkF8R=wjMIXMVn-QOF-tJPr&FMUH zSht4!_`#}kQBlLlQM3rztkrd6&B^rY0cS)6)zHWfI!=qq7gThl)gTF1g}lyCm#z~f z8+=l-I(pTPbs!pR!Bvv}yaX5uY zF4JzXrJUMZoVcv1Vf+xmVJZH0FN8@q;~}syb}w&z3w?DKK)0aTZ)r4HO%-7(FC7?o zC*UBx0OG;mm!m9euZ?ZDE7{!x%#~p7@G(YEx1ESp1hJ^OFnWRHkl0jRIk3%DLG`2% ztxiH=ea8yu9(vZs*nA>p(&|g}NGVq;h0fw|1KzaT-b}oF_hB zL1`+CDwh_RjaXxV`Uc^C6-$wmhWa(R!vgL5qO!T`x2?x$Sin#tx*o5BCNxU${Vpwm zS~z9bt(pVhYeDvg;K%y3l{KNZo%S4wb50si5EZ^6RSdLZ1Q0ZfCpU`Ef~9+fEK=8E zk&B=^g_m9%ce9)_AxfSe*EG?j|2h<%3bU>+@as&UW$rR7U3zLBp|cY}YEmEa(t%}( zm~vzo>N7a8S0IbTjiJ-b8?ejngcadOwnkra>o;2h;v`LSK-Gotq*EkzbYZG|S$trC zp**qTIEq;EdVhJv;1+qG`@+oc!1M3`%Y&+@HDNFBx9Ua!3CYg}%{>lv-OnxhjD_a( zw0KsJcFMTrW7=9=N?W@d9Lx8Z)tID0O9>B#)d|EQ!V;RnCHB!qzM?Pxb7iRi>9FfV zvLigz$e4ALXtY0B`_pB@2@_nGy#c>FKc^e)Gl0WY<+LiF+Yh@5w8#gm_|oZPBS9^w zsY(~u{fBOyPL`-ht|#h)>in5XOc0|}%KVt<=}ab2VGJ@s%7P}8!<<3InGvRFczubg_y6aS48|N04l?zlC_seBs{VePtSYOpbPGtrTjp=`@CNF)N3g~=ILb$C*bi}8|l7Qrb_ z;Wi_r8=R~Gj(#pXqSbC}CiJ-?ig{h9v^(quNs|KUl_n zIDN>TRHpWfceG747)%eRh76rU^Az26zfK=H&g&9WXb$AZ@MrmkIApM@leZa)QJARo z#HP)lYryG-Z9p#M?^g?dy}z52QtpdW_?Tu^!@nYQVoKqL1cQ1c73C#AUa?Z1y{>Ys za#$f@`~xJKNft4{cY0KQ_;;vywrgk>v7sl+Q)9@Pe^#pwYQQHMWZhB#zEw=o<^%2w zJAF8EMEtpkzZF$fbYYXqT6zP$74sX!&WGJW*m4KMj#1j z>!i}c&%jMnv=KS}@p{9_Z_%pnQ_1hZj;bD&55cKsJs^sWXC&AQ*8Yo!Ox`?*>&_vx=7hMesPG;zk!{pS5eu8a_!UI~7rI zZge;?D-j&jA&eDs;)_VPVQ`{J>l^A2c@oHuRASwng{M`lZMGCKhlF-!Xf_L&@;|Ljx8v zrjqrbi_Tq}PosM}w1UMc+}N>W(-LNfPI7#45Ca}o?#-7*xDqA~?Gh?dElSR%f3|Es zXrfM_`)|37*p^TSPKX}O40E$tVCdyrK%Il`aE;87ft~U>)!vgn!n*sCxiDHUUIrZD&hlyGKN?LUbSM`=aYNdIs7FIE^F>zOWwnLP5B?3J0@2!#E*>`x1uR5WbB`hMG*G9FX(_R^ztCMTT|tyyBSbKx<31Y7LhWiPYe#^ipL*e#C}Sbjiac8O(xq3kQ`K)KNXQ@{$xy;J6Qf z4YGq491EvdCt5p4zkfGQ5Y!Hu*`Uc=thIq7OlP&Tfswqk5~_ndrvhg&xP422!AjvE zsAgm_^P*>6yh?8f3vVu;UQ~{MRcOQ@U*{#-2GD|I9o z4i>9s4&^hJ@u}o(?0iTtN}wPt`YPO&W7!Gq1hqOf?0Ub>fN2$7Ze=#t;s{JQz+h|{Bm??MKi=cguu?krQgJaS>4$uLS zpj~(uatBbq*~h$WU6q^$@!8iyxruI2d(4v9(NwsKTkPUBOH-&F14{1YT(uhTZP3I5Ta9_m_z^M^EXYSl{#%KRdRf#t&U;E<$qTl$HfDZN|d+Q zifQs{3AQ^w5Ms7b=yGb-Hr6DHg=kW&=}`CKT=9zclsx*P0J|G@Ge@2)t$V$S5QS*T zTZ5KQsqq(`It!$G5DY>H}973>mGf(?(R4K@LA%&vHNU)t>V~ zJr>`^m4ON2hnneqh=4EW&kD{>k%?`)(hmDn(@bRJ(5 zWPWOwACE%>hu?pF+7P`(CoM+{Rt?}+aUG>J*4M#6`6{U9g&-D}}p+ju_vU_`V0uA=9s);;zJF8K|8k820=9VAKo7cN zKEx-GI^jdq(2;2(q8iI9H;D4gOa=95gsQbiMN8P&PDO#nB>JiMcFo(IzBsm!V_a zDR-?dvbG%WkKKCs)N9{8>>fn>>kDN-xaa{8F^f-V;n3?8Cy@Mhd$brls;=a~6582> zWk8>x%Zz9jv#D`jCL@`T_>z=6=j#Wig&bZ0Ern<1>9b8S;eN^&ywop!As8w=URA_NdpW=fl=ctN z8xk9t#geB7y+0lKkJC0y$af}k^P&pF>5#dJB1B};;Amu@~s1Zd=_txO@KQAWnIW4RH4K_m0pss`VyX6KO8;h43X%_RQ?&+}_GpB|Ft zoho(Ps7%Bm0Zha3DI(my`B)zB(FL0(8zfRW2Rf1GsgK5OHHC028D1-aKQ%HZ0pzDq z055Cw94~o!?Gzb~U9U<)_<(g}~3M~&}46`+z-sOKHrqAvT_ntndb;o4= zB$P3f3ICCMaWkur<$MxGmEAe$d2+p z{ELt7Q?k_1ek|N|!&Q(Fega&qcJZGA%oI!9SGpiwz;|bS3nGNlUvF3KfoU`_a-Uqp zPUINAr=sDda??WOC;JTSIm>Eo5ilz(vXJYi*a2Tz5suy|6`tG_CIv7h?D_$pO|c0{ zvSZH#zfF(v^hAu>=R zh4~J7YX`#R27X?LL%~1`>dEU{vl({Z(?g>8o_|-%?7EDbfO59C!|{lTuJhsU6eXVSC+}bTW4-5Xn|Lk5+v4x}4pfSR?KBc@qv#K?#>qk6?5|AT z{KxO}Hj3g^dgpq{&os1)HtJ{3W-BHl9B;g%Adr8Y%>WQa>+u4l3b<|GOLvpO%Z8?< zRmP+@Gz?JG5WzW$MC5@4STgEvt&!g7Gm*RL>q`CqTWZ% zTat|)7b*Yi=NjfjG#J4 z3}d3Zni`admukKxaF-Ny&E|J5Xb3$z3?6|R{3R$q?&;Io7904^0NrIwapN`QTWJ3` zbkmXDn6Mup0v5>90UNY{9bnm4+Y815^tx@mMLomGJTbywOlaCZ<|XAUa%|6rvs&cJ z-iI<4@`fF=yN}8po)cEQ;_~_nXb?~%@*y9g8B$#z1FPty@>a|nUK^4st;l@GaE9YS zs$-rSC=+t+y}~V8`*bmrW&^X;x7*vwgv9fmtV2`T*Qk)LB9Ms&%#X!waPu>!)WJvL z84RzjUk;WkY#hzfmQev9!!Wg1d`71=anRXm#DXuh>@x-B(PZ>uFqR(gj_-7_azrn< za#2Ya9*dY$CK5}slo(|P4Bx{!c*(nOFi*I$qJYN9V6Vq!q-KbLS4`9>cLmoD5?=7; zq{9mQLt|_Q=!7~WGF`mPE#E%OdG@l!ZJ_ue_!4mmOHz9&E0tL0^M#%DmV6X727 zL(T1R;G~jbG5O@sFBiL6UGB_jMeZvUx%c*T9}BlSbIA>}3ELcIF_q5oRG&6jO6hV0 z*CTzdM>DeEvHJ2~+pT*Oh_Ng&)?w}D#J?I$_|0PG$V1ESCmIR4$$<#(Dvx%yxTpGX z?RQwIgE4bf?%sd!E`m>iMZ0~?YZ9IpMPR&Ww|yF zivcTrXP7AB6LP4(Rac>^bFs`w#{wrLNxC~l0&@o*qiNGeXO(k$#~~K}8NvG85S`~Q$E&oxCc2h7N+T$i*aFK8OV81 zu>jK?!X7;(OXW-vdxdK?Oy#Lv(&w>14nb-Z;;PBw3tTTm!AQ4UG>ngQ&3w*hy){ey zuKJkvlL=5j5~>SM2pg7g$C_DjnBlUG|XV>zG$^Qf$GabrHt#ep=~0!l$*D;RFs zGPLyQwmp2K*b`5{(bzKuQ3mOQcG+_c4ket2FOY#U=v&9x;}47b#OgX%McCcjcnL(!-^}K&$A=O5 zM%&eS9y#%2;9qK6Jiq!8&=;loCbny5rFwpD`T&QQEScd}N-W*jqos7Upp|DB=r{Ar zG}YF%JaTn-(XFXT@WT{FZ!(_D^%70bdj-pYd{WJ+(eL|Z_3sReUF6lgjzX8zsfFvW zvfB>DmMMe~&Pfn?kvHmPp{gvcy<5vBXhWLavOi}{OkN?Je|jiQ7RwW9ndmQ(yrap` z{c1?6@jacRc@^fgBlj>wvA5~_O_|E94THa{3{v%1CXC;tkd(L zkYi`~;3SZ~%v;;4VPR^@xOxEfr7dzrzV5-`WClHq)}0Im<4_9zF*8{crxz`^=n98w zPM3$!0e`dfYmnHoCl;93H>nFmk5!V&WK?&X!+1`pbXnA&FQ(j>aX_sM;ZRj2&SuDL z$deXIGCSC-jJ@D(3A;k2wuL!bsm7)vszq#@E6ig1j4clp=AZKjDZQZH&kQw?H7HT< z&2@h=3Z%;vmo37NwNVlqQkm3!h&g|cpy*%dNy2l^`@z-`JNr8-Kt6uP%>`d@Y2!r2HeZ49C9c|>JC$@*D z>J^@<2pf4r`#G_Opo`1vO|>j@lc2n@3JLWfw(SPfv5-i8K1^%0iXoYIJCHk$L{r_P*nNn& zADER|z4-$kdx;~TYAE0+6%o@(WZ(;H*hWKP>x4KCwOa~iaFNc4nmCU@lhDn;b~Zk-)6em?kfUN$x1h8*qk$&v08 zK3ukd=pIt2YjI7;;4>%Zb@Rt{1<^}OR&Ga*rM-`gtIb2*I&~k@KJyFADpWVrz>2ku zd14R7;4sxph>?!b2CgM>yQhKBF&_#9XvOA(Bd1i}PoyDr1=X*dl$hXu&gR-YJ z3jX_VJ3zh98h-+w?3+q?2HBX=s;p2nq-M`W&g^~ z2w2S;nxju$@rYWM@NV^eS_;={)i5X{vK>UjKZ@fm|&; zf9~QTS;-jWF7v)Nz>8GvJzS&$U7?@47rdMW!S<*%n-Xq&$Y13hvJ5#paeuw% zyW*ck!kZT)7}^?CY1*eP&M6#mpl6T*%w=WVtuv=hz zY(s4eiwNL7xCJ;Ih(Vn~ybdmU8kV@CrkrRC^5Dhhv4ZSWZ3vtRm#>*vFV^~B&Dmn` zrxms_Prkulw)WHu8M=8?LE90jjKfj}mkJv}t_au>R-unJ#o_YetCm1jijbm#n~X6~Te2!&He^-AeeMiEaM8 z#h_$fpqYZ>Cq})J06R1>?Out#5nrI%R+uOR!-jb!tPyl4Q1dRp6@S*JV#@VMPv^9c zUQdA35D&lR`eyByc`eWJJPB-K4{UMt+q@eWlejh#T{h>0bkT7>&xo}gYKHZd2;m-7 zSwGq(3svzygkxg?_ouqb4X((V(BYPQ2L|#@5sY40OsbHYN{S{DDHz>tYFQ%BX`NA} z$OfUYAbSK7by`|i;WaL>Qt2+Txo}-w^qYhd*5rC}TZm7qIXt<1c(tgis<_LJ&47oo zyvf(G0ON+$(|wPrYNe5wGC}8y3od^(8STsqzSLC7pQ~bH++iqzZfdqkn=FmputunT zrsO8y34U#WAsBf%YHADPDOPX&sk*|ZcZ zMmoeV6(Al%qf?|Oq+MZMA8UK?6=Lt&u;ra|d5`y5*?nOIfQCa{rrDGY+C7Yt%Qk3b zYwY6>^)8OM^xVMjebob{gLOMK{T3X$(p-ft`Qv(hb6krwf=7%>+wY55FS35H2;t$# zozQo;BqUHobduhco>5en=ER^3%9#{a2B?69jOxb*bxC@D`yrCN348r)+w9imP;LI5-VeVR0R@ndmJw1cL6Y&4 zK)~?;Zdr%~#1tQNgWPxh0at@(Ef7UdQu>F5A_l(*GuQN1wBUky;!7N|ymiiJokeJv zaJ&5|m}O?(cv=+=5qzGl18bOkk6vD_??w8na(0(dM7E>zJ3sy(e{ec&W%$P6O#!~d zMhD|0N&|2T&aO{HYd**`W*rbVS0x_jQ*UvT+iDp0U+#p)zUj)%F%MU_KN7CDsE)Ev z0C5AvC~(^IZB2V!IVt3EAM1_3;C@XR`Qn7H$PA*X)Cov8IS*eFsFgZ&)jGwBW(@^K z8yE_2)r#&|28;LrT3iDAvx+~EPkIM7;1IMehgb$|ny*dEAq6&mGnnOwgT^e}4pxup zn{i2h5YxeGFQA>N6r3iS#RythOpCqc9^JO6|jE)xNh+)rS7MzqXBX8;R9BUUWEM{dsoDFSY7qDK)-;nzI%? z81$>!SC66)zVltOTpbxx)O!xR)uWxElJP>Phj^t$lfJ^R(}~%uCw{A*h#JCv_YYr+ z8|#`I%}ECLr8(I9tv?~%v)!gTg&y6?KH&G{6N=e17q2ZK)4~isoKFoGY?;B4Mmbi= zOtjPbWJ&XVZNqE+ zG}?kch(fJi2wfOhT8tWr~eneE1f2&c^?U>1bZ$=YW`(q6NJU>_*W;wC;_@yuvS<6X-y zwJ5yjUHOFe4hU8{TWaPu4f$MheqbX)N|BIw-yLx_4R$9oTOiszK2AsyxgINZ8f$8| zcZ!qy>!o407NdlorCod>7~~Cw^__|ORV0W1vj8xQ+Q}PhPnfNK4X#F2(8I4zy`fX5 z#ir`w0Hd6aT0AX)(}1HjA@E`yU6phcOMUVp9sh;m9JA3Z%r`_~T_!E01%9WO62kTS zuCwb;ba?4}l241}=Pf@rodkJx$M1eR#LaVq4I=p9=pEk-3*XhzW0~$E57Ow>{1SCz zu3uj&35ENdEjhGkCDE~ci;3I+T<74Hr6e{B_llcBiLW!&VS8HxTS$a_Q`uL|tCaWs zAwdad+sK+0+p|**BTL0V*s#(-s3K{916fv5G-_DSlFh?6x02I{$7#A?72#8y3H$xm zrr22%^(2QtIy-L{oH|p*577N;JV;s+=XZUrPFBSOeAEt?%_ETZ;Io<;+Snr!U{q?{ zaD};N0?s}h&BqmTtAaiLGoIin1MLsS{1wn9ytN&{Sm|1piG<(L3GhO>Fd0kJ;^|-W zgd?Ok&8~-1qfuV6__Nb{#%guSXHV+Q*d}DFNL7rhvqd6E$TAVAki=4KK9%fm%FiZ+ zW8g8Wo2bke))pBrZPU{2@x!N{6ik`#)VXk@klh+tKepy8nvHU`vY z>nad*ZYK#05ovkS8p|yai3LG^j#ZV(Sx)Vbj4oL0Iu~%_q{8G`^5J(@i*olj>Q0v2 z^s=j!Nz!obW1wutw1lR(6Bns(>w3v9HZh_v#8 zC);+VhA4-ihc0^h1x)G1UVrs|>4L`^0lE2A^#_t1vsP+FEK`~La=7^Yi|wEfidQ_$ z!)9xrCU;umGMnuUy&7&D6tQBNOHjnOl-$*3k@z0a)KAO;xr>x%GPU7ae+G|z?6pN~ z-Eho%_WY&pK_SZ0zP6wJ!8Z|}A9)|nlB{Q@Y&-?fr65!3`QDWX8YmR!OQpzO>azNA zXQ@nQc5NyHL(_TgGb_4~zQ@)lH*@xbjJm|~BmHFcxXJuVIXj)xNHz4U2CmGR+BP3f z39qb+88uyR|3KenkPgG7@_@dpH4>7EbM7^iu^i`dBzieM5?N$OGeLNUNr+qD% zZ-B#UIfL%y5OQw^?>&SS*t+^fKF|K1%4r^YJUzM}gpZ!a;SCSPCNJi9CVV#ZXro+e zyz40xNy<*=-=;SY#@rFWRcRNHyLWJuh)kU(evn3N;d}?nd|uOI2~HA;87qdwrF=MO zOBT=jRD*`{Zd2zGe?Wv<)9mVsmaQCjjLCu9JN7`i5+5v14_aqdc9Pff<%Dd#WKinD zZ{VA_NvTGN?JTwHvOPRX=2NgpqHLVA`HOE!;j;osR`LN)+<80u9 z?Q~IipCF*UwPomQ<&4QeAptA?n7Ym?=K%hCh-Gumu&tV4l6kr=Y?%sq zVRIoR=~;INphqJ4L>KIpLn{TG=5SliM8Jz)LR5UZN?>oRn8>lg*cF2hJ{;De6rf2U zw`(?69D%@^++Jet)Weprujz^33r8Vk$rn^x$iCQ7-B2&r83hoXT#l6QAdZ-S*)tLJz2uEjEk;3smI=#4ku;5L2EyFcD3e(Jt6XBf`H6!7}@ zzu!ho$UA-c_0%(|%f_0xysfl63WfbmK1ilP?x+;G!@fmiuk~QD5E}af*vYVNT9DS6 zZSBMSQ>T0L;gPX2iwu_5$~d&wGoKme^L&z;P3ACI^U3g?Z(Otfycg&312(~viNOEY z#yKsC0$@9|ZQHhO+qP}nwr$(CZQG}9+kNL{?$dn3uG&eek|mGxwEd)OE>CXV~XH?K}izwh-R_MA+CQ0I@O&lk3I8Ap@j3I%lre4%N9 zL9#_gW`MJ|by>+}3?{MukTsKo4VxIbZ%g5C?fpr+Yz~)M=mU_*ofVZbSWwA-7~G$j zZ0zmO$7xLb4H&ZApXD5awaDUik6rD!NGh%OVN2wvPRw!+566XS^LkAP20L}xB8t$iG?*&JP zKQLr0l|yG37Pq<3Fg8@RJK~D_3y@^1V|c1ZW(kIm939U;nQBxSdT$d;=%j1Z$Y2*? z0?6xt+#3IqPN*73wy7u9wnHiSZiRi=cYqz;(*qgsUK-SU`pB^xUFR_f)NsyU^63V& zy{~=rP`RK*_Yj_3i^%$XsXnx!7(jS0^1{Udxm}zuN44`X?B6p;J!P2 zFQ9)#HK)+pU`AL>I9o(akR%H@5w<(Wlopwt8}0}j3QZ139mAEdFg!=beg4$s6N`{F z|5IylSlE}dqPHjfmkPX8XDR&a&9z{a?nE4is8D0YNtQg9nJEayq_x9k-$_?m9aMJ$ zuhd-4CKZ%45dTtDe|VPuOz(yEy=#{+Q?i5fN9j3LT1;>RBp6>1D58jIZS%v(E4@h3 zjhYF;!pm}Z-D$Qs;){n}CXma1UFHnxtar9re?b~)G?5Pxx7Js=IS)hYy}G3aRN*B4 z=;_UrtI}GO-}6LMqGSp5(1Y{G9s!^66bpt?r;MbX4aMD%+kmgrXN84ANh&}e>% zaQ8!>qNbtZ(k_bhiS`SkeBV`S`PF;8{rWIs11q++HBQ}A-PtbUt(}tYMXx+^m#~#H zXt9nE?*knKwfuF%;nSJGnP-oE@-9dt{@Z3yxo+&!JGM#tc3BGb(Dg8i+a+V#)%8fB zR8SX(f+>$QLYdFP%PNwK@9Bgf5`O}Gcl^G9Krz{k$}9MX9tCv(=}nH5S9DPJcYhVX zcO0^x-hSwv4DiQ@3UoFWfWio$YjdsJ?EkBITMk6p+h`tzC)p~R3n3q?I0<%a zRIZ-_@N@`WDxHT+%3)2i1Ll76?bSMU1aOQIB>neKZ2iJ-x`8%T{^MDZ2OxoK9fcud z^SbC#R#YGwj1L=iM5pW~i8msT^Yp!7#3_Adf`EG%VDZWNoTfZ zD;k+H*k%mdY~PP-{_y{LpnU>P$g;NfkAZ~k>!q9QMUXl#-963{33f1r`r1%6|A8W z@fiTAof$EIQSU1uBw5rPiln2$L(iPA8iSa}S!7dQu z(Jte=u1^6@s~uN>;xn*~$6F=h4PB{bhzhmOP0ZV3rqOpG4%7eJ$>$}W!icSb&zi1t z=N}zD#E^eniouPB7qjC&)p>|JA^$eR##=487dg6Ps2^CcUR4a&Shn}z8@B}{nGXZP zWu9qwhBDGE4k@3F{h3Ri^M$xZE?J}D4idwzX1Ja<>vfv zR@251eu1}Shc2|Pva)^HsA$iUC{Ew^)5ie9@h@>8(vGr$OtrM>ce-0aD38Kqh^x0y zeiA}Bjzb$;R4lzx?SN=k`(e(b(($<_S6rIhG03%f7dZ8s#hP@t$BV<4nnr}z+}|wG zmdL8Iu~@BLyZ=pHNS1V4+t%8GGDP(LmeBR{Lbgv5+~wXcG!XE2;W9#Mlqy}Z3~cT0 zc6+ZkbXi~HgJop7u>5?ur#w}pvFJ^018eG#wKT?L+v*0ameIWP1??Z`G>&uTv#UGc z$gA!G?lZ9f`okolV$8TA!86s4>J3FHt@k1b^G~}T&q>aY^#TmObkbf#DD$;oK4Cc2?y<`9pcEI*9=y()h+%%}X#ey#w_*}uN)8BDN(mJbFFP-WYJ z73@ms6bh3=J`LHvXIzYlmqJ<`m-Tz$)WvzWbUt_=*)Q{M^pU`^8xWLT8vojtupo5n zdUdbH_Yy7EB0$#wqLbh}OHG^N3WM=wJ30&58>xQVxdk%gR2PfnKUN9=?7a9+puL8W zPeF%yBh<{JN>v)l(Gr=4TUk7;FKc@}e?Gf#`D^|=7aJMwiE`=^sxQ3|vYgfKYa8qm zMox`nmcE~6jhRG2k`TP*bX89i;xchB<%`+~KfLv?Z#VOAlYw1gvS!6MWoVd$Xe(xj zj_f%1sS+iMtc(X>$}@H2Moa~Uz|Wi;pwn!omj|m(R^j`#&af?{p1dMGPgQdrZqA-| zr05mbS8_Xf3iEU1kF=F)C*2osl|iKixjiP~d0Uuad>qkWgI!`0{0YKws%s#z+szzs zTSI;_I}7I(DYN1jrYS2M`>1K1)w)UXV5d}%@K_r3s9Z8anQA*9=ZtrS5q^N&h0DGD zwn>Lr&3{t&V6{8+FLp?aPiEQ%Uzb}-PNLF7=#vmk93{9%-vf-2+6PzWj!v_3!=IUI zZ>o&yZO+)vPqQgMD$*k<8l!dg(u_j zIIO;I@2AAOn#K+0{6SwLM1wq;>liDk8CY#&!KX_30VUC z=8Rn+A!s;{PE=7QtM<9}s%+Oamk*q`S>LzH`LDMVDLI&<^yqr^+>U_toC;7Yw;C)G zep1#9<(xLBA$;n8*{m|tm!$?6|4Hc-QKo+M+%$^C%~;eYo3)I{Ax)f?+DcM3;AZ%z zsoX6Cx9^~D1thvLaDkD_5el~BOMX||tWKL1WGM{Cz}?Ri5g%@S$`yw%OC-PFw09xb zp9y?8dQT{f3os6p(aH*n5|(CT9N5Wn2NZH>kTh& zqY&I8eP5W2yM8qLCj!M8RlzTgCcK7X1$eHXqGtmERzteW;M|bw{<&{pKYT0)w}ZxR zamy+0F!!&B%!9h|CX?P1rJ@wyi&GJI!Yx-R(fc&i_r)xvXnAjlZgjb90ZTZ&rXcXk z3d{>yeLto^IW?W85TMiMEUJ0OVeotUBoGC;D|cr0SB6?D}^8 z>kr1tpbE!ac!Pi^TaRZLp@sBuqhyG+e&!y4Jvlg2E}G_~r^mdeS=7 z)E~j3Vd1?EVg_3xY5WJmc-;sP8$G%8bhUE7C3mRex10VDJ2C^%B-QXTY?Iaj})4eBEv~JDy3#ad*GTts+N@hnB%WC8|WI=VnYuj#M4ZOY`gO@Rlmqf<@(+`SpY$Z;SwNMkM!im zIGNO%VkjB$ghrI_uCj#B}|e9{VQo_@JDbga~ILIAd9NZOz2u9sJ(+lQ*Z`dPVd zVIU$5$j(1^wece*7r{UN9w3v&S(J`vKwK!sOr$esV9t5*fabla$`e}=`CY2-22Ld~ zmgP|HV97_7clBEB1)Id59@6bbX&g_KKe=VjLGuJQv0z2uDpM?=2^D zi1xVH6M8~hGZo=W-0pI9)SnwAt%+CS5VJ-(YkEs^pQ`1h;%jKg^GguR&DH~7&}Qev zI?85&wg48?{mSJTQaZLx+i_CHsPQ87tXnM`1h&?up6u6H(5R|(IA8Rh~vZYoV$GE=Ob#z1)h&_C|} zpgFzpfN_da8H@R?=byRJ2iw0y54L?*hhX-O{l{qd2)MYR;1<9M)pqZ2@;K%Tfl~1 z$O{?4G#b|}uksA?X?{MBrAzk zq1QDiMON7$_qOD_YkV>fZcUch)3t5&2K>m9ftIal+gu~BwNeBjRAMu5LW5}UcvLlDC|7+uTyr^1K*PJLPYlXR)=kay_;N zA*S#z1)zA3W|qncLFVs5XFg$G{KZBW-mTWY=1cB~z$2tW*P$Bhh^R5;49k_U9BY#? zM1tcs3(A(2**ck9#l*GeFL4y<88H^6ahN8ZDa$n3Uylyk&rjWrahz2}kOe#)HmKZ@ z;|?c2`r*$BtBZU!w#dRXr`lo-UpV| zUq3)eP7U@vVq^G zkn*(0U#;CTkh`V|b)`}{%8H7hO{WxqD`fNDp|s>~Qdr{vScV&H)%Pq@hpzp8-K)TC z?JVY9RVzuQsQVogNMiA4Ow&38B?o+MnxXvNyOu`%$#9h8!{t~nCvxQH=f+LX+6)xqbezZ&f*ZUYtGiy@Gj!paJHLvXVd08 zMWDY}m$9KL3nIOf@V&3DRsF#he_uHMJG@$-Voz-bV5hHr{h#3%HP{=y)}ffgWQ!=< zTgkKm8plVsXl0|(3`kqC>RE{vS)TRPYAQ>BWNB2WT!yPlx^&d}R1EbI8BmKMO^j)u z%s5V$MsHl^;O-7SQr=q_8sKWL9(K2FaW{G^jZXSV~Gh_EMA)U?nv*d*=dDM~@CX zivW~#J)Xz_68f%&PJXSojn@ST?E8jcf?o-N7WA;NYFb7tj=6xnoiTNG<{(T)d3>sl}6~B!}~uh5ERnZRRd+qeCH_`RA4XJb*7~ z7P+w;*wKil)x|bLoWn`(xiP?`8X6lSHz@+(J;Wa1KcKCfI=%}EG+@CW@k3IgUt;q3 zj15O;5|Rw&Vfp4F*X9?9;ur%atmV7F$S)(j8`hi?0hmj%_?&CH6Xh~F;5ui$Wm;`{ z12mM`xMRdgDBusSYEI!?JawSZ@~f)?5=V?o1CvbbkT<}`adc3qZ0Ex{k-NX4?UTAF zolF5)8}q4PGyL=OA7-@dT7iYq%tZ`UUYK1k0mY9DEgAP-sH>WUZtnZZ2;Ri6Glg+=Fl4$NDddLd z!UfmxmixK&Uz3=31li*>7CrZw{n0@4igu}wgv9oVnIX&P zaI;cKg?}y}NhY_sbj|#r9sHeYe;<|Uj1&6H0G^_Xpb>_FQj z7DLe|uczL~+%KFnt8=%^*2$WrOdfA$G|z8*babw(I+q$p{PjqtXAi=B{@ailS|hjq z*nRNsD_}1FM^r*?KO)JqH<(Z6@_qvLuBgNJ z%ekNsVyBDhZbj6blAvZw0&T5#oK<&%5hL`Oql4nkaLYUZL+kR%t* z0$2p=TDF+tAy{+z;o8#yvfLGx9@vsqcAwJ0dyS?ney7iveR%0Fjqd+oXoWg7ucm_F z!Vi*F?SQ~0AtmugN}N>8=F>0azj|shCc`EQi8>X@eUqpQwQxg+YLgdy_-tEOc9s%~ z$&_MFo;S&%-F?-(6tqDC`-w?)0Hc*i>uTEAFV8rk(qS>7^6D6&ZBBA%VGz)HY@Suu z`{dhH;T$WMm&H94UlDqAe{}X$?hOc1hNu4MY)k>wv2lUtvsu(R4mEGu*Ez5!CHz8Q zTqrhZY0TMdPbu~*^UIrE64Z%#`CMEXgPQ|4tYw`_^_Q*$*>+gW(j80RHwpT(!=xs{+Yz}7q%|yn%Q|Q+@N1`BwR<7 zUb=43!@46mtl>mWW9}N&l|aK0n+FqJ6|rou_wM6hsW&Q=Hix}s1+*{C79n*~Jqb(& zdIn4Bsslbx{kxv_nKT1&u}^E5BFc>yY+Tg<>I?fJqkX4c1|x1{gy{k>h>|7&(QgQ< zmjBh*J)zVlCTt%}cJ2QNIw-KPWbI=PvAc1|a2GCnx_!|@`w&+Igxuh|<@93`7Bjz( zx1m7i9oO@`LyWa#7-vwZs+5f>?7Hl%`F^$D+-c0xP$p*yJ)<}64D?P|bHJGBG~vzm z8*bLa=Ng;TNBKTOHsKoHkX~QMQbap|)}D8zVawU)Zgw&Bqu%PIgN10|LKJdc`f;$9YFuGaOr8b|5t}Ng z_1_e`6}{u}#0EuEgI@WQR>wq?fh}8Xs1-T?X$gQ(5OhkWdPcv{io*)&f{|V+>xWyf zZz=pzAQL}lhwwi`&r2WxBO}@alN%J0VpD6xay3;u&8P4DTd{tUVMhyS8&2oqU`j4> zd*`fTetZ6bYJ}@AlR%t*3kvNN_8)1lex-G%Z~3=L#H2_F2P1v^8Nc07FFc$~QsF&k z-lFw{+&`&u0j9`zCK^|K8s*Ci#)NCz0kh_|HPy(7RYe7|p6zfMMffK&@1P$TioN{6jP)1hpB0@RgX)uIRwD2 zmt0MGtZ$Ng|Lu%V34zX%0jp*mPpeY>9CC#@>FMX6)Rf&*tS(UVCirdJw)Jk?wr$(C zZTp<@Nsq{I^iIoNcYi?x#XWk68(8xpsp9KY zCL}`m%m+ zkq~Ia)u7(^a_o(omsvq!*Z0TP+O2w~8Zo>jGnpvFC}O$>=R%5V?B;=}ay3<$qdKuWw;aRLM=}ttFWmg;@lUSrLVL zVE_EJ=+#rYaj+q4sAU>OEbIz->RYc2%2}bWs=AYTNwe>I%h?&!ZYt?-zL@NU<&4yj z0ppCr&J+{-O4-pj+sK-uMcFK>@HZZ<5m9hSEbyW) zN+1UrKnps7(s6;x3iDCVvjvk`h@tE*!jFZ*&#&emLY}8&O$-5ZtsEFtEKajxrKcT1 zCSt;*Sr8MH^*K29?FmN_QZMRg9PqxOo6Cqmtmo`rNASFvu39~lt1qBh#SesS>fKrY zsPsjo)&)INpVK3hT*Xs-~g%QgG#Q%h!l3M69}f%0?F}d(@*bqprt?pKa{YDh{4iL z5kB2K^?oJ0D~U%>0F8p>tO|G%>Y#%~#Q`<4d)JTi^|?cCocy@yo!2X**@V_D19Pi1 zMBvt4TIXz*|2Zml<2Rg75itI~P@Ve&b6+q8(geWS2CJF7@7fahIwqoE2w?~Y-|VOg zicDZl{M_@f!$0~+0&&TiL{*2LyxyDkmS@$57sR5L82?jtoEaop%?yHE1YWKV@?d8^ zI6bn4`Y4p}feDDfv1;UqV3F;wf&4_FS|kJQ-gp%xrBKa?zx}e4`D@%H<9SkTbgnQZ zi`Uwj+8OpS43?`zu4p>T^Gg0RSs&f=^GR4FmBJT$A@FuJmih2w6MxUE$|E<7l(F{* ztxz%_U1G2$kIZ}%BiyC$Ii=|r*hw6x?-C8pu&pElEwGMuHlNcI$E;-&jsXmzESbPfLk!FVS& z!fOJb4v8gt7V1ry11BJm7v0uZj!qwhWL>}Ji;DXA2JM-Vr}Ya9SV$go)*kL>@fM7E z9P-pJVluy)N{DMXhaL2@P*gkWa!mZ*8#dO?WZU~)bhl7EqFZ$nP2@z3u@YDO}^ z5du@%H}jrH6>-ZACL!%B`liCvrkI=^J7gI$qak}?N{8W<4Fh@Za5 z!B`hZkK+ge=W_n4Z_I|8n!f69s5iSgliR-a;jjj-mhSf#QWl;z{B2_(t}A9ZA3E14 zE5w@Jm*MQHf#`rRcO$;pRd7FM8|mSm8TsM26A86{4eycBJZEf$QukrGzxJcTo0F88 z^D<;LUxPDdihyb4@I7TQlE!W)|_>c_jiw8xu!e&~CA}PQE3G^ZJahi&|GQ zx4r`@oP*b+!yHw;i_R`>o;`);CAyES*T=m0gXY(Jd5U<#ONGEA^m^<`XWa6Ja&F%e zQ4tMn8DWU1q1{o}$O*PBW&?kjz5sy6=0wOC0>m=bfi1R3sGFy!guA$2-CwpSxQP(; zx0qMN@x>!~TVS?v>!N^9Mcu`Rlt@s!VxWW;gPCSAw0i__wbAttVb+L#-&&rTIj&`k z)WjEp2-E@RSwgN9hpSRYd3&TF#_HkQUQp&$^}V|Dk#p3O)1Y}dVZO&K9N27&EU9z4HzJ&wbza<{dwm zei(>%Ro5f?-15@+78lxESQ!0@nnPI#utKGRo@GSPz3lK!s49uQF?v%TN1f6XQ@GP_G@5q@4;JD6_)S$MRTsJ zka?ofJO1WehGjqv`RQi6G5w+@qcSVVvFR)fOLQ$j?@cVp5*o8alc z?C_rhp@b(MAj-FiB&eCb$d6a6%hcIJ54sze9}_=nWo)q0R1E$`8&|RaD{Hv+u18EY ztFY!ozsJ}2sLniI>BiuYHK#_W*gpvnFlE3WUO{ZI+zXEAo;a=%#sxw}*d;alVGt!! z0k!ZRMbU#K4%Pe%iAhLOPp2Pb8`!wQomThO(&2U-ENCuz$yQ_Xr}r4YuC*yRu~7)Z z6zr)FSfNlw?>TQy3#Y_`^`@3T(&6#g8ljG(Zqa<$c{aVjkTl01!`UKoSdV3+>OYH; z38${6Ux3M$cMB--XEooHm#2C)MutsH0n=$wmA*Ogn|N@WZePu1O^iChpG!hJF?MF5%E6H_v11eYWjv;%&h(1Ky(i zJG$}i@;M#qbcYpgZByG6L*VX@dFaA)w3?A9;eofz!o=&>i|1&<{L%#RUz3iIS@%D< zwtVtXq2-+q!ZI(Cs9i5GaaMbpx;$s`hH%BD({3bnYhsjM|BOIy1nvY;LEzvSg`%9T zzV4cM%p}|Jo3|46LV6z}IjgjI{KB}^w)S#nB~UYdA6jcBKb6z`7N!MH!j8`$FrvF1 z-8&>idSIittQVigo{YiM6cF!^XX2>CSTV{tRMu)t<_~u?v9V23K{edt*R^K*`#(JT zqr;-MFATVCyD= znewDVEFPTbnzN#v#p*~GcwchP5d2! zFpLtXDXW=IT~Awn^V*i+ed8_GIySxvFVLznlfD8p9fK-MNrRb?|5caZWK86czAuR)5g&lI8JkpjQqLa7;twfO zoC^d!r>g!z`T9gxkm6A* z2PfW@LmdfKhW$6IGsb3YS!*Z#6pOoLDM?xDVn7mSuXM&t{&n3FK&PfiK)DBNfgllJ z=l>7i`}H-m-2%oQC^-rBfd8p$!Ndtw)hWXWpwRGvB&X8baksFgMD`u#T&49&hx>AU z&J&X7^;wEemv{GWEpA2wO)BpL>Rb0VdQ;MT6$10spB5y<`3D*w_Q4ekWm}m<1HGdW z;OjqY;}*#@OtEHn?Zt`*W~&IM3JhR#oOm)zEY;(!Q=ML$O-qI zR3Mq(7<^;CJpYERSroK<8XkS++V9R|dw#9!+dMl8dhG4_uc=XfoowhO`l?>4wjGw< z13j&M|H&TDMJc8REqJp$8ozyn30IqTq8@yxpGS5Y#`B+p*26!4SX5s)Rh6$_PO`9Z z!&Dp^*ihj%o4jP%t4Ki?c5}XaJtAsWKI^}HGI^O z*RrBDqm&Zwykjd3VaU&%N0(I)?{Z^;Q)ukx07Kdl!Kv_Nwx8~&IWxm>7D0aCUnea&-t2?9gPI^cYT*dz5PUCOydGXo7Z&!Up z!4tjuJ`Xv?$9!)TwqDJKKQ49Yano(Ufh)O(XCTVq4%gl3Qf}NFClay_* z#5&v2lcH$s_n0K>_o{p8=wjv1jy~kzSjHdaLLVVX`XpK?2A7h&nw$*ihk~}7paqNG zQP&pFvrfJnQeB@r<}}yU!>fYFuXkWch3f5sub@FnaSPAJQ`OP&yFs7C`X>v#*}5?N1}8+h)4V`z z9P6vLi!2Cpy|bHE`|heh#IN!n0&9XG$GrS^L^dYJH?Vz7%?Ee-B816*h6(muGr1;U z3Z)(MLL@`fdv}g(g-E;OvSB~= zi~QlVXn1Ev0y7!W(?6+DMG8mV&pb=X$!4n91*@9tO{2|oNnXh0uN>L6Z8ODAx~a7S zrPP++EY`_^-5jXQS@Bdg?}G-sW*h~sM_{W&veqwH8QS6yM{-(k1AG-AcnKydRF$HH z@g{hl8Y<*n!sWgq1k&>3nOTKU!=rp=t4DLShE^=qn31ghFG|`t$mI?DBO*X9yN#_I zszhD*I-M>j#@f00pXx-Fh9=#OZ#4_N(gOkwIE8Imb#f%oOCNEC*7S-#ulR?xvw$L3 z`dM}DkS>O>7)S*{%r~UELin=!G%z#g(i&yxOG0oRGRD9Ze>1EHey{dKfx{vP^ox#m z@>Z8${NZ}Vx4lU#nd!2Ch;J9?O7iZ9-%#0pJs@H^9_N6C0$1rfP#1L2vrnf1o1{NT z$|C8?X=66lTMeh{t?X3AFc(t!Qgs{O2S#!&708ot(i`nGi=xXUw;i_*apy$U;L63j z(rpw<9B)nlt@0x9QNCeg-O{}x>584&nvtb;!d1`7{PzI|{lsw_*h2Ud{f ztzt9^Cu9MSChO-`mz3J!X9x{AzBo(M-+Yj7MSqbEWtUC+WekCD0n12Q$p4M=%k}@m z`IVQ~QqUItzd67E@5V0+VTos;>0HGY}@r}0bA#Kro5V1Aj| z{*TPB5I_VV3J?cK0Hgr&00n>|KpCI{Pz9&~i~vS1u4c|wE;ayTfU&cYiJ7gLx$A#* z&i_3MU;;34uywHiPqnlAFD&>!uVdt52`~qkTm9#{n*q!n+?)Xx084-szy@Foumjiw z8~_gXW&lTkqmi?j{r`ykAKiaNI{qix!4%*OZ~?fO*;)NpzKfZ=*?+2)C%_fpYUyld z25|Lo0Js6%>`l#_T}&LD%>eEI4}d4Y3*c?$?C`&E#hm{Su9%JSf5R0su`{#&Pp+7W znT3(%f5#Owv9NG4{eR?&o4w66b+$X`?T2B8VZl89`+-KvHSFIm5p=SlmUp7P(WbhQ zuRl=V+F$Uu*mZf~f4%j4Fs+`t-_X3pyOs41jh0mlk(wHqha78r_VH2RgTIXFE&K7ZWXCTVGDJmongcncm3aHitGm-Di!DOosg9sC7 z2*CeHZJgM-0C-hrw<+Mqm_9@aJ9ES zx}qW)2r?fY3j_qT|D-k^iD+mV-S7j`$1jA=@`o4-M6#{D?W?@t36L`ROaBPCIEb%R zFt@xtgnW9N#rHvvsC@lBo9-28X(wG<9zj3&P!LDzTNojDis-(~<7))|9ZnreJuWU` zWz8b~o7jsGpd2Yh^8o6p)edayn;R%FkP4zB$;r*xh2kdmzQ`n%v$`UPr`M(VlaYSi z7f)cA_Zz3P5lBz>%epx*9VG^9dw2zrobm;@)qpzgWuip_>w^K}0u}swvO*Uy@r^!4 zn0bx(^>O6SFCQ-tB$MAwJ2pFl^5iXY?*!fv0)&Lgk(f98%lh>R6BY+)Xk>!mwfu7F zoBuV<0NLUScJ~e1%lw{k-s}G7<<@bbTmD}d>DmsgA#j7Jk&=68mv!LF@2usw1icl9 zkB?bCXyl84&PSfVASA9&ZNTFfA|n1AVNKISJOhH@=WpG5oAjnkFYS z0*G%~C)U~o!BYoy0CBYds_8Gy^6KHp$*dE&p|OeCFXCn;%=C31k%k5kx%%%+an1CmK4EG{uomI>gzwx4t=X(Tn0S8*A|`KYJ(me zSVAt;*L(7X|3<{1ARQPVTJ=p#ePm}n&t4kj#r{+SEeFtk1c=7O@OXbPIz2c8Wv;!i z0o&?s)nc-{%l8Jvu>GE@zR`}aj(ppETkr!DB~p&znK{kO>k=)oR}*&)8PEh2qWz6d zcrU}#(A3}uwr6rFjDs>@wn)JvQ$|`sdyDGmQ&LLb9TOmj(k+%jk2d-JYUFA+{#p>0 zbA>&tJyHl|AZO35o~}awlCmPMYYNG=H{j<{xTQT^Doqc?KnYyA3j#CHIn|^4T$|i{ zRCOL)NKe!COc=m!8S!9v(fkXW8G0=Wi+Vkmn9=D$XcEY09P_mJ&uhPJ@BvDG`_CGW zf%Z!%2mbe{>T3>XBh)te?5o(#)RLoC+*=W@!Yi(L0?16o#Q9E`G?&NgjJ2T>PH9&J zV_MZT${@JbN6qg|)tbn*yiyuYg@fs%3twA=?LGc8^usanX`fwdr_-j;I>l6=lrO2f zz0~C~mpXYRT&O)X7nqT77QaYb;q1%pd!(s**6J+jVKrbNGF>VhPHjLK?^y<1bH*_D z1uj7r?kWm?y7#247|VBTsp*~DMV05|DFmf7pf_X1z312hx~XRKBnXrVJ|Ve&ErZQQ zb*?q3<6si*eLRrCye)6Um@5GXv(3t7DRsy`D<@f@*FsGpM9h_di?^;cptw0v{A+Ic zB$x4Jz1amN6;E-g5K-Bq`)6z0Q}H;|HQH+jpPvc0f|(Qq)q+MUL)d%fjom(EpwgON z`fu%o^z+Np-D;H-JTclcteNIRn#TQjM8?|1LYUKQ7vQHTPsPkf+0Bacyz}MgKsf|L zfhe~D1IC-aq_3(}-H6yt#B=(69AfL4#8%Y8-~UfsQk=K4p-p;*wn8*>SYi6FF4h1LJ~LE@+Eb zU?P@L4iZ1+qmr!(NSq&yPfDDgwlw=>n`60LzA~b&++BtodO8%u?e>zG>Un=6H(2_isA~*>HOvAq$r7V zqhFG<_~y9_N99Zk^-WbDW;6CVy}S>~8j-V`Q=Kv5Q;b?=-zGvZ*ZZ)+dt2A}ijq_B z3><$}gIIKkryY9^OnZumaXV`s?cluP(LgrE;9`-H+ZhVYb7QhUk(=xMd|8GMt_*_# zxK^SvN$d24uw zZ89r6pkf?w(s^d6B9fcTMra#T<&Jggb4$!b-C~OMGpC1;%-^x1P!`&?Cw?S(Vi;|; z#a&FOf2994M`J5#G9{s-xA*UF>r5*d!dxmFgG{vn|J9-wHo_jL9T~ChemGGh5wa^W z!qniu1w(E{&CE{zf+AleD96UbD#OR+g6}!$^W(4`NY19aloFYnfTi|`6Uvp2%}aFn zJ^tktBsuk#d!=`ZU4(yx#kL~!Gb0K5HOlH}@+@+I;{BWtG#{!rlBc}U=*DrW1KCf) zr9i^qW9x36qECJId)o`}wZ&>%Ze0dD{m z_d>M3x>o>+UdZ6yf>iH_zQ1DjgjBTwgoiXr+I@2N##dmtVa4`ma9@mygs1t49umcbJCT6e z^IAK5;_eLudV?bkDvEtuN&ncLWqms?iP|A*F3t{Vs;_6F*)KS2i#8#Un1hCWGnw12 z+Ti^#uLHbPqpg)4q7Q{dA{6R=ZZxB0ka)QqO8s5vhSM(`Vr^tIdb}=?(I2*PRNA>yBskoqsWm1 z!9>$xe?3!5t!r){w?73cPak75*d(U)vFjHGWtrWJraCm6QDvWJtpw_8VQnJVH*+o) zA@o$1U)*M_ZDtgIX~}~EtT~_EY>Jfd+K4oqxfwque`G; zfpXGf{ocss#rJ47-zEwzpo~Us#R>ruX5^9``3iRxJ;NSwd( zoTMMh&OCjWT8V|t!L=4Du>Y*zmig0BcNLs|$2y=e(t(4mD?m!Zj$d#@fR{ULnDqK-J|d{wg(}k_Y3CWr>a8p&?9V4H1GGWZWqUt(%+Z5Igdf$m%VTh zQB|asuV-<~NLPk<>qU^`K19lxVPuDM32ln*fw?;Kt$S zQZ6A}XNLwGE1)p zE@d|r5BA{L#1%ing@H!2AYA@G_C8f>o4`)62hiqjn4HXtc6&Z z#TYl!jjgpAZX3F?wtFmAgHXOFNm1o&rvXJhSCC{UGZ(~*F}8zQO-wKdN-iJiF6|cd zR5@YF5Ywl*ee~QG21T9No@MohIl-0+<0mJ1RkT_BlTFoB$3<}-pV)|W&X$_fzLr8| zO-QJUBR^$>F><^6G_hvJI62mOS5^MXH2R^lgwcda-E~}}HVw{n0V)SzUXhq(H_&A$ ztCK1kks}q<=EVZK0#GeiniiDC59u0f;T8PM7x{*qw}I?ynSZsJZW^fg@j(&(=q-kF zLK?+o{h{vNVd%nN3Aj}K;a$EX;nyZE2Bq6E%(V)~`QBFSMy3u+P{!oBs8&C0L2W-3|I-+ zHgF^dS^Mk_oD=&wWNt2$Lsf-Q9adQo!U4-N2c8|pU-1ZE{z%L$qtEa?V#pGEzO!hy zyU2txdIHf_A{$e4F11-jTSOXet-7hu(NMPnxr z-Es)t*Ny+C^PkUU3wy!<<@``ZOdbz{*07dt zVI}Y0#s|VAFm_#~-(N8`Q+L#eWf(tKVXpP4(k=PsJ@6ksVaO>cc~B4}FrXD0hp>Gz z0<+rH!Je_3EoZo~>y2~^EYd=rEK>L8EG)7)-b(Oo91=DZmkS!3%bqo9o=`gUN9wOmGi zpzozW%nkJ&7ID;He}F>pnJ3CuzF=nPR7!@mpr9usoo;RW)n+y8`M8^o7FLx!3^40v zy^1qE&m?bWLKMHZ2Os9}Pc-}n5UvDI*bj5wH1}Xa8=!!Bc53T2p)Iln1Tzxm&e}RR(a<%(rICcqGPoFecMj>Lo8W)~bv=FoF z*Y`wB;t=`O#e{O9Kt__0L3}AFwaZY=uHx70XrbvJ^|5I*eAgOfWWT~@e|8+<4-Qa( zrGAsfDW35$H6QR)S^Ou_w5x*ywE(ln#Q!YlN~e8Q z@7C{^m9j-8Jz_;xMWh-F{#x&fK3a`ms}tgD&e)W&e@CIx=s0(*bGJRtX?Oib?pfek zAVgMxOQWF$#vd+dhU6l00-Dt$NAbDmR|nN#X~`})ml$utt#ryZq@8J}h8|iIr~b15 zi2ycr?tRb@3eGP{ldFp%Z4Ti~pLR^a=vrerM=rCVO`la~rvoMW1=yFQuf59Hd^S&oFqP>~cGcio z79kvV$q-g#4vEw+tC=q=eXu;+NWO*2;vhZt@X5Grhr>$ciqG2bq0smDR?|k*mH0Eg z%_}YQP*T&94s-57nmv#;_u;^~aclU@N{iCHW(LFvK|RZO_X6&gFOT%5#TmYoj&}O| z{&MWyl%U!=oz#Y$r;f!EMZ(PF#piW?(B@v#GSmDrM`ir>8SS5F3Ol#d4t-|Im*yim z=$>&CL_KZ#@^WkVt_iDv+vx+e?(NiUWx|)hT?lOnIv6Q&}CYFo!OVDV7>*>rUy-#Ju zwwC;i^pX0i;+v#aSuD>qF=o%mqq?QUgF+u3wC^G7EzQ*N)eT1E^V24@Su7Q42oB&X zSdRWk-?$V5JA;nG5NUi|=FfuQ1cag^7!O1a_{pg=6Erc@t|9jTTnrc$**aLUzLEOi zBjWcSzhBd}<58Q=koBGTEj>=Fo1F7>=q0!va_L79amvD?;7>%$i;)6@CwJU9FSU+@ zudj`jiKc9)M-I*52;Nx@%FKcF%QB;pA%0$d8!wF9{%hkXkD0|U|CE~~%mg{+S^tA1 z6mR>*(GUHAk49>%ln_f_;zP1Qo&vqrlgU9HB^R*HD2IOeCY?3-c=oxCWHgJ`ce4+P zk8A^&Ke}u+JBA=_kbbs^+o5g&|B{1K>pkNny(6Jj^z%E`!Nzxar%#LU9fV&~LK!Zg zypuAn@H&brB_uvqu11ENa>z`A7RsFKxl`Rd?@d$*PWuiYb;(z>6rJ(RHZS428fB_s zE3x9&Re)tcIH|_4rJfCSJ*oB6@e&>sS9w8h*(~8NR=EBAx}MX}COLLv?8)5m{0qk( zv%vQBrk(K)6ZIEHtYn6+QQ_yjA~tlj$cGzLoc7@nEq72*lCwCUCvzL~VU-avYnPVQ zD~GX{4nAVAlcivL{Ff~#9>xMXu%NCG0&2yo;)L8Tclo{c7v{x*0hM#3f$BR_^#JM60wLfp79u#)`ajAL=FQoQ9-CfU%e5H8@aRuj2uF z2(hGLrGl*d-e408RWf&5S?6A$1XK?;pvl#aG>xc^Ira&1&BAC@Ha}J8dwo0O_U1ZJIHhUHwcFrOWQAQoeEb zE%L{cKjOofC{u&SVxkm|&1)zDe~Z$_#++|fC*v=qN>gEA3_#B@Bz0op81B-WDf3M( z{d>5t48VOY?lv%ve)et;n|{oqcNE?c0&qHLW&P{&BlU+-^ZV|CGiW9*ExZS{aW6n zrZQxm%lc7?lt7j0bom9agnDu=;*3Sb#hVAFJ!dD44 zb2wUS-TGUR7J(8=SMlA{D-_=5$2$>zi>#~a$#UY!Du2L_t&Iu*xzy5aokFNNU+*79Sl*b zK0@0_h(|S0e6r^<=`YFD#V|i6W4!UEqqN*APr`_r##|qDUE>Kkwu-Qb`x1fDI+=u5 z?*0Jj-f?#tO5uwOZw^0wTEnDV&BSt;2ia|*U0Zom-;|1(jF65i;-8CNz4vnOBI+C1_DgAWYT5|DX4bas z)ANS58fNf2+YsYss_VdM@bHS_C&fUFatXyTR;4dr#SY#D2!v4aQUXcA49HwnD+pam z4PS2l@n5=pDhq9oPRt((M34BDZVc$6ydc`mda$g^9RRC)MOTzURr>$cQ7K5Ut@~ts zxX}%Wl4PFcY2-{mgSrutgGvIFBIY)$FJ-3J0hS)QJTX@15~8|zWFEXCJ!5;M`%vT(?L;92mI!RtnQSrLM=DF{=({`Kg>YSv z;mBk|h8R3rS$cuLJG#DZH+ngmQUe;{N_8vXM21dD@(38Ocf7x(563F3I|tL2R}l!) zZvw5!jxoCO7Bd6hfD%-%R2TVo=K@ifdzNllC>T_kHr8@Nv$#XlWS@2TU}6xcH7iJ7;TuIu{C? zUd?PPRIlY4Mtn)n2N`Z)0r#@6_I3waaK6JyatZmRRg1SwWpKR9>}VE&S`w^Nf}|iy zXc{b6onVlbUUo}n(6*IjBGnfvz`-lzXkgpeF{EzgD7w3l73^Tds|qXSCb`4e>mYbiLE^p5BOI|C zm9&U$Jk9R(hhCd?f5q;vhP(D#mjhbp&{76G5Q?bXa>n%G*t@^K)0^$2)lfRt`10J@ zNt!~HjFze`UorTWrezpWhRIJ8n+8X%9O2Axg{wtUl<{rzWah^YBtRRdhC{)UOo0Rk zdX~tIJXRLF*;2PB2}ADYuHn%7b1__CI3#37)6~iv3c(3{Hv* z63XcF$R%$m(1D-9_-u2NCXg_3y}sohdQ44nDkJ0-PnA7Hu;%xot3g5?I`hTL*9EtWYDT(fua z&Q==pe&zT#D_Oz6{@NyKFWdX9Rk6~i)eYT3YtOEKs1MM*g^Va`J{f(Mqaa@O7J}^+~2j8gpHp!^4WzJJfw#*-4!;k0! zD4s`9xx%0una$doFeqdmbIjXDJc%A^Hc_2imD}GA2R3tpR3VwGj%C>cv5b)7*83nX zeHL9UV0mxCPJ%pMljI5Zf*%X7W_Huzq?*T0v?~=;F{VeeN~KSfPFZAJ%|_0_-6Scl zwC1O*xe+PU993j@GSBwIN0A_D)G3ru+lJh4$*C}lhD*?WnGPhZ+JPl7ubckFa-;Ph zyhbuYXJs-SHlfEfcf_uCdm<}tB5*FZCE;rHW%7bFaPUl=*Col>Oa>-oDc*^oQ^{gm z@95eoziH{1lpNAXH9$Ihkwg*#Irc4nZ-gREGKvZtctr8Y!M>2;62?9TFHd>RcQe8J zdu}jXea#T@T3QMlX}kaNS^TMh0X}ttq|Lb23z$lHV0wrsbSdDrtG9f!!0>Oz(^AD3 zE_6cy6)1`v@083&rW_Wf`#Naea&YHmodjs=b_b?Mg86vU2=A|(l~qNYFE7NryICG1 zjy8m#eS=&}q&C}eT_3OPDlcYe(-Y(bS0#iTaXy-Q8@komABVwKy4;Gi#zk!1 z!|W(uVDr?KFX;aBkHBF9ngk;18r&@mj74;?E@Ss28ALXe($LzShH2!X1SenD5ILc? zCh@gfvhT-N!~JFmS6W~PB!|$Gf56>0MlLp1J7~g7D{?lj&pj_EBVY#j_yOz`jIAf% z0^<=Azs!bJFnF=Mwqw6DrzGXBaIPCXebEu=AH*RjdAXw06F$Mm*jc)eqJSaEFHvH8 z?$h^2FP_fYY&RSIi&%n<{}e&lg`k`7MsY_RU_Lv1B^d12()x*r{9gFq^ z?Mm}0;AqNa+xDaWyY$X-6$0CVVMY-oP^Q(mrbvlaWQL$;;{}_S;{2rvZ&|wd*xY8( z5`R%?O2#J53x~l_#J-lb`5RWO&bBtW0H-c8AgX;RVg#V+nP(Q(w=AbQMWSb^0>Y8h z4%=h4cad^OADUTMR|9a~DlR~?Ap&n<_TTAjrTqByXEv{Cypa-ZwIoaRiYGw8(Tj7cEv~n*D zwZgY@g>f0tP-Xc|(dsE=opfBXN7bEA`;jRQM7bNf=80FP-BTJ;1;&jLs>; zbRquhJxKS1+Z35CFcsfgu%8HO!p5A(&eNzqvzUwzdH^TJ75?#W7Os94MUmPvm35Ju1wr?6Xgrpo0MwCUbU{hs{@Z6@mZog=bQ>cc0c5V z%MJ~w^$O{cecCRN+d(Q@(${oTvm0(_@4esm7x>^Sf%0I@IK@;Sx%}F(G@=pd7j3Is z%DbO2^3I5TlHM^3Lo8P2an-%+_s5=+nZL1i8zd4^hkK@~tH^*=LJzyb^ExPF+S3Cc zaH3lTTB?({)A@N#Wv_(Kt^`4DZA@)LzDvrL-ocFKvBN-`4T818a#$cN1WKb&LqioXO54MB;~R?peCzj)P6# zx-UQryKYA`)@3aHB6(DL6es(JIo5v>LLjGWsKF`vxM2fVoYBRl_XVVWHfaJK7yG7nJcGb+Zq zX<&)PNj*P?^;@;A&{{G}+l=!xjd&oc#jtN1NUHDfyo@b-6BY4c#qLB4x1ei7WmG99 z*oLGGtjTdrpK^t1C2UeByr=#w&%I$JlCsc_pi;leV4E0426LZ108#+MDZZ(0G0ZFf zQaf~~>Q@?|hK!CM6+dF-_v4HOV&yl
1cdo*A1uRX+#di;r~r751^x@3f%j+VI< zb-OUX{X1l<5nI~8)jBsv1!z>gqM==HVu@PAT&Ghi06+Y5Gd0vgl@XAoX`g#p-?>@@ zsi8Rv!U36}N&}WdQ*@9k ztlRGi)w0Yls@u%5&}vOUJ?ie@F0-pZUdrq5V*^8}+o18hMrSTCO zMuoOd;!K5>0-uCm10Xc*pq}F(L|lg(#*%5tFfn2qK8<;)>4R%pmwc>@2FbKX|Ml$kPpGz`sHno zm)Fe)ags$d_8jJ8I?s-kb8B*(o+5_{*v}+nj1QdqGc%{&*!Jsxy{(<2n`n%tHrugD ziP%6Ab|Z}Ay?EslecQq(TKP{cv43l$0py>NTJvo4th#T~G4-OV_OkPzCXf@yHm&iQ zZrbJ_A$q|H+vUc>Wnr#SU;w^q_!$M ziM0G_d2%Br52$4shxTztaRW8{MfS2h@24#S>snpm(*y95cxG^ZhPDt zcv9;9%9;U*xrOCq0;P1Pti%r+IKqge3PaISIU8PXBO3H&A#{2EFly^is*H_uVCKx_ z8vr0M?^w8slMM2C*Hv zESqPP9(wGb8oYc)BT3S%LTA<#gv>1ykS7oIV$Nc{KvnD5E#m_J913M0wma$Kr(DJ5 zyg$jiZ$=Uv^VHp!`}`EBMrC{L!svpAJSSiPIz513Grz#%6T5O5H_OrmG@^di&vJ4XJ1d4>@AO#Dxj5JtdBgcDFm@y|TN9YlSMX8_GP+LSiD+?B4u09vB=?<6;sdFwu> z{IV~_-#rLXZYH;w!*7eXlp0m7>8xTbh{w=6Y3TFV+M1or?+t9m=nMNM$cqNY9&z<+QH^RH-RhV>rB*b4uR7HfzOcQN zxMfB&3`-U{AL_7a1$QPs)EPp;iBs=v-rjC&yHcOX!_s-(_~dM+M_!TMAo4nDHNZ?6 zD>ao#jpAZ(*hxvz*L#V0B*Mumf}s99Og$yZr-J z)n4o3sh63G)#~;T{U$Oz*A7t>D}*C&uh)Wp$Fmlp<<~v))Nd@r6(CWW;t&aU7P}g5 zn9h&6xQ#yCxH2pKg-L$Hr$$a-rM3}jud`mFfZwtFdSx^T{;>0!Hx_cF|IfDOyUHJevasnHs6TxbQ#y0{u%DA)` zAeBluc)<2aXqA&ZDnCZZYZI=s6+4tq$nnf2fsueNsrHyGv-1^@PI{Cp}GJhZah(iBjs15 z%oYCOzR-hY(7YJM5B>?dJ;}~51leSvinpd@_!+Z_JEVk@ zt-18kiF)3#Ped0Z6~l2IF)`7P z_D`0-Va;_cfwiv1E@O~Pu&_-P;jmRwLsU25J|C3sF{*Te>w<`m;vKAn4TzH5GO+R| z6s#&gk*uX;G3@oKyGw=uOAunE=8;Ktb2M19lAV+bnj51EJCVbo+YywUUs`SA}`XphBug07th0d?~sG?7QH@=X7^!ErM zmF8U06Uge^P0aar@I>^0))g3tH-)>ca)=u_gUB%ey}TdvH1Aik)H+qLOa?Jr6>qq` zAHJtDl#rokoXVUe{0by^E*g(aD9U$bZ||c$P%8wBS z+o79(H#jv5bKx;P=Wg5!iX!&K#|Xmt|&9r=|eB@;QCjCnw>@xJ0FFAa&fAB&%jUaR>Y&%u-Yl80q=D|!bbGje08 zB>rv2`1BIwFy?!T;@VazsXNu;ti zpJ294HmXu_LPM68+&47M)MeHiuHSBcALMV1)n(|t{^vBuu>$mSyOZyC%emOrK}hw_ zhRIVbtCOI@G-W~bKIls(*NG{5yT4P^+XG;|(+%TD214nT2t5~m4Ic;XIsH9I7fMVF zD;o1Lj~>_$C7X9e(sAgM4j#ht@;K#~5jACp??FaS`XR{y?sLYr_3y0J1i}tm$8qu$ zqnw{N99A-oB3f!CCP}paLSH4x{Ah>U3X@i9A3q4Wd&SAQ+rO+{SnPJRYrs%^ZxPVFuL>lW3>kl>!DveYG z^JiM@ur}x7X(9;ijTS2kD>c@kit`jS#iCbytJ&Nb^N*#y2O6L6-z@$cy+4|3=TUa3 zh^5pyDgx1ob;WQiNFKK-qKWBytU9{a@_;q`esxr>0J-qM0N7y)%sjBQkKf6iFmKpy z5Z~_AAlF&)I?I$}IHsoCx!uzxR?V(qdi0 z{H?&dk(JFW_C&S`l-qjkF8&0Oxii*%S#QCeI;i4W}><9L|e74;eZAvMUu`OtB#=5tyHg8({A(kS=GRcL`XS4`= z!3t3m=?B$z#wB5_q-%3Xa6yx&lIN|2Z%qK)M&4Qa2kaw`dT98X<4M_Z*IDaVc z$mCvkdMMvUTJ}gw^N~DG6rhIeT#-Zl3*(DE~25m3Za80{o(NpLcpcR%lmXld5g3!!ifcMO5g z&eb|WCl=ds`Seqvgjkw&x!L@e53-4lQh)Jv%;R}=(w__DSX@XsLdHc~?HfgR!c7a5 zv`~82KY3*JD+tS}XX{%V4DTAitT_+Q5W1x~4MljDATcXC^|cj}>XxXuJ;rOHU2-`) z6Yiu;yK`{8*<>r1RVVKZLy<@~0pcZVS#=%*iZ>iVxm>yDD!QW~O|bl{xa&&!XhHJn z&%52BICJRV{%b6>WT|jz6zKZ98JJiaILWgi_jq}Wd6Ob9hzH+aL+xU@8iPY2NuS(< zj4oVF9*Q)~5Ox)6pq0>)#_>$9wSa+D-DQm#oAdYG(&QAiL`vTCiE`Rva#wtW9$f3C z6rir4sih#;o)XB^D`g`Y7IFtV~S{TF`5^563_&i|30asDsyGj`7ZDL*s$@3Gc@ zwORiI)?)h4uogQf+y8*I*ckqEti{5~`M-{}RJ5)->24MB+riQfYez~rMp{51(x@4ou(3 z;6SVpNFt!0;ETPMv40+x0NxR#vU4L)1F*Tj&p$CHh`5Mw{vZYxC@V8a(Akd4kge7B z^|aJ9*3Y`0nd!ITSzIgmVx~QzBql1TgcAUQ4Q!lYf*BCST6;JA6yP5=LqCEsSThsg zdOCoLU?u=7YQ!8BK*e07r4*I3j9sW|wzZAT^&dGJBdRJZC76GEcwi+6K=CXB75O(0TEG7U{rQJ8b?=Hw+`IK~dWVt1!WIfX#C$K;2K1`zHdu+d?V0L z3I=&S<2c^FIoRh`e_kcP@pO!UKKML7sbp4P#;RR~x3Xsu_wQc+F4DpKSn0~%ApkhS zIsbM#AnxyCin3B7umZ)A;7s1a&di#f# zC63MYPWqoZy;h)&c0b-cwGp|NDQkU44)S@@`=3N#Lj12RGbksJ1^@v! z!1&5|^haD*AJ#E^#tZz%&9N?CD_bi7a()W%_|!JYv#a2vJpfk_K;4O5IwznU$8Q9LA4Q((-I z7X1A0A7;(pBk6^)NeMtR*ut-y)SnidxsC0~YhL0XX(8YzGEGfjOb_e)WuN;gT;gdh zff1>bIB`=S^B4P%ogR_CGBtvKLP}6XNKVv^UF>F_WTU6mMq7)~ubIuyfzuEAT@7{& z%>V&7H~{#R9?iTK*Dv*b8`d`@c0Ua%c^y&3?}6XTtezo~J~6hDQ$3}BOcFFea~pUk zVM8}72sSx+f6z-!PK;o!p9_qC2Bh`Tdnr)8i{mp;`uaNYPX!e^yuao*{005+7Rc#fa#N8KfAKpUud;mC)=ODHGt`sp8y;{(+~fC7G;>9fGt4N z(tkWn&ieNKN+mAlT;HvrG=6iM{xq}v3{b_ru%+WeJ07-ZkMM~e1;o9a)N#?sQrmzV zzdgRMa(4YV@;~36e}uJEmPc2D5`u!z`^QJWQmtI^YWc^GotgF#y)#y=|*+!>?^a1yr^gu3SL_WGsI#ouv6paZOyr znlwH&M{=4jZ5?h(09YE48+POBz$;oO)nTYh9(S79Ty@mbg*;WyXuPVW*G`>->_McJ z^$BwI^68I=0C|b3ou9!x20Dxy?^}Cy?%oNCp+WJA&)=3b;Rwzf5w0~@`-F^MP)AsL zb$mWUST852;!9+dff??>}?^*jL8T@YUO2d-q%OqY}JjTEj~; z_$!>l7)2lL_pAr7P%UGyE|~9cmyL2Dp%ok*kTWcCB>jl|u%x?Doc#4?{5{*ky29MK z4XeNix^(!kcil&Prv7BEq7riLefo<-Bzd)IC4R@PIPc}>h5IzwxIkubcX;uElG{hLjd&+}l`J&I;K9iQ4o^2z z3&bYT#GI1c)0_3`fujF%Nli&BlpI&x+5W6F{rIX%qWTgIxZ&~n&y)Fuvw)3djh6sK z)2o`dsumA$86#sKR-uwPav*zV+wWwHwg=q9X=VWyy9%ZC=MaP>72xi99s&TOfmhFJ z_r<1q((3F1`Fq>x*W_1O8LvbM^t&0jS!4u%z5{dn4O)C&n(`uhy41C+yij_W!23Fp z(!AvCOP0N4`W&3eMW`_XR~#$0A1pk_Q!2I8nPwTeQJ=!#Nx1xA56wJ=u4%|85Dr_6 z&55=*!gZB#o}mPyrgXD)5X(v2$zQub73%>5>4%R7#|!aWXiaL0R1wvLxf1QTJwZGu z!8pN%ZWfFEZo7(`@a`MwL$i#lsxd-o&sGLSTwMKzanS^{+X-4P%$*O-s-%k{|en2VyfygVG^0i&af?8q+~=D(Y;*S6SaH5!|S0sUi>e~7v%cFouNFSA6n#T-Sqn zlj1x8bI@tbKm#_ET@Jv*iUb2MzL>mAxwlTNdM#qg)7cHnN4hmrr{Z5_p^Hjqfr#N0 z=AOX(!uf2ZNVkvQDlWnA{T1l=g0F+R@N(JntE>1B#!s=yU4Qa z*AL%5O|{v>9Y;0n2c*{tPg+2p6jPHC)D$L#py{D)t3FVOvdb^ZExf~hEdo5`F+WNb zx=6$$gy9SE?81y_lmVpHAUc{4H}%D7_Xq@{v4Jv*U>N^!FhsFeKtxSqLnxUxelVEs z%+xYpzV@W2l|76TA?wS0xH?NR7R?t`b#@`gUe@&lphoXC0&&xNvjDipd@#xMisTNF zb&VB`L@=G46V4zm%3S!?co)eb2m|W;$@$?allLPc~mr`-!ykcV-hKTUum zSUZkq6cMya?N;~4Kx)tY4h>L()>{8P53Fh!6d%5dWq! z9_e7i7WF-NA$*Q!H8N>%L32Qah@63&6?Zh<25)_1bncVI(WiET0{okBjN=v;8vOFsu&!OEL= zc8HYTM5W69ds$k@^hKvan9d&Lk{A>}TF8Bc5mM2;o}HL?<)1j#g0j?9KhLlce$1}H zZMwse%#jh4N-6j0GMUwP{^HZ(bdO7nKLg~uvnL)RZy^0A8#dL3=3UV@A*B?4J2h=k$fSd>23D&ee4zQGV?pr`vXC#mj_z2?VT4PRZ( z(Q;kc-mr}Z=eiAB0TPtDdoRBJp_|Pv?uy_mKug;fz~ONsP9}HvT>tQ)q9|1gXtkXc zi+cOggn7mb2ClvR)d<+&FIhlfB%!}h@rpRT%#o;$ia&Qv=XPGXJo#MoJ&yla59m@+ z`BR+vjNL>2v3)spTO|lD8ltLXxFW)LOsY z`s`NW6(&q?fZNhsel6wRy56H_G!04Z3u>OcSq!#D2;8!No>K-j!kGv;YcbgG0Fvr) zM&w|@$#UnaD(EN$*8i0Loa@;f59&3F+KC7rB?({GO7N|SS_hvZ)kytv%L7Rz58JN( zgjk?e{|m8NV``{I6GHuGbnA^yj!KKav(dRe3SS6osZ#|eB%ZJ~TrM(y-pzDL=2PUM zASx#xcq?NT($}5#`FM^YOl1IxWjr-)#AI7vNtaQbl+I9`_EY&w=TiShBjPi!(+G71 zK>v|O<0f3};zI2-hZ-=bkPgIK*+VjHe*WXoUJX!9SKQ~Xk>3NdYaaMF6jxWgiMw77 zOGZT?09Sqd`qBkya1FWoaC%$NHCk3HoRwAY@>ngS;K&sUAGwGe%L%&qtuK=qE;km0 z5^y?k3IZv;P*Bu?ib`qaJ~uf>-{Lkq3!27HhM)`zxRVHBK}pWlx}`P-wunor*E@Ma zIy}uSRC;E$qZ6j(mm_l@=hP%uJDqL-on$fl{-ChqF)-@$VHJV9XWNI^W5bd=1gUA) zNX5%n!A_QmR~uKl00)RA=<|1;6{k`8r@W_KyE3nn-VIZG!|jo?m{A{l&a58V-g~5t zj+B}#&{p;0kRt2|H|X)aQgxuCEXBXfq=8n5y#k|L4J*3 zIZL>Iat)O-8Jdbn`;l{8Txi9YHCqg{Ry$ROxCjxxbN!Eh%LsVDZeo#EQVjQ?zSo z^@T>!frckT)FI1Bxq5Dxh=p4}S2C^Y@WznyIaNp^u8iiyHt!o@M@|}%F-_!Arjtkm zGEYCWwCL1)RHi};$B=5;)mvJcPKCabP z0FKVS?80>Kg#vp6*@Cv{*0&iFaU`Z6PE|iIZ}{g}apPRjx@SziM1){9v^(Sc3Bt4hiIkTf-{4Hg_Jh|- z_~Y`fK?i6v-A4R#z-Eh>9_ynioV`_$EVtr3ZL5jQNw-4Zk)Jll<#J^DXc@^tW!l}H{*S+4{ zo>F862~D?c9m?qnw=u4YU@ir)^D=T4PBQh=$HhcOIk7}MGVjlVl7g|ooHy&Ckn#<) zl(FaYS{ir}At8mcl6snqw(t+VD+Cq@Xfqm-sUGSeQdGH}W);cW^2^@^z~=kn!M4lC z;4gs_-p0mUI!ehiv+QimoB=}z3=q(lgoSo*rAET;_19GiYKA-oP}L0}Vutg%f6M1R z-H7zS?4@73?v5b)_C7+O@MR2?JQ96Vdd)-TMz15T{r8vp9l=M)jGV#Wj(>k*-q9&w z!+F6qPS4qgx_i_aveHLc(0i^iYaH?sH#3!W)~qR#H!dZB9@nY%fk9yq|taPays7|cR258CBp!Et`XM|#7x!m z9#DV2pHGG5&XmrM-X0N|4r|O<=k|7x5~(YuglKUEub)$Vv_V%;(HtaF*A;(cCT26R zPVmz)$Ur_U8qo%7EW5x~hK15l{yThxHx3pKupx=8mGvF7Dq{O4jb~=hhB#N!b!N- ze@@-=+sME9NNCMV>tRCaM%#J-ql%n2glXSFT-x-BdE0o4?+MiP;eu)W)4FAyl>88!GMxBdwi=Z*Powa99jN_rX3r3($)Q{d# zgzBfNxIbO;=>AhrYent7-7lPM?P=~Nq1t=3w20i_O>GB*+&GM{w`u3=BL8fG6y+<0 zLnJS$Xm}|)c%gau}`Ialv^QR9>23z9fs;gRLq1uM;Vy~ z{#GA{s{R2ga8mA>Up3w02?~r8P5KyGo7mJa?%Y&E-f=kP?69Vu*7 zT>Jn~e7uvUiD=-Z^&qt!8pPL3hX{qcfq3vh?zoUKIDNpi6*~38N&qrSCrZ$UyYo_X zWh;!TYOI}@o($=FHR;>4Y4w^qHuCjW=!kM*;ZI6XR#=58c@X}4$^8Wv9z=v7^0=3p zqLD!P0G{&{B{nfLzSG31{D=7mAl8pm>yOlnUTQba6#7-xv{TXyPxEvXsGJ%wcPQ0- z)4oluS|BYNriGi}Ibjx>aFJ9q(m+?mB#GYi&;< zjhupT%VXe?%SPk2dbAd=g-xIPWi}rF@uq8)8f`JY(O9A6;u^W`c3ebL@r)B6CO(d)Tg9XlFP|oJ~HOb&90;#vZ*^)+~*W!xIPa>sIO=q zj@5Vf0wL>92-}UNjjBvB$bqZJ%+ch!=(dhz%R#0`u!s9Ynykzu{dd)*0W?YfIv{g& zoX$C*Y>bRT-Oc+ap1KINLJ)3O*Ha#+aK*`o6_dshd2;;maKj#3qU>STOwWsR%%MA- zmkI;HGOypc?6=-FOtdLTL-3@qKsU%nDXVrm@2je43zKWmNQ=iIU@`?to|KA+ zv{V>&E(59@V_r=YRRbpmwh7mp91!mYI_Wlin>bq2_>PJDk4p*^sC|5qukj8=nn=GP z?R|3OylSUWd|8Y`l8=QOd@@q|8nG>(tE3`iaR8jU5#tA@Q>1ptA#*Hp(64zcP3zb| zq84`Aw2$ejQXCWa;hZa3aexj}PEx@DdM%4Cjb)UIaE=gtDm%yt)X7c*+4PowRSrdz zi@SL;`e}RXE1k)YM(h@M6yo2+J#)1svB6!R%EdNh#E+p^#R$Zf^!79n>IX3&U;G^p zJXcxLGqf(>Gy1Q*_vWzgk)Z{&2Ug*W6Vwd_ z)fm@FTd8GDiH|bI)M5bT>b?O}KF-9Vs-^17Xwyl>A-57r6()R3v3;n$_pP@}#DlSY zz6biswgwYvb_(GsBPvw5p)i1jV%I+*YZ9jQfa{3?@D7MAPu7zbYQRp5UFDIlw=X@t zJS)?&<+Tmr0X_CR-9pS&_;*zu?VRcNqjqP7HpvfB`tQbvk+!re`42dg2vRkC~wNb(C>M+O@LLwM(J=;paZYHBoVGxWaHWIZI!=o+%?@C z4J{tBALf4rvq@)g?C$W_vS_nLsa`cWd&y}lf_ky)z$WaIG9OwSZ*qU+^-nN$$&Iw4 zz=*uZ6+;jxI2zj)gkju`e1#nQ!87oxb5DZM2?qDGfLq9^EohiC!l3BVY$NvseZ1QK zqu(Ve2ni(|Xj1CYD;zt-rN6=|hJnI1*wQC}qeOtsp zTawO@rrf-~q$!(Qe#3bbq2l)_dVw4|dB z0y3y1DSnP^4^>LATyFq?2ZUr`lrvl%xr2c9a1e;>%y>yOxYhEnz3rU=hfqKpqO><} z$Y@&w1GuQe=4u_dp|Sb9(r0~AsY<5_C4n35(8?@gs52H3&&v{$k2r~o$H8!!x7Buz z@N!}sl`9PjMSgshv5j^zv>(3+?;`ESx>3YhEj|LlH{x_m;~XJMCMW=p6QNQz2_#ie zGQDNJr?ZqH!Y+e)e~eFvw3;oT?!Ph$sQ@9&7Z&rrDvwmXSNR&h;9L77=f3-fj`4K& zmvA_v5)U^udZ!+O0a8*Y8%m_w^|#fC??QM~rmYTH(_$`3`jz}HL>CG<2b+^0_}hYy zkQ*?hRUCt40w&4AcK+iAZ*%07LMYCYe58}Sl#66%(WfJ~^>_&Dk)8{DpO6TyFm%db zx%x1e4B6QDCYB(2EnX~r0Iznmlk?Wi)fW|X+&@d zg#ULbUTl6L4^dZZX5bUV29=az)_PGIL|#Q*8qFz%v4Dc+2GE+A7_8S^jPamDZ}oLp zG6^`wc}KCSx)434DrZU@_dNuFml@402+{hfLj+{B1DWcJ|KTRB3BtnUSRgrHp}LfM zo#Uole*H#92mZF@yft;Z-qwqxJDaqs-$TtZu&n+d;F3&$s!2m=6^^5Z!Gvukj);ZV zOz_)lSTrSCPx?h;=} zjuUFGE+qWhfH3v!!*MVCf=9$ZHg#$Ry(%~Bsuiqp!!am7FR0fv>13!|K#kBzZqlQ#viiIaqi({QCC`6z(H zDCb;mu=y>c_^0iiE>o7_D*sJ!Y8@o%AB@Lq*QUuz&?K1wBYJ<}Gn_DUyy)SLVsf%* zr9!2PZ#SVh^aY^IlH;~YF2)6MTtuLkIE{e++;wov>^+b-x*qHf@Vm0v+f>p_obMpaqWfF0#=Ty7@?Yr>l7C|uu_z`{)ptsa3z;t%GrlB@(vF@R&Q>GE6o|oEG4IZ^|tVD&O z$W8#V7AsuAq2Ey9fvWqZj>4Ya-amY6In*j~@VY9YpW;RLxD!ouT&c{$wXg8giSEv; z_(h;Z-2U{?+zeicFEp5a;YHlCzD30P&^Sn~g0R%>d0M@E#x=fEqEutrq+5#l>`xUq zT31Br&o&hMi{=&R^?hM&2$s2lLIlcApO|`XR7MwMtO#0Dc??c=N?_B#Cqke3^QIB( zP@=Te(UX%Kv^Dbs2Yg=CeA1vXdq*!Pe$00&kRQd#Lktczo6XZ4OrIL-hl6~YQ!;Y0 z2X(d<>V6&?Uh}MUbcaZ4Xc^t8byRolxYQ(S!aQ{M-5o!~`iu$W5?l(harfm5kRdH? zt8+kM7#~q>Ycl7QPwguZstVTeM(})ZtR+*F*=UCc?s#c}lhu)za7@5~1p3^fD$d}E zYZ1tGNdWL8W|E}saWbfHV6_mMnOoh$OyRWJR3LXROdmxz^Ej189Cmb`7fMo}0e{L1 z_0DaSXWZMs-0_wAahv@GMIY)tB}vAaKYmeWsQXh(X#6kSX#8Z-mg?APf{={zWyUw z)z*jVzCaA`;CUNjrLM_@L$ilDE4gN|KZ89!8p61N-A-EtUk7r9{r`pJo z8%Sl=<7(BSZPx?vEc_;__-CaMEJ1(VhvazZj^3+lFCG#izXm#PNj`H^SUOAPwM^dr zftqcE;NoIR;$}G?fHhlog@`u;1D!@H-gH8EurgKIrsT_%9X8sYIlUdE-iC)~fR$UB zY}dghkJax?n$n$0oqs2lIoL`sR@048xWf)XkqCB0# zIBj~ZkhD;%TtI}UrbW-kx2MN?U1aVZ0=;Yw5NERS>$Is)erXVw%Ac_R7|%s9H(}O8 zBD_0E4~7~!OO&HlwRe^&MTXTlQzq3q2#Pl3R?9<8+~Z;}K4Dlb9GboyK^8;-`iE`p zkj6Vw`tbH~zsR4#`_K=EU~$@AimeV{sE0fBP3!nKM2hCA;l3<{o zJkE50cO>O`3;&kj_Dy<08SHD7JF7BdMM)+#*ro!#cfT&fR#Tn#ASf1gux>dLnW@9hDgj%U{f*TL#3YZpW$T z4y0-ewsZ{{9hv(WL3SFNDw|Tpy*J+a!8@tml|zRoA>r<|{ALdrrH)Z^AI#?DG#ZR2U9s08)*RV@)A3D3G27I+)KSn+C6U0ZdQP47AAa$Tc4ZX7lU#kOX=Ux^oJb#B1la4BN=yrn21S-!l(pv` zw-MplPLyv5VUiL1XLb#hPtCpeQjR4>yTrCvIS+hxXx>YlIpVnDMqW#1oQqF*Wdd?tfL znC#TO9p8{5Y6XY5btFaj!7#TZmyUQPOwO-EYdjE0(6h zN8TNoRod9zb;Qf77Sm~{eh!oETFB#!A)F=LC0sl8D|U*|q%Wo#ZSA;bgagG7(aXn< zF`AlrS2ScR13S5AH3Ml4$kZj4>4v3lIVrHcd!+dcnr5FR7|TgH^kipK#^|L13258M zK_*o?+)9n%EhS6#rCVu#Pv1a>*f&^a0XQLBz%E?`hYp3JDo~_X`+SMm03cb9kkc#~ zGRYSz<@=fORTR zfsnJ+=(e|LtSLK2i0jCHd;_>9FH}_SLwW9iZL|>-zYx0;oc^#~o5kir0M)6oEFh?U z{nRGJxYDTU@ZuZC%3KB)FkRgeo=^TfBXH7KmwZH)bK>ww1D#cooZp(>jNlLNYj9sl znvjj-cT{t6X!jF>Qnim9|J`2)SAqf`>v{T3C=kVsOmK3H9><@;!Id>S zy$4J>Dup#B3@$P)G$GIPt<3r}J{$qr!x@28+sr_an)jV`@_Xr}%j2^%k~b2goIlro zp|N<+2P7a$xXotD1-641VF=cd?8tJRo_re)rg;)nj7p_T^rgFw#hV!H4c^{79jMHlr?@afGstp7zHP;|nyym&nNC+HBYyUFusTIr_= zca6K@T>j9fws3tnm*0*v$-gFZgAYQ0$fFpZ2o|**!atkZ2DN>n7oP{dli@LKxNIrs zt;OTX&6DAi<~|^5#f8_r5JEUer;^Tw=IbFQpFwLDFq?Hl#O|0cdci3Gvx`?D-$T}O zcHYaBNnO&toaxAX_}+!$FkP4txHLH;i)(7L6LBxvePY{Le7gn>qQ}vmAMlQ!q-@G! zpo2d7zuUV;`F;QM=P0em-H$I;_~eLok@gj7_Z&dD{hnz;Iw+;zE!9^n)u|Fy4PnYJ zImDD_T)qY^f^bLElq31Y!gq9W5-6xG3AG*gez(>x9UAiLxiM(Oq+VgnNK6dB`0bZ5 zo472!BpFi7EOJMnJ4FOoBbp#{=}04mU4PUe?&duz?zfNNlze0cu3vv)U5M`Emh$PE}jkdtRc zID%~gFU?xQ&-zNM4)FI+iDYqZ9J+dbndhM=WyDpe=6Iztwix_R;09d^og@>$ zPZ_z1A4%?Dn6I45SE+~YFGlpA3ha($~yffA3p(rr2KLqQcL?c*f0{csld&OGsGW}EgI`;1vU!> zN_7#FU)H7+#I{Y)tn6>_Amgc^>HgyU zj5XkWk1HMq8BpPYvPvXPmeZUG;v3@x@lS2`X}*YIky>h1I;#I|2{9H}idUuRfP}B$ z)PVOJHphI#R{>Us@f{gX51}QqHhLACE)cT!i_;f&`I!FvCibdtdPX;tQ$ed!_H^F+ z@aEc9dLHIyQkDPCyVH6kn&RNK{JKxiKa4dkwv5S7wR5-iEh(* zROJDMO;&}b&$~YHhpro(x6dsgJSMDb^ri1IhFI>juI_mdOHUFsT3ehJ8qO~eVR2ot zdB!DTdY%dxz3P5PSBPfV<&;HzYhLjyCc2QOC%}^y#@VGX0oNhcS{{U$IQp)%(rVL> zL~AxMY~?Bi0d{shZPyOBdo`}O>C;|;&TJIX;F7n*tW|;!VZJOaRTSNJ-+I@4wffC# zAN|nfTy}=YHZ`<(HeF~DJb|Kb8;%tuXC25x-qqOTSXb)xg<7fQ!f9T_fP1k~T*Myj z-9g@4@1TB^hTr1$xR+slaH5n$X*hXO(gqhyP{Sx5)TKssIH^y|@9iV;v`4_IKqqgP zdn$v(&RAjWfLB@AquJoQ8G+;JmkH|Vkr9J~`muUf+-+_+8~5wiXyB3M4I1w0JH7f2 z``(boOMk1HVU=!g0D>>PsW5QS_7Y^hzfK@f6E9eiORy_Zp447zGHN%NBCz>Mv8p+< zWb00g6)uJMi*M@Si1JvbxQC3=6y*BNE&M1UbTYQwfJ16@QS`mPKIC7van3Y6)76#F zD8}d-s=shgZqM;DtQ3r-z2-f=g&2&v)=<%RhYVKc_mynH%iK$yW^ApHZ}>4 zNXI$M@K?WC-YjKBSrHiI>yHk6JNxjUz{c+~+$^k%Hf43=ijaSYqtB>j?X4rwo-=At zMAI)OPf2REMpJIT?U^8KPF*jcQ2 z^9PX8B|-n6z%b_j4HzaaBdaW?_TPoc{!hO3KY?K^oc~vsjG2S&zrZlo|GzMdmXYPZ z(J)rF|0xX<`L9JWGkObpYkE6+`~TVmbD?+rAHW#X{}YU{{AXZ{gPrOB24hUDjBKp` z85m#9VRN-SBY%VUBdPj+JRkNcH2AHZdmZQw|Lt*1Oq#S8vgsYw^+x- zU(*@9PP-ppHM-A3s-8QlF6#8=Qc9$%V2I4%$oa)Vyx{>M2^r`F4+4RBiR9?1k~VO4n_#^=>3C`jUeM#TU}V(UO*Zd865}|fJy>h`A=c1 zF#3m(@!)~c{|5jOK<>ZQJRN~702<@Jfs%s@h{43zA{0OY->ged;{9jzeRMpg_=mFv)3ThGnpawlaN?ld$&$}AX z-UR4uK@U(+`_TW%^I`bMU0y;>L`_>sf`#eN82~H*H=whN)t|Kg!Hw#J8Q>pkAFk%k z4t9Sh0MJ;1K#sgjOz!UPj25mgAVvpg3r0uVzxkF_V5-A5@uoId2B|0ecP2+*IHw*N8*xB!9wD~+YG z%iq3oN=kA7J7X(*5YXP(-t@x|WDIh30T}%)`}hHxQU8k|5FqC2?EEK({J&Ms|DERF z)I}XWPNrw;?PKizpB?)c4i~TgQJepLY*Pn&7b_Q#%fBK50p?b=z(4F={`Abs{%@JQ zh=PoygsK`N$OH7Z!JiN!;&QwIZe~sZ3lBTs&tOW}n~6Et*?kaoLHJWKajTEgfE=7X znf~8lwy}3`xA*=(6y{d;X6Ap&ZRYC8q;CI5CL{h|<{u)2e`FRw5P%r~bOHc9Of8xI zB>a0s{)k!rh(FHmjO?7Ooc~g&|G7)HA4B`Gc&z>`E&u}y`+w>_=F!x~ z9_Zo%U}yeY1^g(-fAIe3!5`KDCK+v2d1ckl|L^epZ6{%G>R@JNZvkNC-~<>uI~#i< zFn>%0D+dR_o8@Do&43<%j|_l`(cS^{;R0}U1^EEX9h?#Vw3U+sz$EfV^e@B-U=sZY zaRHdb{z2RTCh>m|4}eMHztKmFKcI#4pJDsAjEfb(B>fL!`#8uy=p&EZKjT*{O20~ z4{uRX2M=!sb~bJR11rx*&)7dWvaqmn`TQ?m(|;|)zwhD4l>8h2SxW#Q&;w|Su=LBp zlrPvi?R#jsuSDT=1vDiO<7pMPpq6X`)Kcbj2LY~l-ZmLfs3@StKZ~l!K~9=i-!~<| zzC?>M_>=A1dTVm^)UBDa@Q$&sye|QYgvfZV2BUglmi(fBMHd*tx>)EXie@*9_YqTq=E-S&S^WrBH^QY8kr0f?kAkqmLJB~g zq=$g*p4l&tlL8q&g#w;X0uY~-e;# z1Q(f1Y^{&UhEQEwI?tVsa05Bu+Mzu}0js@)x$*1MRg7N?GkTo&ZXRRO`7aHRme@Vh zm|Um{`;sW~3fxgc#JE8*AKE)A=O$&`uP=0k>n-#x5u(1Eg3zg3<^LL!m zQsW0xjM6|*tn3j*&!JR#DSv|tGT+eYO-#ghdRG=|IbBS}k-57bCOFj^bq-1Ei5E^1 z^P*fXNE5C}bIM3>%nO%K!@rG%9aOPG@w}ImYwA3~bx9CKM);=}XBsRbEYDx5V zR$-IJD#or5ai<4~1W0uvuOA{Bd7U=b#LWfYQ)^C4ZqFe-#91!Iq5>o!#Xi3beHF|M zj{kC%UzE6FwC-v_IZ@JNkx9urZC#$rz_?N#$B-C#QIK`da$Qn1RA9@?Ec!k3(({1@ zG%O*XxjvRMW#3A$VEhXz*(`e1>(yfwCuDo!jaNzV zxu-TpK^Om851X5mk#@Zuqu;&!gY8*`JbA?XkEn`f;+TDB*>KVnOf``;RX7TmT#@eY z-JcQ@nle?o+hcQBP~a9*xv1@QKp@6DJ;|B4l+#8p0W=Owgbqb zT{t)WNa}`9Xl@OW#N=m)+OG-HflD(JC|dMAZEwBi1add!k6`OKzB45@^byy%HAn+?} zbRS$A1FJBSQ6z;irA{P^_;%O+o9<;nFim|%GY(nP=bFN0Bxfa8T%mlK+6$p$$+<2E zgG=qfl@fN{eWXDzzwlwkZKFa&!b58C6ut+WtS6$9zaG1|&j-l#M)z*B=L<)@>$s4w z=KI61qPO#w@J%|`G=vVL(HN`U=9MeLCq%T+XM4b2s&vSpp*HP+GInoU)%?8+nA8&6#1wk^BqsYb$o7_O|V}ZG00kKGIY7512LKg z!tH^YeI>0N;`nKFW!qv1cSrQ1Z7@s4KTJ>om|pQUM~s!uX!BdhWY})7B!rhMXbkr#EyTfucUpCLGyXszT{G=@y=U;2V zn`wj=l_n@#D%J1z-V)z8f^{8<50GWme#oY`uFJU14nuT;$WUo^b%N{OhejdlS|d4; zg>mo(UuRBAYX=YUM9}UA(T>S)6ID6;K!e*9;PPUHstb7E{-W>-i-=4`zK=#i-Dg`Q zWWbSGHI)Db+8dSwiVd$bO%seU-*N~&2>H9rbWlHY@bl37fFMp}!xM=jJ6F|9s@x8y zg`Vk16nanjYJbDY#lUB}E@oRt9GPqqOzt+~YG`F-g>I}dL*LZQJjcO$&0PBy$nNhQ zG+U)^E$X#?|GW-+9wfPgYWdt=iE2Rr(I)-nzA60V^ru5s^Wi$2?Y+vH*z!<6eTsC+ zgOL}B%$WH&`f`)?@N|A;2G2KbL=NELoVW&8+ zEQQ>k&Xd;UZR;At(Tt9+cJHy0Pp5Jak^Utx3e``OaEXG(f|CGpW*I=>4!NXRLS@-(TgG$_ zOpDthSNw_ciQ*-}wO^}EH|=x^ycTL+Te2R3=C>3s(d{0QAJosv2nC-X-ixS=v6!%Y z%d|_e1ngolm14`qo84)WJ6pBdba9*%Jq>#JG!Zt)dY=caA)PTx& z`(3^C5qc!S@!lx~j~RwrMqdNaMQA8*iKiwJ$1v)CzIFt{{!nPxYrnS)^qQH>nayz) z9Z@XtBXt8`G{9489%QX1oNk|7P-vPcica1%T&N&$33pVr!JUO;lD$_LW#`COE+}GJ z-5LChlcCT%N zuOJI2oD)eX{CCRTOLJr(CPo|k%{NEW)%c7E(*3aOar=Vw0!3D9=1|RF4E=X>Zb8(Z zov*(IrK7%^QLE&CZd?>dUi$I9=PXDv)pwq#>vk{!ed9Pvf4Eun1aNR%0IrtZsgI1t z=LgZ_9TJ+>uEavjL2GgyOE4QYZJPBJCq^r>`fxsLaA!%+%6kNLlrs_|9!nn=e)I7w zRr=BkGV>fDCd50i;T9a9mFa%Vk(QI^ z{oAsUF&zieG;rZ%Uiq`gw7}rsix! zR>itKKSK0^wu%uQ`E*i3dxSQG6? z{=$sDVgJSJI#Gi2&7^PaDt)psp>1B}6{#F!A)gT#-+_`t1&C)WvN2yDlJ}WkK z{Hrv2+fs+#*sKJd-(E5GZ24O6$X-4b3Pci#UalAP6`<8LHhXm6&@~Qq;MEhV6+?5A zg--=^8&=LZnd+U7Nex->#>H~4cQG$@#E*O)cPgPEK!v>){K~f7hZp3$ICENDNWVe3 zBbx%FA-omN{a29TZmoa()w!bKdX_kO%XSDJa8Hd{#BqpMZr_rW@i#+yD8=zAZm}9! z1?AzqH~vdWF%sFte!)|O<*)0YnuQ!0&fGY2R0!VcMH>jiJ%X6zfC~M^nUZ!eq$$Wd zOb*?-7gqRIPOvLV#kRcz8eHd?KKW>R$~x=Kgq5__b@d1E62S&AZV0|*pp_L4?J=0F zKb{UE)3s#% zB$L3CJn4}D8*#hPBzEm5EwJGgv2!TC>sMqyoNE)IS&CUxu{v<65uf;9vFLuL-ZwA9Y&iY?to~r|m z*Y|jSb9K{wrcSC6Un`;~OaiBL@m|nd-JDYIs%Dmo8T5Qg zwrT6VS*QA(JN=rrLU6hcJ7G2E@pG4OhvhN*Wo~i5eED{dxw0U?TNxaq7pW4RI6|hg zs2F*MoGb=|?71qqZvdielfY+GBCa_QB#)B{v0(Yx!0xB4kjCR$6Rc&P&Oz5YEdsG; zxiQLWBa^Py(L6jpk(&{zm_}a92bU4#U|i zCMkFwTrMvEB|S4@1@dc7hSAQaAILf&boOuHGi}%u#lLlB{3KbwZ3WuCL0P1>WMFj6 z(uiIaoQBQdeoy z=jtLVyjzFdvyYViHq=WfGk!3z!E|*ry~y?x8%FFcYNpdQjQ+U7PIa-2WWMcL{LLlvphHJwCFXRWclrVAbW?K8cD4EzEg zLJiwQTttsJek*{{3;*n=PRserWfZ)Kskne^kF9@0nmCFso;) z<6OF4=$X;VPd%6@z@o>Use_aU39;L}72l`8F_GjGCRfab01~!)!`?s>IL>#Wl2Vd> z$^5_eJlE_M1#_(A?KEWW_IKIr$nbvhS#U^}aPNZ3LL!LJPL(`n0e_Cy`{~pEkp+}cf=PdlA`O96zYw)3gMw7@V1AUil$&4=^}n z%oL`4vFxY%*ASd9EFPjbze)(kHIQ`H0F9m0pF*;0wCs3&dCWJCQKlL8<ag_a>Ygexv->$P|J^}#I%!Ja#Fy1sY@ zX>I^t7~c0m18g*ElmVHlbbZ-jGuNj-H#(~GyNw5wNUI8^4;XQjZPE^ zGtOIFu;8l0Z8tXjR|xJ7_}B`vyv02Lbuq77+4rWG!sGai;p3NPo+idX+3NQ`d>2O| zF)L6SUI?poyY2(*4e`{7f^8G7{div89h&uq;K`m^$xv?0c(|o*66q(gXaDbD5p%t9 zxIR<{!O3NaDdhrOI9qV?SHTOH9T=XIym zHnnDtNJztYrtA2d{}y(L*Y-^2;wit2tIg*Wa3Wzi?J!+d;@FuyZ+IL$CYSGQm`n|cJ64SW zky+}do%Z-BN^MsAoxvFP{s=ji=}7vFTaP^1n7Tdr9KGlJ!`3(|X~vz7x7RT?DxAcz z&*ln0W=n6d@Uoh^PtkYAaP<}pFkXymP>Cm&-HQ$qRI#${x~jH>Cv-WwO9y$w4Dd@% z%=mH>+172X)IlExUaMGW;vo32o=ID9GbFn*rGb$)uB`oSa2v_ z$ahvl*@80#_#HZF0M#rmN>?0YVWb9f`A~;=c=+kPWKkBq)=?SrmY4E5CBpwv$vXbP z6K>?~t1YlMC(=~_Z-iNNyctgoIENPM6jr^t`Qd}E%@B8vSIFy0&-5z_&sg0mS{6hZ z3{`p6LWupOJkaWWwDpHUq2U+VOP=AG&o<@QiMR_Wk6-G%36~)WehqP_kvzDOg&LWp zCa}CUgK{teRt;v{R5u>VNJjVrt&@k2pY+e>q2j3brIl)-m{PmnXB*=AjY2b<^CXC9 zPAgz4$^|?eRY$+h6}2Zhpk?vAcA*9ciHez7ghilbn#VeRQQ0%dKD^^;;6M#XPJr^i zyoPTFR_wZI#b&S6P#v4>*g@i`n`Ic%!Sb-GFZ4@*Jv>C#)v<&*M_%b(*ms<*;hL=2pNMUpP14WYI^0P}3itNf9&#(5r1>Z+8`$H9@_l)MlG@wTrK z?07XjdAPfsb4zqdBzf3M; zn!{@#7#h=wNPD{Wt}^sZHSe8CQTstp9GD3*R!rQHNbh{ar!q@uR8kMNcsDM03ifD~ zMe>h0B>K&FqII_S7NN>`g3r3pF^+D!KHW8}REk zoeA#0$uY5}1o*lX2m=nB?h@u?YCGbH0 zF<>0i)YjvuXQ^Q@H|75mKnfVbfA1QsxdTFt{kc1QqQ}ES^p&%iB6B~H&R9GNO=6*8hlwmb-?$sFl^)a&-N`oyiV#% zB@w3$HrXQ}1xkbri-TswtxO$PotRN&bN5^-IKr*f$CvJOT@f&YJa?jmrN;3}JJcQ# z*g>T{ApX?G?Yq`$q@wX~qu)lKI*2lruY?1j5b=mq$*ay)kmuGY} zH_kZ)cR73}v{yRHiX0E(ULh}Ih;DWFJ}p9|rqVMP!ey1b!a+xWy%Nr{_^EsFM`JX%JLmNbtieSz2IZ4Ys?lcHTi3~(47?C6F*!YPZd_4~ z!fRb=xVA_&S&}$D-6Yd2FEkS2j;4S7mpGUCQ$(v(vU)5ZEXCP#`*EQtZFE)7yv*b8 z_7B*GY=cdztZG~rB;?W2n97!PC zXeShvrU~G;d;6KxD$k>Wn2r71N%F;6yIXLU>G3W{EDNRGz{d5*)ytlAR3y^isp9C= zAk>{-mhR>0=pdya=P$q@y+B$#_g-TKmB*Ly)?paxRvLpvVWi)_Z3$OC!;dj4Fj(fP!SaOmRpB)_d6#w^qir4g97R_z zpav`^HvU|$Yilznbsc0>F%wVnJTRsaA#pHSCZ*6MOIdh*!pE0Xj#Tfg7D2{jL1rVx ztXhrQ!^q?l-4AQ2EW5MYi!tM$Cojl zbel9;(O~UB*&}0F;g2ubQNCG&ift_AlR~wd7-nyI_FnySw?aURWwEJdS~9EEfLRsL z{Hx^azyG}$2>B&&&KBwN-LqYgp$oc2Hfi(FL>G}XOET5N4X9C77Q39^Ta$KV`1GsF zAvgSH+MUXpr$O;AA%9dkbIeg5�d-Y3r+ z4>kOKR@Dt3sm8_hxu=U~bXyEW-@^wGW#L6-ac|+*>s=c0B}oqhuU@F!L!89(OvXrX zrW0NjWbR1)th+aSz}yLrY23m{fXL+I1Y78S;kH3SWQ|S%Z+Aoi_?nOu4RZL+WQp!+ zaUDCud;a4_IdDrJZqZy^g_`#utA)AXKC^l%SNW!6^&x|K44$>VszuOBb?X9L-k2In zujop6bI9VnhX9I)KfJSackw1Be8y(J&3#*s*JEG9mxDoZ>N28*)3=8yy*`D!a68QA z!oMdK(r#{4*T()9y>Iv{Kj9qN5HtuOf=Akysy&fyZsN@HtFmEDWWDx@Qw~W8B+ER? z^+8|2{Bpq$!?c%KnMaW*Yw$f!Jn{O}(1=?!lAqo0>b$DC(A~2bx|u3TvIF*1kzY&Y zdw9|%Z}h-JCo>}q4h5(ltV&k7?n2^ns#@nhKCTymK^(Cf{uDAu ze`Gk2NpBk*eFJN}z;e4GIZQE3|9+wvRIOd{KKTQ@!Hx^9({=4V<<9KHt5l2dH%wtD zPB?8!`HPb@L8DE=c@ibg#&*l6pW(}om*373P*rDH@*bI6VufrSiPMU|2&2L<(B2b4 zk~^05Yky@xJtzy}f!;paBDA*9>k{>oOBjK@Dy-*2UgGK*pPat}dfN=euxpr-OtiN< zvdmwz`Bo}AlH&c|BorlH@nWq{@61B;p+j2rvc;XgVy>@*dr0Q#>L0>4f%RC*KmeE& zdpB0YsE?dtZjQ_PKE`q+?zwM@-!k@K9Z6)Ao@fQq6ht zk$aGa$-e7#Qh(9EY5Yd5EL@!A4~nmeBhijB{%SCNW0TE%`mMb@{aOM-EwWHhqJw$? zNHf`{TetTG1_xaTRcF?DLXj3F*cb!nv=s5PO+ZL3vF&BG2~vfJWv5zzgE8q0MA=Rc z%vUpZ{4J|Ob{9K}sne*(gG+$JJ)fz=BF%g^Dk?D#v_U8?l8>X6w@Y{R#iBEt!3h5A z%{}cys&__!|jN_5Np0gDtyu=M5W2c6s8w2gAN>Z1{#cGTUuJ}FPmYF zn`|vFc_)|?V>ql-md5pNFgjfODWb5;ix+^OFE$?8=;388O;p(zq4|#TQyFZV3JUaw zR_4V`GbW}KF^n7AN}fO%ZAf^qGF%MyZN@>twRn=x`(5L5oD29;he0Oob*aF z45%A>>O)HPML8k-!YnQWCyV|bpRTtJyu#Aw!=hwgQG5%C+Javs@G*_Td^Wtu(E5lf{JIU8~v=|#Dnub{<9r^a+&|RP`{pT z9RrQ3X`v8e^lH4pnJEiiQ^2j;I#Ehi5^a#W(Kxe+?d!F@NQSz&dK??E`e?qf!V%54 zLaCBb-4PPSZrik)mKS|E z(m7aEU{|Mlmp!b2&;l|^7i9DPUi16(dHV>}_P|~EPwVlMk??vzg>z0Lg}-u~A4DV)X%3a(6OpnNBmh+G*buy}^N)V0u7 ztOC@eAJ0XewQ?3DCJkad4w5a7iNxG^2q7Lqf62e?0w-Vn{1w8zBw7oRgEFWu)jUpJ zWZ3wGqJ37xdy|>N) z9C|^^xe)Rd`tnox=bIZDB4!FDMu7(-EhHGs7|zpVqtlTkT*AHhPg87Oq>*tQwaU&w z_Jw>U=Y3)xa{&Z&B`CL`y|Lb2v_;~X`?FhVh%+G4~_n01WENL)d!!xdi0V4K};lpy^~TIeV`P=8z; zdpIRx*<_Io)24z(?FMo zBt*Rc2U16zwZ zYGshFFqyaG<8mt~*ssdEv0aCBtai$dM2&b!yiRcMS5=u4u>*PIHAdDNQ0tPecOLTj zwvA|fxxdnXMOyrN$a3<5I~8LuK4#t3BpRL3H=bF}1oq1q47YIBua|=cI*S23#@DjM z!z$v;T7OBeWOZ$05qbaDyzt4wj>RYtAUZB!oHJY(G(UFNT>^j1RFx5z1Gf<$ULG1c zC~CQtVe*}kGW-M>@QMn{ofsHO?BdlPT&k3BEt4@{oOxnc<4{ao{(pUSme;0!+dBTE z`rQ(;f%vIRw-)^==2Eqb+QI>|jFuAWnkb3B^fMIx=$<;ZR1$xT^)*|6pC_FtTTBFf zEFnXshFBbahk6DiV(k2?v~CN#xRyhWW=*TfbMQ_Cs)QxTzw$`27e(t(UkFDGi4_r^ zTe5gS%6+ZoS)<-DK|6}!w|fW1rwvDb1U~nWX(Uf4d51GcGkpf=-K^H%0qTtgn-MhQ zQMU=`@zS>g6Re1o9f&Q=ovm-J3$FkRpMjh7Lo%AlR{D>PK@Vy31MWw??&s>)@7g!e zEV|n+doznenm6-Wb}b%4Fp!8SdaiL^)Cp*7YmLNnh!RYRLthhO8X1uex9!ybf?Mnl zL1FP^-pG&KXd#Sk)WE3Y>+cXJJlH=>t!P zD*uC}w3EX;{BJY8sma6A8kV0FL4(ZxL#Jmu{vOa3$X*GA&-;_ZV`Kn|Nn`=jSzO!U z16w7Q{+?GO|EaWTmbfl!o%8P)|)aC$AaG&#qORut!>kTSpRTF_L-AF|z~QP>v^$YNZu70Xlcc!vp% zA%Q<|tsaE!0EJ~@mX+TN+gPk_x`u%I}nFpOEyQq96;nT}0PYHr~+6$;|R7$QhK zXM%l&gyf=Go}hRsa1Lu*=x1d=u5+Cp4xv-%T^ps3xa5N6Wq#~IZm0YlF0&iZ&nnfT z4)Yz6_s%D$M$)kB-r7C#G8>u26IC?s?S z84+xEfg=ML*!k|)K*)*`{QzvBoZA5%G1^FeayLhQ9O9O?9$LH8o}c5<*_`J(>8zb) zWK9{QnR^6v=H-F{3HnQnwqXR9wJ_=|CoQ;J!)BADRg^Wx9mQ%IB=YYh?D6JI-AU5! zBHO87jh~`6ZQY_>?gR+DnsL$4f{r9vT4=S{NBY~Ye#~Mj86#x`FMj96SX%vS3o(6u zBIi!89%GmBGN3xxN89b$M||#aWLTw+VJv**CRFj5)wI7ma@n{{c*3L4{9p^OU|8?5 zSYMQ;I5|!HUAWbQ!FA#bk4|0}Wi;{1J3)Fa_Q_w}cH+ka5vO3&%2*4O1UFNjdsVPY0iM?exGGh9 zqmB;7ix}M!^TPv0A@snCcUO*JHnzi2t%a+b22BN+$2mnfF~*g`fCwvMYT1vvY)A(Y z^DZ$Db=qa~#*aT^DBVTf{Vc!@CumY>Lm;0-!ZAQR5pXQY+}MXEFoPcJy&^NtX{_!` zhl5^Y7cV7N1J{XHpZHS!t%)R1oeL)o9AT4E{S!WK_9=7TvM9wjWt;Oma1&zQzI-cf zGls<;XJ4c5D)>Pxtf7J^XWPbCTQWae3_}i;Y5P??q?bdOpuQ&y}RU(%{U7)3B8z zn~bu~H{{=!9ek=^_=q)=6#A9R-rrF%)=?Qw@4%?~CQT-4yx0RkaU^K>m zTzK-)rCZHnRvQ9IEDzeT;$(K^p6ipM)7QbqemNM&J?f4WHU`XwbZD7p2w1*ewK`*Q zu<1?r3R4;_5uEZ)%lJ6NSi&8Dh0D6>&qt^}?YmxV=8#KX_7~?yx!G67@cL?O?J!RT z`p2;EE2Qenhqu_q#5jX%PAGOet@0skCO^KZM(e|vM(~V_MP690AYWggJm)^naP^OC1eiHDJHcS%6l--dl<-^KoNWt*F zmJn*bl%=vx1?phQW+MJ9ch-gSBZb0nFf$cg6?wU=#=fF3B=CdTgm6mBsA4H=SI~5h zQ?i3(SR=?ps#LGzc?CoqqyRm)N!IpY>Q|#u2b(wzX zob#josgt-;P#c~uheapo*F*c8p>)(=U?FcU{Br1Of(KQpBO}I^IFf|8WKKZdcZ!18N1Qy019nm?uzOR$I=+p+DiX0JBh+iL z#`wwMFiRsABG83Hgry+Clj8}ji?G@>9my?3xU3y(HvUFw8R_I9(MR8~T02*xZX#%m{v^%W%ed0pxVjiJYo-KVAwlP2H*BH!}5k07*m9UX;XPDODKzCQcjlqLLXl9gUzB$ z%mGs0(@D?DF>Ai3ZD@N#>35^zW1sU2R?<~@_6j4(o0r+f&vqbKjz5=ShG)I1E)~*J zt&v92ZS1caPVDcF5Aeyp!V&!RcYt)XP4*@Gq8ZnnoRyXkhEN6{uVdBnvn@+Tu%F+Z zs5)|dKYd;%l)oXdS>$Ewa{{t$kgZLc?Adg4{M0-?$~JN3c-*UM%vY@75z8jlga|1; zImx4N!p$%;%OD6}f*iYu53Z9EMKC(32J?}y`ZB1jAj(pp0x;nw%jA|Q& z-+gYY0oiDvrO4W=IXy12%fYV1N_4Tq2N+=r?t*BsyK0b0uVn@0vFuJ}(w#l9#Q9u8 zLcxZYR@Eevw+$(?AXBuKSv(U*0*8yY-C{^lOUPsh|00tPZ==n(P#{Stk@H;*LBh8? z6_8r#fw|uQT7~G(Tv*P0$nCt?T}1$&VDj>!wccye>`?PGQybomH7?$V)-8@j=uAu8 zj{ezKu=$9NJoLK!)-}@0iz8Q5fASOUyH(lvjE3G#i#3#bD+UpPXJcJv$Edie zI4p$DTC#N3_~uNrVvODwRNF0218t+&)!>_ngRk zi zg`mN@i?k{;j7~Ro!8oNf?Cm- zy6&zVk#ehv_Rt1VQo{r>$-~v!hA(#u0fqV=x(7_%@mUHMbvQe)x$+m8vW-Q$Q`(v! zIj7?<#Q18bm00Y)h193)zqma1AVBw7E%072&$4~I_FHpC$mV{sQH}J!3zQTL5m#y0cP%8h0Q}*)`o6@U(gOh;nNlWIY zHb?ZksWGMu#$ARY>~RkK87GCO91@gHverG^#{g9QvVw+7m3w|s!8R#sVINHfRSZj@udXRc}#%I6rgVSI8X9R~6YZ_-|b6-(y zqeoJYA z-y)U!XlLQkE4roF><5avlwTPnE1qOr48HT@43Sc+;a{apK^`P8x?*i7H-;KIeroV!3-0Rvoop%n~%P(d1{-g1Txa|@PiFHx#Mx3OGukrs_c8!1iJ z)g~jXw0&Z}ZpL(H*!@b=6whb?CjX>{jueHAbm>ixb24J#=`$hS^e&DJPQ9hVBlQ5h zEkmPBG|F=Mb|d`R;l%{96Pqa=STP`dU9?A_QXeD$;=p8#OyEHR5Rc39i**q&T_V98;g9Y1|&CI zuDd3C3Po1ni@wF)DtkCitkWth@vK(CY(1Z7)~<=CxSy1hl)i8@VH~b`>Pe`i45X#R*6bMnnLPpqqb1-W@#z4X-LauuwJ`wX+E_frye zSqr1Rz~|t5k*P2^a`z8;a2?&-yrZ}Bdg?AY+m?RN=78=VVeK)3go(`twiG40g11eDEIa_db};Ll#YL7#Jt&$%%DyzJ|ybhkr@#siExezzQFTvXU-}v z(E!pnaO*P!0cuNV3aGelMEKx)Do(y;CDK(mHuwbvtaJ=tZ{~Ut-DV}1$RyE)t}79r z_z!e@!FECv*}P*6_N-HS2ppQ6fCl@MecnKM0<#~lz3Avc0mQ@p+>0tC;oCVf=P4>) z`Pfq|txjWiEB6LJrb#XptOh&<#*lsynN7H)s!N`8Lc#NM%@94!Br&Bjxy_Fn%>9*= zMQv;i&5Os2FU`e`VNpX34)v}y7O%#N1|!z=Mge~ucWiOkg+pf3{)B;RK-zW zj^pY>a1NP2w6{A*-K5oi(9uVywwg@x#8l}RaTFF@&d%$ z$?@k_bT28?H6$)%gtzqY{G;6G37A%O;ho=tg@85q0|u6sfOFQk6PUyb7hZ0%*>S3~ zc(kRFZP%jUpiOyn?=4Ml4s`tCCVDwC_D9N=a8|}i11xaUf&hZjmY_|pt)o3qtWx&A z13Ht;J}>q?qD9ISa#B#{_H%dK#-qYj9oPL~P!YC7@9vZ;kJ@U0N-t>WrJi&DE4T9Z z=w72jyl!chPB(n18IQHL`^_{=iU$hI3Y}jwLN8zKGbQDCIvK1*kzWKq#u zfw_<{NPe%Ct~j*5Hb+zGCByXKZfV1N^77BP=I>N;qh2;URZ`-8d_)dN1G|Z_M1I*17 zmHliym`h(}66#L+3dL?#s-J^sn^=r0{7D2_i^Yc8uEP#&K+fTE7SttHb4lIFeztbN z_rURg|62p!A@sfH9_PuhSPE7m!7pM3qke|iS;UVHhy{SL}LK4zmYnMc&I=hNBm zm>|nIb}Sr1OBABiAwRSZgR_;HDhRHGCX>*C=yR6fN`+D+ptd9lG$eauR9}FL(>{2} zS_GRKZ`rW^q+%^6USJu(u8baJHQud{x>7wl(83hCN}RsF)51j?I%;VCqnk|x7gHLW zx=hQ?;-HifPQRJO`4Ro+id6vECVgd(Wl||qiqWElHkcQNCv)%?Qck{ceiAde_Qq1B zxz!zB`!|Y(HZw0MrZjJl@pY`1Ijau1)Aka);vQQ&@PxtTfWqWiO=u{#NcAZ0$>PkN z%3DXV0wKhD@OWY87i%{b6hT_`QF!2rnTd+MkGMI|%o7RjXS49vl51W>ztFZ!C|pS} z@0iOHmwU-)IW;d2x>4^P+teg@0R=Gig~#f+NU)vw`TN^1TfYT~p-rUDd>;yDrBUQf zyK#LYlis%2-|=>TFjB>{hxsL^wEa?@7m2T%MI1pKS|UnZm)Fl>2VK@xUxByF85D(4 z7@>N`PGf^h^RVe|PsBIX;VMC;qNLCx=vM7hBB!SGO9TQ_ot<@9n@#rjp}145MH{R* zNl0+l;!Y{8u zJ>SVc=aHQXGSk``?#4OV6WNOC6K;Mskv`WAc06{ySKH5D?~cpu&rDrpD+^KL;|I75 z?tXNBqRZ>>39WJCG}X#~uZE&8D$hjCxHuJr*;FM%gPk=1KNRu|y;gWHYwVkX`5IhB zi0{QhyVX`q@PsP5bZk4+zYkp%I}X2JPAh&4HB>L0TAuQq`iWRndIEYq6So_o!Es*N zJ0g?pfj3MeH{Mq1O2W@!9>LUDHMdzVmJioiS=U+38oSqA7%e)bX*tWCIkfA4HF_g! zCdW|E*^m}tmI-B|Zdm#z$>Aq)c;*LHj~(||<+6vJrb%!Po?~Dsvg0sm-#v*4kL8M( zWNs##6|V5sI^Jq3Oo>tpB8)EMP?&xF5njfIH+;FhHPfQNTG-%N)F^z6DK;*vQi*<_ zhSoQvjmhX>k3)kV@&S!o{!uijuv@@^wH=z73IpD`g;h0(Q-2NKE0Ez z&t7zW^);_{JgM2%cCy!BNO(J-A=d%J_u@#Psm7!inqtn~klyfq-p%!yUIIs;mS8tq z$RSi*IJ%fW+&#*j`!>RF4NLU#{UIsFIjQWEM2gCs@A1kx9Ph<&I(N++lfF>J^P8gt z1s=SEoP(3K!Ttnno|;RQ)rAsF@#noFIn(?NS!tF|MpommPI4Q&g_93!n+c1ArI`g zbw8Qr8YG1O6*X0b-RN#rkk+v{dT+H5xID=aW9Q)= zL2BovQ(A%kmkY`fzTEn2<4xXTg<-eQyy*jq%dGX}gU{IN_Fu^xrX+^_xDJm-l1^!I zx<4jIcoLe{S%08868ZjP7ma61@D$Sp$I2P%Q$oBOScEXhx!G$ar19}=*6oGEwlR21e}I%aopQP5M^_v|mGvDp;@8Ub-A zpaEtL=Zy4;X-x43m}jry6eMZi2C{4&Un#v@Va({At1(~YbF~p^KAoKkGR8k`-+Kfg zWNs|3#Wy{AIacU2S8R(A9)`gMcdcOCjrpUUEYdB|*JlZPg8W!9UdQE0MbeT~wbBoRSH0;Gd&y+B4U_5jNnOpd_EM>6sv2Wy^|`u${+P}VJ?P^FNMP%GYif> ze9LB@Mz&s*8L4OAfM&d$B0cIj!$^O@n~JTB^oimy`&h_C(qIE)vas9#%%R+>WXPmq zjBFE)He}Z0{YTcLt%vWpRMA0SWwdD=V2%B6LwfgO$d z$%<^2+Q*UB*zp@Annh`LgrY$S2fiuEtE}^rQxcA1wSJ4_^t4u_S|v=;1wv~Y zXw|-PTJe&eg=<=w(R>jxpNo{TNJ-C2FKqu&hG)C2k|L@f?{S`5Kqf?h{ph*yVBaR38ECj$L@soycqyAVD5AzrXSTcT6QVEyQx$$OP%R)3!F1Q*Z|^> zFKxM~%oeyReW{z?iRk;>Me-wf4>`E=%(}t(USm?>zg*lfseQeYe`HTJHZ?bc++HH0 zeE#K!Prfxyda+|K^kUUpc1P|&=VBc2q-j)yKQ;Qvu-4$EG0T!0-aG$KHGCmN$kt=8 z-UmV|`-@uR-mZkBTgUGXY+Gt>^=Cd6=$)+1EzmK|O+p)A#GxXK$s=EdV#j$7UJJ(%Ld~8l-YC!0X`h;SmKliB66+%5K<7I(j zX%>T!uBhZnF5%BXE12ymTBOA{QMVNPtyt6Hsfb0FI^Ghn5+SZ9{nkE90=Us#v}9}K zhhV9UwG*DWe!}MT)}oV+y>%V}X?uROcqNQLeO+#nWy4_n&iV7gLJl(L`%jVjED2n5C?8#OiwIX*Fst)BY_-lJWqNsAD; zVK|~up38XR1b#6F9&Y&~NMNty$n?LP|= z2H@H=gvt^v64t}u;Sc53Ma2b+Z}EN6bw&E|CV2AwHQVqvzZX3v9a2sDI;()9Q>XsG zIX4sBnQuZ1z$q17Sdq~3C~VJY*bY2&nG_j1cN#q*z~%W=p11d4>e>;te zCH$T^uT^p3q!iEz9G_DCuwMD-DXBPpFWA>70=sstz3HP7qxi6}T;`c*Zw%UZ^>%hF zIGL4Kdkyl&x&9m$?x!yuAA5vi=Y)a~uRRt9R5Nx44x0-ZOwBYqdU!O+A`I$zHY|qD zbID)#poDjCpM?Wl(KDWYyY@~ng8z;iR>&2a@!CEylkN*yGS_S#tkR{ajDs_Z(Q?|( z9UWrIqTSH2|c$nCUx;q5PZ$Mi0Tj$>m{F@2Q#ysY4 zI_Og2?{=fl^H!^48dd}WMruC0?U3a*A#!s30)?j>8CA~; zyp>A-#vaC(elUt@8XwM3zl&opxqP~ZGbX=;OCb8JEdGYqBg*p?(=6fE)QLd;0usK$ zm0|iLO|>bJ+%i9+aS6PcY6~*vRQtB4(EN*GwpPqzF5x@6nzN5E4sJ7b7ePLyn5V0Wmv$mY8yEZQJppre^Y~dCuE8Bwr!Rr+L(vu z0$)u6GlmX7gu&5KE$MQ^tPmhm{Bo}Ec2qLEhi1&@V}H$RPj%*PVe$3tkK0Y7uam*& zLZ;Z3n)HYv0xf!^zJ?{QYX>KAm-w!=6zDKFZ%BC4L(aoYf1DXesk$Wq2ODzNnB~H3ov@a5c)yUapk|80MDoEy<7h6t2If9f8?hF@S zt+c+d+ESl(@=yE82dl-1ka-%oq>_Jbq;YAYxYGvIpQouZ?tWj?DA4L=?e2=|kcy}; z)~(nX;)841o9HM%FyQ75X(+(=Hjr5KP?YzQXsk6wpj_m6ZZmu`3p*bGWdReS+f0X= z#vY>O5V*vVBvL;yNP`2lai?DCvJ(c(`{Bo0eOpgWt9!4EmXB@&W0%{;mNsN@UiSl* zxe<&io>_&lHKVGg<8JP;CMG-{Q}1z>M4V;~=UGzn$&h$fDRR)+VoqC$8rux8aCidu$jKy(XDy2~-x?mif+ldg>$6B@sSyGOYh${s-GHT?~`Lwopy^0XTsEj7urocul!C3j(hPkAkx zP2nr#L&*IU0M~`WoXni$!LD)ov$3n&N znA9BWU5DFCMpG})8n)-T4uJLvlt-Ub5e~JHp)r+jgr!n$B$})QY+&%CT3B2P@kZ<( zB_8OoQxxSB!l6pysI%}M(wI~3_NQg4e|kB&PTvMp1mKg6K@U+R)Cu~SUSMv7m?K#+ zCGO?LO04tt-fqdnc@9qt5F}}#ctx7R#oUsa0S1KP;CP%Wg;u1o-+u+yuv=Z$%iMht zaeC_JF0C=ix$!*hf+Gj4~ZE3nL$Sn7MVCvx$-T zzIMm_g?EbxWN@DXoFSbx8#2l0`zTkpT?|uuIy=p#2wmye2g4GF9cN^Y3|+lBr0*>Z zNGBDG1^Dqi-_$VHNsr^=zl(?C6k(K)?vSao)?*^pwFz|GGW9H)^y6HOx8b(|%Z480 ze3HXd#4rr%Q6OR21u4$EtVx=YiC)H^p^t>_kWFBBc$F&DQ|Pu#!Tt<8ec4-Jrs{OZo9b03nhUa@kUh3#m z-ckHesYgLMZ;uj3BVMT<$)OjUhQ&UTOY8oq}ehglA(G7yIkH!4Z)|_N~r3*Q)xs+A|p<%&aIArTKXX%m=0TC+F1j-^-0FI zz7NGZ#1?@6R9bW0+S>ESW5pWLlyBWtyBmDx9Q3WxhGK#>R@b-Y?BVTas-~$!f$d^9 zuTwtxEwGZB@4Ylp_v|qef6ubByMUM=+LtED8o5g=O#nFf`pC!RMGc}d+2%RboeO#J z7}0#qkLKrtss`!@a2fG)rB_`;c*u*(VaFOe2|ATwS8=Et=nWd#dNks90u^N+s#6BJ zuneratbh0~MhdWvxP3Ntq!S7|o20U@OeC(-bZ~xlGvvt!h1Mrz?apqx`UvDAe$gZ3 zZk?@sG&SY!F{EeK60$kNs$#yMTq)^ZL;m@(9C^W7lt4_%+Pt*#-q(Pt&iMe`9Kz=7 z*K;zr9kp}ajE4im`@VQDpM6%Q4Rx6MEEIoTKAd!CPn16sGDiFDlVM1<%xOM&*wg@Z9{<-}!a5ou9T1 zpCr+9XD_*`d|I_#A3u;eA6qGR42w~l22EiWXwkhb4oMXTM2;)hNwqLINXtnNBMsz- zV!a_#sFHl_yOc~bi8LC7t%Z45>S>o$j{3r{Ip+}X^@iO?gnW8+oW`M37ukIkq6SBP zYrfC|B=|mcNSAnMMN6bz>I-zT{TRUvNE|-VM&N|(93E;xJEm@-p3D9SQi}FTlB?0Q z2aYXwul!?GU~K%D)?2#u!O#6~tjQ3DLk`%8LP!syw^?>$yxw5y6J_8$#>#eIz~V%L znw&%)??hdtIqk1f=l+2DaiLIy#Vij96=~yO#tNdS8X8FgcSkkW{3Skpf1hZInwg

5u#`;OXZ{ zXW)dLm{r8k_BkI#rtR!i33ydGW#i4mTJ}zB>5Xl>Ior8hRXqR7Q?wnEEMcF4NdIw7 z_9ee6?LNk0o~iZ8wtBGnb8+ zauQ}GlF(RLxLWBCNl<&yQCEd?)EB$Ld>f26q;={AlgAZ#6@ti_A?O{@LklwvrKW+n zjRZb$<^JWP-p2#U>4BeqKqx`k;=P2?%qm494e9H7fq$*;Z+E1WCb@`|a) zmY5~S=;>#bWlQ19T6#y&bDf*511cKqt&>vpB5p(d*2dx#^_cJYP8`G51(42?xlB5M z$nhcqktv95Z+@ih!}U_m{Nkbk`@VB4@@=&dhu{{wyHe28@j#Ou>F0Rx3XWGx9#MoC z#LZK3D(`Hze0g6}>P+DAcp(~%)k~_JaXH~leN}W--+6rLLTZh;giC!w$(4(xD$^RR zBqmqi2SvPwkJTo^{(Pb^T#_v-B{z?9P8p)fll#2YtZzZ+HoP~`RtvtcH6DLW+x?>{ z-R?ALj0qu-Zd5powP=;JC*m>h&G|`T-?6L6o8uo|u~Fl~1%-UUPW%0I!AV4Aw?xKo zhz4~YrAFdUAR_P9yygchR14>J_!=a%eYqA~w3WVTtzY~>qPKsuJ4u$FshOeF{%TrE z{(Tj&34~>`Ug|Wl|7OI4kNmS;H*BT3g8Z@T+J}MS`YO%JEz-2tXeXU(dR=w3CiH+5 z`ea_v3d=EW2=lC1+~Y8 zIU@b90a9*5PnT!84(}W_XJ5TYRZQvZ0!p;-Z6-PZs!e)vRx>7^A!UG5ts5_~o^pLl z4Aem^yg_lAXvK{wJEUnoT5p)J$Fc#X+J*)9`7x zT;pYn+mki90h@sk#n2<;`eMSgup^0>)zDxK&T7gAx#Luc=B1Ad*OKx!^Tr0nIi*5{ z8@N#ruP?m)xTte^q$%wO2MYl;KYOD$fWSj4% z^vK`6-2@Nowrk|3h1@E644UT=rIHk5;l3E}KofmoM^ZAF*8WU?9n~>f=tAQ)@pgSS z|K$K|wMt=_a%EiVbVfd1sXhC*M8dirf_xz_oJ78UI?}TF8x7@o^D>(NJ{%mX<@IaR zuSlxn`O-#hjPI)v^@TaK-Bd$W#Rw^447QiV?4nXGAl%p#*(A-i2F*9InCm z2Ba~6gyYG2Bg86QxZ6>o!8KTAod_N{9w3%xRI=^8DZrK}+_y|`^5?6uNAyEv=BrIK zWowM#pulj*dN)b?gE#&jS0j%EYn=$Ua&b$BErl^;=gpFL5Mz3v(jYqnes>cX6OTs#uFwMj4&GlqDfJfU1WXjf!k-7v@c$grkk``@pVh3uQvOQ z<~#`&vM&9)5DYY$6pbgO=eszD#b>P80ndvdxR-3Ds5aNs)d#*}MB;Ab>h`sdx7zq> zRx4)-i$bU6A^5CrFe971EVjW??RB(>K-a={Q5XlY5a4QKrzuVQoGMk?4h#ojBmX>jB##n^erHm5e{V+ctX3BZMu+xhV$ zJjBMnPlvTC28=0k6gMp7`23wM@{3`&6a}~Z?!&?B*Jqrn*0Hoq3PAvISb3&bD>6vt zrr5=upW5aV)nHG)BfKPe|JoxXGK)>1G;+0b!k*3bESR|BW)gB`7gMjBOY%H5=-Wba zyIWu51~d=2Y}7{eq<@5bLGPtJ3aX>^_DTt8an}Asw7IOHWZ%n`u%)_L6<-i%T~Pv0 z?(})owAOH59|MV3$b{)rs`_He4<~+$3MQ~2oTA=#90%6etNU>V5NCy|MM%kKYk@YZU8ShcG&982q!pnKxFL^R( zS`c!4W0_TVzHqpU8C8Z@!_U31K4XWzhFfH+=%`U1FKf*XsR z#UGt=6c5_zu#!c%^q;~G6B+@JV5}0O$(_({ZOHlr)%~J=R z+Sk|Z%d>skzS&DhX1%FPZ9djrME-cTjl{RbyHutCubchbq-CVeW47= zyJFy`QG1b>Ok%L0IgKo7<#C@UC|;IQ!uv|x3`^g#0}~)vT*Gs0&_79F!3Nfetqa3aQA-5nI7qNaewd}6V;spv7Ryt<^ zb8TZMN_MCy`ju4-V(B|o>`#*|Mo>)o;DXkKBy7gu2+?N|rZ6{%ar&vtV`6-3<&V%J zzdL(fKDOlZTqU(g&|p~^&x|v5o)XzaZ&ntAjI>fjJ_#miZ0&iCH5=~iTyvY1Rgyx> z6IzB-9%ZOG!J1vHE}_H`}94E>odn0 z5j7LZ{YAWDYAm2Ok1!nqsX`rmCopUlL4{RHmXMgem2w z&IEt2bsY+Fo6>&W1Pc%Yh$C5|Tv0lOy`FAeEEl)Y2gNYH;JXYrjG zdcGV#|7NM%ImEM4Hiq)9bJo4pwjy1;6`ZdyB|GWj{IoQBa;wF(##rw{Am8i)3lCLg zV46Gp(_~X9-NJ_UCo$Gu$A-G97Qw}Qji`jRFqGMPnKTrWRVk}0RlTZQUgT>6k9}+S z@kB1aQi!&xDS`l=ZoWqpiLXKI3UxRYWul0j*YRl84nAv$(G$Z4bTfA9kj#)}l~>$Y zJl0R)D-$ua7RV`thy1u`II#UmX9dVcmblI#mTCbyIgJ^+n9+2>UF^kVcl1$CRbNys z!02C?VaE!vy~&x}W$;47+uXy+H!$(RUF-{0FjsY2`vIwz=#CuXf+Kj0-7rsImisbCB^3C z8!<0u&r6i)AM`iH{jSqBMzUt#@vRw+4-mYldk{hZ%kO^(&6?N7@UU+7JspuB)pvgX zwJV#FCwvA+vMJ;yM7v7OFn>V7+h|u(!f&0_WIe|q(V$LS#UJUr#$)lzS&z~WOZ?uj zGwD(l3gHd}94E?$?>{HFE&3gf77kMOVQMynm`U2c;s`3_X?g12nS%B~WQW@wk>rtR zRTu}z6hjq-el+V5`gOFXy7VTswub*Gxz&oN(v1^JfXkiA_H6c~S_zr_69kInD$~V} z{vw<2?_f%cv1b2GRUy{@p{kICnv#ry(x0jd$?02~y>>ME4`qcQ9DkG*f;3m$4WyP%4o{C zCImBO!D&jWl}tZ;7TOz-mA@w+(!Z_ad4wR0OeF}f5VS7G@;oI3f*U99FErjn@yhuq z1eJE%kG7202TP#L@m;NknP@-{QXLc6ebi?l}2VQ5(cgqKn zD`|EJ{OZCRA6!BWFOmiDQ1U*0{li`ey=@7XVAz;Tb_W?3AU9UI)B$L78D5FxJl>``K<~a%q6o_ynp>ofh9^Rn8h84P)@=!(V@SE8P?m}& zDsL1p0Hdi;RSiADUCXqK4mlet@Mpw^Z9o@DQwOdqGVB?DgBqk0I(EcZ6buQ35fgp` zyvOEsX+&=$d6ZZu@M8b?Lq9GS8WNGvFvZaha_nY!rnots4?^>9QM}&nyTeE6QbfUQ z%EB>X++zYvaG%P9r1`l?y849Y z$TYmh69XLuV3@DNm&`O@?p8Omh{bVH!h0}^08lY;o3Zih=f(1jID4=^HHUX~iRp;EtMXt!ABii-Yh&L*aI@o*5@*dP7bnFIdIOtY@S0mLK!gGl z1EKM0Y%}6QI{hOdSc$6OM?(ZNu*^DGZ#A;FLiwcD>qNAt| znc!Kqj){2K5gKUlZ8{o#>T^pq3SaR6a_&p?yFs#;4+klSSq6RG@^zYR9FGnuJI5kG zjOYPDL0n>>>GJwurMjZ1E)(l)*Z{Voua1uL+eMxE8bmY7n-Zt*ryDLrkuNP;zZz3n zk<8Y%mVGpl)a-F?JIpA)rYspSeyK2VMp1Ljwh?#5a*e73;cIkzf3kWJlse_y-gg{( z>~RYS;Zx0ImdB5$b}lbAJHlv*Q*LwbxQ(_MjI`omBP|;F(JKbccuGs)&AWb~TXWEv zYLLXRRO-H1)vIc@W~fmZkJGWJPj{7d|utm<6J&nw|9foy0|lv8-jFsmzr{G z6mj!CCz*Fssi1Y=D%LrP6sO$N=nMaroa!qs-rK3MY8&aXI?=t$({Od$ll{-BK!PXn zVvb7%yw+-8_qD!TALb(rc;{!`WzTvZLUK2ACHz0Hm83q`9Jl5@booldzRxz*SZ00m zeaB|D63ovZqGUz4T`r&X7|+GwRk@9)vWMySoYVxg(zhaRblet8`(aYxQPhj!s;GLr z`t;qc<(Tv>`@GB3*Cphi)^5TqJXJFHrfnioJT4^1VG_6MTnki>d3Ohr@lEQf#hp-T z7Cn!lk#c+auO~kYC^d#D-Bc`HzO5GU^j4dkDpG+U&T;EBV&S*PYv{0BWL8|PHtcpL zA!pTH7q85E#ytMf+b83~@O~r=Oxse__S#8yBX}Vwh zYSvbi=(x|B2rCGMPw}e`X3OWp(c9vk?{P9m_I&+KT-LfH?;M8@AnONSk<6gaJ6INr z_1hCkg{53vWi~n&C;j0OKd4PyGfk|jJGcvppD^uZfeW@W7yZpID#+@I>BF3Ym5BLM zIru5;^|ez@``P;PZkwso!m(tH>ooc2?Q}R^@!#02DC6x({&<9P#=Mk%;Yndkqz&6|(gwovVA`VA_tM17+T@BN99e(5<$06W_+Q6~$O z1Ihz9SpLv_Kvw{m1p?H!(=#yoIn()%?xW(!~ef&P$}02T-f z(CF7!tv@>$`hRsWQBmC z$i~tT^|zG1&zfK9a4${m>>Yk)&wXs~wX5DA4KVn=rD|sAU}_J5u>Y;=-{Ap}-z#!H zoakRc3aN<7$*cYYlI1@@vi=SUW&0E4z1#l*2?hTZ#Q1W&595Wo6am)J6Wp`X4zt9|Ru!XU?C;s=sq` z{uL+7|L#;tCFxh9ul^3o{vSD6!M}5YLH`2!v-NjS$X|i}e=MW_ST^@J&Ib#L?LRFf zDCn;+!JPjy=YKhf{|5ROiwOk!r$5>LGVuSt0`Y$Z{fnLRH`)iw3Gydc$Ujk8e@FfO z2+#3=R9?@-$o_uMv~m3T_XFyE+wSL%%lgN%lrl5?x#|6E+-KR}J|KVl_yu*pVE*>; zYv_M@;)DPDSm6IO7U%DGV*Lwh_P<~H>pp;A1OMv{9%hoT-o2}BOi=%Jr@tfo>7fJq z(9Ys}*qK?G+2{Zkw)a_RZ=?rs`o|?d?SFEIi=B}%DhR*^Mg{%jb+1HN?=#LA@OK-O z?LOUp{bKzO8|3FB;Wr!T=SKc#8<>s#e)11&?5q#_LBSjkY%EZ=-)$T$Y%CAPV-uAM5Y^?&r(N@?dT( zoDca}I3DtWIXFQNdJjg^D#!L^*=hhwmFKI{j5m>V1r zwg*07gK|EIB`fIn`QG>AfIjdGD~RRa*q{&Q%gPA?{cdA}f*&y75Awh->@2@C-`iN( zAH;)$4f6Z_AP^4L2ex~+{LakE0sTGK?rrRxzmLJr!4Cc1SL_^|zsCp+;)Ftf&kYbK z$Afjr0)cS;p5rVKw%>CS47p#Izh4VshyI@9U^WOR>w_GEviu&S`&hF49?SdOfI=U{ zoP!ng;2FWe%KE2xIN0gkp91Yrd3XRoB{MgppU*X*qK(b{R`iF;ldv|n0kHicQ6xkF z8a%?Hko$Ea$|(rq1c`tJg&{1WU_q!DD~GTM2*SxO!Uy>4E?R(Je{}2FIsAH_-eYk< NK&aHzqHBte1%0f;m~J?y9@KoHWg<^u*m zN4DIUj+91iomOp>i6`SWn$~}4T1}%SQj>P9QjOKAXWCBf$eB8>Cqp}#n3HsD*rFTO zQmuab0C4*Ou$f7nIE`-Ru>1Rdzx{S^@3pT(ZD$}7)c09-X!2%nZQ0q;-qNmPOvjZMx>ax@o+#8QYwe7%nDkzN}KQAg7@ z(&KUW_8m-EsVth1vNV&y6!7)(cyM+mIy)5#&&7g?_^}YgABoy+hYts*LV?I(hflz0 zD3$`fBYpRiA)muWT>D2MBqO5^8U*Je5ja#wc+MFKJxmUc^f_(hK=;Tf|FyH<7WUgp zTrQg4<*?JA7UJ^_+vty-gy*Jwu|V)4a%?Pobmkz`$!sJ_MxYjBV*`PiiK$S8ctg|P zz)av+C~4`Li#h{yQz^-TDA2OWchHfH_lCyjj(KNil7`{X%!Kb?O8aPZbTar*5+CXv z9dnJ`pMaw-Z{LV5&0 zu#|k*qkF#*_IkVJgY`*S?eCM$ zggu3r zj}e!*+viUBNg~QQyAOCq4XhDU-Z8X)bY#dQ*c2M+JIH_S?L71i(6Glf3V{di>cc&W z$Uq`;2qL~wL9xg{B65h{B(c!6V>S|UOwGa_i|~Q)L?9LtRu_HdkRABd_YqrbM_BKsxXTLm$C;B{2 zMNvp%Q%EC8A=u39H@A%ijbuByhlKmdf@#1pSrR8tlr9iW(Zc=ytN9v%&>B|?O>VH( z4K0)62Ln{A0cRe}bAM;$nzehGbbWi}8mZD}{rM}TWv|Qdz&Qh#u%<83mWKOC3E?;7}*08LHz{`~_Un}1*+fo&{* zNNXdSBR+42l;MEhJp`rB4f)6Q`@D6_WX(}Ow43$=|8M|ob#W36vUGb~9V}!u9New% zVtxrLeIsKUb)}Yu_90T&sFyhNYRQ+mo&GNB+uU8tUHxzRU)P%;&#sm?{EIqR9)2Ba zYOCH8KOL_0zcE#}Jm0lA@y3+R38m?IV}jNqDWzw%#{ZQ-r@nEpH$ETS>+jSzxEtam z*6F`B36_alfl3cKTj^n?I$PnX9I!hp2P@smSzb=l#kiv5kJxONiFWcL&8fmu;ni4uXrewy9jyyZCtu=L`djF>4t@`=m#(f? z{4BJIF#L+YNbiQau=-!6bvT<_+`UF$6H ztQ#O_*LkRopB43D_zJ&IS5wD{O?4~IN}ZK-fjv9i0?~59eNT7#Y#Y)LLwId$X-|dt1v^t*v=ya|`LVfjkfjj876} z3w;j3lQtGQzTMkO)>zEme6={IR4G#`OqEKNQmt%KNptkk=~!SK!dQfddLjl1W26}# z&;ims9*uHCGit>qjdEKSnNf=tv7%j@v>IBs&5_Vl%ARWH%JvMVXl8S=ohzFYE#8#X z*!E4cvQ{v+pti8lC|blu6KfZ`8wx*(X9!0HYW@D}4M9eOHipDkv zym8GWtP!1vwFq7`$rENnVIUfuot|drv3tbZ9EimtljC!-P;|AII@v(4Z`jue+=lN3 z5!MQwDT^-gZoM1!yjAG7Wym+jpi9KNk*)*w(hR!8NaxAo?-8UMhP^U_?kS{$4kEM? z(sj=w-5J==XV9I4J>Ov0AXEAbXcBg+qxJS2K3)J%8yYSNf8Rp>hQZ&W@VD0B@86KW z7vY2+&rrU9M*eJ7sq(Ee`1=v^H(=s*gZ;gM{Q0YR{ZW5~27mv9{9QEhy2t+h8~Hm8 z{`|sUk-=YyBKzwE!kWQfp(6WRs^U88uf*VQEAr<7q9B96&B))1!C#rd-(KYJa8Bmy zbQtN5WYGnY&YwjWQ{?-1B8%<`MZSOaEV|!YrS40s)SX+U?$RoCf4@rI3#eYQ>As6} zQ(1JcqkO|zbU#_8?md)mHl3=<{$g2l1*_Cms61brLDyu^DPq@D<|~}Ae-(6gq@!Dr zAy2x!2s)dZuA`yBO!C&lbIr`(7j98LdSB%ue@k$a?abg0Z$^6#dFQr~Goe%_sU#Ge z5Y7?Z$dpH!&qaJLu@K|)C>QD1ApIJ|n-Onj{z?s4*5@Hh9@A?EEbH@;KA-8IHegx5 z7U|bAeV3BLvVI-XuVZ@MfMtCl(ibxQr~%9RBBU>3`XdG`>r0Tngy{7;AS0cU=@l}YgLVPvLKebgOegon+Aif6iHHhDc_>G9)g!oN}zYFnqAznkg zhWJ{<*CM_S@pXu=M|?fv8xY@s_|1sljQA~x--7tN5q~%08xh{h?tsKIuo#{vH3FA1 zoJ+O~oWt-M(kE~w!)9_o;6{e?NKoMO4Cj-F4f)aM&|?Un5_;O^EQb5{3y6OP;lD)q z8wh_J;p+(h2f{x>_@@XPAJG(B5H3S_i^A)Q)?X2M_ja?AYKu|aj`a5-Jb>^ak-kl& z4~h3PZBrM+*^3CQGhE2pQxreNa1r@^fvpUekS`JjUi-a3UlZ5X|wi!6q2%QIO4w8l#X+}rp_n+TH2<9w&RSCM#G7a9S!6FQAiRFPCaxqKqt|J0hrKC zV0Vz1k-_sLWb!0)I$@YN75!4AvqLmCdl%b6A@#fe%@I~S~+Rck<|E!n3sg@ zDD0N|`FMb3gpkJt{sV!}(R-?&_wUsm{LNq)<m{ElpZJ zt0-TkDBl)QzHOp>^tnd!Zx_e8PaG$0W6|<&P0we)>G6<)-I_mUo`;^3(SdE%#}{%UvMO6D|Ly-{Q}8is|!V6FA>t)1=Mb z+S0OX*KXUcotfv5cJ5mJ9MUEJa!<}76-pxmceXp*o$bzcXS=iA*?w)dypNWA(l_0y z#m&kWoKIdpd69gL&ZBQ0-0c2JPw9*G?!Eqc_X+>n#l3p9enPMIpU_S3QWS&Aym&$%iqn-W3DslzI$&>Hr=>8zQ((U0yt4FU z*XNvc4*sK#WBwBP+6Qlf?&F)6U-L7~%SY*A$Ur0%;4{^e(a6{%Y*D0P=2~7I$!&OT z+Rr`f`^%sAm2`%CCNYA(m&kJgZJ@bE(sj_4kVd6nF6DhAI*yi0Qe!=CA|LMx7+=WX zuOInqE9ZR~>d$QOH-`LO&6jWegugi=&!fDMpLrgI_7{!wC^zy~H;>X_;eA%D!}BNw zZ~*gAsP%;R@v_aMJOvr_8w|gwc@%l1J$)WU=JC9VnUqTwGt-|pU|FA!^mtywg7DhZ z$a@|=2RX@+W_nE)L3wr%UMKXLs)PLQHKf;IcOm>KlDdBCApdEEKZEceA^ax@{}sYl z5dK$$UqkpUgoz^KVuTwI-ifdi;X?@P2p>oIG{R3Kd>-L%BK%JX|2x8OBK%(nn^hTC zBD@vhJqWuHK8)}&gdaipa|oYB_*sO%f$%kiuOs{e!!1dl18*UG1L2zpt0o!eBV2~C zhHw+Yc7%7CQst%d76dOD4$=YNhS;jUG1%{QCF28u$b|eY!JRJ-E$+F>YMX*K(;1VtjbB7$4pu#)t0~yVN;ca4kc)J)M zZsz0fbo`#K%TuB7XK85X+c%0&Jq|s$N~igqJm{&Nc`n>Pe~I=XkAwB(jiS@M2RHv5 zPG|klbk?ltN6+KFXi|B7@%=wv{!mTygnoT#Xy{h-=83GDC#hy^=+=`rfA_=k@-2~}Y-Qfr>F1Y5vucm~cl#5{$8^UMX-HFkQu^(z6Q24YEP>7L%>JwjI&X@+YAm6vK{`E) z?jEFjDvPcI=`Lo`^&#EMS#+aFw~|GN*O$}vJDGG5r0Y`oy?}L3BHdIL-5I2NTFw0Z z#a9)1eC?{5d3=qI7Z}Iaexj~!e60q+x0G}p9$&ivUDPu&&fPqcU*w@0>rOF7Q7C5 zJ>u6R9yoXAw<5j>@pzqbG2)96UxIkNZn+fkrHC&>JYL6Kj`(uKS0FxpowLlR zuXC39^mWcM-oR>@-UWOeWe!V0aUS98Wa}k*5V=gn;1fMSjCb+Yn0+I-@Zcnb+g z{8}33KAPc8PQdFf$Z z_GR?ak>;fxypRR|VoREzq2Ut)%q-|wN^8diR?NEUeCU;H~lu~;MDKBLgDz3Erq z^jwX)hvJ(0{K~mnvT#56I|u%{Or&Y$#r?pTfXS(KLrj5KL9NT}8hn1`l-3WHzW~cq zS}(*7uvm{!&1?51*?W^LS=hsB%@m&xQt$1;`@GhcRND>eW})tiQgstB^}sx~Ayv?-CbFLIQpVP{c>Jo$6D%3pZ0ymw>e}3hBtwqEx z)SBJ-Pz%?zTw!^k*5r1)yGO0|iyDXe4#Ly>`=4*j`NZ`8*+w%qTxI(;rq+Sk|Za7!qwr{v}8bYq`+m^qQkBzxG8fL}(LXn+Ts#_^iwS0CB*r%12~EK^2FDmv+X;~t$u`D~QTdu}DiXSu zEUCt%uFJIi5lx*IZTTbGqBZL>wVP6rDUnubn$#|lR!!B!)JjF&)RK^Hs6@@~eINF_ z$9789?~N-xpZhz%-#z!e$FqITy{4u!6bnc98X85mnVT#v?X8yPjwVqwNgnMh;VrH0 zaxZ8AfF6MS=e}OYy#t@mVZ5pyQ`2L9`{_J(iN}j}w}8`3~5UeS2hh`mlR)BIy{6OpN#rq_juk{?YK` zNjzlt2b|tVRp@uRd%UJJk2%d_N%J&mJ^Q=8eiL?>vBQELOpL)HH#5R%v6&FYJQL72 z=wzU8(1b8Ee6YWt;k|yF7WOy?*ejwAGj>?8gSnz^I}_6Cu`@ToXVpSm_kb4K-QDcP z`k1K(dYHeX*U3P)joeJFY7NV-effBzeaoynkvWl!z!^=fr3N8u16_ z$F!z)wHGwR6QNjQdMeOAXfwCX%oryd4RI;5)?*lsPZ68>6S>7iKZoU4v&q!j+ANB# z9WBWjp6=;)a2y9}tr_vWVrvwgkVU87vj@nLzYg6_rEk*c8jy}2Epn_W)gK^-IYYc+&78EI z1nH|bO~+}jiMB3Y4o=TmM~)nF6p=%Z;$7fn9>>E?>(7B3ceI>wD%e8YGi^=C?pWmaE-p8*QU)w2ijWHroGm z%m1=Z;M2FLLtNHz-*+7Q?ePon!kzzobmzW(_ql#-EqkrjwI^8XnhkDR+!L*e&PJ<( zvr*wjjxZbCBiTK{qtR-jeE-_^ebv&4N;0DIV@8xnBT9%$w>TU1ECZiX9gP+ecI6s9 z3*C(Z-7Ah)mX38jMU+>W%DTj_kvw^k-1eeA)F3qtm=+)J%R4ur)|C(OiXeT^qqYUJw*m};98ax#XuJT5cUjNA_0@ROz}9cC>ND4GPXSxMt?1WR zztxc%)e2s;oSLO6=>48rpWpGNo`!Y?8GM}+^1 z@H+_q8(|&K;tGTxM0hvCPJ|C4d>G*;5Pk;XQwX0$_%{e&Mfe)RZ)x6=^mE`ngjWz= zLzov>tVg&UVG-d5gv|)I390ifq0b{;;tWIG2-GEDJzF9JZfCOJ7mAIrTOS)6CL0?j zUxf`5&0fHGXmkPs@lh#v48&y|$(Jeb?Q(!JYRQ*m1-0Acyp6Ar^BA6d8Maef1<*+?NhZ6ftp>1D`wf6T zYVQH)r}kbshvG#5KeZ&OJV-715xatpgaL_C8XBP)joKF=`)>6C=JJAVKYe z05jA+1n?xaB%zw4mYn1XYIgz5Q)?vo47H8&IyX;F{uH$&u{uqy1>kvVn*h#GOOnyE z)V9dE1K$d8o?4P*UZ9p-^hIhr0A8kcH^3!oNwRvG+DiwKjh!O_i>BGm9oQ*N;B@`K`NZ;x2&&4XQQH#K zr76EEb-PrAr}nQ^97kB)%hvL4#H%~mS`GGT_qdt4kdNK()+*Dz+P!bB68q>rxTbGz z)goVC3elZ$6)5}T4D4g!P!cLT<_zp(;n1gF;rO!GH?;E>X>@93+lh2`$h>~gk97T6 z;vGV|Ls{aDAszj`6*K7OkdA(@R%FnfLb~U(#5;#{m$JmWgml-l#QO`<-O3Vg3F!*B z%<*m`-A*oZydn<$-3X}5psPl@XcpZ=NcU_OT|3fU$fD~(y6ahVexzH?q6;HkHJ@1* zLpu6W^Z{5Hf_Aie_em58rId=0Us#1Uc!}{!ZS=) z+5XRdyxC~($n>kRtW~$g)L~g~)onsmcOm^W`^N{lbhk3>y0$uR^1NFb7M2A;X!!Q) z0pckz%Ls11ILH0WyIQ+UcNdP6<4@$E{r$=~o6YmC&nDd91n)k6O}Ob%rT+EsJ`F@!a~*O1V7g z{9zFm-<;G#SES60u}7?j@m8l6i|?!r|uSMj`BBe-lgck@+2<&Km4 z9VVaNfB$ZM&R3@Tr(GldId^KqKJyQ|wVbr1`?arVwK{G7sP-M`{OAhtc`jXN1vbBX zTPLp;)0|DaV?T`Iy-mPKhInycD}X*Ba|Q4uuoXaDSYHKjR*+Ybu?|-N?RoMq4`?P+ z3fU`w(>iuH_=~Cl*qkxF0$_8#TuHxEgDKyM_rb;ZXkZ*f7DR!3$IglLv-?cg4%Y)()&W~ QPQ{uO+pO5or83n4SBPR23QNUb%s4q3?34oMmIEctZqVN zBs(vX_k5RhWb~DyI>6;Qzwh@u-?>lTbG~zKLr)|TjqfYjsmaOF(Y~vzv)$R^@%tn)nlR`IppuWkFm-^|wagUog`ITson^#($dGm|NxXg-oi5b+F%d z_S*q-^Haf8B>F5I3(Y+c zDknLRB(!V_9&~5R{jsC-$NaN1S;J^-W+Henr+q#-J{f&Bix2gWhrDA?X5hHjKQLxD zSR4jRyTQ_-x3H6d4GwH*#|FvA=pnyU!`tq*BaC^;VQ|zd!QiMJVX6A)$cV&~tE-0t zl+@wSPdmj$>X4`rYJY>J3j0 zc+s&-hteN=M!Lg7A#(GFMuVfIl+2c>&7ZaTvo=>>aA0)IE9^PMe2jVheL-KwPZm+m z(|2HGT*vA$<=uh(<70sl!RFA|z(M}&=;V2Dh~_TuILSu(#|93&GKHZ`;SebV#|6a- zLz%)M`jDhz)9%?s%sn+ro>+tr%uPg6F=6%6e+$@&|J*+!b#!G);gV#E{Qb<&g+@l* zj@`$Px6SS>Nix@ZO9}aBB6)ZU>eE&kcoW9(bhSc|#Z}t+TnKW10rVwPS zi$k+3trk5Ju8lXO6}2w>NPHk&Oxmf7H>SuPW2_^XF)uhV1Q z?HeNZ@x8x-I^VDR;NJH+3D|il>oE$OrOE)cCJd`WbU(jsVGUo!0993Ck zS8keBpW>;B6U8Fg7kWK>0@1YP4FKyC01Ko%=yvbXT7t9liD)eGyf)a{s*OyhVp_Z1 z;b^mWJnn4Yt~uLwwY5W^oya4x$k9nq9;Mfk+^wnD@yGogu&%`7x2hH9DrJ+h*;J#{ zDs{?M721-|Pp2YBNuEmZJf10$iYaI#H+clwjwX}b&?dh(+Y+&u+f2$g- z?ET7GZDVg)+H@O#gJz2zLLlD2%#Pl_S*=58#cMiiC!V9T)6=91wDpbo+ajq{V)E#G zDwc$YNL$!*MEY0)I}xvnyg}$p1$3F`@RMXKUWIN)o_70nIv3MoxfS+?;HWPJpP_V{+3F)u1451{$51> zE)Y)CSE}>(XCPmBSzy6>WP3+cXx{9P%a`}bAq z-a+ja(%nV=zFR|hh=>!(w8!Qu@1}n^^DJ@^@!hq_zj5Pi1>|& zw;|q!_;SRTBfbLh6^O4yd?n(m5MPD(O$b-BGeGZ}e%6{f`(C-oa1m@rN7IgQkI>IC zy#)pkKaOxz=xt1IW&gu^{0v0mJ;9esrIp37#fx2i;IswB1?-!U} z=MvJ1h*Nz%zkakHeIIUZr+Q!Xu=wjoq=hT++6O-)y5C%Uaot13 z7n5{iERu*tcvNsQnFu|{CdhPALV2c)+weTauOYDayN>HgI^8pqi3IEgImY26nwwzM z?FMgIv#u^a)Lg>HQdo!MLuKRu7R)@-V1vT(p_fPlgF3@+D?TJg7>w~CnK#CN zswIns=`ZTAthXXPj`x%xT*~5VEEUshV1`Bk={;Fa_Gc&Xb3*T_M$+CBy80T~ya<09 za`#UoX@45w&m#OM2>&I*e~s`pgnx+ePZ9nF!W8In1;Wh;??Tvv@F9fb2p>oIEW$4% zd=cR{5dJ>G|BCR>5dL3;Evk%b5Z;dP9)!IJA4d2X!p|Z6BEshpeih-rMffJdw-A0? z_ej$JfnOke58)MrRg;XZ2ya4IL%0=T2g18ex&5W_JHXS&Q5qO)POWOa$$q~#TONue zCggP`YpYS#R-=3zR-@<^T1-bKXCRcEWPQg_l4mi2CZCBUQ_nfS0GDV^I+>SgP7eAC&Aa*hrMd^;D$Pk}^*YUac>k*83Eoc0KH=w6 z!cVX8(6c<1u+o-?N^b8|3z4$zDwC=dOI7j1dV-#%k~0t2pxl8M)PX zEO(2^NbTI!xxs}ibPRc%SWn+8Kg&mO3s-SH+XL&_3f8N9V7f)L1onZw16hp)>q&E=F$T-$&>M0cLIU?$(54@ zHK(X16u5tC<%L3nO)U-L*rs1VD7A%b@?t{0(rDE$EYvHp zjV?0Ol^vaW6dcMSy6i9m#NtB<4$1IP7K-JF5*(7@p8=*=cNOX0D&X%YNVinL z-yNhYQ}X+}k90ef{QhhTx^4tC=h4+8UA%zqF{FE`fUXPaE*H=ZAl+L9bmK_3TtF8^ zx_ULgE`fBtDt{KR?iA8Z70{hSx|dbjLow>UuE_DV>uUb^8jTm|<7@w|t}eb-Z{lmZ zunx!9E|DSXIkHov%L^S)IKI|HI*1?SX&0wocYIA=nP7~s$vlp)twa1e#9I)L<88%= zFGjo-@y2+Z%p2o#GLP3|l_9%=8fyMWZt-5OXiL1wPfD7 zUQ6bU>$POwxPD9Ks}a8$@tYA}gZLW6*CM_a@pTAqVP}{=1$=#%nbn}U82Ea!CW&7M zk4wCT%^OgxF-Nw|Wo56j_77H7`kD)_O4H^F~MxEkIT_%Vhz!~aOQ27W1U3&XX#fhSvp z_6PQ5%uYvlzExudN8RlY@fC_4jk?)d(f|5o5uL4!`fe?swFiD)88xL%CR6Jl|2RY} zWe$$uz3(h2-xynNO4Hee(`5T+veEJZQP1rT{5M zx%b{Mv6>xv%b>3=eCBq)!HbJ|*_YQ#m%&RX@dAtC+wBHFfu$2J>Zvuar$-H*nuw>K z+nVm!cl{eba#-vpCo@R4X|mCBwN!iaFs)xn>et`4NlZCo))QvqZL7otmfm+!ZXMfy zxDAVZ>@U8csW`zeT<46!zF67v2Af$P_XX0LdSm%Q11vsC{9PdadQH%}{GRLagla+iRF-`r%fjLwcGgVkg(&sjDZDRe z&aB#@Q@06qZ<4B83DZQFSG5+QzN9@W)R(j-(eeeYA$%YC^q!+Vz #include +#include +#include <24LC0X.h> +#include +#include extern uartDev* UART0; @@ -35,8 +39,18 @@ extern i2cDev* IIC0; extern i2cDev* IIC1; extern i2cDev* IIC2; +extern sspDev* SSP0; +extern sspDev* SSP1; + +extern sdcardDev SDCARD0; +extern blkdevice BLKDEVSDCARD0; + +extern eeprom24lc0xDev eeprom0; + extern float VREF0; +extern unsigned int currentCpuFreq; + #define pll0useInternal 0 #define pll0useMainOsc 1 #define pll0useRTC 2 @@ -72,9 +86,22 @@ extern int bsp_init(); extern void bsp_GPIO_init(); extern void bsp_uart_init(); extern void bsp_iic_init(); +extern void bsp_ssp_init(); +extern void bsp_SD_init(); extern void consoleputc(char); extern char consolegetc(); +/* VS1053 */ +extern void clearXCS(); +extern void setXCS(); +extern int vs10XXDREQ(); + +/* SD CARD */ +void bsppowersdcard(char onoff); +char bspsdcardpresent(); +void bspsdcardselect(char YESNO); +char bspsdcardwriteprotected(); + #endif @@ -86,3 +113,12 @@ extern char consolegetc(); + + + + + + + + + diff --git a/bsp/src/LandTiger/Makefile b/bsp/src/LandTiger/Makefile --- a/bsp/src/LandTiger/Makefile +++ b/bsp/src/LandTiger/Makefile @@ -9,8 +9,8 @@ OBJECTFILES = $(LIBSOURCES:.c=.o) ARCH = lpc17XX-arm-noabi-gcc ARCHFOLDER = $(LIBUC)/rules/lpc17XX-arm-noabi-gcc TARGET=libbsp -LIBUC_INCLUDES=$(LIBUC_INC_DIR_UART_CMD) $(LIBUC_INC_DIR_IIC_CMD) $(LIBUC_INC_DIR_CORE_CMD) -LIBUC_LIBRARIES=$(LIBUC_LIBS_DIR_UART_CMD) $(LIBUC_LIBS_UART) $(LIBUC_LIBS_DIR_IIC_CMD) $(LIBUC_LIBS_IIC) $(LIBUC_LIBS_DIR_CORE_CMD) $(LIBUC_LIBS_CORE) +LIBUC_INCLUDES=$(LIBUC_INC_DIR_SDCARD_CMD) $(LIBUC_INC_DIR_BLKDEVICE_CMD) $(LIBUC_INC_DIR_UART_CMD) $(LIBUC_INC_DIR_SSP_CMD) $(LIBUC_INC_DIR_24LC0X_CMD) $(LIBUC_INC_DIR_IIC_CMD) $(LIBUC_INC_DIR_CORE_CMD) $(LIBUC_INC_DIR_UCSTRINGS_CMD) +LIBUC_LIBRARIES=$(LIBUC_LIBS_DIR_SDCARD_CMD) $(LIBUC_LIBS_SDCARD) $(LIBUC_LIBS_DIR_BLKDEVICE_CMD) $(LIBUC_LIBS_BLKDEVICE) $(LIBUC_LIBS_DIR_UART_CMD) $(LIBUC_LIBS_UART) $(LIBUC_LIBS_DIR_SSP_CMD) $(LIBUC_LIBS_SSP) $(LIBUC_LIBS_DIR_24LC0X_CMD) $(LIBUC_LIBS_24LC0X) $(LIBUC_LIBS_DIR_IIC_CMD) $(LIBUC_LIBS_IIC) $(LIBUC_LIBS_DIR_CORE_CMD) $(LIBUC_LIBS_CORE) $(LIBUC_LIBS_DIR_UCSTRINGS_CMD) $(LIBUC_LIBS_UCSTRINGS) TARGETINSTALLPATH=$(LIBUC_BSP_BIN_DIR)/LandTiger HEADERSINSTALLPATH=$(LIBUC_BSP_INC_DIR)/LandTiger BSP=generic diff --git a/bsp/src/LandTiger/bsp.c b/bsp/src/LandTiger/bsp.c --- a/bsp/src/LandTiger/bsp.c +++ b/bsp/src/LandTiger/bsp.c @@ -37,6 +37,14 @@ i2cDev* IIC0=(i2cDev*)0; i2cDev* IIC1=(i2cDev*)0; i2cDev* IIC2=(i2cDev*)0; +sspDev* SSP0=(sspDev*)0; +sspDev* SSP1=(sspDev*)0; + +sdcardDev SDCARD0; +blkdevice BLKDEVSDCARD0; + +eeprom24lc0xDev eeprom0; + int bsp_init() { bsp_GPIO_init(); @@ -46,6 +54,8 @@ int bsp_init() currentCpuFreq=coregetCpuFreq(); bsp_uart_init(); bsp_iic_init(); + bsp_ssp_init(); + bsp_SD_init(); return 0; } @@ -74,6 +84,24 @@ void bsp_uart_init() uartsetup(UART2,38400,8,1,uartNoParity); } +void bsp_ssp_init() +{ + SSP0 = sspopen(0); // power up SSP0 + ssppowerup(SSP0); + sspsetpclkfactor(SSP0,1); + sspsetup(SSP0,8,FFSPI|CLKINHLOW|CKfirstEdge,loopbackOFF|MASTERMODE, 350000); + enableSSP(SSP0); + LPC_PINCON->PINSEL3 |= (3<<14); //MISO0 on P1.23 + LPC_PINCON->PINSEL3 |= (3<<16); //MOSI0 on P1.24 + LPC_PINCON->PINSEL3 |= (3<<8); //SCK0 on P1.20 + LPC_GPIO1->FIODIR |= (1<<24) + (1<<20); + LPC_PINCON->PINMODE3 &= ~(1<<14); + LPC_PINCON->PINMODE3 &= ~(1<<15); + //LPC_PINCON->PINSEL3 |= (3<<10); //SSEL0 on P1.21 disable for SDCARD -> need to send dummy clock + +} + + void bsp_iic_init() { LPC_SC->PCONP |= ( 1 << 7 ); // power up IIC0 @@ -83,6 +111,19 @@ void bsp_iic_init() LPC_PINCON->PINSEL1 &= ~(1<<25); IIC0 = i2copen(0); i2csetdatarate(IIC0,100000); + eeprom24lc0xopen(&eeprom0,IIC0,0,2048); +} + +void bsp_SD_init() +{ + LPC_GPIO1->FIODIR |=1<<21; //SD chip select on P1.21 + LPC_GPIO1->FIOSET = 1<<21; //unselect SD card + LPC_GPIO3->FIODIR |=1<<26; + LPC_GPIO3->FIODIR &= ~(1<<25); + LPC_GPIO3->FIOCLR |=1<<26; + sdcardmake(&SDCARD0,SSP0,&sspgetnc,&sspputnc,&sspsetdatarate); + sdcardmakeblkdev(&BLKDEVSDCARD0,&SDCARD0,&bspsdcardselect,&bsppowersdcard,&bspsdcardpresent,&bspsdcardwriteprotected); + //BLKDEVSDCARD0.initialize(&BLKDEVSDCARD0,0); } void consoleputc(char c) @@ -98,3 +139,36 @@ char consolegetc() +void vs10XXclearXCS(){} +void vs10XXsetXCS(){} +int vs10XXDREQ() +{ + return 1; +} + + +void bsppowersdcard(char onoff) //always ON +{ + +} + +char bspsdcardpresent() +{ + return (((LPC_GPIO3->FIOPIN >> 25) & 1) ^1); +} + +char bspsdcardwriteprotected() +{ + return 0; +} + +void bspsdcardselect(char YESNO) +{ + + LPC_GPIO1->FIOPIN = ((YESNO & 1)^1)<<21; +} + + + + + diff --git a/bsp/src/LandTiger/bsp.d b/bsp/src/LandTiger/bsp.d --- a/bsp/src/LandTiger/bsp.d +++ b/bsp/src/LandTiger/bsp.d @@ -3,6 +3,11 @@ bsp.o: bsp.c bsp.h /opt/libuc2/rules/lpc /opt/libuc2/rules/lpc17XX-arm-noabi-gcc/system_LPC17xx.h \ /opt/libuc2/lib/includes/lpc17XX/UART/uart.h \ /opt/libuc2/lib/includes/lpc17XX/IIC/iic.h \ + /opt/libuc2/lib/includes/lpc17XX/SSP/ssp.h \ + /opt/libuc2/lib/includes/lpc17XX/24LCXX/24LC0X/24LC0X.h \ + /opt/libuc2/lib/includes/lpc17XX/BLKDEVICE/blkdevice.h \ + /opt/libuc2/lib/includes/lpc17XX/SDCARD/sdcard.h \ + /opt/libuc2/lib/includes/lpc17XX/STRINGS/lpc17XX-arm-noabi-gcc/libucstrings.h \ /opt/libuc2/lib/includes/lpc17XX/CORE/core.h bsp.h: @@ -17,4 +22,14 @@ bsp.h: /opt/libuc2/lib/includes/lpc17XX/IIC/iic.h: +/opt/libuc2/lib/includes/lpc17XX/SSP/ssp.h: + +/opt/libuc2/lib/includes/lpc17XX/24LCXX/24LC0X/24LC0X.h: + +/opt/libuc2/lib/includes/lpc17XX/BLKDEVICE/blkdevice.h: + +/opt/libuc2/lib/includes/lpc17XX/SDCARD/sdcard.h: + +/opt/libuc2/lib/includes/lpc17XX/STRINGS/lpc17XX-arm-noabi-gcc/libucstrings.h: + /opt/libuc2/lib/includes/lpc17XX/CORE/core.h: diff --git a/bsp/src/LandTiger/bsp.h b/bsp/src/LandTiger/bsp.h --- a/bsp/src/LandTiger/bsp.h +++ b/bsp/src/LandTiger/bsp.h @@ -24,6 +24,10 @@ #include "LPC17xx.h" #include #include +#include +#include <24LC0X.h> +#include +#include extern uartDev* UART0; @@ -35,8 +39,18 @@ extern i2cDev* IIC0; extern i2cDev* IIC1; extern i2cDev* IIC2; +extern sspDev* SSP0; +extern sspDev* SSP1; + +extern sdcardDev SDCARD0; +extern blkdevice BLKDEVSDCARD0; + +extern eeprom24lc0xDev eeprom0; + extern float VREF0; +extern unsigned int currentCpuFreq; + #define pll0useInternal 0 #define pll0useMainOsc 1 #define pll0useRTC 2 @@ -72,9 +86,22 @@ extern int bsp_init(); extern void bsp_GPIO_init(); extern void bsp_uart_init(); extern void bsp_iic_init(); +extern void bsp_ssp_init(); +extern void bsp_SD_init(); extern void consoleputc(char); extern char consolegetc(); +/* VS1053 */ +extern void clearXCS(); +extern void setXCS(); +extern int vs10XXDREQ(); + +/* SD CARD */ +void bsppowersdcard(char onoff); +char bspsdcardpresent(); +void bspsdcardselect(char YESNO); +char bspsdcardwriteprotected(); + #endif @@ -86,3 +113,12 @@ extern char consolegetc(); + + + + + + + + + diff --git a/bsp/src/LandTiger/landtiger.pro b/bsp/src/LandTiger/landtiger.pro --- a/bsp/src/LandTiger/landtiger.pro +++ b/bsp/src/LandTiger/landtiger.pro @@ -4,7 +4,7 @@ TARGET = libbsp TARGETINSTALLPATH = $(LIBUC_BSP_BIN_DIR)/LandTiger HEADERSINSTALLPATH = $(LIBUC_BSP_INC_DIR)/LandTiger -LIBS += UART IIC CORE +LIBS += SDCARD BLKDEVICE UART SSP 24LC0X IIC CORE UCSTRINGS SOURCES += bsp.c diff --git a/bsp/src/bsp.pro b/bsp/src/bsp.pro --- a/bsp/src/bsp.pro +++ b/bsp/src/bsp.pro @@ -1,4 +1,4 @@ TEMPLATE = dir SUBDIRS += generic \ LandTiger \ - mbed + mbed diff --git a/examples/Makefile b/examples/Makefile --- a/examples/Makefile +++ b/examples/Makefile @@ -4,16 +4,14 @@ LIBUC = /opt/libuc2 include $(LIBUC)/rules/common/rules.mk all:subdir - make -C lpc1768_led_blink - make -C lpc1768_led_blink_Clk_api - make -C lpc1768_uart - make -C lpc1768_uart_LandTiger + make -C lpc17XX + make -C SDCARD + make -C SDCARD2 @echo Code compiled clean: - make clean -C lpc1768_led_blink - make clean -C lpc1768_led_blink_Clk_api - make clean -C lpc1768_uart - make clean -C lpc1768_uart_LandTiger + make clean -C lpc17XX + make clean -C SDCARD + make clean -C SDCARD2 @echo Code compiled diff --git a/examples/examples.pro b/examples/examples.pro --- a/examples/examples.pro +++ b/examples/examples.pro @@ -1,6 +1,4 @@ TEMPLATE = dir -SUBDIRS += lpc1768_led_blink\ - lpc1768_led_blink_Clk_api \ - lpc1768_uart \ - lpc1768_uart_LandTiger - +SUBDIRS += lpc17XX \ + SDCARD \ + SDCARD2 diff --git a/lib/bin/lpc17XX/CORE/libcore.a b/lib/bin/lpc17XX/CORE/libcore.a index 9ce7033b756a37ffc334f55200dc5028d9ccceae..d9afd46d4562d925c8a154ce6520943de3c34587 GIT binary patch literal 1774 zc$|$@O>7fK6n?Y2aW?LPe@KJ_ZdfN)8whOH&N`GI6@!bT#Q6adE(jriD4(p8y z5>kA~p_Qs$dhem_De9q8L%Bp+N_wqG(}2hU3ApvPZX0RANbqL8R@N&cG1APN@4aun zH}iHz8#|fTW(uGC`^CnV7)Yi*8%!$45~3*E9``7UO0v$p>IVP@`%T(sikezd_00T2 zR#R^Qh1VOQRP1k>B_&hs+L(~#!9fM>psduhES??B5<;Nf2=u}Z0{EG+O^afiUf2bG zFjvxJr$!%JLSoYrLVHX$z#AuXC&r1%whG3+!kK@A+7nASPx^V|=tPUjvb=G;!1VD( zDwi1Mk)h;%t(yN|?fTu~$T=Y0k>@K_v-0QwN#5Unv)G>P-nxhQPsH~S@89~(Mn6O; zYTtSpjU76%-ZR`Y5g)%CL8Z#G&hI-P9bhZpX4q|?KsAJIA+z~(s;eW{&5>hYN0#Fk zMbf=yEd|%CZ_=Gv9AV8WixkoMU)ERP9|@Y};;VHwwk%%kd1#e`4>1zT;wWQW44di2 z*X!o${16!MAdm)k`|(8xvTHr?&rhl7h`h34EuF)j-mtjP23Cqzi8J=*B886J-qcPqH<*0`@B8AlogUsE?^dqy3S($*azRZ0mT#8P@AOE#}F%pF;YjRa4 z_Di*5%FXvPl*t?ydnbzv+Kj5*5r_Kv#L-z@6_auzA;|+rl;lBCky26;PRmHotNH0! zAfM20ohfR%di%)O0Qmf^V{J^BYbPCKFB>79WFP5bKq}pt)AQ44b**L#^%*YILAsXL z^B_%^N+4wkb(;~-&8at0<@ALyDX;6=?DT@Jmf(Zie)}E+_#CWw;*4Ws z4v&2gul^1`#m;{KPi~S|bUh}{v4^p@xz@Mif(Y7=91iE?8}{f;Ay{&)tLvTh=pARMrUYsOn1fnObQ@~^yHN9&q2_-FM7l1A diff --git a/lib/bin/lpc17XX/IIC/libiic.a b/lib/bin/lpc17XX/IIC/libiic.a index c38861aa3f71984f1b67192997940bd68959ae3b..78b7ca1a321f1a6e2156a0365d8df47e9df61427 GIT binary patch literal 5534 zc$~eMe{56N702&=esP`?CvjYdn2>-S=Z809<2Vi_TZl|TV`##t8oHvDKi-Su^;r$X zb&N(gq~%u`Qu!m>n1ni{MQWu%mp?qlB>qT?S1JuAG&vjEn3hW2)amhSnjz3y0>SQm z@7Q_1I15sxJ?Z&#&pqFJ?z!i_m+RQsEycrfPuUhn;nm*Z@7%W4AL#Ns96q&2|4IDM zZ-bUth7c+z_ZQE4NB!aN#iNOcALUxK5syeCl;e@a;TUa14oSnKs%s=Vo@;j_Yg@`{k_n z(W0ZpDDKVh=Diugv^Rsw_Ga7y@&uR9Oc>>L8KLT7x?dK0eBLjwSKZ^wU(2>+-XIfp zq1EqK*6?p%!{4=rf74eSUqk$y%ijOtjZ9T6v{9ZEu{V`PsZ`cJ+x#i+v1ajxjp9)S zyY47??;Q1Jmhkpk;ytAG_rRzLZA4g^6!kr?DNY%0$L&s$j@hT!TtcHkM6M|*rI0-- zttb0|n%ynOq$}repg|1D*)7_BU_akV_Cp~1LH5LLMs306pA_4VvhR@ns3z;_M62Ix z`FE`0e|`C)?h2d6Eb<;6+SDE>(LxAKywCcWQdO7HUAT(mU`E>4`5kb`7oh=uCqN!do?;(*Zn zwp1@x$L=azn>GEmY!q*vqibuBNuHezB1fKv-z&JycF`yvlc*=9Ov-dUSEz2{mSS=8 zBsvzSq-p7o%BX8v!jjt+boNS^%oq!yi$Rw`)Tr5<``wG&z);qKkb~Ov?YHfoN|wv` zr_T+X>a9#09vp9+?X68$6RRSPT-;fF+hDHG@@6g>3z>H>X6M^4El)moPcb|Y+m^Ct zaB6w7Ev z&gPiu^`sk!wJyykeG9Qr#a)ZJZXmL=CTlkTIXhoHg?n#dbSXQJsl`G2hQfdF%6ggu z(RGtNs()_iBfhI7BVsuXw>V7N)z=jM?4I?;0itT7m3;W<@kb9IJUA#AJf|-5&A~>u<2|Wa zib##(oMcG)K7}n=-?oE)1 zGhIWh>NIMsxGPjv-sZ3?O7j_xl6*4UZ9`@9;p5B`g-crW^ZS*R`K|w0ng8X#KQ+Hb zVxzJkk2wKjj-wI-{Rs8-eZ%1%8ao^hN8)ceLYp@`2Fcmz@cY`^y}k}l!2dNzz}xBd zqa8jXC&d_!BHTjHn!d4kB67sDrvn+wO81yJD{sR!xYl6D>v0|4$RY3eTL%-;FkvFD zQO>o~(vFw+ zc%?)l9vwcMh>W9WzBVAFen&8Z9bo(%&qMyF2?8t zUYm#2O)xskD!QLBIy+x{-XAmT4cN4JbiHQXdVk5TmpDd>#Cwm4*R6|Jsf+h96K|F{ z=8DAoh>5pT7tf-L_bC(4SAx)qBJuvl#2d6}acDfNF5VYRyoD02_H4X+OuPfScs506JdAE|m3Td%^MSpe=r-$g814{O-^p06f12pp86CaI z>y>|Z>2;pyUd2!A^tsV%fh3nC0~<(t3*<-ZWMbltlFkc7=4CH^KacJ{(fbU{C__Oe z)HX0Sk4g)Actrm-d`V|hzYVY0h2xFPc%wRgP-j!W1+UnJ;{|5C!1zs!-^BRK7=Ib# zFK7JajNi=o&1wXT&MwqfGWtqYzfWfu>Me}kqUvXLcA?(N=&h>$sLn3b+Zeq~)yH&p zp?)1xp>?PNT6CqD*oFF9Mqis(@T$I+(c2ll9c_Y^N!8mK{d&f(BmaGC&p18vtYj8H z*dwZKMBfCPo;gRd18}?B)J`(dvD>z%I|9OE%Ruqi8O^YFDD~gFUC(7W59- zCsf;t-qqzxcke9tCse;p`=KI?ni8mK1m(Z6Mi4EQ6Pm|#ClupcJv-R7F0 X{;g1tuWKhvSr^o?RXee$9RvHneCTK! diff --git a/lib/bin/lpc17XX/UART/libuart.a b/lib/bin/lpc17XX/UART/libuart.a index 7f92d39cf64a91ecd9900a21c9692b1a8aa12b3e..61d892bbcbf4ba2ad53d8aeab52af4e430a891b3 GIT binary patch literal 4138 zc$~dgTWk|Y6uqPL;_k3g%o5>yE#A63;#4yaX-h)Ai>LQ#uRXt4w;o!MPGyAxCK z)sbdr&b?>O%-p>@yX)8*jP`|}v#jIvrFp%(wQYmDWs{rZc-f-8V*kc$FJJ+HCAj|i ztaUgTjYWoIeLy<SlJRkAF#F7#5oG?%-`wfAT4B7^be@{nzOGaKgSJY@u zW%*3l5>dSK(`1~%X_>ik8`3qU7N&S-Dl=twq#-()nd0!+UDKH7Cqo~`64EBub|o{}XPA;yDy4rZ=q=P{u+#g6kA zBfg^hc57%yIT^8*j3{MqNkqf0Y9vY+M$t%MjfAX`aLh@{xI%SYqU3#aSAq%s`itc~ zG~G%2SntvCVlOy*QcoWK^JMSyd;M`QA089XD5S^Y{@LAl53i6t2pp8(=SOw>7R4Ua_?vP5nAd!gF?nn=Xv^&Rg3YMOb`9!`Yw>mESXF=ZRDi#TV>Lm% zh83tOJ@YXb*QRG~!9B^~JT<}idommVc?y&{h<0usvj-uDA!Y-vEMiRH6UEJ(vuAKP z+82r*=6sEfT<1V6#JTzAW*5J{sm0yEwYXYcZrIM_F~Lxj^*(O77tscStH zT8v$0rj#v56=|3ai^^018#yLiriB zb*MbUQ+V0;$!c6p&%j%oXI`s@$ExiXis!-Ao`=^#@w#!X%ENn+;)QYL^60_5j^lSe&%AWe+F7{&MC&t9qYS#0 z5Nx0@E8ionqTXLX`3oq2A>}Wm{6@-er2HnzZ<2o#Od3r3R?6S0!FoM2WieBFW=hXY z>1i{X=vgQ|i_GuWU_IYT@vSmHslj@_jpEy6ei$uaJ>O38?UcWi@|Vhbb`93^%VqyU zDbLMF#vt93PxuuSzXF!3J+sWOp!k&(zcTj@U}b(K#a~L{Drf*D=dJSUS#Yb^CgXzK zd#g&ug|I`#wK6tBmx{Y(Y=XTyY=)OrJR)NY98&S5jI9t?F*&14@vNho^^q8zR{dLL zz8%i0xLn3K-trG+AJoU7z8`X59Jw!z+!x0G^vl~f7!BbuVr1AG4ZV@?4_L`>rcudT b#;L^GvPp@NWuuax#9vS1+@{2VSqbnjuzdwb diff --git a/lib/includes/lpc17XX/IIC/iic.h b/lib/includes/lpc17XX/IIC/iic.h --- a/lib/includes/lpc17XX/IIC/iic.h +++ b/lib/includes/lpc17XX/IIC/iic.h @@ -57,7 +57,7 @@ extern void i2csetup(i2cDev* dev,int clk extern unsigned char i2cgetpclkfactor(i2cDev* dev); extern void i2csetpclkfactor(i2cDev* dev,unsigned char pclkfactor); extern void i2csetdatarate(i2cDev* dev,unsigned int dataRate); - +extern int i2cgetdatarate(i2cDev* dev); #endif diff --git a/lib/includes/lpc17XX/STRINGS/lpc17XX-arm-noabi-gcc/libucstrings.h b/lib/includes/lpc17XX/STRINGS/lpc17XX-arm-noabi-gcc/libucstrings.h --- a/lib/includes/lpc17XX/STRINGS/lpc17XX-arm-noabi-gcc/libucstrings.h +++ b/lib/includes/lpc17XX/STRINGS/lpc17XX-arm-noabi-gcc/libucstrings.h @@ -26,3 +26,4 @@ void clearstr(char* a); void int2hex(unsigned long a,char*b); int libucprintf(const char*,...); int libucscanf(const char*,...); +void libucprintchartable(char* table,int size,const char* format,const char* separator); diff --git a/lib/src/Makefile b/lib/src/Makefile --- a/lib/src/Makefile +++ b/lib/src/Makefile @@ -4,10 +4,14 @@ LIBUC = /opt/libuc2 include $(LIBUC)/rules/common/rules.mk all:subdir + make -C lpc21XX make -C lpc17XX + make -C common @echo Code compiled clean: + make clean -C lpc21XX make clean -C lpc17XX + make clean -C common @echo Code compiled diff --git a/lib/src/common/STRINGS/Makefile b/lib/src/common/STRINGS/Makefile --- a/lib/src/common/STRINGS/Makefile +++ b/lib/src/common/STRINGS/Makefile @@ -2,18 +2,6 @@ PROJECTDIR = `pwd` LIBUC = /opt/libuc2 -HEADERSINSTALLPATH = $(LIBUC_INC_DIR)/STRINGS -HEADERS += libucstrings.h -LIBSOURCES += libucstrings.c -OBJECTFILES = $(LIBSOURCES:.c=.o) -ARCH = $(LIBUC)/rules/lpc17XX-arm-noabi-gcc -TARGET=libucstrings -LIBUC_INCLUDES= -LIBUC_LIBRARIES= -TARGETINSTALLPATH=$(LIBUC_LIBS_DIR)/STRINGS -HEADERSINSTALLPATH=$(LIBUC_INC_DIR)/STRINGS -BSP=generic -include $(ARCH)/rules.mk - -all:lib - @echo Code compiled +all: + make -f lpc17XX.mk + make -f lpc21XX.mk diff --git a/lib/src/common/STRINGS/libucstrings.c b/lib/src/common/STRINGS/libucstrings.c --- a/lib/src/common/STRINGS/libucstrings.c +++ b/lib/src/common/STRINGS/libucstrings.c @@ -306,6 +306,15 @@ int libucscanf(const char* format,...) +void libucprintchartable(char* table,int size,const char* format,const char* separator) +{ + int i =0; + for(i=0;iI2DAT = (W);\ +#define iicputdata(iicdev,W,IICONSET,IICONCLR) {(iicdev)->I2DAT = (W);\ (iicdev)->I2CONSET = (IICONSET);\ - (iicdev)->I2CONCLR = (IICONCLR); + (iicdev)->I2CONCLR = (IICONCLR);} -#define iicgetdata(iicdev,W,IICONSET,IICONCLR) (W) = (iicdev)->I2DAT;\ +#define iicgetdata(iicdev,W,IICONSET,IICONCLR) {(W) = (iicdev)->I2DAT;\ (iicdev)->I2CONSET = (IICONSET);\ - (iicdev)->I2CONCLR = (IICONCLR); + (iicdev)->I2CONCLR = (IICONCLR);} @@ -38,7 +38,7 @@ i2ctrl i2cwrite2(i2cDev* dev,char addres dev->I2CONCLR = 0x28; iicdat = (address<<1) & 0xFE; int count = (*datcnt) + 2; - *datcnt = 0; + (*datcnt) = 0; while(dev->I2STAT != 0xF8); //waiting for device to be ready /!\ should add timeout dev->I2CONSET = (1<<5); //initiate transfert while(count>0) @@ -83,12 +83,15 @@ i2ctrl i2cwrite2(i2cDev* dev,char addres } break; case 0x20: + return i2noack; count = 0; break; case 0x30: + return i2noack; count = 0; break; case 0x38: + return i2arbloss; count = 0; break; default: @@ -164,15 +167,16 @@ i2ctrl i2cread(i2cDev* dev,char address, char iicdat=0; dev->I2CONCLR = 0x28; iicdat = (address<<1) + 1; - int count = (*cnt) + 1; + unsigned int count = (*cnt); *cnt = 0; while(dev->I2STAT != 0xF8); //waiting for device to be ready /!\ should add timeout dev->I2CONSET = (1<<5); //initiate transfert - while(count>0) + while(count!=0) { while((dev->I2CONSET & 0x08)!=0x08); switch(dev->I2STAT) { + while((dev->I2CONSET & (1<<3))!=(1<<3)); case 0x08: iicputdata(dev,iicdat,(1<<2),0x28); break; @@ -180,29 +184,37 @@ i2ctrl i2cread(i2cDev* dev,char address, iicputdata(dev,iicdat,(1<<2),0x28); break; case 0x40: - dev->I2CONSET = (1<<2); - dev->I2CONCLR = (1<<3); - count--; + if((count!=1)) dev->I2CONSET = (1<<2); + else dev->I2CONCLR = (1<<2); + dev->I2CONCLR = 1<<3; break; case 0x50: - iicgetdata(dev,iicdat,(1<<2),(1<<3)); + if((count==2)) iicgetdata(dev,iicdat,(0),(0x0c)) + else iicgetdata(dev,iicdat,(4),(8)) count--; *cnt = (*cnt) + 1; - *data++ = iicdat; + *data = iicdat; + data++; break; case 0x48: - return i2noack; + dev->I2CONSET = 0x14; + dev->I2CONCLR = 8; + return i2noerr; break; case 0x58: - count = 0; - return i2noack; + iicgetdata(dev,iicdat,(0x14),(8)); + count--; + *cnt = (*cnt) + 1; + *data = iicdat; + data++; + return i2noerr; break; default: break; } } - dev->I2CONSET = (1<<4) + (1<<2); + dev->I2CONSET = (1<<4); dev->I2CONCLR =(1<<2); dev->I2CONCLR = 1<<3; return i2noerr; } @@ -312,8 +324,28 @@ void i2csetdatarate(i2cDev* dev,unsigned i2csetup(dev,i2csclkl,i2csclkl); } +int i2cgetdatarate(i2cDev* dev) +{ + unsigned int dataRate=0; + unsigned int pclk = 0; + unsigned int cpuclk=0; + unsigned int i2csclkl=0; + if(dev==0)return; + cpuclk = coregetCpuFreq(); + pclk = cpuclk / i2cgetpclkfactor(dev); + dataRate = pclk /( dev->I2SCLH + dev->I2SCLL); + return dataRate; +} + + + + + + + + diff --git a/lib/src/lpc17XX/IIC/iic.h b/lib/src/lpc17XX/IIC/iic.h --- a/lib/src/lpc17XX/IIC/iic.h +++ b/lib/src/lpc17XX/IIC/iic.h @@ -57,7 +57,7 @@ extern void i2csetup(i2cDev* dev,int clk extern unsigned char i2cgetpclkfactor(i2cDev* dev); extern void i2csetpclkfactor(i2cDev* dev,unsigned char pclkfactor); extern void i2csetdatarate(i2cDev* dev,unsigned int dataRate); - +extern int i2cgetdatarate(i2cDev* dev); #endif diff --git a/lib/src/lpc17XX/IIC/iic.pro b/lib/src/lpc17XX/IIC/iic.pro --- a/lib/src/lpc17XX/IIC/iic.pro +++ b/lib/src/lpc17XX/IIC/iic.pro @@ -1,8 +1,8 @@ TEMPLATE = lib ARCH = lpc17XX-arm-noabi-gcc TARGET = libiic -TARGETINSTALLPATH = $(LIBUC_LIBS_DIR)/IIC -HEADERSINSTALLPATH = $(LIBUC_INC_DIR)/IIC +TARGETINSTALLPATH = $(LIBUC_LIBS_DIR_IIC) +HEADERSINSTALLPATH = $(LIBUC_INC_DIR_IIC) LIBS += CORE diff --git a/lib/src/lpc17XX/Makefile b/lib/src/lpc17XX/Makefile --- a/lib/src/lpc17XX/Makefile +++ b/lib/src/lpc17XX/Makefile @@ -4,16 +4,16 @@ LIBUC = /opt/libuc2 include $(LIBUC)/rules/common/rules.mk all:subdir + make -C CORE make -C UART make -C IIC - make -C CORE - make -C STRINGS + make -C SSP @echo Code compiled clean: + make clean -C CORE make clean -C UART make clean -C IIC - make clean -C CORE - make clean -C STRINGS + make clean -C SSP @echo Code compiled diff --git a/lib/src/lpc17XX/lpc17XX.pro b/lib/src/lpc17XX/lpc17XX.pro --- a/lib/src/lpc17XX/lpc17XX.pro +++ b/lib/src/lpc17XX/lpc17XX.pro @@ -1,9 +1,10 @@ TEMPLATE = dir -SUBDIRS += UART\ +SUBDIRS += CORE \ + UART\ SPI \ ADC \ IIC \ - CORE \ - STRINGS + SSP + diff --git a/libuc2.pro b/libuc2.pro --- a/libuc2.pro +++ b/libuc2.pro @@ -1,6 +1,6 @@ TEMPLATE = dir -SUBDIRS += bsp\ +SUBDIRS += lib \ + bsp\ examples \ - lib \ Doc diff --git a/libuc2.pro~ b/libuc2.pro~ --- a/libuc2.pro~ +++ b/libuc2.pro~ @@ -1,6 +1,6 @@ TEMPLATE = dir -SUBDIRS += bsp\ +SUBDIRS += lib \ + bsp\ examples \ - lib \ Doc diff --git a/rules/lpc17XX-arm-noabi-gcc/rules.mk b/rules/lpc17XX-arm-noabi-gcc/rules.mk --- a/rules/lpc17XX-arm-noabi-gcc/rules.mk +++ b/rules/lpc17XX-arm-noabi-gcc/rules.mk @@ -68,44 +68,72 @@ LIBUC_CXXFLAGS_STATIC_LIB = -fPIC LIBUC_CXXFLAGS_SOFT_FPU = -msoft-float LIBUC_CXXFLAGS_HARD_FPU = +#--------------------------------------------------------------------------------- +# LIBRARY DEFINITIONS +#--------------------------------------------------------------------------------- + LIBUC_LIBS = LIBUC_LIBS_CORE = -static -lcore LIBUC_LIBS_UCSTRINGS = -static -lucstrings LIBUC_LIBS_UART = -static -luart LIBUC_LIBS_SPI = -static -lspi +LIBUC_LIBS_SSP = -static -lssp LIBUC_LIBS_IIC = -static -liic LIBUC_LIBS_ADC = -static -ladc +LIBUC_LIBS_24LC0X = -static -l24lc0X +LIBUC_LIBS_SDCARD = -static -lsdcard +LIBUC_LIBS_BLKDEVICE = -static -lblkdevice +LIBUC_LIBS_VS10XX = -static -lvs10XX LIBUC_LIBS_DIR = $(LIBUC)/lib/bin/lpc17XX LIBUC_LIBS_DIR_CORE = $(LIBUC_LIBS_DIR)/CORE LIBUC_LIBS_DIR_UCSTRINGS = $(LIBUC_LIBS_DIR)/STRINGS/$(ARCH) LIBUC_LIBS_DIR_UART = $(LIBUC_LIBS_DIR)/UART LIBUC_LIBS_DIR_SPI = $(LIBUC_LIBS_DIR)/SPI +LIBUC_LIBS_DIR_SSP = $(LIBUC_LIBS_DIR)/SSP LIBUC_LIBS_DIR_IIC = $(LIBUC_LIBS_DIR)/IIC LIBUC_LIBS_DIR_ADC = $(LIBUC_LIBS_DIR)/ADC +LIBUC_LIBS_DIR_24LC0X = $(LIBUC_LIBS_DIR)/24LCXX/24LC0X +LIBUC_LIBS_DIR_SDCARD = $(LIBUC_LIBS_DIR)/SDCARD +LIBUC_LIBS_DIR_VS10XX = $(LIBUC_LIBS_DIR)/VS10XX +LIBUC_LIBS_DIR_BLKDEVICE = $(LIBUC_LIBS_DIR)/BLKDEVICE LIBUC_LIBS_DIR_CORE_CMD = -L $(LIBUC_LIBS_DIR_CORE) LIBUC_LIBS_DIR_UCSTRINGS_CMD = -L $(LIBUC_LIBS_DIR_UCSTRINGS) LIBUC_LIBS_DIR_UART_CMD = -L $(LIBUC_LIBS_DIR_UART) LIBUC_LIBS_DIR_SPI_CMD = -L $(LIBUC_LIBS_DIR_SPI) +LIBUC_LIBS_DIR_SSP_CMD = -L $(LIBUC_LIBS_DIR_SSP) LIBUC_LIBS_DIR_IIC_CMD = -L $(LIBUC_LIBS_DIR_IIC) LIBUC_LIBS_DIR_ADC_CMD = -L $(LIBUC_LIBS_DIR_ADC) +LIBUC_LIBS_DIR_24LC0X_CMD = -L $(LIBUC_LIBS_DIR_24LC0X) +LIBUC_LIBS_DIR_SDCARD_CMD = -L $(LIBUC_LIBS_DIR_SDCARD) +LIBUC_LIBS_DIR_VS10XX_CMD = -L $(LIBUC_LIBS_DIR_VS10XX) +LIBUC_LIBS_DIR_BLKDEVICE_CMD = -L $(LIBUC_LIBS_DIR_BLKDEVICE) LIBUC_INC_DIR = $(LIBUC)/lib/includes/lpc17XX LIBUC_INC_DIR_CORE = $(LIBUC_INC_DIR)/CORE LIBUC_INC_DIR_UCSTRINGS = $(LIBUC_INC_DIR)/STRINGS/$(ARCH) LIBUC_INC_DIR_UART = $(LIBUC_INC_DIR)/UART LIBUC_INC_DIR_SPI = $(LIBUC_INC_DIR)/SPI +LIBUC_INC_DIR_SSP = $(LIBUC_INC_DIR)/SSP LIBUC_INC_DIR_IIC = $(LIBUC_INC_DIR)/IIC LIBUC_INC_DIR_ADC = $(LIBUC_INC_DIR)/ADC +LIBUC_INC_DIR_24LC0X = $(LIBUC_INC_DIR)/24LCXX/24LC0X +LIBUC_INC_DIR_SDCARD = $(LIBUC_INC_DIR)/SDCARD +LIBUC_INC_DIR_VS10XX = $(LIBUC_INC_DIR)/VS10XX +LIBUC_INC_DIR_BLKDEVICE = $(LIBUC_INC_DIR)/BLKDEVICE LIBUC_INC_DIR_CORE_CMD = -I $(LIBUC_INC_DIR_CORE) LIBUC_INC_DIR_UCSTRINGS_CMD = -I $(LIBUC_INC_DIR_UCSTRINGS) LIBUC_INC_DIR_UART_CMD = -I $(LIBUC_INC_DIR_UART) LIBUC_INC_DIR_SPI_CMD = -I $(LIBUC_INC_DIR_SPI) +LIBUC_INC_DIR_SSP_CMD = -I $(LIBUC_INC_DIR_SSP) LIBUC_INC_DIR_IIC_CMD = -I $(LIBUC_INC_DIR_IIC) LIBUC_INC_DIR_ADC_CMD = -I $(LIBUC_INC_DIR_ADC) - +LIBUC_INC_DIR_24LC0X_CMD = -I $(LIBUC_INC_DIR_24LC0X) +LIBUC_INC_DIR_SDCARD_CMD = -I $(LIBUC_INC_DIR_SDCARD) +LIBUC_INC_DIR_VS10XX_CMD = -I $(LIBUC_INC_DIR_VS10XX) +LIBUC_INC_DIR_BLKDEVICE_CMD = -I $(LIBUC_INC_DIR_BLKDEVICE) #--------------------------------------------------------------------------------- # BOARD SUPORT PACKAGES @@ -123,6 +151,10 @@ APPSOURCES += $(ARCHFOLDER)/startup_LPC LPC17XX_INCDIR=$(ARCHFOLDER) +#--------------------------------------------------------------------------------- +# RULES +#--------------------------------------------------------------------------------- + all: @echo "lpc17XX-arm-noabi-gcc rules"