@@ -0,0 +1,122 | |||
|
1 | set_io clk49_152MHz -pinname D5 -fixed yes -DIRECTION Inout | |
|
2 | set_io clk50MHz -pinname B3 -fixed yes -DIRECTION Inout | |
|
3 | set_io reset -pinname N18 -fixed yes -DIRECTION Inout | |
|
4 | ||
|
5 | set_io {address[0]} -pinname H16 -fixed yes -DIRECTION Inout | |
|
6 | set_io {address[1]} -pinname J15 -fixed yes -DIRECTION Inout | |
|
7 | set_io {address[2]} -pinname B18 -fixed yes -DIRECTION Inout | |
|
8 | set_io {address[3]} -pinname C17 -fixed yes -DIRECTION Inout | |
|
9 | set_io {address[4]} -pinname C18 -fixed yes -DIRECTION Inout | |
|
10 | set_io {address[5]} -pinname U2 -fixed yes -DIRECTION Inout | |
|
11 | set_io {address[6]} -pinname U3 -fixed yes -DIRECTION Inout | |
|
12 | set_io {address[7]} -pinname R5 -fixed yes -DIRECTION Inout | |
|
13 | set_io {address[8]} -pinname N11 -fixed yes -DIRECTION Inout | |
|
14 | set_io {address[9]} -pinname R13 -fixed yes -DIRECTION Inout | |
|
15 | set_io {address[10]} -pinname V13 -fixed yes -DIRECTION Inout | |
|
16 | set_io {address[11]} -pinname U13 -fixed yes -DIRECTION Inout | |
|
17 | set_io {address[12]} -pinname V15 -fixed yes -DIRECTION Inout | |
|
18 | set_io {address[13]} -pinname V16 -fixed yes -DIRECTION Inout | |
|
19 | set_io {address[14]} -pinname V17 -fixed yes -DIRECTION Inout | |
|
20 | set_io {address[15]} -pinname N1 -fixed yes -DIRECTION Inout | |
|
21 | set_io {address[16]} -pinname R3 -fixed yes -DIRECTION Inout | |
|
22 | set_io {address[17]} -pinname P4 -fixed yes -DIRECTION Inout | |
|
23 | set_io {address[18]} -pinname N3 -fixed yes -DIRECTION Inout | |
|
24 | set_io {address[19]} -pinname M7 -fixed yes -DIRECTION Inout | |
|
25 | ||
|
26 | set_io {data[0]} -pinname P17 -fixed yes -DIRECTION Inout | |
|
27 | set_io {data[1]} -pinname R18 -fixed yes -DIRECTION Inout | |
|
28 | set_io {data[2]} -pinname T18 -fixed yes -DIRECTION Inout | |
|
29 | set_io {data[3]} -pinname J13 -fixed yes -DIRECTION Inout | |
|
30 | set_io {data[4]} -pinname T13 -fixed yes -DIRECTION Inout | |
|
31 | set_io {data[5]} -pinname T12 -fixed yes -DIRECTION Inout | |
|
32 | set_io {data[6]} -pinname R12 -fixed yes -DIRECTION Inout | |
|
33 | set_io {data[7]} -pinname T11 -fixed yes -DIRECTION Inout | |
|
34 | set_io {data[8]} -pinname N2 -fixed yes -DIRECTION Inout | |
|
35 | set_io {data[9]} -pinname P1 -fixed yes -DIRECTION Inout | |
|
36 | set_io {data[10]} -pinname R1 -fixed yes -DIRECTION Inout | |
|
37 | set_io {data[11]} -pinname T1 -fixed yes -DIRECTION Inout | |
|
38 | set_io {data[12]} -pinname M4 -fixed yes -DIRECTION Inout | |
|
39 | set_io {data[13]} -pinname K1 -fixed yes -DIRECTION Inout | |
|
40 | set_io {data[14]} -pinname J1 -fixed yes -DIRECTION Inout | |
|
41 | set_io {data[15]} -pinname H1 -fixed yes -DIRECTION Inout | |
|
42 | set_io {data[16]} -pinname H15 -fixed yes -DIRECTION Inout | |
|
43 | set_io {data[17]} -pinname G15 -fixed yes -DIRECTION Inout | |
|
44 | set_io {data[18]} -pinname H13 -fixed yes -DIRECTION Inout | |
|
45 | set_io {data[19]} -pinname G12 -fixed yes -DIRECTION Inout | |
|
46 | set_io {data[20]} -pinname V14 -fixed yes -DIRECTION Inout | |
|
47 | set_io {data[21]} -pinname N9 -fixed yes -DIRECTION Inout | |
|
48 | set_io {data[22]} -pinname M13 -fixed yes -DIRECTION Inout | |
|
49 | set_io {data[23]} -pinname M15 -fixed yes -DIRECTION Inout | |
|
50 | set_io {data[24]} -pinname J17 -fixed yes -DIRECTION Inout | |
|
51 | set_io {data[25]} -pinname K15 -fixed yes -DIRECTION Inout | |
|
52 | set_io {data[26]} -pinname J14 -fixed yes -DIRECTION Inout | |
|
53 | set_io {data[27]} -pinname U18 -fixed yes -DIRECTION Inout | |
|
54 | set_io {data[28]} -pinname H18 -fixed yes -DIRECTION Inout | |
|
55 | set_io {data[29]} -pinname J18 -fixed yes -DIRECTION Inout | |
|
56 | set_io {data[30]} -pinname G17 -fixed yes -DIRECTION Inout | |
|
57 | set_io {data[31]} -pinname F18 -fixed yes -DIRECTION Inout | |
|
58 | ||
|
59 | set_io nSRAM_BE0 -pinname U12 -fixed yes -DIRECTION Inout | |
|
60 | set_io nSRAM_BE1 -pinname K18 -fixed yes -DIRECTION Inout | |
|
61 | set_io nSRAM_BE2 -pinname K12 -fixed yes -DIRECTION Inout | |
|
62 | set_io nSRAM_BE3 -pinname F17 -fixed yes -DIRECTION Inout | |
|
63 | set_io nSRAM_WE -pinname D18 -fixed yes -DIRECTION Inout | |
|
64 | set_io nSRAM_CE -pinname M6 -fixed yes -DIRECTION Inout | |
|
65 | set_io nSRAM_OE -pinname N12 -fixed yes -DIRECTION Inout | |
|
66 | ||
|
67 | set_io spw1_din -pinname D6 -fixed yes -DIRECTION Inout | |
|
68 | set_io spw1_sin -pinname C6 -fixed yes -DIRECTION Inout | |
|
69 | set_io spw1_dout -pinname C16 -fixed yes -DIRECTION Inout | |
|
70 | set_io spw1_sout -pinname C4 -fixed yes -DIRECTION Inout | |
|
71 | ||
|
72 | set_io spw2_din -pinname E6 -fixed yes -DIRECTION Inout | |
|
73 | set_io spw2_sin -pinname C15 -fixed yes -DIRECTION Inout | |
|
74 | set_io spw2_dout -pinname B7 -fixed yes -DIRECTION Inout | |
|
75 | set_io spw2_sout -pinname D7 -fixed yes -DIRECTION Inout | |
|
76 | ||
|
77 | set_io {led[0]} -pinname K17 -fixed yes -DIRECTION Inout | |
|
78 | set_io {led[1]} -pinname L18 -fixed yes -DIRECTION Inout | |
|
79 | set_io {led[2]} -pinname M17 -fixed yes -DIRECTION Inout | |
|
80 | ||
|
81 | set_io TAG1 -pinname J12 -fixed yes -DIRECTION Inout | |
|
82 | set_io TAG2 -pinname K13 -fixed yes -DIRECTION Inout | |
|
83 | set_io TAG3 -pinname L16 -fixed yes -DIRECTION Inout | |
|
84 | set_io TAG4 -pinname L15 -fixed yes -DIRECTION Inout | |
|
85 | #set_io TAG5 -pinname M16 -fixed yes -DIRECTION Inout | |
|
86 | #set_io TAG6 -pinname L13 -fixed yes -DIRECTION Inout | |
|
87 | #set_io TAG7 -pinname P6 -fixed yes -DIRECTION Inout | |
|
88 | set_io TAG8 -pinname R6 -fixed yes -DIRECTION Inout | |
|
89 | #set_io TAG9 -pinname T4 -fixed yes -DIRECTION Inout | |
|
90 | ||
|
91 | set_io bias_fail_sw -pinname A3 -fixed yes -DIRECTION Inout | |
|
92 | ||
|
93 | set_io {ADC_OEB_bar_CH[0]} -pinname A13 -fixed yes -DIRECTION Inout | |
|
94 | set_io {ADC_OEB_bar_CH[1]} -pinname A14 -fixed yes -DIRECTION Inout | |
|
95 | set_io {ADC_OEB_bar_CH[2]} -pinname A10 -fixed yes -DIRECTION Inout | |
|
96 | set_io {ADC_OEB_bar_CH[3]} -pinname B10 -fixed yes -DIRECTION Inout | |
|
97 | set_io {ADC_OEB_bar_CH[4]} -pinname B13 -fixed yes -DIRECTION Inout | |
|
98 | set_io {ADC_OEB_bar_CH[5]} -pinname D13 -fixed yes -DIRECTION Inout | |
|
99 | set_io {ADC_OEB_bar_CH[6]} -pinname A11 -fixed yes -DIRECTION Inout | |
|
100 | set_io {ADC_OEB_bar_CH[7]} -pinname B12 -fixed yes -DIRECTION Inout | |
|
101 | ||
|
102 | set_io ADC_smpclk -pinname A15 -fixed yes -DIRECTION Inout | |
|
103 | ||
|
104 | set_io HK_smpclk -pinname R11 -fixed yes -DIRECTION Inout | |
|
105 | set_io ADC_OEB_bar_HK -pinname D14 -fixed yes -DIRECTION Inout | |
|
106 | set_io {HK_SEL[0]} -pinname A2 -fixed yes -DIRECTION Inout | |
|
107 | set_io {HK_SEL[1]} -pinname C3 -fixed yes -DIRECTION Inout | |
|
108 | ||
|
109 | set_io {ADC_data[0]} -pinname A16 -fixed yes -DIRECTION Inout | |
|
110 | set_io {ADC_data[1]} -pinname B16 -fixed yes -DIRECTION Inout | |
|
111 | set_io {ADC_data[2]} -pinname A17 -fixed yes -DIRECTION Inout | |
|
112 | set_io {ADC_data[3]} -pinname C12 -fixed yes -DIRECTION Inout | |
|
113 | set_io {ADC_data[4]} -pinname B17 -fixed yes -DIRECTION Inout | |
|
114 | set_io {ADC_data[5]} -pinname C13 -fixed yes -DIRECTION Inout | |
|
115 | set_io {ADC_data[6]} -pinname D15 -fixed yes -DIRECTION Inout | |
|
116 | set_io {ADC_data[7]} -pinname E15 -fixed yes -DIRECTION Inout | |
|
117 | set_io {ADC_data[8]} -pinname D16 -fixed yes -DIRECTION Inout | |
|
118 | set_io {ADC_data[9]} -pinname F16 -fixed yes -DIRECTION Inout | |
|
119 | set_io {ADC_data[10]} -pinname F15 -fixed yes -DIRECTION Inout | |
|
120 | set_io {ADC_data[11]} -pinname G16 -fixed yes -DIRECTION Inout | |
|
121 | set_io {ADC_data[12]} -pinname F13 -fixed yes -DIRECTION Inout | |
|
122 | set_io {ADC_data[13]} -pinname G13 -fixed yes -DIRECTION Inout |
@@ -0,0 +1,124 | |||
|
1 | set_io clk49_152MHz -pinname D5 -fixed yes -DIRECTION Inout | |
|
2 | set_io clk50MHz -pinname B3 -fixed yes -DIRECTION Inout | |
|
3 | set_io reset -pinname R4 -fixed yes -DIRECTION Inout -SCHMITT_TRIGGER On | |
|
4 | ||
|
5 | set_io {address[0]} -pinname U3 -fixed yes -DIRECTION Inout | |
|
6 | set_io {address[1]} -pinname V14 -fixed yes -DIRECTION Inout | |
|
7 | set_io {address[2]} -pinname V13 -fixed yes -DIRECTION Inout | |
|
8 | set_io {address[3]} -pinname V16 -fixed yes -DIRECTION Inout | |
|
9 | set_io {address[4]} -pinname N9 -fixed yes -DIRECTION Inout | |
|
10 | set_io {address[5]} -pinname T11 -fixed yes -DIRECTION Inout | |
|
11 | set_io {address[6]} -pinname U13 -fixed yes -DIRECTION Inout | |
|
12 | set_io {address[7]} -pinname R5 -fixed yes -DIRECTION Inout | |
|
13 | set_io {address[8]} -pinname U2 -fixed yes -DIRECTION Inout | |
|
14 | set_io {address[9]} -pinname N11 -fixed yes -DIRECTION Inout | |
|
15 | set_io {address[10]} -pinname R13 -fixed yes -DIRECTION Inout | |
|
16 | set_io {address[11]} -pinname R12 -fixed yes -DIRECTION Inout | |
|
17 | set_io {address[12]} -pinname M15 -fixed yes -DIRECTION Inout | |
|
18 | set_io {address[13]} -pinname T12 -fixed yes -DIRECTION Inout | |
|
19 | set_io {address[14]} -pinname M13 -fixed yes -DIRECTION Inout | |
|
20 | set_io {address[15]} -pinname T13 -fixed yes -DIRECTION Inout | |
|
21 | set_io {address[16]} -pinname L13 -fixed yes -DIRECTION Inout | |
|
22 | set_io {address[17]} -pinname V17 -fixed yes -DIRECTION Inout | |
|
23 | set_io {address[18]} -pinname V15 -fixed yes -DIRECTION Inout | |
|
24 | ||
|
25 | set_io {data[0]} -pinname V4 -fixed yes -DIRECTION Inout | |
|
26 | set_io {data[1]} -pinname V3 -fixed yes -DIRECTION Inout | |
|
27 | set_io {data[2]} -pinname V2 -fixed yes -DIRECTION Inout | |
|
28 | set_io {data[3]} -pinname T3 -fixed yes -DIRECTION Inout | |
|
29 | set_io {data[4]} -pinname N6 -fixed yes -DIRECTION Inout | |
|
30 | set_io {data[5]} -pinname P6 -fixed yes -DIRECTION Inout | |
|
31 | set_io {data[6]} -pinname R6 -fixed yes -DIRECTION Inout | |
|
32 | set_io {data[7]} -pinname T4 -fixed yes -DIRECTION Inout | |
|
33 | set_io {data[8]} -pinname T1 -fixed yes -DIRECTION Inout | |
|
34 | set_io {data[9]} -pinname R1 -fixed yes -DIRECTION Inout | |
|
35 | set_io {data[10]} -pinname P1 -fixed yes -DIRECTION Inout | |
|
36 | set_io {data[11]} -pinname N2 -fixed yes -DIRECTION Inout | |
|
37 | set_io {data[12]} -pinname R3 -fixed yes -DIRECTION Inout | |
|
38 | set_io {data[13]} -pinname P4 -fixed yes -DIRECTION Inout | |
|
39 | set_io {data[14]} -pinname N4 -fixed yes -DIRECTION Inout | |
|
40 | set_io {data[15]} -pinname N3 -fixed yes -DIRECTION Inout | |
|
41 | set_io {data[16]} -pinname G12 -fixed yes -DIRECTION Inout | |
|
42 | set_io {data[17]} -pinname G15 -fixed yes -DIRECTION Inout | |
|
43 | set_io {data[18]} -pinname H15 -fixed yes -DIRECTION Inout | |
|
44 | set_io {data[19]} -pinname F17 -fixed yes -DIRECTION Inout | |
|
45 | set_io {data[20]} -pinname F18 -fixed yes -DIRECTION Inout | |
|
46 | set_io {data[21]} -pinname G17 -fixed yes -DIRECTION Inout | |
|
47 | set_io {data[22]} -pinname H18 -fixed yes -DIRECTION Inout | |
|
48 | set_io {data[23]} -pinname J18 -fixed yes -DIRECTION Inout | |
|
49 | set_io {data[24]} -pinname R18 -fixed yes -DIRECTION Inout | |
|
50 | set_io {data[25]} -pinname N18 -fixed yes -DIRECTION Inout | |
|
51 | set_io {data[26]} -pinname P17 -fixed yes -DIRECTION Inout | |
|
52 | set_io {data[27]} -pinname N17 -fixed yes -DIRECTION Inout | |
|
53 | set_io {data[28]} -pinname T18 -fixed yes -DIRECTION Inout | |
|
54 | set_io {data[29]} -pinname M17 -fixed yes -DIRECTION Inout | |
|
55 | set_io {data[30]} -pinname U18 -fixed yes -DIRECTION Inout | |
|
56 | set_io {data[31]} -pinname L18 -fixed yes -DIRECTION Inout | |
|
57 | ||
|
58 | set_io nSRAM_MBE -pinname E4 -fixed yes -DIRECTION Inout | |
|
59 | set_io nSRAM_E1 -pinname D1 -fixed yes -DIRECTION Inout | |
|
60 | set_io nSRAM_E2 -pinname C1 -fixed yes -DIRECTION Inout | |
|
61 | #set_io nSRAM_SCRUB -pinname C2 -fixed yes -DIRECTION Inout | |
|
62 | set_io nSRAM_W -pinname D4 -fixed yes -DIRECTION Inout | |
|
63 | set_io nSRAM_G -pinname E1 -fixed yes -DIRECTION Inout | |
|
64 | set_io nSRAM_BUSY -pinname F4 -fixed yes -DIRECTION Inout | |
|
65 | ||
|
66 | set_io spw1_en -pinname G4 -fixed yes -DIRECTION Inout | |
|
67 | set_io spw1_din -pinname D13 -fixed yes -DIRECTION Inout | |
|
68 | set_io spw1_sin -pinname D14 -fixed yes -DIRECTION Inout | |
|
69 | set_io spw1_dout -pinname C16 -fixed yes -DIRECTION Inout | |
|
70 | set_io spw1_sout -pinname C4 -fixed yes -DIRECTION Inout | |
|
71 | ||
|
72 | set_io spw2_en -pinname G3 -fixed yes -DIRECTION Inout | |
|
73 | set_io spw2_din -pinname E6 -fixed yes -DIRECTION Inout | |
|
74 | set_io spw2_sin -pinname C15 -fixed yes -DIRECTION Inout | |
|
75 | set_io spw2_dout -pinname B7 -fixed yes -DIRECTION Inout | |
|
76 | set_io spw2_sout -pinname D7 -fixed yes -DIRECTION Inout | |
|
77 | ||
|
78 | set_io {TAG[1]} -pinname J12 -fixed yes -DIRECTION Inout | |
|
79 | set_io {TAG[2]} -pinname K12 -fixed yes -DIRECTION Inout | |
|
80 | set_io {TAG[3]} -pinname K13 -fixed yes -DIRECTION Inout | |
|
81 | set_io {TAG[4]} -pinname L16 -fixed yes -DIRECTION Inout | |
|
82 | set_io {TAG[5]} -pinname L15 -fixed yes -DIRECTION Inout | |
|
83 | set_io {TAG[6]} -pinname M16 -fixed yes -DIRECTION Inout | |
|
84 | set_io {TAG[7]} -pinname J14 -fixed yes -DIRECTION Inout | |
|
85 | set_io {TAG[8]} -pinname K15 -fixed yes -DIRECTION Inout | |
|
86 | set_io {TAG[9]} -pinname J17 -fixed yes -DIRECTION Inout | |
|
87 | ||
|
88 | set_io bias_fail_sw -pinname A3 -fixed yes -DIRECTION Inout | |
|
89 | ||
|
90 | set_io {ADC_OEB_bar_CH[0]} -pinname A10 -fixed yes -DIRECTION Inout | |
|
91 | set_io {ADC_OEB_bar_CH[1]} -pinname B10 -fixed yes -DIRECTION Inout | |
|
92 | set_io {ADC_OEB_bar_CH[2]} -pinname B12 -fixed yes -DIRECTION Inout | |
|
93 | set_io {ADC_OEB_bar_CH[3]} -pinname A11 -fixed yes -DIRECTION Inout | |
|
94 | set_io {ADC_OEB_bar_CH[4]} -pinname B13 -fixed yes -DIRECTION Inout | |
|
95 | set_io {ADC_OEB_bar_CH[5]} -pinname C6 -fixed yes -DIRECTION Inout | |
|
96 | set_io {ADC_OEB_bar_CH[6]} -pinname A13 -fixed yes -DIRECTION Inout | |
|
97 | set_io {ADC_OEB_bar_CH[7]} -pinname A14 -fixed yes -DIRECTION Inout | |
|
98 | ||
|
99 | set_io ADC_smpclk -pinname A15 -fixed yes -DIRECTION Inout | |
|
100 | ||
|
101 | set_io HK_smpclk -pinname R11 -fixed yes -DIRECTION Inout | |
|
102 | set_io ADC_OEB_bar_HK -pinname D6 -fixed yes -DIRECTION Inout | |
|
103 | set_io {HK_SEL[0]} -pinname C3 -fixed yes -DIRECTION Inout | |
|
104 | set_io {HK_SEL[1]} -pinname A2 -fixed yes -DIRECTION Inout | |
|
105 | ||
|
106 | #set_io {ADC_data[0]} -pinname G13 -fixed yes -DIRECTION Inout | |
|
107 | #set_io {ADC_data[1]} -pinname G16 -fixed yes -DIRECTION Inout | |
|
108 | #set_io {ADC_data[2]} -pinname F16 -fixed yes -DIRECTION Inout | |
|
109 | #set_io {ADC_data[3]} -pinname E15 -fixed yes -DIRECTION Inout | |
|
110 | #set_io {ADC_data[4]} -pinname F13 -fixed yes -DIRECTION Inout | |
|
111 | #set_io {ADC_data[5]} -pinname F15 -fixed yes -DIRECTION Inout | |
|
112 | #set_io {ADC_data[6]} -pinname D16 -fixed yes -DIRECTION Inout | |
|
113 | #set_io {ADC_data[7]} -pinname D15 -fixed yes -DIRECTION Inout | |
|
114 | #set_io {ADC_data[8]} -pinname B17 -fixed yes -DIRECTION Inout | |
|
115 | #set_io {ADC_data[9]} -pinname A17 -fixed yes -DIRECTION Inout | |
|
116 | #set_io {ADC_data[10]} -pinname A16 -fixed yes -DIRECTION Inout | |
|
117 | #set_io {ADC_data[11]} -pinname B16 -fixed yes -DIRECTION Inout | |
|
118 | #set_io {ADC_data[12]} -pinname C12 -fixed yes -DIRECTION Inout | |
|
119 | #set_io {ADC_data[13]} -pinname C13 -fixed yes -DIRECTION Inout | |
|
120 | ||
|
121 | set_io DAC_SDO -pinname A4 -fixed yes -DIRECTION Inout | |
|
122 | set_io DAC_SCK -pinname A5 -fixed yes -DIRECTION Inout | |
|
123 | set_io DAC_SYNC -pinname B6 -fixed yes -DIRECTION Inout | |
|
124 | set_io DAC_CAL_EN -pinname A6 -fixed yes -DIRECTION Inout |
@@ -0,0 +1,123 | |||
|
1 | set_io clk50MHz -pinname B3 -fixed yes -DIRECTION Inout | |
|
2 | set_io reset -pinname R4 -fixed yes -DIRECTION Inout -SCHMITT_TRIGGER On | |
|
3 | ||
|
4 | set_io {address[0]} -pinname U3 -fixed yes -DIRECTION Inout | |
|
5 | set_io {address[1]} -pinname V14 -fixed yes -DIRECTION Inout | |
|
6 | set_io {address[2]} -pinname V13 -fixed yes -DIRECTION Inout | |
|
7 | set_io {address[3]} -pinname V16 -fixed yes -DIRECTION Inout | |
|
8 | set_io {address[4]} -pinname N9 -fixed yes -DIRECTION Inout | |
|
9 | set_io {address[5]} -pinname T11 -fixed yes -DIRECTION Inout | |
|
10 | set_io {address[6]} -pinname U13 -fixed yes -DIRECTION Inout | |
|
11 | set_io {address[7]} -pinname R5 -fixed yes -DIRECTION Inout | |
|
12 | set_io {address[8]} -pinname U2 -fixed yes -DIRECTION Inout | |
|
13 | set_io {address[9]} -pinname N11 -fixed yes -DIRECTION Inout | |
|
14 | set_io {address[10]} -pinname R13 -fixed yes -DIRECTION Inout | |
|
15 | set_io {address[11]} -pinname R12 -fixed yes -DIRECTION Inout | |
|
16 | set_io {address[12]} -pinname M15 -fixed yes -DIRECTION Inout | |
|
17 | set_io {address[13]} -pinname T12 -fixed yes -DIRECTION Inout | |
|
18 | set_io {address[14]} -pinname M13 -fixed yes -DIRECTION Inout | |
|
19 | set_io {address[15]} -pinname T13 -fixed yes -DIRECTION Inout | |
|
20 | set_io {address[16]} -pinname L13 -fixed yes -DIRECTION Inout | |
|
21 | set_io {address[17]} -pinname V17 -fixed yes -DIRECTION Inout | |
|
22 | set_io {address[18]} -pinname V15 -fixed yes -DIRECTION Inout | |
|
23 | ||
|
24 | set_io {data[0]} -pinname V4 -fixed yes -DIRECTION Inout | |
|
25 | set_io {data[1]} -pinname V3 -fixed yes -DIRECTION Inout | |
|
26 | set_io {data[2]} -pinname V2 -fixed yes -DIRECTION Inout | |
|
27 | set_io {data[3]} -pinname T3 -fixed yes -DIRECTION Inout | |
|
28 | set_io {data[4]} -pinname N6 -fixed yes -DIRECTION Inout | |
|
29 | set_io {data[5]} -pinname P6 -fixed yes -DIRECTION Inout | |
|
30 | set_io {data[6]} -pinname R6 -fixed yes -DIRECTION Inout | |
|
31 | set_io {data[7]} -pinname T4 -fixed yes -DIRECTION Inout | |
|
32 | set_io {data[8]} -pinname T1 -fixed yes -DIRECTION Inout | |
|
33 | set_io {data[9]} -pinname R1 -fixed yes -DIRECTION Inout | |
|
34 | set_io {data[10]} -pinname P1 -fixed yes -DIRECTION Inout | |
|
35 | set_io {data[11]} -pinname N2 -fixed yes -DIRECTION Inout | |
|
36 | set_io {data[12]} -pinname R3 -fixed yes -DIRECTION Inout | |
|
37 | set_io {data[13]} -pinname P4 -fixed yes -DIRECTION Inout | |
|
38 | set_io {data[14]} -pinname N4 -fixed yes -DIRECTION Inout | |
|
39 | set_io {data[15]} -pinname N3 -fixed yes -DIRECTION Inout | |
|
40 | set_io {data[16]} -pinname G12 -fixed yes -DIRECTION Inout | |
|
41 | set_io {data[17]} -pinname G15 -fixed yes -DIRECTION Inout | |
|
42 | set_io {data[18]} -pinname H15 -fixed yes -DIRECTION Inout | |
|
43 | set_io {data[19]} -pinname F17 -fixed yes -DIRECTION Inout | |
|
44 | set_io {data[20]} -pinname F18 -fixed yes -DIRECTION Inout | |
|
45 | set_io {data[21]} -pinname G17 -fixed yes -DIRECTION Inout | |
|
46 | set_io {data[22]} -pinname H18 -fixed yes -DIRECTION Inout | |
|
47 | set_io {data[23]} -pinname J18 -fixed yes -DIRECTION Inout | |
|
48 | set_io {data[24]} -pinname R18 -fixed yes -DIRECTION Inout | |
|
49 | set_io {data[25]} -pinname N18 -fixed yes -DIRECTION Inout | |
|
50 | set_io {data[26]} -pinname P17 -fixed yes -DIRECTION Inout | |
|
51 | set_io {data[27]} -pinname N17 -fixed yes -DIRECTION Inout | |
|
52 | set_io {data[28]} -pinname T18 -fixed yes -DIRECTION Inout | |
|
53 | set_io {data[29]} -pinname M17 -fixed yes -DIRECTION Inout | |
|
54 | set_io {data[30]} -pinname U18 -fixed yes -DIRECTION Inout | |
|
55 | set_io {data[31]} -pinname L18 -fixed yes -DIRECTION Inout | |
|
56 | ||
|
57 | set_io nSRAM_MBE -pinname E4 -fixed yes -DIRECTION Inout | |
|
58 | set_io nSRAM_E1 -pinname D1 -fixed yes -DIRECTION Inout | |
|
59 | set_io nSRAM_E2 -pinname C1 -fixed yes -DIRECTION Inout | |
|
60 | #set_io nSRAM_SCRUB -pinname C2 -fixed yes -DIRECTION Inout | |
|
61 | set_io nSRAM_W -pinname D4 -fixed yes -DIRECTION Inout | |
|
62 | set_io nSRAM_G -pinname E1 -fixed yes -DIRECTION Inout | |
|
63 | set_io nSRAM_BUSY -pinname F4 -fixed yes -DIRECTION Inout | |
|
64 | ||
|
65 | set_io spw1_en -pinname G4 -fixed yes -DIRECTION Inout | |
|
66 | set_io spw1_din -pinname D13 -fixed yes -DIRECTION Inout | |
|
67 | set_io spw1_sin -pinname D14 -fixed yes -DIRECTION Inout | |
|
68 | set_io spw1_dout -pinname C16 -fixed yes -DIRECTION Inout | |
|
69 | set_io spw1_sout -pinname C4 -fixed yes -DIRECTION Inout | |
|
70 | ||
|
71 | set_io spw2_en -pinname G3 -fixed yes -DIRECTION Inout | |
|
72 | set_io spw2_din -pinname E6 -fixed yes -DIRECTION Inout | |
|
73 | set_io spw2_sin -pinname C15 -fixed yes -DIRECTION Inout | |
|
74 | set_io spw2_dout -pinname B7 -fixed yes -DIRECTION Inout | |
|
75 | set_io spw2_sout -pinname D7 -fixed yes -DIRECTION Inout | |
|
76 | ||
|
77 | set_io TAG1 -pinname J12 -fixed yes -DIRECTION Inout | |
|
78 | set_io TAG2 -pinname K12 -fixed yes -DIRECTION Inout | |
|
79 | set_io TAG3 -pinname K13 -fixed yes -DIRECTION Inout | |
|
80 | set_io TAG4 -pinname L16 -fixed yes -DIRECTION Inout | |
|
81 | #set_io TAG5 -pinname L15 -fixed yes -DIRECTION Inout | |
|
82 | #set_io TAG6 -pinname M16 -fixed yes -DIRECTION Inout | |
|
83 | #set_io TAG7 -pinname J14 -fixed yes -DIRECTION Inout | |
|
84 | set_io TAG8 -pinname K15 -fixed yes -DIRECTION Inout | |
|
85 | #set_io TAG9 -pinname J17 -fixed yes -DIRECTION Inout | |
|
86 | ||
|
87 | set_io bias_fail_sw -pinname A3 -fixed yes -DIRECTION Inout | |
|
88 | ||
|
89 | set_io {ADC_OEB_bar_CH[0]} -pinname A10 -fixed yes -DIRECTION Inout | |
|
90 | set_io {ADC_OEB_bar_CH[1]} -pinname B10 -fixed yes -DIRECTION Inout | |
|
91 | set_io {ADC_OEB_bar_CH[2]} -pinname B12 -fixed yes -DIRECTION Inout | |
|
92 | set_io {ADC_OEB_bar_CH[3]} -pinname A11 -fixed yes -DIRECTION Inout | |
|
93 | set_io {ADC_OEB_bar_CH[4]} -pinname B13 -fixed yes -DIRECTION Inout | |
|
94 | set_io {ADC_OEB_bar_CH[5]} -pinname C6 -fixed yes -DIRECTION Inout | |
|
95 | set_io {ADC_OEB_bar_CH[6]} -pinname A13 -fixed yes -DIRECTION Inout | |
|
96 | set_io {ADC_OEB_bar_CH[7]} -pinname A14 -fixed yes -DIRECTION Inout | |
|
97 | ||
|
98 | set_io ADC_smpclk -pinname A15 -fixed yes -DIRECTION Inout | |
|
99 | ||
|
100 | set_io HK_smpclk -pinname R11 -fixed yes -DIRECTION Inout | |
|
101 | set_io ADC_OEB_bar_HK -pinname D6 -fixed yes -DIRECTION Inout | |
|
102 | set_io {HK_SEL[0]} -pinname C3 -fixed yes -DIRECTION Inout | |
|
103 | set_io {HK_SEL[1]} -pinname A2 -fixed yes -DIRECTION Inout | |
|
104 | ||
|
105 | set_io {ADC_data[0]} -pinname G13 -fixed yes -DIRECTION Inout | |
|
106 | set_io {ADC_data[1]} -pinname G16 -fixed yes -DIRECTION Inout | |
|
107 | set_io {ADC_data[2]} -pinname F16 -fixed yes -DIRECTION Inout | |
|
108 | set_io {ADC_data[3]} -pinname E15 -fixed yes -DIRECTION Inout | |
|
109 | set_io {ADC_data[4]} -pinname F13 -fixed yes -DIRECTION Inout | |
|
110 | set_io {ADC_data[5]} -pinname F15 -fixed yes -DIRECTION Inout | |
|
111 | set_io {ADC_data[6]} -pinname D16 -fixed yes -DIRECTION Inout | |
|
112 | set_io {ADC_data[7]} -pinname D15 -fixed yes -DIRECTION Inout | |
|
113 | set_io {ADC_data[8]} -pinname B17 -fixed yes -DIRECTION Inout | |
|
114 | set_io {ADC_data[9]} -pinname A17 -fixed yes -DIRECTION Inout | |
|
115 | set_io {ADC_data[10]} -pinname A16 -fixed yes -DIRECTION Inout | |
|
116 | set_io {ADC_data[11]} -pinname B16 -fixed yes -DIRECTION Inout | |
|
117 | set_io {ADC_data[12]} -pinname C12 -fixed yes -DIRECTION Inout | |
|
118 | set_io {ADC_data[13]} -pinname C13 -fixed yes -DIRECTION Inout | |
|
119 | ||
|
120 | set_io DAC_SDO -pinname A4 -fixed yes -DIRECTION Inout | |
|
121 | set_io DAC_SCK -pinname A5 -fixed yes -DIRECTION Inout | |
|
122 | set_io DAC_SYNC -pinname B6 -fixed yes -DIRECTION Inout | |
|
123 | set_io DAC_CAL_EN -pinname A6 -fixed yes -DIRECTION Inout |
@@ -0,0 +1,77 | |||
|
1 | ################################################################################ | |
|
2 | # SDC WRITER VERSION "3.1"; | |
|
3 | # DESIGN "LFR_EQM"; | |
|
4 | # Timing constraints scenario: "Primary"; | |
|
5 | # DATE "Fri Jul 24 14:50:40 2015"; | |
|
6 | # VENDOR "Actel"; | |
|
7 | # PROGRAM "Actel Designer Software Release v9.1 SP5"; | |
|
8 | # VERSION "9.1.5.1" Copyright (C) 1989-2012 Actel Corp. | |
|
9 | ################################################################################ | |
|
10 | ||
|
11 | ||
|
12 | set sdc_version 1.7 | |
|
13 | ||
|
14 | ||
|
15 | ######## Clock Constraints ######## | |
|
16 | ||
|
17 | create_clock -name { clk50MHz } -period 20.000 -waveform { 0.000 10.000 } { clk50MHz } | |
|
18 | ||
|
19 | create_clock -name { clk49_152MHz } -period 20.345 -waveform { 0.000 10.172 } { clk49_152MHz } | |
|
20 | ||
|
21 | create_clock -name { clk_25:Q } -period 40.000 -waveform { 0.000 20.000 } { clk_pad_25/U0:Y } | |
|
22 | ||
|
23 | create_clock -name { clk_24:Q } -period 40.690 -waveform { 0.000 20.345 } { clk_24:Q } | |
|
24 | ||
|
25 | create_clock -name { spw_inputloop.1.spw_phy0/rxclki_1_0:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.1.spw_phy0/rxclki_1:Y } | |
|
26 | ||
|
27 | create_clock -name { spw_inputloop.0.spw_phy0/rxclki_1_0:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.0.spw_phy0/rxclki_1:Y } | |
|
28 | ||
|
29 | ||
|
30 | ||
|
31 | ######## Generated Clock Constraints ######## | |
|
32 | ||
|
33 | ||
|
34 | ||
|
35 | ######## Clock Source Latency Constraints ######### | |
|
36 | ||
|
37 | ||
|
38 | ||
|
39 | ######## Input Delay Constraints ######## | |
|
40 | ||
|
41 | ||
|
42 | ||
|
43 | ######## Output Delay Constraints ######## | |
|
44 | set_max_delay 10.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address }] | |
|
45 | ||
|
46 | set_min_delay 10.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 }] | |
|
47 | ||
|
48 | ||
|
49 | ||
|
50 | ######## Delay Constraints ######## | |
|
51 | ||
|
52 | ||
|
53 | ||
|
54 | ######## Delay Constraints ######## | |
|
55 | ||
|
56 | ||
|
57 | ||
|
58 | ######## Multicycle Constraints ######## | |
|
59 | ||
|
60 | ||
|
61 | ||
|
62 | ######## False Path Constraints ######## | |
|
63 | ||
|
64 | ||
|
65 | ||
|
66 | ######## Output load Constraints ######## | |
|
67 | ||
|
68 | ||
|
69 | ||
|
70 | ######## Disable Timing Constraints ######### | |
|
71 | ||
|
72 | ||
|
73 | ||
|
74 | ######## Clock Uncertainty Constraints ######### | |
|
75 | ||
|
76 | ||
|
77 |
@@ -0,0 +1,151 | |||
|
1 | ################################################################################ | |
|
2 | # SDC WRITER VERSION "3.1"; | |
|
3 | # DESIGN "LFR_EQM"; | |
|
4 | # Timing constraints scenario: "Primary"; | |
|
5 | # DATE "Tue May 19 15:46:14 2015"; | |
|
6 | # VENDOR "Actel"; | |
|
7 | # PROGRAM "Actel Designer Software Release v9.1 SP5"; | |
|
8 | # VERSION "9.1.5.1" Copyright (C) 1989-2012 Actel Corp. | |
|
9 | ################################################################################ | |
|
10 | ||
|
11 | ||
|
12 | set sdc_version 1.7 | |
|
13 | ||
|
14 | ||
|
15 | ######## Clock Constraints ######## | |
|
16 | ||
|
17 | create_clock -name { clk50MHz } -period 20.000 -waveform { 0.000 10.000 } { clk50MHz } | |
|
18 | ||
|
19 | create_clock -name { clk49_152MHz } -period 20.345 -waveform { 0.000 10.172 } { clk49_152MHz } | |
|
20 | ||
|
21 | create_clock -name { clk_25:Q } -period 40.000 -waveform { 0.000 20.000 } { clk_25:Q } | |
|
22 | ||
|
23 | create_clock -name { clk_24:Q } -period 40.690 -waveform { 0.000 20.345 } { clk_24:Q } | |
|
24 | ||
|
25 | create_clock -name { spw_inputloop.1.spw_phy0/rxclki_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.1.spw_phy0/rxclki_RNO:Y } | |
|
26 | ||
|
27 | create_clock -name { spw_inputloop.0.spw_phy0/rxclki_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.0.spw_phy0/rxclki_RNO:Y } | |
|
28 | ||
|
29 | ||
|
30 | ||
|
31 | ######## Generated Clock Constraints ######## | |
|
32 | ||
|
33 | ||
|
34 | ||
|
35 | ######## Clock Source Latency Constraints ######### | |
|
36 | ||
|
37 | ||
|
38 | ||
|
39 | ######## Input Delay Constraints ######## | |
|
40 | ||
|
41 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
42 | ||
|
43 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_BUSY }] | |
|
44 | ||
|
45 | set_input_delay -max 35.000 -clock { clk_25:Q } [get_ports { ADC_data[0] ADC_data[10] ADC_data[11] ADC_data[12] ADC_data[13] ADC_data[1] ADC_data[2] ADC_data[3] ADC_data[4] ADC_data[5] ADC_data[6] ADC_data[7] ADC_data[8] ADC_data[9] }] | |
|
46 | set_input_delay -min 15.000 -clock { clk_25:Q } [get_ports { ADC_data[0] ADC_data[10] ADC_data[11] ADC_data[12] ADC_data[13] ADC_data[1] ADC_data[2] ADC_data[3] ADC_data[4] ADC_data[5] ADC_data[6] ADC_data[7] ADC_data[8] ADC_data[9] }] | |
|
47 | ||
|
48 | ||
|
49 | ||
|
50 | ######## Output Delay Constraints ######## | |
|
51 | ||
|
52 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
53 | ||
|
54 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { address[0] address[10] address[11] address[12] address[13] address[14] address[15] address[16] address[17] address[18] address[1] address[2] address[3] address[4] address[5] address[6] address[7] address[8] address[9] }] | |
|
55 | ||
|
56 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
57 | ||
|
58 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_G }] | |
|
59 | ||
|
60 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_MBE }] | |
|
61 | ||
|
62 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { ADC_OEB_bar_CH[0] ADC_OEB_bar_CH[1] ADC_OEB_bar_CH[2] ADC_OEB_bar_CH[3] ADC_OEB_bar_CH[4] ADC_OEB_bar_CH[5] ADC_OEB_bar_CH[6] ADC_OEB_bar_CH[7] ADC_OEB_bar_HK }] | |
|
63 | set_max_delay 25.000 -from [get_clocks {clk_25:Q}] -to [get_ports { ADC_OEB_bar_CH[0] \ | |
|
64 | ADC_OEB_bar_CH[1] ADC_OEB_bar_CH[2] ADC_OEB_bar_CH[3] ADC_OEB_bar_CH[4] \ | |
|
65 | ADC_OEB_bar_CH[5] ADC_OEB_bar_CH[6] ADC_OEB_bar_CH[7] ADC_OEB_bar_HK }] | |
|
66 | set_min_delay 8.000 -from [get_clocks {clk_25:Q}] -to [get_ports { ADC_OEB_bar_CH[0] \ | |
|
67 | ADC_OEB_bar_CH[1] ADC_OEB_bar_CH[2] ADC_OEB_bar_CH[3] ADC_OEB_bar_CH[4] \ | |
|
68 | ADC_OEB_bar_CH[5] ADC_OEB_bar_CH[6] ADC_OEB_bar_CH[7] ADC_OEB_bar_HK }] | |
|
69 | ||
|
70 | ||
|
71 | ||
|
72 | ######## Delay Constraints ######## | |
|
73 | ||
|
74 | set_max_delay 30.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
75 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
76 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
77 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
78 | ||
|
79 | set_max_delay 12.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
80 | ||
|
81 | set_max_delay 12.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
82 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
83 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
84 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
85 | ||
|
86 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
87 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
88 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
89 | address[7] address[8] address[9] }] | |
|
90 | ||
|
91 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
92 | ||
|
93 | set_max_delay 30.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
94 | ||
|
95 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
96 | ||
|
97 | set_max_delay 4.000 -from [get_ports { spw2_sin spw2_din spw1_sin spw1_din reset }] -to \ | |
|
98 | [get_clocks {spw_inputloop.0.spw_phy0/rxclki_RNO:Y}] | |
|
99 | ||
|
100 | set_max_delay 4.000 -from [get_ports { spw2_sin spw2_din spw1_sin spw1_din reset }] -to \ | |
|
101 | [get_clocks {spw_inputloop.1.spw_phy0/rxclki_RNO:Y}] | |
|
102 | ||
|
103 | ||
|
104 | ||
|
105 | ######## Delay Constraints ######## | |
|
106 | ||
|
107 | set_min_delay 0.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
108 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
109 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
110 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
111 | ||
|
112 | set_min_delay 0.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
113 | ||
|
114 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
115 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
116 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
117 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
118 | ||
|
119 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
120 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
121 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
122 | address[7] address[8] address[9] }] | |
|
123 | ||
|
124 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
125 | ||
|
126 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
127 | ||
|
128 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
129 | ||
|
130 | ||
|
131 | ||
|
132 | ######## Multicycle Constraints ######## | |
|
133 | ||
|
134 | ||
|
135 | ||
|
136 | ######## False Path Constraints ######## | |
|
137 | ||
|
138 | ||
|
139 | ||
|
140 | ######## Output load Constraints ######## | |
|
141 | ||
|
142 | ||
|
143 | ||
|
144 | ######## Disable Timing Constraints ######### | |
|
145 | ||
|
146 | ||
|
147 | ||
|
148 | ######## Clock Uncertainty Constraints ######### | |
|
149 | ||
|
150 | ||
|
151 |
@@ -0,0 +1,156 | |||
|
1 | ################################################################################ | |
|
2 | # SDC WRITER VERSION "3.1"; | |
|
3 | # DESIGN "LFR_EQM"; | |
|
4 | # Timing constraints scenario: "Primary"; | |
|
5 | # DATE "Tue May 05 13:46:34 2015"; | |
|
6 | # VENDOR "Actel"; | |
|
7 | # PROGRAM "Actel Designer Software Release v9.1 SP5"; | |
|
8 | # VERSION "9.1.5.1" Copyright (C) 1989-2012 Actel Corp. | |
|
9 | ################################################################################ | |
|
10 | ||
|
11 | ||
|
12 | set sdc_version 1.7 | |
|
13 | ||
|
14 | ||
|
15 | ######## Clock Constraints ######## | |
|
16 | ||
|
17 | create_clock -name { clk50MHz } -period 20.000 -waveform { 0.000 10.000 } { clk50MHz } | |
|
18 | ||
|
19 | create_clock -name { clk49_152MHz } -period 20.345 -waveform { 0.000 10.172 } { clk49_152MHz } | |
|
20 | ||
|
21 | create_clock -name { clk_25:Q } -period 40.000 -waveform { 0.000 20.000 } { clk_25:Q } | |
|
22 | ||
|
23 | create_clock -name { clk_24:Q } -period 40.690 -waveform { 0.000 20.345 } { clk_24:Q } | |
|
24 | ||
|
25 | create_clock -name { spw_inputloop.1.spw_phy0/rxclki_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.1.spw_phy0/rxclki_RNO:Y } | |
|
26 | ||
|
27 | create_clock -name { spw_inputloop.0.spw_phy0/rxclki_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.0.spw_phy0/rxclki_RNO:Y } | |
|
28 | ||
|
29 | ||
|
30 | ||
|
31 | ######## Generated Clock Constraints ######## | |
|
32 | ||
|
33 | ||
|
34 | ||
|
35 | ######## Clock Source Latency Constraints ######### | |
|
36 | ||
|
37 | ||
|
38 | ||
|
39 | ######## Input Delay Constraints ######## | |
|
40 | ||
|
41 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
42 | ||
|
43 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_BUSY }] | |
|
44 | ||
|
45 | set_input_delay -max 20.000 -clock { clk_25:Q } [get_ports { ADC_data[0] ADC_data[10] ADC_data[11] ADC_data[12] ADC_data[13] ADC_data[1] ADC_data[2] ADC_data[3] ADC_data[4] ADC_data[5] ADC_data[6] ADC_data[7] ADC_data[8] ADC_data[9] }] | |
|
46 | ||
|
47 | ||
|
48 | ||
|
49 | ######## Output Delay Constraints ######## | |
|
50 | ||
|
51 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
52 | ||
|
53 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { address[0] address[10] address[11] address[12] address[13] address[14] address[15] address[16] address[17] address[18] address[1] address[2] address[3] address[4] address[5] address[6] address[7] address[8] address[9] }] | |
|
54 | ||
|
55 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
56 | ||
|
57 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_G }] | |
|
58 | ||
|
59 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_MBE }] | |
|
60 | ||
|
61 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { ADC_OEB_bar_CH[0] ADC_OEB_bar_CH[1] ADC_OEB_bar_CH[2] ADC_OEB_bar_CH[3] ADC_OEB_bar_CH[4] ADC_OEB_bar_CH[5] ADC_OEB_bar_CH[6] ADC_OEB_bar_CH[7] ADC_OEB_bar_HK }] | |
|
62 | set_max_delay 35.000 -from [get_clocks {clk_25:Q}] -to [get_ports { ADC_OEB_bar_CH[0] \ | |
|
63 | ADC_OEB_bar_CH[1] ADC_OEB_bar_CH[2] ADC_OEB_bar_CH[3] ADC_OEB_bar_CH[4] \ | |
|
64 | ADC_OEB_bar_CH[5] ADC_OEB_bar_CH[6] ADC_OEB_bar_CH[7] ADC_OEB_bar_HK }] | |
|
65 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { ADC_OEB_bar_CH[0] \ | |
|
66 | ADC_OEB_bar_CH[1] ADC_OEB_bar_CH[2] ADC_OEB_bar_CH[3] ADC_OEB_bar_CH[4] \ | |
|
67 | ADC_OEB_bar_CH[5] ADC_OEB_bar_CH[6] ADC_OEB_bar_CH[7] ADC_OEB_bar_HK }] | |
|
68 | ||
|
69 | ||
|
70 | ||
|
71 | ######## Delay Constraints ######## | |
|
72 | ||
|
73 | set_max_delay 30.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
74 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
75 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
76 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
77 | ||
|
78 | set_max_delay 10.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
79 | ||
|
80 | set_max_delay 10.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
81 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
82 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
83 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
84 | ||
|
85 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
86 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
87 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
88 | address[7] address[8] address[9] }] | |
|
89 | ||
|
90 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
91 | ||
|
92 | set_max_delay 30.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
93 | ||
|
94 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
95 | ||
|
96 | set_max_delay 4.000 -from [get_ports { spw2_sin spw2_din spw1_sin spw1_din reset }] -to \ | |
|
97 | [get_clocks {spw_inputloop.0.spw_phy0/rxclki_RNO:Y}] | |
|
98 | ||
|
99 | set_max_delay 4.000 -from [get_ports { spw2_sin spw2_din spw1_sin spw1_din reset }] -to \ | |
|
100 | [get_clocks {spw_inputloop.1.spw_phy0/rxclki_RNO:Y}] | |
|
101 | ||
|
102 | ||
|
103 | ||
|
104 | ######## Delay Constraints ######## | |
|
105 | ||
|
106 | set_min_delay 0.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
107 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
108 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
109 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
110 | ||
|
111 | set_min_delay 0.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
112 | ||
|
113 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
114 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
115 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
116 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
117 | ||
|
118 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
119 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
120 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
121 | address[7] address[8] address[9] }] | |
|
122 | ||
|
123 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
124 | ||
|
125 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
126 | ||
|
127 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
128 | ||
|
129 | ||
|
130 | ||
|
131 | ######## Multicycle Constraints ######## | |
|
132 | ||
|
133 | ||
|
134 | ||
|
135 | ######## False Path Constraints ######## | |
|
136 | ||
|
137 | set_false_path -from [get_pins { \ | |
|
138 | USE_ADCDRIVER_true.top_ad_conv_RHF1401_withFilter_1/cnv_s_reg:CLK }] -to [get_pins { \ | |
|
139 | USE_ADCDRIVER_true.top_ad_conv_RHF1401_withFilter_1/SYNC_FF_cnv/sync_loop.1.A_temp[1]:D \ | |
|
140 | }] | |
|
141 | # SYNC PATH of ADC_CNV signal from CLK_domain_24 to CLK_domain_25 | |
|
142 | ||
|
143 | ||
|
144 | ||
|
145 | ######## Output load Constraints ######## | |
|
146 | ||
|
147 | ||
|
148 | ||
|
149 | ######## Disable Timing Constraints ######### | |
|
150 | ||
|
151 | ||
|
152 | ||
|
153 | ######## Clock Uncertainty Constraints ######### | |
|
154 | ||
|
155 | ||
|
156 |
@@ -0,0 +1,128 | |||
|
1 | ################################################################################ | |
|
2 | # SDC WRITER VERSION "3.1"; | |
|
3 | # DESIGN "LFR_EQM"; | |
|
4 | # Timing constraints scenario: "Primary"; | |
|
5 | # DATE "Fri Apr 24 16:02:16 2015"; | |
|
6 | # VENDOR "Actel"; | |
|
7 | # PROGRAM "Actel Designer Software Release v9.1 SP5"; | |
|
8 | # VERSION "9.1.5.1" Copyright (C) 1989-2012 Actel Corp. | |
|
9 | ################################################################################ | |
|
10 | ||
|
11 | ||
|
12 | set sdc_version 1.7 | |
|
13 | ||
|
14 | ||
|
15 | ######## Clock Constraints ######## | |
|
16 | ||
|
17 | create_clock -name { clk50MHz } -period 20.000 -waveform { 0.000 10.000 } { clk50MHz } | |
|
18 | ||
|
19 | create_clock -name { clk49_152MHz } -period 20.345 -waveform { 0.000 10.172 } { clk49_152MHz } | |
|
20 | ||
|
21 | create_clock -name { clk_25:Q } -period 40.000 -waveform { 0.000 20.000 } { clk_25:Q } | |
|
22 | ||
|
23 | create_clock -name { clk_24:Q } -period 40.690 -waveform { 0.000 20.345 } { clk_24:Q } | |
|
24 | ||
|
25 | create_clock -name { spw_inputloop.1.spw_phy0/rxclki_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.1.spw_phy0/rxclki_RNO:Y } | |
|
26 | ||
|
27 | create_clock -name { spw_inputloop.0.spw_phy0/rxclki_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.0.spw_phy0/rxclki_RNO:Y } | |
|
28 | ||
|
29 | ||
|
30 | ||
|
31 | ######## Generated Clock Constraints ######## | |
|
32 | ||
|
33 | ||
|
34 | ||
|
35 | ######## Clock Source Latency Constraints ######### | |
|
36 | ||
|
37 | ||
|
38 | ||
|
39 | ######## Input Delay Constraints ######## | |
|
40 | ||
|
41 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
42 | set_max_delay 30.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
43 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
44 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
45 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
46 | set_min_delay 0.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
47 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
48 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
49 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
50 | ||
|
51 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_BUSY }] | |
|
52 | set_max_delay 10.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
53 | set_min_delay 0.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
54 | ||
|
55 | ||
|
56 | ||
|
57 | ######## Output Delay Constraints ######## | |
|
58 | ||
|
59 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
60 | set_max_delay 10.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
61 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
62 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
63 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
64 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
65 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
66 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
67 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
68 | ||
|
69 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { address[0] address[10] address[11] address[12] address[13] address[14] address[15] address[16] address[17] address[18] address[1] address[2] address[3] address[4] address[5] address[6] address[7] address[8] address[9] }] | |
|
70 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
71 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
72 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
73 | address[7] address[8] address[9] }] | |
|
74 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
75 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
76 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
77 | address[7] address[8] address[9] }] | |
|
78 | ||
|
79 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
80 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
81 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
82 | ||
|
83 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_G }] | |
|
84 | set_max_delay 30.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
85 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
86 | ||
|
87 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_MBE }] | |
|
88 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
89 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
90 | ||
|
91 | ||
|
92 | ||
|
93 | ######## Delay Constraints ######## | |
|
94 | ||
|
95 | set_max_delay 4.000 -from [get_ports { clk50MHz ADC_data spw2_sin spw2_din spw1_sin spw1_din \ | |
|
96 | nSRAM_BUSY data TAG2 TAG1 reset clk49_152MHz }] -to [get_clocks \ | |
|
97 | {spw_inputloop.0.spw_phy0/rxclki_RNO:Y}] | |
|
98 | ||
|
99 | set_max_delay 4.000 -from [get_ports { clk50MHz ADC_data spw2_sin spw2_din spw1_sin spw1_din \ | |
|
100 | nSRAM_BUSY data TAG2 TAG1 reset clk49_152MHz }] -to [get_clocks \ | |
|
101 | {spw_inputloop.1.spw_phy0/rxclki_RNO:Y}] | |
|
102 | ||
|
103 | ||
|
104 | ||
|
105 | ######## Delay Constraints ######## | |
|
106 | ||
|
107 | ||
|
108 | ||
|
109 | ######## Multicycle Constraints ######## | |
|
110 | ||
|
111 | ||
|
112 | ||
|
113 | ######## False Path Constraints ######## | |
|
114 | ||
|
115 | ||
|
116 | ||
|
117 | ######## Output load Constraints ######## | |
|
118 | ||
|
119 | ||
|
120 | ||
|
121 | ######## Disable Timing Constraints ######### | |
|
122 | ||
|
123 | ||
|
124 | ||
|
125 | ######## Clock Uncertainty Constraints ######### | |
|
126 | ||
|
127 | ||
|
128 |
@@ -0,0 +1,129 | |||
|
1 | ################################################################################ | |
|
2 | # SDC WRITER VERSION "3.1"; | |
|
3 | # DESIGN "LFR_EQM"; | |
|
4 | # Timing constraints scenario: "Primary"; | |
|
5 | # DATE "Fri Apr 24 16:02:16 2015"; | |
|
6 | # VENDOR "Actel"; | |
|
7 | # PROGRAM "Actel Designer Software Release v9.1 SP5"; | |
|
8 | # VERSION "9.1.5.1" Copyright (C) 1989-2012 Actel Corp. | |
|
9 | ################################################################################ | |
|
10 | ||
|
11 | ||
|
12 | set sdc_version 1.7 | |
|
13 | ||
|
14 | ||
|
15 | ######## Clock Constraints ######## | |
|
16 | ||
|
17 | create_clock -name { clk50MHz } -period 20.000 -waveform { 0.000 10.000 } { clk50MHz } | |
|
18 | ||
|
19 | create_clock -name { clk49_152MHz } -period 20.345 -waveform { 0.000 10.172 } { clk49_152MHz } | |
|
20 | ||
|
21 | create_clock -name { clk_25:Q } -period 40.000 -waveform { 0.000 20.000 } { clk_25:Q } | |
|
22 | ||
|
23 | create_clock -name { clk_24:Q } -period 40.690 -waveform { 0.000 20.345 } { clk_24:Q } | |
|
24 | ||
|
25 | ||
|
26 | create_clock -name { spw_inputloop.0.spw_phy0/rxclki_1_0:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.0.spw_phy0/rxclki_1_0:Y } | |
|
27 | ||
|
28 | create_clock -name { spw_inputloop.1.spw_phy0/rxclki_1_0:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.1.spw_phy0/rxclki_1_0:Y } | |
|
29 | ||
|
30 | ||
|
31 | ||
|
32 | ######## Generated Clock Constraints ######## | |
|
33 | ||
|
34 | ||
|
35 | ||
|
36 | ######## Clock Source Latency Constraints ######### | |
|
37 | ||
|
38 | ||
|
39 | ||
|
40 | ######## Input Delay Constraints ######## | |
|
41 | ||
|
42 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
43 | set_max_delay 30.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
44 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
45 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
46 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
47 | set_min_delay 0.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
48 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
49 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
50 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
51 | ||
|
52 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_BUSY }] | |
|
53 | set_max_delay 10.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
54 | set_min_delay 0.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
55 | ||
|
56 | ||
|
57 | ||
|
58 | ######## Output Delay Constraints ######## | |
|
59 | ||
|
60 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
61 | set_max_delay 10.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
62 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
63 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
64 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
65 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
66 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
67 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
68 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
69 | ||
|
70 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { address[0] address[10] address[11] address[12] address[13] address[14] address[15] address[16] address[17] address[18] address[1] address[2] address[3] address[4] address[5] address[6] address[7] address[8] address[9] }] | |
|
71 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
72 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
73 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
74 | address[7] address[8] address[9] }] | |
|
75 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
76 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
77 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
78 | address[7] address[8] address[9] }] | |
|
79 | ||
|
80 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
81 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
82 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
83 | ||
|
84 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_G }] | |
|
85 | set_max_delay 30.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
86 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
87 | ||
|
88 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_MBE }] | |
|
89 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
90 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
91 | ||
|
92 | ||
|
93 | ||
|
94 | ######## Delay Constraints ######## | |
|
95 | ||
|
96 | set_max_delay 4.000 -from [get_ports { clk50MHz ADC_data spw2_sin spw2_din spw1_sin spw1_din \ | |
|
97 | nSRAM_BUSY data TAG2 TAG1 reset clk49_152MHz }] -to [get_clocks \ | |
|
98 | {spw_inputloop.0.spw_phy0/rxclki_1_0:Y}] | |
|
99 | ||
|
100 | set_max_delay 4.000 -from [get_ports { clk50MHz ADC_data spw2_sin spw2_din spw1_sin spw1_din \ | |
|
101 | nSRAM_BUSY data TAG2 TAG1 reset clk49_152MHz }] -to [get_clocks \ | |
|
102 | {spw_inputloop.1.spw_phy0/rxclki_1_0:YY}] | |
|
103 | ||
|
104 | ||
|
105 | ||
|
106 | ######## Delay Constraints ######## | |
|
107 | ||
|
108 | ||
|
109 | ||
|
110 | ######## Multicycle Constraints ######## | |
|
111 | ||
|
112 | ||
|
113 | ||
|
114 | ######## False Path Constraints ######## | |
|
115 | ||
|
116 | ||
|
117 | ||
|
118 | ######## Output load Constraints ######## | |
|
119 | ||
|
120 | ||
|
121 | ||
|
122 | ######## Disable Timing Constraints ######### | |
|
123 | ||
|
124 | ||
|
125 | ||
|
126 | ######## Clock Uncertainty Constraints ######### | |
|
127 | ||
|
128 | ||
|
129 |
@@ -0,0 +1,124 | |||
|
1 | ################################################################################ | |
|
2 | # SDC WRITER VERSION "3.1"; | |
|
3 | # DESIGN "LFR_EQM"; | |
|
4 | # Timing constraints scenario: "Primary"; | |
|
5 | # DATE "Fri Apr 24 16:02:16 2015"; | |
|
6 | # VENDOR "Actel"; | |
|
7 | # PROGRAM "Actel Designer Software Release v9.1 SP5"; | |
|
8 | # VERSION "9.1.5.1" Copyright (C) 1989-2012 Actel Corp. | |
|
9 | ################################################################################ | |
|
10 | ||
|
11 | ||
|
12 | set sdc_version 1.7 | |
|
13 | ||
|
14 | ||
|
15 | ######## Clock Constraints ######## | |
|
16 | ||
|
17 | create_clock -name { clk50MHz } -period 20.000 -waveform { 0.000 10.000 } { clk50MHz } | |
|
18 | ||
|
19 | ##create_clock -name { clk49_152MHz } -period 20.345 -waveform { 0.000 10.172 } { clk49_152MHz } | |
|
20 | ||
|
21 | create_clock -name { clk_25:Q } -period 40.000 -waveform { 0.000 20.000 } { clk_25:Q } | |
|
22 | ||
|
23 | ##create_clock -name { clk_24:Q } -period 40.690 -waveform { 0.000 20.345 } { clk_24:Q } | |
|
24 | ||
|
25 | create_clock -name { spw_inputloop.1.spw_phy0/rxclki_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.1.spw_phy0/rxclki_RNO:Y } | |
|
26 | ||
|
27 | create_clock -name { spw_inputloop.0.spw_phy0/rxclki_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.0.spw_phy0/rxclki_RNO:Y } | |
|
28 | ||
|
29 | ||
|
30 | ||
|
31 | ######## Generated Clock Constraints ######## | |
|
32 | ||
|
33 | ||
|
34 | ||
|
35 | ######## Clock Source Latency Constraints ######### | |
|
36 | ||
|
37 | ||
|
38 | ||
|
39 | ######## Input Delay Constraints ######## | |
|
40 | ||
|
41 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
42 | set_max_delay 30.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
43 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
44 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
45 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
46 | set_min_delay 0.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
47 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
48 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
49 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
50 | ||
|
51 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_BUSY }] | |
|
52 | set_max_delay 10.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
53 | set_min_delay 0.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
54 | ||
|
55 | ||
|
56 | ||
|
57 | ######## Output Delay Constraints ######## | |
|
58 | ||
|
59 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
60 | set_max_delay 10.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
61 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
62 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
63 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
64 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
65 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
66 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
67 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
68 | ||
|
69 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { address[0] address[10] address[11] address[12] address[13] address[14] address[15] address[16] address[17] address[18] address[1] address[2] address[3] address[4] address[5] address[6] address[7] address[8] address[9] }] | |
|
70 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
71 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
72 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
73 | address[7] address[8] address[9] }] | |
|
74 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
75 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
76 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
77 | address[7] address[8] address[9] }] | |
|
78 | ||
|
79 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
80 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
81 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
82 | ||
|
83 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_G }] | |
|
84 | set_max_delay 30.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
85 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
86 | ||
|
87 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_MBE }] | |
|
88 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
89 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
90 | ||
|
91 | ||
|
92 | ||
|
93 | ######## Delay Constraints ######## | |
|
94 | ||
|
95 | set_max_delay 4.000 -from [get_ports { spw2_sin spw2_din spw1_sin spw1_din reset }] -to [get_clocks {spw_inputloop.0.spw_phy0/rxclki_RNO:Y}] | |
|
96 | ||
|
97 | set_max_delay 4.000 -from [get_ports { spw2_sin spw2_din spw1_sin spw1_din reset }] -to [get_clocks {spw_inputloop.1.spw_phy0/rxclki_RNO:Y}] | |
|
98 | ||
|
99 | ||
|
100 | ||
|
101 | ######## Delay Constraints ######## | |
|
102 | ||
|
103 | ||
|
104 | ||
|
105 | ######## Multicycle Constraints ######## | |
|
106 | ||
|
107 | ||
|
108 | ||
|
109 | ######## False Path Constraints ######## | |
|
110 | ||
|
111 | ||
|
112 | ||
|
113 | ######## Output load Constraints ######## | |
|
114 | ||
|
115 | ||
|
116 | ||
|
117 | ######## Disable Timing Constraints ######### | |
|
118 | ||
|
119 | ||
|
120 | ||
|
121 | ######## Clock Uncertainty Constraints ######### | |
|
122 | ||
|
123 | ||
|
124 |
@@ -0,0 +1,157 | |||
|
1 | ################################################################################ | |
|
2 | # SDC WRITER VERSION "3.1"; | |
|
3 | # DESIGN "LFR_EQM"; | |
|
4 | # Timing constraints scenario: "Primary"; | |
|
5 | # DATE "Wed May 13 13:09:37 2015"; | |
|
6 | # VENDOR "Actel"; | |
|
7 | # PROGRAM "Actel Designer Software Release v9.1 SP5"; | |
|
8 | # VERSION "9.1.5.1" Copyright (C) 1989-2012 Actel Corp. | |
|
9 | ################################################################################ | |
|
10 | ||
|
11 | ||
|
12 | set sdc_version 1.7 | |
|
13 | ||
|
14 | ||
|
15 | ######## Clock Constraints ######## | |
|
16 | ||
|
17 | create_clock -name { clk50MHz } -period 20.000 -waveform { 0.000 10.000 } { clk50MHz } | |
|
18 | ||
|
19 | create_clock -name { clk_25:Q } -period 40.000 -waveform { 0.000 20.000 } { clk_25:Q } | |
|
20 | ||
|
21 | create_clock -name { spw_inputloop.1.spw_phy0/rxclki_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.1.spw_phy0/rxclki_RNO:Y } | |
|
22 | ||
|
23 | create_clock -name { spw_inputloop.0.spw_phy0/rxclki_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.0.spw_phy0/rxclki_RNO:Y } | |
|
24 | ||
|
25 | ||
|
26 | ||
|
27 | ######## Generated Clock Constraints ######## | |
|
28 | ||
|
29 | ||
|
30 | ||
|
31 | ######## Clock Source Latency Constraints ######### | |
|
32 | ||
|
33 | ||
|
34 | ||
|
35 | ######## Input Delay Constraints ######## | |
|
36 | ||
|
37 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
38 | ||
|
39 | set_input_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_BUSY }] | |
|
40 | ||
|
41 | set_input_delay -max 0.000 -clock { clk_25:Q } [get_ports { ADC_data[0] ADC_data[10] ADC_data[11] ADC_data[12] ADC_data[13] ADC_data[1] ADC_data[2] ADC_data[3] ADC_data[4] ADC_data[5] ADC_data[6] ADC_data[7] ADC_data[8] ADC_data[9] }] | |
|
42 | set_input_delay -min 0.000 -clock { clk_25:Q } [get_ports { ADC_data[0] ADC_data[10] ADC_data[11] ADC_data[12] ADC_data[13] ADC_data[1] ADC_data[2] ADC_data[3] ADC_data[4] ADC_data[5] ADC_data[6] ADC_data[7] ADC_data[8] ADC_data[9] }] | |
|
43 | ||
|
44 | ||
|
45 | ||
|
46 | ######## Output Delay Constraints ######## | |
|
47 | ||
|
48 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
49 | ||
|
50 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { address[0] address[10] address[11] address[12] address[13] address[14] address[15] address[16] address[17] address[18] address[1] address[2] address[3] address[4] address[5] address[6] address[7] address[8] address[9] }] | |
|
51 | ||
|
52 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
53 | ||
|
54 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_G }] | |
|
55 | ||
|
56 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_MBE }] | |
|
57 | ||
|
58 | set_output_delay 0.000 -clock { clk_25:Q } [get_ports { ADC_OEB_bar_CH[0] ADC_OEB_bar_CH[1] ADC_OEB_bar_CH[2] ADC_OEB_bar_CH[3] ADC_OEB_bar_CH[4] ADC_OEB_bar_CH[5] ADC_OEB_bar_CH[6] ADC_OEB_bar_CH[7] ADC_OEB_bar_HK }] | |
|
59 | ||
|
60 | ||
|
61 | ||
|
62 | ######## Delay Constraints ######## | |
|
63 | ||
|
64 | set_max_delay 30.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
65 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
66 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
67 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
68 | ||
|
69 | set_max_delay 10.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
70 | ||
|
71 | set_max_delay 10.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
72 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
73 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
74 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
75 | ||
|
76 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
77 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
78 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
79 | address[7] address[8] address[9] }] | |
|
80 | ||
|
81 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
82 | ||
|
83 | set_max_delay 30.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
84 | ||
|
85 | set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
86 | ||
|
87 | set_max_delay 4.000 -from [get_ports { spw2_sin spw2_din spw1_sin spw1_din reset }] -to \ | |
|
88 | [get_clocks {spw_inputloop.0.spw_phy0/rxclki_RNO:Y}] | |
|
89 | ||
|
90 | set_max_delay 4.000 -from [get_ports { spw2_sin spw2_din spw1_sin spw1_din reset }] -to \ | |
|
91 | [get_clocks {spw_inputloop.1.spw_phy0/rxclki_RNO:Y}] | |
|
92 | ||
|
93 | set_max_delay 30.000 -from [get_ports { ADC_data[0] ADC_data[10] ADC_data[11] ADC_data[12] \ | |
|
94 | ADC_data[13] ADC_data[1] ADC_data[2] ADC_data[3] ADC_data[4] ADC_data[5] ADC_data[6] \ | |
|
95 | ADC_data[7] ADC_data[8] ADC_data[9] }] -to [get_clocks {clk_25:Q}] | |
|
96 | ||
|
97 | set_max_delay 15.000 -from [get_clocks {clk_25:Q}] -to [get_ports { ADC_OEB_bar_CH[0] \ | |
|
98 | ADC_OEB_bar_CH[1] ADC_OEB_bar_CH[2] ADC_OEB_bar_CH[3] ADC_OEB_bar_CH[4] \ | |
|
99 | ADC_OEB_bar_CH[5] ADC_OEB_bar_CH[6] ADC_OEB_bar_CH[7] ADC_OEB_bar_HK }] | |
|
100 | ||
|
101 | ||
|
102 | ||
|
103 | ######## Delay Constraints ######## | |
|
104 | ||
|
105 | set_min_delay 0.000 -from [get_ports { data[0] data[10] data[11] data[12] data[13] data[14] \ | |
|
106 | data[15] data[16] data[17] data[18] data[19] data[1] data[20] data[21] data[22] data[23] \ | |
|
107 | data[24] data[25] data[26] data[27] data[28] data[29] data[2] data[30] data[31] data[3] \ | |
|
108 | data[4] data[5] data[6] data[7] data[8] data[9] }] -to [get_clocks {clk_25:Q}] | |
|
109 | ||
|
110 | set_min_delay 0.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] | |
|
111 | ||
|
112 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { data[0] data[10] data[11] \ | |
|
113 | data[12] data[13] data[14] data[15] data[16] data[17] data[18] data[19] data[1] data[20] \ | |
|
114 | data[21] data[22] data[23] data[24] data[25] data[26] data[27] data[28] data[29] data[2] \ | |
|
115 | data[30] data[31] data[3] data[4] data[5] data[6] data[7] data[8] data[9] }] | |
|
116 | ||
|
117 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { address[0] address[10] \ | |
|
118 | address[11] address[12] address[13] address[14] address[15] address[16] address[17] \ | |
|
119 | address[18] address[1] address[2] address[3] address[4] address[5] address[6] \ | |
|
120 | address[7] address[8] address[9] }] | |
|
121 | ||
|
122 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_E1 nSRAM_E2 nSRAM_W }] | |
|
123 | ||
|
124 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_G }] | |
|
125 | ||
|
126 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { nSRAM_MBE }] | |
|
127 | ||
|
128 | set_min_delay 0.000 -from [get_ports { ADC_data[0] ADC_data[10] ADC_data[11] ADC_data[12] \ | |
|
129 | ADC_data[13] ADC_data[1] ADC_data[2] ADC_data[3] ADC_data[4] ADC_data[5] ADC_data[6] \ | |
|
130 | ADC_data[7] ADC_data[8] ADC_data[9] }] -to [get_clocks {clk_25:Q}] | |
|
131 | ||
|
132 | set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { ADC_OEB_bar_CH[0] \ | |
|
133 | ADC_OEB_bar_CH[1] ADC_OEB_bar_CH[2] ADC_OEB_bar_CH[3] ADC_OEB_bar_CH[4] \ | |
|
134 | ADC_OEB_bar_CH[5] ADC_OEB_bar_CH[6] ADC_OEB_bar_CH[7] ADC_OEB_bar_HK }] | |
|
135 | ||
|
136 | ||
|
137 | ||
|
138 | ######## Multicycle Constraints ######## | |
|
139 | ||
|
140 | ||
|
141 | ||
|
142 | ######## False Path Constraints ######## | |
|
143 | ||
|
144 | ||
|
145 | ||
|
146 | ######## Output load Constraints ######## | |
|
147 | ||
|
148 | ||
|
149 | ||
|
150 | ######## Disable Timing Constraints ######### | |
|
151 | ||
|
152 | ||
|
153 | ||
|
154 | ######## Clock Uncertainty Constraints ######### | |
|
155 | ||
|
156 | ||
|
157 |
@@ -0,0 +1,61 | |||
|
1 | # Synplicity, Inc. constraint file | |
|
2 | # /home/jiri/ibm/vhdl/grlib/boards/actel-coremp7-1000/default.sdc | |
|
3 | # Written on Wed Aug 1 19:29:24 2007 | |
|
4 | # by Synplify Pro, Synplify Pro 8.8.0.4 Scope Editor | |
|
5 | ||
|
6 | # | |
|
7 | # Collections | |
|
8 | # | |
|
9 | ||
|
10 | # | |
|
11 | # Clocks | |
|
12 | # | |
|
13 | ||
|
14 | define_clock -name {clk50MHz} -freq 50 -clockgroup default_clkgroup_50 -route 5 | |
|
15 | define_clock -name {clk49_152MHz} -freq 49.152 -clockgroup default_clkgroup_49 -route 5 | |
|
16 | ||
|
17 | # | |
|
18 | # Clock to Clock | |
|
19 | # | |
|
20 | ||
|
21 | # | |
|
22 | # Inputs/Outputs | |
|
23 | # | |
|
24 | ||
|
25 | ||
|
26 | # | |
|
27 | # Registers | |
|
28 | # | |
|
29 | ||
|
30 | # | |
|
31 | # Multicycle Path | |
|
32 | # | |
|
33 | ||
|
34 | # | |
|
35 | # False Path | |
|
36 | # | |
|
37 | ||
|
38 | set_false_path -from reset | |
|
39 | ||
|
40 | # | |
|
41 | # Path Delay | |
|
42 | # | |
|
43 | ||
|
44 | # | |
|
45 | # Attributes | |
|
46 | # | |
|
47 | ||
|
48 | define_global_attribute syn_useioff {1} | |
|
49 | define_global_attribute -disable syn_netlist_hierarchy {0} | |
|
50 | ||
|
51 | # | |
|
52 | # I/O standards | |
|
53 | # | |
|
54 | ||
|
55 | # | |
|
56 | # Compile Points | |
|
57 | # | |
|
58 | ||
|
59 | # | |
|
60 | # Other Constraints | |
|
61 | # |
@@ -0,0 +1,41 | |||
|
1 | PACKAGE=CQFP352 | |
|
2 | SPEED=Std | |
|
3 | SYNFREQ=50 | |
|
4 | ||
|
5 | TECHNOLOGY=Axcelerator | |
|
6 | ||
|
7 | DESIGNER_PACKAGE=CQFP | |
|
8 | DESIGNER_PINS=352 | |
|
9 | DESIGNER_VOLTAGE=COM | |
|
10 | DESIGNER_TEMP=COM | |
|
11 | ||
|
12 | #ifeq ("$(FPGA_RTAX4000)","S") | |
|
13 | # LIBERO_DIE=70800rts | |
|
14 | # PART=RTAX4000S | |
|
15 | # LIBERO_PACKAGE=cqfp$(DESIGNER_PINS)r | |
|
16 | #endif | |
|
17 | ||
|
18 | #ifeq ("$(FPGA_RTAX4000)","D") | |
|
19 | LIBERO_DIE=70800d | |
|
20 | PART=RTAX4000D | |
|
21 | LIBERO_PACKAGE=cq$(DESIGNER_PINS) | |
|
22 | #endif | |
|
23 | ||
|
24 | MANUFACTURER=Actel | |
|
25 | MGCPART=$(PART) | |
|
26 | MGCTECHNOLOGY=Axcelerator | |
|
27 | MGCPACKAGE= {$(DESIGNER_PINS) $(DESIGNER_PACKAGE)} | |
|
28 | ||
|
29 | ## RTAX4000S OPTIONS | |
|
30 | #LIBERO_DIE=70800rts | |
|
31 | #PART=RTAX4000S | |
|
32 | ||
|
33 | ## RTAX4000D OPTIONS | |
|
34 | #LIBERO_DIE=70800d | |
|
35 | #PART=RTAX4000D | |
|
36 | ||
|
37 | # RTAX4000D | |
|
38 | #LIBERO_PACKAGE=cq$(DESIGNER_PINS) | |
|
39 | ||
|
40 | # RTAX4000S | |
|
41 | #LIBERO_PACKAGE=cqfp$(DESIGNER_PINS)r |
@@ -0,0 +1,83 | |||
|
1 | { | |
|
2 | "cells": [ | |
|
3 | { | |
|
4 | "cell_type": "code", | |
|
5 | "execution_count": null, | |
|
6 | "metadata": { | |
|
7 | "collapsed": false | |
|
8 | }, | |
|
9 | "outputs": [], | |
|
10 | "source": [ | |
|
11 | "#%matplotlib qt\n", | |
|
12 | "%matplotlib inline\n", | |
|
13 | "import matplotlib.pyplot as plt\n", | |
|
14 | "plt.rcParams[\"figure.figsize\"] = [12,12]\n", | |
|
15 | "import numpy as np\n", | |
|
16 | "import pandas as pds" | |
|
17 | ] | |
|
18 | }, | |
|
19 | { | |
|
20 | "cell_type": "code", | |
|
21 | "execution_count": null, | |
|
22 | "metadata": { | |
|
23 | "collapsed": false | |
|
24 | }, | |
|
25 | "outputs": [], | |
|
26 | "source": [ | |
|
27 | "def try_plot(df,ax,left,right):\n", | |
|
28 | " try:\n", | |
|
29 | " df[(df.index >= left) & (df.index <= right)].plot(ax=ax,subplots=True,legend=False)\n", | |
|
30 | " except:\n", | |
|
31 | " pass\n", | |
|
32 | " \n", | |
|
33 | "def make_plots(path=\"./simulation\",left=50e-3,right=100e-3):\n", | |
|
34 | " inputSig = pds.read_csv(path+\"/log_input.txt\",index_col=0,delim_whitespace=True,header=None,names=[\"TSTAMP\",\"BIAS1\",\"BIAS2\",\"BIAS3\",\"BIAS4\",\"BIAS5\",\"B1\",\"B2\",\"B3\"])\n", | |
|
35 | " fXSig=[]\n", | |
|
36 | " G=[0.89,0.87,0.89]\n", | |
|
37 | " [fXSig.append(pds.read_csv(\n", | |
|
38 | " path+\"./log_output_f{0}.txt\".format(F),index_col=0,delim_whitespace=True,header=None,\n", | |
|
39 | " names=[\"TSTAMP\",\"BIAS1\",\"BIAS4\",\"BIAS5\",\"B1\",\"B2\",\"B3\"])) for F in range(3) ]\n", | |
|
40 | " inputSig.index*=5e-9\n", | |
|
41 | " for F in range(3):\n", | |
|
42 | " if len(fXSig[F].index):\n", | |
|
43 | " fXSig[F].index*=5e-9\n", | |
|
44 | " fXSig[F]/=G[F]\n", | |
|
45 | " axes=inputSig[(inputSig.index >= left) & (inputSig.index <= right)].filter([\"BIAS1\",\"BIAS4\",\"BIAS5\",\"B1\",\"B2\",\"B3\"]).plot(subplots=True,layout=(3,2)) \n", | |
|
46 | " [ try_plot(df,axes,left,right) for df in fXSig ]\n", | |
|
47 | " " | |
|
48 | ] | |
|
49 | }, | |
|
50 | { | |
|
51 | "cell_type": "code", | |
|
52 | "execution_count": null, | |
|
53 | "metadata": { | |
|
54 | "collapsed": false | |
|
55 | }, | |
|
56 | "outputs": [], | |
|
57 | "source": [ | |
|
58 | "make_plots()" | |
|
59 | ] | |
|
60 | } | |
|
61 | ], | |
|
62 | "metadata": { | |
|
63 | "kernelspec": { | |
|
64 | "display_name": "Python 3", | |
|
65 | "language": "python", | |
|
66 | "name": "python3" | |
|
67 | }, | |
|
68 | "language_info": { | |
|
69 | "codemirror_mode": { | |
|
70 | "name": "ipython", | |
|
71 | "version": 3 | |
|
72 | }, | |
|
73 | "file_extension": ".py", | |
|
74 | "mimetype": "text/x-python", | |
|
75 | "name": "python", | |
|
76 | "nbconvert_exporter": "python", | |
|
77 | "pygments_lexer": "ipython3", | |
|
78 | "version": "3.5.2" | |
|
79 | } | |
|
80 | }, | |
|
81 | "nbformat": 4, | |
|
82 | "nbformat_minor": 1 | |
|
83 | } |
@@ -0,0 +1,223 | |||
|
1 | { | |
|
2 | "cells": [ | |
|
3 | { | |
|
4 | "cell_type": "code", | |
|
5 | "execution_count": null, | |
|
6 | "metadata": { | |
|
7 | "collapsed": false | |
|
8 | }, | |
|
9 | "outputs": [], | |
|
10 | "source": [ | |
|
11 | "import random\n", | |
|
12 | "import time\n", | |
|
13 | "#%matplotlib inline\n", | |
|
14 | "import matplotlib.pyplot as plt\n", | |
|
15 | "import numpy as np\n", | |
|
16 | "import pandas as pds\n", | |
|
17 | "import datetime as dt" | |
|
18 | ] | |
|
19 | }, | |
|
20 | { | |
|
21 | "cell_type": "code", | |
|
22 | "execution_count": null, | |
|
23 | "metadata": { | |
|
24 | "collapsed": true | |
|
25 | }, | |
|
26 | "outputs": [], | |
|
27 | "source": [ | |
|
28 | "DOFILE=\"run.do.in\"\n", | |
|
29 | "RAM1={\n", | |
|
30 | "\"instance\":\"testbench/lpp_lfr_filter_1/IIR_CEL_CTRLR_v2_1/IIR_CEL_CTRLR_v2_DATAFLOW_1/RAM_CTRLR_v2_1/memRAM/SRAM/axc/x0/a8to12/agen(0)/u0/u0/MEMORYFILE\",\n", | |
|
31 | "\"abits\":8,\n", | |
|
32 | "\"dbits\":12,\n", | |
|
33 | "\"name\":\"RAM1.txt\"\n", | |
|
34 | "}\n", | |
|
35 | "RAM2={\n", | |
|
36 | "\"instance\":\"testbench/lpp_lfr_filter_1/IIR_CEL_f0_to_f1/IIR_CEL_CTRLR_v2_DATAFLOW_1/RAM_CTRLR_v2_1/memRAM/SRAM/axc/x0/a8to12/agen(0)/u0/u0/MEMORYFILE\",\n", | |
|
37 | "\"abits\":8,\n", | |
|
38 | "\"dbits\":12,\n", | |
|
39 | "\"name\":\"RAM2.txt\"\n", | |
|
40 | "}\n", | |
|
41 | "RAM3={\n", | |
|
42 | "\"instance\":\"testbench/lpp_lfr_filter_1/cic_lfr_1/memRAM/SRAM/axc/x0/a8to12/agen(0)/u0/u0/MEMORYFILE\",\n", | |
|
43 | "\"abits\":9,\n", | |
|
44 | "\"dbits\":10,\n", | |
|
45 | "\"name\":\"RAM3.txt\"\n", | |
|
46 | "}\n", | |
|
47 | "RAM4={\n", | |
|
48 | "\"instance\":\"testbench/lpp_lfr_filter_1/cic_lfr_1/memRAM/SRAM/axc/x0/a8to12/agen(1)/u0/u0/MEMORYFILE\",\n", | |
|
49 | "\"abits\":9,\n", | |
|
50 | "\"dbits\":10,\n", | |
|
51 | "\"name\":\"RAM4.txt\"\n", | |
|
52 | "}\n", | |
|
53 | "RAM5={\n", | |
|
54 | "\"instance\":\"testbench/lpp_lfr_filter_1/YES_IIR_FILTER_f2_f3/IIR_CEL_CTRLR_v3_1/RAM_CTRLR_v2_1/memRAM/SRAM/axc/x0/a8to12/agen(0)/u0/u0/MEMORYFILE\",\n", | |
|
55 | "\"abits\":8,\n", | |
|
56 | "\"dbits\":12,\n", | |
|
57 | "\"name\":\"RAM5.txt\"\n", | |
|
58 | "}\n", | |
|
59 | "RAM6={\n", | |
|
60 | "\"instance\":\"testbench/lpp_lfr_filter_1/YES_IIR_FILTER_f2_f3/IIR_CEL_CTRLR_v3_1/RAM_CTRLR_v2_2/memRAM/SRAM/axc/x0/a8to12/agen(0)/u0/u0/MEMORYFILE\",\n", | |
|
61 | "\"abits\":8,\n", | |
|
62 | "\"dbits\":12,\n", | |
|
63 | "\"name\":\"RAM6.txt\"\n", | |
|
64 | "}\n", | |
|
65 | "\n", | |
|
66 | "RAMS=[RAM1,RAM2,RAM3,RAM4,RAM5,RAM6]" | |
|
67 | ] | |
|
68 | }, | |
|
69 | { | |
|
70 | "cell_type": "code", | |
|
71 | "execution_count": null, | |
|
72 | "metadata": { | |
|
73 | "collapsed": false | |
|
74 | }, | |
|
75 | "outputs": [], | |
|
76 | "source": [ | |
|
77 | "def mkram(length,width,gentype='rand',**kwargs):\n", | |
|
78 | " return toBinStr(gen(length,width,gentype,**kwargs),width)\n", | |
|
79 | "\n", | |
|
80 | "def toBinStr(data,width):\n", | |
|
81 | " return [format(val, 'b').zfill(width) for val in data]\n", | |
|
82 | "\n", | |
|
83 | "def gen(length,width,gentype='rand',**kwargs):\n", | |
|
84 | " LUT={\n", | |
|
85 | " \"rand\":gen_rand,\n", | |
|
86 | " \"const\":gen_const\n", | |
|
87 | " }\n", | |
|
88 | " return LUT[gentype](length,width,**kwargs)\n", | |
|
89 | "\n", | |
|
90 | "def gen_rand(length,width,**kwargs):\n", | |
|
91 | " random.seed(time.time())\n", | |
|
92 | " mask=(2**width)-1\n", | |
|
93 | " data=[]\n", | |
|
94 | " for line in range(length):\n", | |
|
95 | " data.append(int(2**32*random.random())&mask)\n", | |
|
96 | " return data\n", | |
|
97 | "\n", | |
|
98 | "def gen_const(length,width, value):\n", | |
|
99 | " mask=(2**width)-1\n", | |
|
100 | " return [value&mask for i in range(length)]\n", | |
|
101 | "\n", | |
|
102 | "def save(data,file):\n", | |
|
103 | " f = open(file,\"w\")\n", | |
|
104 | " [f.write(line+'\\n') for line in data]\n", | |
|
105 | " f.close()\n", | |
|
106 | " \n", | |
|
107 | "def start_Vsim(gentype='rand',**kwargs):\n", | |
|
108 | " args=\"\"\n", | |
|
109 | " for RAM in RAMS:\n", | |
|
110 | " save(mkram(2**RAM[\"abits\"],RAM[\"dbits\"],gentype=gentype,**kwargs),\"simulation/\"+RAM[\"name\"])\n", | |
|
111 | " args = args + \" -g\" + RAM[\"instance\"] + \"=\\\"\" + RAM[\"name\"] + \"\\\"\"\n", | |
|
112 | " with open(\"run.do.in\",\"r\") as inFile, open(\"simulation/run.do\",\"w\") as outFile:\n", | |
|
113 | " input = inFile.read()\n", | |
|
114 | " outFile.write(input.replace(\"#VSIM_ARGS#\",args))\n", | |
|
115 | " $(cd simulation)\n", | |
|
116 | " vsim -do run.do > sim.log\n", | |
|
117 | " folder=dt.datetime.today().strftime(\"%Y-%m-%d_%H-%M\")\n", | |
|
118 | " mkdir @(folder)\n", | |
|
119 | " for RAM in RAMS:\n", | |
|
120 | " cp @(RAM[\"name\"]) @(folder+\"/\"+RAM[\"name\"])\n", | |
|
121 | " cp log*.txt run.do sim.log @(folder) \n", | |
|
122 | " $(cd ..)\n", | |
|
123 | " \n" | |
|
124 | ] | |
|
125 | }, | |
|
126 | { | |
|
127 | "cell_type": "code", | |
|
128 | "execution_count": null, | |
|
129 | "metadata": { | |
|
130 | "collapsed": false | |
|
131 | }, | |
|
132 | "outputs": [], | |
|
133 | "source": [] | |
|
134 | }, | |
|
135 | { | |
|
136 | "cell_type": "code", | |
|
137 | "execution_count": null, | |
|
138 | "metadata": { | |
|
139 | "collapsed": false | |
|
140 | }, | |
|
141 | "outputs": [], | |
|
142 | "source": [ | |
|
143 | "df = pds.read_csv(\"./simulation/log_input.txt\",index_col=0,delim_whitespace=True,header=None,names=[\"TSTAMP\",\"BIAS1\",\"BIAS2\",\"BIAS3\",\"BIAS4\",\"BIAS5\",\"B1\",\"B2\",\"B3\"])\n", | |
|
144 | "df2 = pds.read_csv(\"./simulation/log_output_f0.txt\",index_col=0,delim_whitespace=True,header=None,names=[\"TSTAMP\",\"BIAS1\",\"BIAS4\",\"BIAS5\",\"B1\",\"B2\",\"B3\"])\n", | |
|
145 | "df3 = pds.read_csv(\"./simulation/log_output_f1.txt\",index_col=0,delim_whitespace=True,header=None,names=[\"TSTAMP\",\"BIAS1\",\"BIAS4\",\"BIAS5\",\"B1\",\"B2\",\"B3\"])\n", | |
|
146 | "df4 = pds.read_csv(\"./simulation/log_output_f2.txt\",index_col=0,delim_whitespace=True,header=None,names=[\"TSTAMP\",\"BIAS1\",\"BIAS4\",\"BIAS5\",\"B1\",\"B2\",\"B3\"])\n", | |
|
147 | "\n", | |
|
148 | "df.index*=5e-9\n", | |
|
149 | "if len(df2.index):\n", | |
|
150 | " df2.index*=5e-9\n", | |
|
151 | " df2/=0.89\n", | |
|
152 | "if len(df3.index):\n", | |
|
153 | " df3.index*=5e-9\n", | |
|
154 | " df3/=0.87\n", | |
|
155 | "if len(df4.index):\n", | |
|
156 | " df4.index*=5e-9\n", | |
|
157 | " df4/=0.89\n", | |
|
158 | "\n", | |
|
159 | "print(len(df))\n", | |
|
160 | "df.filter([\"B1\"]).plot()\n", | |
|
161 | "#plt.plot(df2)\n", | |
|
162 | "plt.plot(df3.filter([\"B1\"]))\n", | |
|
163 | "#plt.plot(df4)\n", | |
|
164 | "plt.show()" | |
|
165 | ] | |
|
166 | }, | |
|
167 | { | |
|
168 | "cell_type": "code", | |
|
169 | "execution_count": null, | |
|
170 | "metadata": { | |
|
171 | "collapsed": false | |
|
172 | }, | |
|
173 | "outputs": [], | |
|
174 | "source": [ | |
|
175 | "cd .." | |
|
176 | ] | |
|
177 | }, | |
|
178 | { | |
|
179 | "cell_type": "code", | |
|
180 | "execution_count": null, | |
|
181 | "metadata": { | |
|
182 | "collapsed": false | |
|
183 | }, | |
|
184 | "outputs": [], | |
|
185 | "source": [ | |
|
186 | "mkram(2,32)\n", | |
|
187 | "\n", | |
|
188 | "mkram(20,32,gentype='const',value=55)\n", | |
|
189 | "\n", | |
|
190 | "save(mkram(10,32),\"RAM_FILE.txt\")" | |
|
191 | ] | |
|
192 | }, | |
|
193 | { | |
|
194 | "cell_type": "code", | |
|
195 | "execution_count": null, | |
|
196 | "metadata": { | |
|
197 | "collapsed": false, | |
|
198 | "scrolled": false | |
|
199 | }, | |
|
200 | "outputs": [], | |
|
201 | "source": [ | |
|
202 | "for i in range(2):\n", | |
|
203 | " start_Vsim(gentype='rand',value=0)" | |
|
204 | ] | |
|
205 | } | |
|
206 | ], | |
|
207 | "metadata": { | |
|
208 | "kernelspec": { | |
|
209 | "display_name": "Xonsh", | |
|
210 | "language": "xonsh", | |
|
211 | "name": "xonsh" | |
|
212 | }, | |
|
213 | "language_info": { | |
|
214 | "codemirror_mode": "shell", | |
|
215 | "file_extension": ".xsh", | |
|
216 | "mimetype": "text/x-sh", | |
|
217 | "name": "xonsh", | |
|
218 | "pygments_lexer": "xonsh" | |
|
219 | } | |
|
220 | }, | |
|
221 | "nbformat": 4, | |
|
222 | "nbformat_minor": 1 | |
|
223 | } |
@@ -0,0 +1,74 | |||
|
1 | ------------------------------------------------------------------------------ | |
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |
|
4 | -- | |
|
5 | -- This program is free software; you can redistribute it and/or modify | |
|
6 | -- it under the terms of the GNU General Public License as published by | |
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |
|
8 | -- (at your option) any later version. | |
|
9 | -- | |
|
10 | -- This program is distributed in the hope that it will be useful, | |
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |
|
13 | -- GNU General Public License for more details. | |
|
14 | -- | |
|
15 | -- You should have received a copy of the GNU General Public License | |
|
16 | -- along with this program; if not, write to the Free Software | |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Jean-christophe Pellion | |
|
20 | -- Mail : jean-christophe.pellion@lpp.polytechnique.fr | |
|
21 | -- jean-christophe.pellion@easii-ic.com | |
|
22 | ---------------------------------------------------------------------------- | |
|
23 | ||
|
24 | LIBRARY ieee; | |
|
25 | USE ieee.std_logic_1164.ALL; | |
|
26 | use ieee.numeric_std.all; | |
|
27 | USE IEEE.std_logic_signed.ALL; | |
|
28 | USE IEEE.MATH_real.ALL; | |
|
29 | ||
|
30 | ENTITY generator IS | |
|
31 | ||
|
32 | GENERIC ( | |
|
33 | AMPLITUDE : INTEGER := 100; | |
|
34 | NB_BITS : INTEGER := 16); | |
|
35 | ||
|
36 | PORT ( | |
|
37 | clk : IN STD_LOGIC; | |
|
38 | rstn : IN STD_LOGIC; | |
|
39 | run : IN STD_LOGIC; | |
|
40 | ||
|
41 | data_ack : IN STD_LOGIC; | |
|
42 | offset : IN STD_LOGIC_VECTOR(NB_BITS-1 DOWNTO 0); | |
|
43 | data : OUT STD_LOGIC_VECTOR(NB_BITS-1 DOWNTO 0) | |
|
44 | ); | |
|
45 | ||
|
46 | END generator; | |
|
47 | ||
|
48 | ARCHITECTURE beh OF generator IS | |
|
49 | ||
|
50 | SIGNAL reg : STD_LOGIC_VECTOR(NB_BITS-1 DOWNTO 0); | |
|
51 | BEGIN -- beh | |
|
52 | ||
|
53 | ||
|
54 | PROCESS (clk, rstn) | |
|
55 | variable seed1, seed2: positive; -- seed values for random generator | |
|
56 | variable rand: real; -- random real-number value in range 0 to 1.0 | |
|
57 | BEGIN -- PROCESS | |
|
58 | uniform(seed1, seed2, rand);--more entropy by skipping values | |
|
59 | IF rstn = '0' THEN -- asynchronous reset (active low) | |
|
60 | reg <= (OTHERS => '0'); | |
|
61 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |
|
62 | IF run = '0' THEN | |
|
63 | reg <= (OTHERS => '0'); | |
|
64 | ELSE | |
|
65 | IF data_ack = '1' THEN | |
|
66 | reg <= std_logic_vector(to_signed(INTEGER( (REAL(AMPLITUDE) * rand) + REAL(to_integer(SIGNED(offset))) ),NB_BITS)); | |
|
67 | END IF; | |
|
68 | END IF; | |
|
69 | END IF; | |
|
70 | END PROCESS; | |
|
71 | ||
|
72 | data <= reg; | |
|
73 | ||
|
74 | END beh; |
This diff has been collapsed as it changes many lines, (516 lines changed) Show them Hide them | |||
@@ -0,0 +1,516 | |||
|
1 | quietly set ACTELLIBNAME Axcelerator | |
|
2 | quietly set PROJECT_DIR "C:/opt/VHDLIB/designs/Validation_IIR_LFR" | |
|
3 | ||
|
4 | if {[file exists presynth/_info]} { | |
|
5 | echo "INFO: Simulation library presynth already exists" | |
|
6 | } else { | |
|
7 | vlib presynth | |
|
8 | } | |
|
9 | vmap presynth presynth | |
|
10 | vmap Axcelerator "C:/Microsemi/Libero_v9.2/Designer/lib/modelsim/precompiled/vhdl/Axcelerator" | |
|
11 | if {[file exists grlib/_info]} { | |
|
12 | echo "INFO: Simulation library grlib already exists" | |
|
13 | } else { | |
|
14 | vlib grlib | |
|
15 | } | |
|
16 | vmap grlib "grlib" | |
|
17 | if {[file exists synplify/_info]} { | |
|
18 | echo "INFO: Simulation library synplify already exists" | |
|
19 | } else { | |
|
20 | vlib synplify | |
|
21 | } | |
|
22 | vmap synplify "synplify" | |
|
23 | if {[file exists techmap/_info]} { | |
|
24 | echo "INFO: Simulation library techmap already exists" | |
|
25 | } else { | |
|
26 | vlib techmap | |
|
27 | } | |
|
28 | vmap techmap "techmap" | |
|
29 | if {[file exists spw/_info]} { | |
|
30 | echo "INFO: Simulation library spw already exists" | |
|
31 | } else { | |
|
32 | vlib spw | |
|
33 | } | |
|
34 | vmap spw "spw" | |
|
35 | if {[file exists eth/_info]} { | |
|
36 | echo "INFO: Simulation library eth already exists" | |
|
37 | } else { | |
|
38 | vlib eth | |
|
39 | } | |
|
40 | vmap eth "eth" | |
|
41 | if {[file exists gaisler/_info]} { | |
|
42 | echo "INFO: Simulation library gaisler already exists" | |
|
43 | } else { | |
|
44 | vlib gaisler | |
|
45 | } | |
|
46 | vmap gaisler "gaisler" | |
|
47 | if {[file exists esa/_info]} { | |
|
48 | echo "INFO: Simulation library esa already exists" | |
|
49 | } else { | |
|
50 | vlib esa | |
|
51 | } | |
|
52 | vmap esa "esa" | |
|
53 | if {[file exists fmf/_info]} { | |
|
54 | echo "INFO: Simulation library fmf already exists" | |
|
55 | } else { | |
|
56 | vlib fmf | |
|
57 | } | |
|
58 | vmap fmf "fmf" | |
|
59 | if {[file exists spansion/_info]} { | |
|
60 | echo "INFO: Simulation library spansion already exists" | |
|
61 | } else { | |
|
62 | vlib spansion | |
|
63 | } | |
|
64 | vmap spansion "spansion" | |
|
65 | if {[file exists gsi/_info]} { | |
|
66 | echo "INFO: Simulation library gsi already exists" | |
|
67 | } else { | |
|
68 | vlib gsi | |
|
69 | } | |
|
70 | vmap gsi "gsi" | |
|
71 | if {[file exists iap/_info]} { | |
|
72 | echo "INFO: Simulation library iap already exists" | |
|
73 | } else { | |
|
74 | vlib iap | |
|
75 | } | |
|
76 | vmap iap "iap" | |
|
77 | if {[file exists lpp/_info]} { | |
|
78 | echo "INFO: Simulation library lpp already exists" | |
|
79 | } else { | |
|
80 | vlib lpp | |
|
81 | } | |
|
82 | vmap lpp "lpp" | |
|
83 | if {[file exists cypress/_info]} { | |
|
84 | echo "INFO: Simulation library cypress already exists" | |
|
85 | } else { | |
|
86 | vlib cypress | |
|
87 | } | |
|
88 | vmap cypress "cypress" | |
|
89 | ||
|
90 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/stdlib/version.vhd" | |
|
91 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/stdlib/config_types.vhd" | |
|
92 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/stdlib/config.vhd" | |
|
93 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/stdlib/stdlib.vhd" | |
|
94 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/stdlib/stdio.vhd" | |
|
95 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/stdlib/testlib.vhd" | |
|
96 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/ftlib/mtie_ftlib.vhd" | |
|
97 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/util/util.vhd" | |
|
98 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/sparc/sparc.vhd" | |
|
99 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/sparc/sparc_disas.vhd" | |
|
100 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/sparc/cpu_disas.vhd" | |
|
101 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/modgen/multlib.vhd" | |
|
102 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/modgen/leaves.vhd" | |
|
103 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/amba.vhd" | |
|
104 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/devices.vhd" | |
|
105 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/defmst.vhd" | |
|
106 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/apbctrl.vhd" | |
|
107 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/ahbctrl.vhd" | |
|
108 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/dma2ahb_pkg.vhd" | |
|
109 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/dma2ahb.vhd" | |
|
110 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/ahbmst.vhd" | |
|
111 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/ahbmon.vhd" | |
|
112 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/apbmon.vhd" | |
|
113 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/ambamon.vhd" | |
|
114 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/dma2ahb_tp.vhd" | |
|
115 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/amba/amba_tp.vhd" | |
|
116 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/atf/at_pkg.vhd" | |
|
117 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/atf/at_ahb_mst_pkg.vhd" | |
|
118 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/atf/at_ahb_slv_pkg.vhd" | |
|
119 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/atf/at_util.vhd" | |
|
120 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/atf/at_ahb_mst.vhd" | |
|
121 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/atf/at_ahb_slv.vhd" | |
|
122 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/atf/at_ahbs.vhd" | |
|
123 | vcom -93 -explicit -work grlib "${PROJECT_DIR}/../../../GRLIB/lib/grlib/atf/at_ahb_ctrl.vhd" | |
|
124 | vcom -93 -explicit -work synplify "${PROJECT_DIR}/../../../GRLIB/lib/synplify/sim/synplify.vhd" | |
|
125 | vcom -93 -explicit -work synplify "${PROJECT_DIR}/../../../GRLIB/lib/synplify/sim/synattr.vhd" | |
|
126 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/gencomp/gencomp.vhd" | |
|
127 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/gencomp/netcomp.vhd" | |
|
128 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/inferred/memory_inferred.vhd" | |
|
129 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/inferred/tap_inferred.vhd" | |
|
130 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/inferred/ddr_inferred.vhd" | |
|
131 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/inferred/mul_inferred.vhd" | |
|
132 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/inferred/ddr_phy_inferred.vhd" | |
|
133 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/inferred/ddrphy_datapath.vhd" | |
|
134 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/inferred/sim_pll.vhd" | |
|
135 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/inferred/lpddr2_phy_inferred.vhd" | |
|
136 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/axcelerator/axcomp.vhd" | |
|
137 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/axcelerator/memory_axcelerator.vhd" | |
|
138 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/axcelerator/buffer_axcelerator.vhd" | |
|
139 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/axcelerator/pads_axcelerator.vhd" | |
|
140 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/axcelerator/clkgen_axcelerator.vhd" | |
|
141 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/axcelerator/ddr_axcelerator.vhd" | |
|
142 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/axcelerator/mul_axcelerator.vhd" | |
|
143 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/axcelerator/grpci2_phy_rtax_bypass.vhd" | |
|
144 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/allclkgen.vhd" | |
|
145 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/allddr.vhd" | |
|
146 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/allmem.vhd" | |
|
147 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/allmul.vhd" | |
|
148 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/allpads.vhd" | |
|
149 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/alltap.vhd" | |
|
150 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/clkgen.vhd" | |
|
151 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/clkmux.vhd" | |
|
152 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/clkinv.vhd" | |
|
153 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/clkand.vhd" | |
|
154 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/ddr_ireg.vhd" | |
|
155 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/ddr_oreg.vhd" | |
|
156 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/ddrphy.vhd" | |
|
157 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncram.vhd" | |
|
158 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncram64.vhd" | |
|
159 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncram_2p.vhd" | |
|
160 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncram_dp.vhd" | |
|
161 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncfifo_2p.vhd" | |
|
162 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/regfile_3p.vhd" | |
|
163 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/tap.vhd" | |
|
164 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/techbuf.vhd" | |
|
165 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/nandtree.vhd" | |
|
166 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/clkpad.vhd" | |
|
167 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/clkpad_ds.vhd" | |
|
168 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/inpad.vhd" | |
|
169 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/inpad_ds.vhd" | |
|
170 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/iodpad.vhd" | |
|
171 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/iopad.vhd" | |
|
172 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/iopad_ds.vhd" | |
|
173 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/lvds_combo.vhd" | |
|
174 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/odpad.vhd" | |
|
175 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/outpad.vhd" | |
|
176 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/outpad_ds.vhd" | |
|
177 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/toutpad.vhd" | |
|
178 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/skew_outpad.vhd" | |
|
179 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/mul_61x61.vhd" | |
|
180 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/cpu_disas_net.vhd" | |
|
181 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/ringosc.vhd" | |
|
182 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/grpci2_phy_net.vhd" | |
|
183 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/system_monitor.vhd" | |
|
184 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/grgates.vhd" | |
|
185 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/inpad_ddr.vhd" | |
|
186 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/outpad_ddr.vhd" | |
|
187 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/iopad_ddr.vhd" | |
|
188 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncram128bw.vhd" | |
|
189 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncram256bw.vhd" | |
|
190 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncram128.vhd" | |
|
191 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncram156bw.vhd" | |
|
192 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/techmult.vhd" | |
|
193 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/spictrl_net.vhd" | |
|
194 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncrambw.vhd" | |
|
195 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncram_2pbw.vhd" | |
|
196 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/sdram_phy.vhd" | |
|
197 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/from.vhd" | |
|
198 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/syncreg.vhd" | |
|
199 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/serdes.vhd" | |
|
200 | vcom -93 -explicit -work techmap "${PROJECT_DIR}/../../../GRLIB/lib/techmap/maps/mtie_maps.vhd" | |
|
201 | vcom -93 -explicit -work spw "${PROJECT_DIR}/../../../GRLIB/lib/spw/comp/spwcomp.vhd" | |
|
202 | vcom -93 -explicit -work spw "${PROJECT_DIR}/../../../GRLIB/lib/spw/core/mtie_core.vhd" | |
|
203 | vcom -93 -explicit -work spw "${PROJECT_DIR}/../../../GRLIB/lib/spw/wrapper/grspw_gen.vhd" | |
|
204 | vcom -93 -explicit -work spw "${PROJECT_DIR}/../../../GRLIB/lib/spw/wrapper/grspw2_gen.vhd" | |
|
205 | vcom -93 -explicit -work spw "${PROJECT_DIR}/../../../GRLIB/lib/spw/wrapper/grspw_codec_gen.vhd" | |
|
206 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/comp/ethcomp.vhd" | |
|
207 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/greth_pkg.vhd" | |
|
208 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/eth_rstgen.vhd" | |
|
209 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/eth_edcl_ahb_mst.vhd" | |
|
210 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/eth_ahb_mst_gbit.vhd" | |
|
211 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/eth_ahb_mst.vhd" | |
|
212 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/greth_gbit_rx.vhd" | |
|
213 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/greth_gbit_tx.vhd" | |
|
214 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/greth_gbit_gtx.vhd" | |
|
215 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/greth_tx.vhd" | |
|
216 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/greth_rx.vhd" | |
|
217 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/greth_gbitc.vhd" | |
|
218 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/core/grethc.vhd" | |
|
219 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/wrapper/greth_gen.vhd" | |
|
220 | vcom -93 -explicit -work eth "${PROJECT_DIR}/../../../GRLIB/lib/eth/wrapper/greth_gbit_gen.vhd" | |
|
221 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/arith/arith.vhd" | |
|
222 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/arith/mul32.vhd" | |
|
223 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/arith/div32.vhd" | |
|
224 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/memctrl.vhd" | |
|
225 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/sdctrl.vhd" | |
|
226 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/sdctrl64.vhd" | |
|
227 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/sdmctrl.vhd" | |
|
228 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/srctrl.vhd" | |
|
229 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/ssrctrl.vhd" | |
|
230 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/ftsrctrlc.vhd" | |
|
231 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/ftsrctrl.vhd" | |
|
232 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/ftsdctrl.vhd" | |
|
233 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/ftsrctrl8.vhd" | |
|
234 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/ftsdmctrl.vhd" | |
|
235 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/ftmctrl.vhd" | |
|
236 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/memctrl/ftsdctrl64.vhd" | |
|
237 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/grlfpu/mtie_grlfpu.vhd" | |
|
238 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/grlfpc/mtie_grlfpc.vhd" | |
|
239 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/srmmu/mmuconfig.vhd" | |
|
240 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/srmmu/mmuiface.vhd" | |
|
241 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/srmmu/libmmu.vhd" | |
|
242 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/srmmu/mmutlbcam.vhd" | |
|
243 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/srmmu/mmulrue.vhd" | |
|
244 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/srmmu/mmulru.vhd" | |
|
245 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/srmmu/mmutlb.vhd" | |
|
246 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/srmmu/mmutw.vhd" | |
|
247 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/srmmu/mmu.vhd" | |
|
248 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/leon3/leon3.vhd" | |
|
249 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/leon3/grfpushwx.vhd" | |
|
250 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/leon3v3/mtie_leon3v3.vhd" | |
|
251 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/irqmp/irqmp.vhd" | |
|
252 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/irqmp/irqmp2x.vhd" | |
|
253 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/irqmp/irqamp.vhd" | |
|
254 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/irqmp/irqamp2x.vhd" | |
|
255 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/l2cache/v2-pkg/l2cache.vhd" | |
|
256 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/misc.vhd" | |
|
257 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/rstgen.vhd" | |
|
258 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/gptimer.vhd" | |
|
259 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ahbram.vhd" | |
|
260 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ahbdpram.vhd" | |
|
261 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ahbtrace_mmb.vhd" | |
|
262 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ahbtrace_mb.vhd" | |
|
263 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ahbtrace.vhd" | |
|
264 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/grgpio.vhd" | |
|
265 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ftahbram.vhd" | |
|
266 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ftahbram2.vhd" | |
|
267 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ahbstat.vhd" | |
|
268 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/logan.vhd" | |
|
269 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/apbps2.vhd" | |
|
270 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/charrom_package.vhd" | |
|
271 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/charrom.vhd" | |
|
272 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/apbvga.vhd" | |
|
273 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ahb2ahb.vhd" | |
|
274 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ahbbridge.vhd" | |
|
275 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/svgactrl.vhd" | |
|
276 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/grfifo.vhd" | |
|
277 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/gradcdac.vhd" | |
|
278 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/grsysmon.vhd" | |
|
279 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/gracectrl.vhd" | |
|
280 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/grgpreg.vhd" | |
|
281 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/memscrub.vhd" | |
|
282 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ahb_mst_iface.vhd" | |
|
283 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/grgprbank.vhd" | |
|
284 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/grclkgate.vhd" | |
|
285 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/grclkgate2x.vhd" | |
|
286 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/grtimer.vhd" | |
|
287 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/grpulse.vhd" | |
|
288 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/grversion.vhd" | |
|
289 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/misc/ahbfrom.vhd" | |
|
290 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/ambatest/ahbtbp.vhd" | |
|
291 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/ambatest/ahbtbm.vhd" | |
|
292 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/net/net.vhd" | |
|
293 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/uart/uart.vhd" | |
|
294 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/uart/libdcom.vhd" | |
|
295 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/uart/apbuart.vhd" | |
|
296 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/uart/dcom.vhd" | |
|
297 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/uart/dcom_uart.vhd" | |
|
298 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/uart/ahbuart.vhd" | |
|
299 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/sim.vhd" | |
|
300 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/sram.vhd" | |
|
301 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/sramft.vhd" | |
|
302 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/sram16.vhd" | |
|
303 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/phy.vhd" | |
|
304 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/ahbrep.vhd" | |
|
305 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/delay_wire.vhd" | |
|
306 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/pwm_check.vhd" | |
|
307 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/ramback.vhd" | |
|
308 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/zbtssram.vhd" | |
|
309 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/slavecheck.vhd" | |
|
310 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/spwtrace.vhd" | |
|
311 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/spwtracev.vhd" | |
|
312 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/ddrram.vhd" | |
|
313 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/ddr2ram.vhd" | |
|
314 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/sim/ddr3ram.vhd" | |
|
315 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/jtag/jtag.vhd" | |
|
316 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/jtag/libjtagcom.vhd" | |
|
317 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/jtag/jtagcom.vhd" | |
|
318 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/jtag/ahbjtag.vhd" | |
|
319 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/jtag/ahbjtag_bsd.vhd" | |
|
320 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/jtag/bscanctrl.vhd" | |
|
321 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/jtag/bscanregs.vhd" | |
|
322 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/jtag/bscanregsbd.vhd" | |
|
323 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/jtag/jtagcom2.vhd" | |
|
324 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/jtag/jtagtst.vhd" | |
|
325 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/spacewire/spacewire.vhd" | |
|
326 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/spacewire/grspw.vhd" | |
|
327 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/spacewire/grspw2.vhd" | |
|
328 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/spacewire/grspwm.vhd" | |
|
329 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/spacewire/grspw2_phy.vhd" | |
|
330 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/spacewire/grspw_codec_clockgate.vhd" | |
|
331 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/spacewire/grspw_phy.vhd" | |
|
332 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/gr1553b/gr1553b_pkg.vhd" | |
|
333 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/gr1553b/gr1553b_pads.vhd" | |
|
334 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/gr1553b/simtrans1553.vhd" | |
|
335 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/nand/nandpkg.vhd" | |
|
336 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/nand/nandfctrlx.vhd" | |
|
337 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/nand/nandfctrl.vhd" | |
|
338 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/clk2x/clk2x.vhd" | |
|
339 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/clk2x/qmod.vhd" | |
|
340 | vcom -93 -explicit -work gaisler "${PROJECT_DIR}/../../../GRLIB/lib/gaisler/clk2x/qmod_prect.vhd" | |
|
341 | vcom -93 -explicit -work esa "${PROJECT_DIR}/../../../GRLIB/lib/esa/memoryctrl/memoryctrl.vhd" | |
|
342 | vcom -93 -explicit -work esa "${PROJECT_DIR}/../../../GRLIB/lib/esa/memoryctrl/mctrl.vhd" | |
|
343 | vcom -93 -explicit -work fmf "${PROJECT_DIR}/../../../GRLIB/lib/fmf/utilities/conversions.vhd" | |
|
344 | vcom -93 -explicit -work fmf "${PROJECT_DIR}/../../../GRLIB/lib/fmf/utilities/gen_utils.vhd" | |
|
345 | vcom -93 -explicit -work fmf "${PROJECT_DIR}/../../../GRLIB/lib/fmf/flash/flash.vhd" | |
|
346 | vcom -93 -explicit -work fmf "${PROJECT_DIR}/../../../GRLIB/lib/fmf/flash/s25fl064a.vhd" | |
|
347 | vcom -93 -explicit -work fmf "${PROJECT_DIR}/../../../GRLIB/lib/fmf/flash/m25p80.vhd" | |
|
348 | vcom -93 -explicit -work fmf "${PROJECT_DIR}/../../../GRLIB/lib/fmf/fifo/idt7202.vhd" | |
|
349 | vcom -93 -explicit -work gsi "${PROJECT_DIR}/../../../GRLIB/lib/gsi/ssram/functions.vhd" | |
|
350 | vcom -93 -explicit -work gsi "${PROJECT_DIR}/../../../GRLIB/lib/gsi/ssram/core_burst.vhd" | |
|
351 | vcom -93 -explicit -work gsi "${PROJECT_DIR}/../../../GRLIB/lib/gsi/ssram/g880e18bt.vhd" | |
|
352 | vcom -93 -explicit -work iap "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB_NONFREE/lib/iap/./apb_devices/apb_devices_list.vhd" | |
|
353 | vcom -93 -explicit -work iap "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB_NONFREE/lib/iap/./apb_devices/apb_devices.vhd" | |
|
354 | vcom -93 -explicit -work iap "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB_NONFREE/lib/iap/./memctrlr/memctrlr.vhd" | |
|
355 | vcom -93 -explicit -work iap "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB_NONFREE/lib/iap/./memctrlr/srctrle-0ws.vhd" | |
|
356 | vcom -93 -explicit -work iap "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB_NONFREE/lib/iap/./memctrlr/srctrle-1ws.vhd" | |
|
357 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/data_type_pkg.vhd" | |
|
358 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/general_purpose.vhd" | |
|
359 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/ADDRcntr.vhd" | |
|
360 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/ALU.vhd" | |
|
361 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/Adder.vhd" | |
|
362 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/Clk_Divider2.vhd" | |
|
363 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/Clk_divider.vhd" | |
|
364 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/MAC.vhd" | |
|
365 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/MAC_CONTROLER.vhd" | |
|
366 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/MAC_MUX.vhd" | |
|
367 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/MAC_MUX2.vhd" | |
|
368 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/MAC_REG.vhd" | |
|
369 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/MUX2.vhd" | |
|
370 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/MUXN.vhd" | |
|
371 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/Multiplier.vhd" | |
|
372 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/REG.vhd" | |
|
373 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/SYNC_FF.vhd" | |
|
374 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/Shifter.vhd" | |
|
375 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/TwoComplementer.vhd" | |
|
376 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/Clock_Divider.vhd" | |
|
377 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/lpp_front_to_level.vhd" | |
|
378 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/lpp_front_detection.vhd" | |
|
379 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/SYNC_VALID_BIT.vhd" | |
|
380 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/RR_Arbiter_4.vhd" | |
|
381 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/general_counter.vhd" | |
|
382 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./general_purpose/ramp_generator.vhd" | |
|
383 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_amba/apb_devices_list.vhd" | |
|
384 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_amba/lpp_amba.vhd" | |
|
385 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/chirp/chirp_pkg.vhd" | |
|
386 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/chirp/chirp.vhd" | |
|
387 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/iir_filter/iir_filter.vhd" | |
|
388 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/iir_filter/FILTERcfg.vhd" | |
|
389 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/iir_filter/RAM.vhd" | |
|
390 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/iir_filter/RAM_CEL.vhd" | |
|
391 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/iir_filter/RAM_CTRLR_v2.vhd" | |
|
392 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR_v2_CONTROL.vhd" | |
|
393 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR_v2_DATAFLOW.vhd" | |
|
394 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR_v2.vhd" | |
|
395 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR_v3_DATAFLOW.vhd" | |
|
396 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR_v3.vhd" | |
|
397 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic_pkg.vhd" | |
|
398 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic.vhd" | |
|
399 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic_integrator.vhd" | |
|
400 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic_downsampler.vhd" | |
|
401 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic_comb.vhd" | |
|
402 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic_lfr.vhd" | |
|
403 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic_lfr_control.vhd" | |
|
404 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic_lfr_add_sub.vhd" | |
|
405 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic_lfr_address_gen.vhd" | |
|
406 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic_lfr_r2.vhd" | |
|
407 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/cic/cic_lfr_control_r2.vhd" | |
|
408 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_downsampling/Downsampling.vhd" | |
|
409 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/fft_components.vhd" | |
|
410 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/lpp_fft.vhd" | |
|
411 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/actar.vhd" | |
|
412 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/actram.vhd" | |
|
413 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/fftDp.vhd" | |
|
414 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/fftSm.vhd" | |
|
415 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/primitives.vhd" | |
|
416 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/twiddle.vhd" | |
|
417 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/Driver_FFT.vhd" | |
|
418 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/FFT.vhd" | |
|
419 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/lpp_fft_rtax/Linker_FFT.vhd" | |
|
420 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/window_function/window_function_pkg.vhd" | |
|
421 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/window_function/window_function.vhd" | |
|
422 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/window_function/WF_processing.vhd" | |
|
423 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./dsp/window_function/WF_rom.vhd" | |
|
424 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_memory/lpp_memory.vhd" | |
|
425 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_memory/lpp_FIFO.vhd" | |
|
426 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_memory/lpp_FIFO_4_Shared.vhd" | |
|
427 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_memory/lpp_FIFO_control.vhd" | |
|
428 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_memory/lpp_FIFO_4_Shared_headreg_latency_0.vhd" | |
|
429 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_memory/lpp_FIFO_4_Shared_headreg_latency_1.vhd" | |
|
430 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_memory/lppFIFOxN.vhd" | |
|
431 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd" | |
|
432 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_ad_Conv/RHF1401.vhd" | |
|
433 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_ad_Conv/top_ad_conv_RHF1401.vhd" | |
|
434 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_ad_Conv/top_ad_conv_RHF1401_withFilter.vhd" | |
|
435 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_ad_Conv/TestModule_RHF1401.vhd" | |
|
436 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_ad_Conv/top_ad_conv_ADS7886_v2.vhd" | |
|
437 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_ad_Conv/ADS7886_drvr_v2.vhd" | |
|
438 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_ad_Conv/lpp_lfr_hk.vhd" | |
|
439 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_spectral_matrix/spectral_matrix_package.vhd" | |
|
440 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_spectral_matrix/MS_calculation.vhd" | |
|
441 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_spectral_matrix/MS_control.vhd" | |
|
442 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_spectral_matrix/spectral_matrix_switch_f0.vhd" | |
|
443 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_spectral_matrix/spectral_matrix_time_managment.vhd" | |
|
444 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_demux/DEMUX.vhd" | |
|
445 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_demux/lpp_demux.vhd" | |
|
446 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_Header/lpp_Header.vhd" | |
|
447 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_Header/HeaderBuilder.vhd" | |
|
448 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_matrix/lpp_matrix.vhd" | |
|
449 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_matrix/ALU_Driver.vhd" | |
|
450 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_matrix/ReUse_CTRLR.vhd" | |
|
451 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_matrix/Dispatch.vhd" | |
|
452 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_matrix/DriveInputs.vhd" | |
|
453 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_matrix/GetResult.vhd" | |
|
454 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_matrix/MatriceSpectrale.vhd" | |
|
455 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_matrix/Matrix.vhd" | |
|
456 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_matrix/SpectralMatrix.vhd" | |
|
457 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_matrix/TopSpecMatrix.vhd" | |
|
458 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/lpp_dma_pkg.vhd" | |
|
459 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/fifo_latency_correction.vhd" | |
|
460 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/lpp_dma.vhd" | |
|
461 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/lpp_dma_ip.vhd" | |
|
462 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/lpp_dma_send_16word.vhd" | |
|
463 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/lpp_dma_send_1word.vhd" | |
|
464 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/lpp_dma_singleOrBurst.vhd" | |
|
465 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/DMA_SubSystem.vhd" | |
|
466 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/DMA_SubSystem_GestionBuffer.vhd" | |
|
467 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/DMA_SubSystem_Arbiter.vhd" | |
|
468 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/DMA_SubSystem_MUX.vhd" | |
|
469 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_dma/lpp_dma_SEND16B_FIFO2DMA.vhd" | |
|
470 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_pkg.vhd" | |
|
471 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform.vhd" | |
|
472 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_burst.vhd" | |
|
473 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_fifo_withoutLatency.vhd" | |
|
474 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_fifo_latencyCorrection.vhd" | |
|
475 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_fifo.vhd" | |
|
476 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_fifo_arbiter.vhd" | |
|
477 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_fifo_ctrl.vhd" | |
|
478 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_fifo_headreg.vhd" | |
|
479 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_snapshot.vhd" | |
|
480 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_snapshot_controler.vhd" | |
|
481 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_genaddress.vhd" | |
|
482 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_dma_genvalid.vhd" | |
|
483 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_fifo_arbiter_reg.vhd" | |
|
484 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_waveform/lpp_waveform_fsmdma.vhd" | |
|
485 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_top_lfr_pkg.vhd" | |
|
486 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_lfr_pkg.vhd" | |
|
487 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_lfr_apbreg_pkg.vhd" | |
|
488 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_lfr_filter_coeff.vhd" | |
|
489 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_lfr_filter.vhd" | |
|
490 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_lfr_apbreg_ms_pointer.vhd" | |
|
491 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_lfr_ms_fsmdma.vhd" | |
|
492 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_lfr_ms_FFT.vhd" | |
|
493 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_lfr_ms.vhd" | |
|
494 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_lfr_ms_reg_head.vhd" | |
|
495 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_top_lfr/lpp_lfr.vhd" | |
|
496 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_leon3_soc/lpp_leon3_soc_pkg.vhd" | |
|
497 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_leon3_soc/leon3_soc.vhd" | |
|
498 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_debug_lfr/lpp_debug_lfr_pkg.vhd" | |
|
499 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_debug_lfr/lpp_debug_dma_singleOrBurst.vhd" | |
|
500 | vcom -93 -explicit -work lpp "${PROJECT_DIR}/../../../GRLIB/lib/../../VHDLIB/lib/lpp/./lpp_file/reader_pkg.vhd" | |
|
501 | vcom -93 -explicit -work cypress "${PROJECT_DIR}/../../../GRLIB/lib/cypress/ssram/components.vhd" | |
|
502 | vcom -93 -explicit -work cypress "${PROJECT_DIR}/../../../GRLIB/lib/cypress/ssram/package_utility.vhd" | |
|
503 | vcom -93 -explicit -work cypress "${PROJECT_DIR}/../../../GRLIB/lib/cypress/ssram/cy7c1354b.vhd" | |
|
504 | vcom -93 -explicit -work cypress "${PROJECT_DIR}/../../../GRLIB/lib/cypress/ssram/cy7c1380d.vhd" | |
|
505 | vcom -93 -explicit -work presynth "${PROJECT_DIR}/../../../GRLIB/lib/work/debug/debug.vhd" | |
|
506 | vcom -93 -explicit -work presynth "${PROJECT_DIR}/../../../GRLIB/lib/work/debug/grtestmod.vhd" | |
|
507 | vcom -93 -explicit -work presynth "${PROJECT_DIR}/../../../GRLIB/lib/work/debug/cpu_disas.vhd" | |
|
508 | vcom -93 -explicit -work presynth "${PROJECT_DIR}/IIR_CEL_TEST.vhd" | |
|
509 | vcom -93 -explicit -work presynth "${PROJECT_DIR}/tb.vhd" | |
|
510 | vcom -93 -explicit -work presynth "${PROJECT_DIR}/IIR_CEL_TEST_v3.vhd" | |
|
511 | vcom -93 -explicit -work presynth "${PROJECT_DIR}/generator.vhd" | |
|
512 | ||
|
513 | vsim #VSIM_ARGS# -L Axcelerator -L presynth -L grlib -L synplify -L techmap -L spw -L eth -L gaisler -L esa -L fmf -L spansion -L gsi -L iap -L lpp -L cypress -t 1ps presynth.testbench | |
|
514 | # The following lines are commented because no testbench is associated with the project | |
|
515 | # do "wave.do" | |
|
516 | run 2000ms |
@@ -2,9 +2,9 | |||
|
2 | 2 | VHDLIB=../.. |
|
3 | 3 | SCRIPTSDIR=$(VHDLIB)/scripts/ |
|
4 | 4 | GRLIB := $(shell sh $(VHDLIB)/scripts/lpp_relpath.sh) |
|
5 | TOP=leon3mp | |
|
6 | BOARD=em-LeonLPP-A3PE3kL-v3-core1 | |
|
7 |
include $( |
|
|
5 | TOP=testbench | |
|
6 | BOARD=LFR-EQM | |
|
7 | include $(VHDLIB)/boards/$(BOARD)/Makefile_RTAX.inc | |
|
8 | 8 | DEVICE=$(PART)-$(PACKAGE)$(SPEED) |
|
9 | 9 | UCF=$(GRLIB)/boards/$(BOARD)/$(TOP).ucf |
|
10 | 10 | QSF=$(GRLIB)/boards/$(BOARD)/$(TOP).qsf |
@@ -12,31 +12,34 EFFORT=high | |||
|
12 | 12 | XSTOPT= |
|
13 | 13 | SYNPOPT="set_option -pipe 0; set_option -retiming 0; set_option -write_apr_constraint 0" |
|
14 | 14 | #VHDLSYNFILES=config.vhd ahbrom.vhd leon3mp.vhd |
|
15 | VHDLSYNFILES= | |
|
15 | VHDLSYNFILES= IIR_CEL_TEST.vhd tb.vhd IIR_CEL_TEST_v3.vhd generator.vhd | |
|
16 | 16 |
VHDLSIMFILES= tb.vhd |
|
17 | 17 | SIMTOP=testbench |
|
18 | 18 | #SDCFILE=$(GRLIB)/boards/$(BOARD)/synplify.sdc |
|
19 |
|
|
|
20 | PDC=$(GRLIB)/boards/$(BOARD)/em-LeonLPP-A3PE3kL.pdc | |
|
19 | PDC=$(VHDLIB)/boards/$(BOARD)/LFR_EQM_RTAX.pdc | |
|
20 | SDC=$(VHDLIB)/boards/$(BOARD)/LFR_EQM_altran_syn_fanout.sdc | |
|
21 | 21 | BITGEN=$(GRLIB)/boards/$(BOARD)/default.ut |
|
22 | 22 | CLEAN=soft-clean |
|
23 | 23 | |
|
24 |
TECHLIBS = |
|
|
24 | TECHLIBS = axcelerator | |
|
25 | 25 | |
|
26 | 26 | LIBSKIP = core1553bbc core1553brm core1553brt gr1553 corePCIF \ |
|
27 | tmtc openchip hynix ihp gleichmann micron usbhc | |
|
27 | tmtc openchip hynix ihp gleichmann micron usbhc opencores | |
|
28 | 28 | |
|
29 | 29 | DIRSKIP = b1553 pcif leon2 leon2ft crypto satcan ddr usb ata i2c \ |
|
30 | pci grusbhc haps slink ascs pwm coremp7 spi ac97 \ | |
|
30 | pci grusbhc haps slink ascs can pwm greth coremp7 spi ac97 \ | |
|
31 | 31 | ./amba_lcd_16x2_ctrlr \ |
|
32 | 32 | ./general_purpose/lpp_AMR \ |
|
33 | 33 | ./general_purpose/lpp_balise \ |
|
34 | 34 | ./general_purpose/lpp_delay \ |
|
35 | 35 | ./lpp_bootloader \ |
|
36 | ./lfr_management \ | |
|
37 | ./lpp_sim \ | |
|
38 | ./lpp_sim/CY7C1061DV33 \ | |
|
36 | 39 | ./lpp_cna \ |
|
37 | 40 | ./lpp_uart \ |
|
38 | 41 | ./lpp_usb \ |
|
39 |
./dsp/lpp_fft |
|
|
42 | ./dsp/lpp_fft \ | |
|
40 | 43 | |
|
41 | 44 | FILESKIP = i2cmst.vhd \ |
|
42 | 45 | APB_MULTI_DIODE.vhd \ |
@@ -1,12 +1,16 | |||
|
1 | 1 | |
|
2 | 2 | LIBRARY ieee; |
|
3 | 3 | USE ieee.std_logic_1164.ALL; |
|
4 | USE IEEE.MATH_REAL.ALL; | |
|
5 | USE ieee.numeric_std.ALL; | |
|
4 | use ieee.numeric_std.all; | |
|
5 | USE IEEE.std_logic_signed.ALL; | |
|
6 | USE IEEE.MATH_real.ALL; | |
|
6 | 7 | |
|
7 | 8 | LIBRARY techmap; |
|
8 | 9 | USE techmap.gencomp.ALL; |
|
9 | 10 | |
|
11 | library std; | |
|
12 | use std.textio.all; | |
|
13 | ||
|
10 | 14 | LIBRARY lpp; |
|
11 | 15 | USE lpp.iir_filter.ALL; |
|
12 | 16 | USE lpp.lpp_ad_conv.ALL; |
@@ -14,7 +18,6 USE lpp.FILTERcfg.ALL; | |||
|
14 | 18 | USE lpp.lpp_lfr_filter_coeff.ALL; |
|
15 | 19 | USE lpp.general_purpose.ALL; |
|
16 | 20 | USE lpp.data_type_pkg.ALL; |
|
17 | USE lpp.chirp_pkg.ALL; | |
|
18 | 21 | USE lpp.lpp_lfr_pkg.ALL; |
|
19 | 22 | USE lpp.general_purpose.ALL; |
|
20 | 23 | |
@@ -23,60 +26,63 END; | |||
|
23 | 26 | |
|
24 | 27 | ARCHITECTURE behav OF testbench IS |
|
25 | 28 | |
|
26 | COMPONENT IIR_CEL_TEST | |
|
27 | PORT ( | |
|
28 | rstn : IN STD_LOGIC; | |
|
29 | clk : IN STD_LOGIC; | |
|
30 | sample_in_val : IN STD_LOGIC; | |
|
31 | sample_in : IN samplT(7 DOWNTO 0, 17 DOWNTO 0); | |
|
32 | sample_out_val : OUT STD_LOGIC; | |
|
33 | sample_out : OUT samplT(7 DOWNTO 0, 17 DOWNTO 0)); | |
|
34 | END COMPONENT; | |
|
35 | ||
|
36 | COMPONENT IIR_CEL_TEST_v3 | |
|
37 | PORT ( | |
|
38 | rstn : IN STD_LOGIC; | |
|
39 | clk : IN STD_LOGIC; | |
|
40 | sample_in1_val : IN STD_LOGIC; | |
|
41 | sample_in1 : IN samplT(7 DOWNTO 0, 17 DOWNTO 0); | |
|
42 | sample_in2_val : IN STD_LOGIC; | |
|
43 | sample_in2 : IN samplT(7 DOWNTO 0, 17 DOWNTO 0); | |
|
44 | sample_out1_val : OUT STD_LOGIC; | |
|
45 | sample_out1 : OUT samplT(7 DOWNTO 0, 17 DOWNTO 0); | |
|
46 | sample_out2_val : OUT STD_LOGIC; | |
|
47 | sample_out2 : OUT samplT(7 DOWNTO 0, 17 DOWNTO 0)); | |
|
48 | END COMPONENT; | |
|
49 | ||
|
29 | SIGNAL TSTAMP : INTEGER:=0; | |
|
50 | 30 | SIGNAL clk : STD_LOGIC := '0'; |
|
51 | 31 | SIGNAL clk_24k : STD_LOGIC := '0'; |
|
52 | 32 | SIGNAL clk_24k_r : STD_LOGIC := '0'; |
|
53 | 33 | SIGNAL rstn : STD_LOGIC; |
|
54 | 34 | |
|
35 | SIGNAL signal_gen : Samples(7 DOWNTO 0); | |
|
36 | SIGNAL offset_gen : Samples(7 DOWNTO 0); | |
|
37 | ||
|
55 | 38 | SIGNAL sample : Samples(7 DOWNTO 0); |
|
39 | ||
|
56 | 40 |
|
|
57 | SIGNAL sample_val_2 : STD_LOGIC; | |
|
58 | 41 | |
|
59 | SIGNAL data_chirp : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
|
60 | SIGNAL data_chirp_2 : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
|
42 | SIGNAL sample_f0_val : STD_LOGIC; | |
|
43 | SIGNAL sample_f1_val : STD_LOGIC; | |
|
44 | SIGNAL sample_f2_val : STD_LOGIC; | |
|
45 | SIGNAL sample_f3_val : STD_LOGIC; | |
|
61 | 46 | |
|
62 |
SIGNAL sample_ |
|
|
63 |
SIGNAL sample_ |
|
|
64 |
SIGNAL sample_ |
|
|
65 |
SIGNAL sample_ |
|
|
47 | SIGNAL sample_f0_wdata : STD_LOGIC_VECTOR((6*16)-1 DOWNTO 0); | |
|
48 | SIGNAL sample_f1_wdata : STD_LOGIC_VECTOR((6*16)-1 DOWNTO 0); | |
|
49 | SIGNAL sample_f2_wdata : STD_LOGIC_VECTOR((6*16)-1 DOWNTO 0); | |
|
50 | SIGNAL sample_f3_wdata : STD_LOGIC_VECTOR((6*16)-1 DOWNTO 0); | |
|
51 | ||
|
52 | SIGNAL sample_f0 : Samples(5 DOWNTO 0); | |
|
53 | SIGNAL sample_f1 : Samples(5 DOWNTO 0); | |
|
54 | SIGNAL sample_f2 : Samples(5 DOWNTO 0); | |
|
55 | SIGNAL sample_f3 : Samples(5 DOWNTO 0); | |
|
56 | ||
|
66 | 57 |
|
|
67 | 58 | |
|
68 |
SIGNAL |
|
|
69 | SIGNAL sample_out2_val : STD_LOGIC; | |
|
70 | SIGNAL sample_out1 : samplT(7 DOWNTO 0, 17 DOWNTO 0); | |
|
71 | SIGNAL sample_out2 : samplT(7 DOWNTO 0, 17 DOWNTO 0); | |
|
72 | SIGNAL sample_out1_reg : samplT(7 DOWNTO 0, 17 DOWNTO 0); | |
|
73 | SIGNAL sample_out2_reg : samplT(7 DOWNTO 0, 17 DOWNTO 0); | |
|
59 | SIGNAL temp : STD_LOGIC; | |
|
60 | ||
|
61 | ||
|
62 | COMPONENT generator IS | |
|
63 | GENERIC ( | |
|
64 | AMPLITUDE : INTEGER := 100; | |
|
65 | NB_BITS : INTEGER := 16); | |
|
66 | ||
|
67 | PORT ( | |
|
68 | clk : IN STD_LOGIC; | |
|
69 | rstn : IN STD_LOGIC; | |
|
70 | run : IN STD_LOGIC; | |
|
74 | 71 | |
|
75 | SIGNAL sample_s_v3 : samplT(7 DOWNTO 0, 17 DOWNTO 0); | |
|
76 | SIGNAL sample_val_v3 : STD_LOGIC; | |
|
77 | SIGNAL sample_val_v3_2 : STD_LOGIC; | |
|
72 | data_ack : IN STD_LOGIC; | |
|
73 | offset : IN STD_LOGIC_VECTOR(NB_BITS-1 DOWNTO 0); | |
|
74 | data : OUT STD_LOGIC_VECTOR(NB_BITS-1 DOWNTO 0) | |
|
75 | ); | |
|
76 | END COMPONENT; | |
|
77 | ||
|
78 | 78 | |
|
79 | SIGNAL temp : STD_LOGIC; | |
|
79 | file log_input : TEXT open write_mode is "log_input.txt"; | |
|
80 | file log_output_f0 : TEXT open write_mode is "log_output_f0.txt"; | |
|
81 | file log_output_f1 : TEXT open write_mode is "log_output_f1.txt"; | |
|
82 | file log_output_f2 : TEXT open write_mode is "log_output_f2.txt"; | |
|
83 | file log_output_f3 : TEXT open write_mode is "log_output_f3.txt"; | |
|
84 | ||
|
85 | ||
|
80 | 86 | BEGIN |
|
81 | 87 | |
|
82 | 88 | ----------------------------------------------------------------------------- |
@@ -91,7 +97,7 BEGIN | |||
|
91 | 97 | WAIT UNTIL clk = '1'; |
|
92 | 98 | WAIT UNTIL clk = '1'; |
|
93 | 99 | rstn <= '1'; |
|
94 |
WAIT FOR |
|
|
100 | WAIT FOR 2000 ms; | |
|
95 | 101 | REPORT "*** END simulation ***" SEVERITY failure; |
|
96 | 102 | WAIT; |
|
97 | 103 | END PROCESS; |
@@ -99,15 +105,33 BEGIN | |||
|
99 | 105 | |
|
100 | 106 | |
|
101 | 107 | ----------------------------------------------------------------------------- |
|
108 | -- COMMON TIMESTAMPS | |
|
109 | ----------------------------------------------------------------------------- | |
|
110 | ||
|
111 | PROCESS(clk) | |
|
112 | BEGIN | |
|
113 | IF clk'event and clk ='1' THEN | |
|
114 | TSTAMP <= TSTAMP+1; | |
|
115 | END IF; | |
|
116 | END PROCESS; | |
|
117 | ----------------------------------------------------------------------------- | |
|
118 | ||
|
119 | ||
|
120 | ----------------------------------------------------------------------------- | |
|
102 | 121 | -- LPP_LFR_FILTER |
|
103 | 122 | ----------------------------------------------------------------------------- |
|
104 | 123 | lpp_lfr_filter_1: lpp_lfr_filter |
|
105 | 124 | GENERIC MAP ( |
|
106 | Mem_use => use_CEL) | |
|
125 | --tech => 0, | |
|
126 | --Mem_use => use_CEL, | |
|
127 | tech => axcel, | |
|
128 | Mem_use => use_RAM, | |
|
129 | RTL_DESIGN_LIGHT =>0 | |
|
130 | ) | |
|
107 | 131 | PORT MAP ( |
|
108 | 132 | sample => sample, |
|
109 | 133 | sample_val => sample_val, |
|
110 | ||
|
134 | sample_time => (others=>'0'), | |
|
111 | 135 | clk => clk, |
|
112 | 136 | rstn => rstn, |
|
113 | 137 | |
@@ -117,14 +141,16 BEGIN | |||
|
117 | 141 | data_shaping_R1 => '0', |
|
118 | 142 | data_shaping_R2 => '0', |
|
119 | 143 | |
|
120 |
sample_f0_val => |
|
|
121 |
sample_f1_val => |
|
|
122 |
sample_f2_val => |
|
|
123 |
sample_f3_val => |
|
|
124 | sample_f0_wdata => OPEN, | |
|
125 |
sample_f |
|
|
126 |
sample_f |
|
|
127 |
sample_f |
|
|
144 | sample_f0_val => sample_f0_val, | |
|
145 | sample_f1_val => sample_f1_val, | |
|
146 | sample_f2_val => sample_f2_val, | |
|
147 | sample_f3_val => sample_f3_val, | |
|
148 | ||
|
149 | sample_f0_wdata => sample_f0_wdata, | |
|
150 | sample_f1_wdata => sample_f1_wdata, | |
|
151 | sample_f2_wdata => sample_f2_wdata, | |
|
152 | sample_f3_wdata => sample_f3_wdata | |
|
153 | ); | |
|
128 | 154 | ----------------------------------------------------------------------------- |
|
129 | 155 | |
|
130 | 156 | |
@@ -137,27 +163,22 BEGIN | |||
|
137 | 163 | BEGIN -- PROCESS |
|
138 | 164 | IF rstn = '0' THEN -- asynchronous reset (active low) |
|
139 | 165 | sample_val <= '0'; |
|
140 | sample_val_2 <= '0'; | |
|
141 | 166 | clk_24k_r <= '0'; |
|
142 | 167 | temp <= '0'; |
|
143 | 168 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge |
|
144 | 169 | clk_24k_r <= clk_24k; |
|
145 | 170 | IF clk_24k = '1' AND clk_24k_r = '0' THEN |
|
146 | 171 | sample_val <= '1'; |
|
147 | sample_val_2 <= temp; | |
|
148 | 172 | temp <= NOT temp; |
|
149 | 173 | ELSE |
|
150 | 174 | sample_val <= '0'; |
|
151 | sample_val_2 <= '0'; | |
|
152 | 175 | END IF; |
|
153 | 176 | END IF; |
|
154 | 177 | END PROCESS; |
|
155 | 178 | ----------------------------------------------------------------------------- |
|
156 | chirp_1: chirp | |
|
179 | generators: FOR I IN 0 TO 7 GENERATE | |
|
180 | gen1: generator | |
|
157 | 181 | GENERIC MAP ( |
|
158 | LOW_FREQUENCY_LIMIT => 0, | |
|
159 | HIGH_FREQUENCY_LIMIT => 2000, | |
|
160 | NB_POINT_TO_GEN => 10000, | |
|
161 | 182 | AMPLITUDE => 100, |
|
162 | 183 | NB_BITS => 16) |
|
163 | 184 | PORT MAP ( |
@@ -165,97 +186,90 BEGIN | |||
|
165 | 186 | rstn => rstn, |
|
166 | 187 | run => '1', |
|
167 | 188 | data_ack => sample_val, |
|
168 | data => data_chirp); | |
|
189 | offset => offset_gen(I), | |
|
190 | data => signal_gen(I) | |
|
191 | ); | |
|
192 | offset_gen(I) <= std_logic_vector( to_signed((I*200),16) ); | |
|
193 | END GENERATE generators; | |
|
169 | 194 | |
|
170 | chirp_2: chirp | |
|
171 | GENERIC MAP ( | |
|
172 | LOW_FREQUENCY_LIMIT => 0, | |
|
173 | HIGH_FREQUENCY_LIMIT => 2000, | |
|
174 | NB_POINT_TO_GEN => 100000, | |
|
175 | AMPLITUDE => 200, | |
|
176 | NB_BITS => 16) | |
|
177 | PORT MAP ( | |
|
178 | clk => clk, | |
|
179 | rstn => rstn, | |
|
180 | run => '1', | |
|
181 | data_ack => sample_val, | |
|
182 | data => data_chirp_2); | |
|
195 | output_splitter: FOR CHAN IN 0 TO 5 GENERATE | |
|
196 | bits_splitter: FOR BIT IN 0 TO 15 GENERATE | |
|
197 | sample_f0(CHAN)(BIT) <= sample_f0_wdata((CHAN*16) + BIT); | |
|
198 | sample_f1(CHAN)(BIT) <= sample_f1_wdata((CHAN*16) + BIT); | |
|
199 | sample_f2(CHAN)(BIT) <= sample_f2_wdata((CHAN*16) + BIT); | |
|
200 | sample_f3(CHAN)(BIT) <= sample_f3_wdata((CHAN*16) + BIT); | |
|
201 | END GENERATE bits_splitter; | |
|
202 | END GENERATE output_splitter; | |
|
183 | 203 | |
|
184 | all_channel: FOR I IN 0 TO 3 GENERATE | |
|
185 | sample(2*I) <= data_chirp; | |
|
186 | sample(2*I+1) <= data_chirp_2; | |
|
187 | END GENERATE all_channel; | |
|
204 | ||
|
205 | sample <= signal_gen; | |
|
206 | ||
|
207 | ----------------------------------------------------------------------------- | |
|
208 | -- RECORD SIGNALS | |
|
188 | 209 | ----------------------------------------------------------------------------- |
|
189 | 210 | |
|
190 | all_channel_test: FOR I IN 0 TO 3 GENERATE | |
|
191 | all_bit_test: FOR J IN 0 TO 15 GENERATE | |
|
192 | sample_s(2*I ,J) <= data_chirp(J); | |
|
193 | sample_s(2*I+1,J) <= data_chirp_2(J); | |
|
194 | END GENERATE all_bit_test; | |
|
195 | sample_s(2*I,16) <= data_chirp(15); | |
|
196 | sample_s(2*I,17) <= data_chirp(15); | |
|
197 | sample_s(2*I+1,16) <= data_chirp_2(15); | |
|
198 | sample_s(2*I+1,17) <= data_chirp_2(15); | |
|
199 | END GENERATE all_channel_test; | |
|
211 | process(sample_val) | |
|
212 | variable line_var : line; | |
|
213 | begin | |
|
214 | if sample_val'event and sample_val='1' then | |
|
215 | write(line_var,integer'image(TSTAMP) ); | |
|
216 | for I IN 0 TO 7 loop | |
|
217 | write(line_var, " " & integer'image(to_integer(signed(signal_gen(I))))); | |
|
218 | end loop; | |
|
219 | writeline(log_input,line_var); | |
|
220 | end if; | |
|
221 | end process; | |
|
200 | 222 | |
|
201 | IIR_CEL_TEST_1: IIR_CEL_TEST | |
|
202 | PORT MAP ( | |
|
203 | rstn => rstn, | |
|
204 | clk => clk, | |
|
205 | sample_in_val => sample_val, | |
|
206 | sample_in => sample_s, | |
|
207 | sample_out_val => sample_out_val, | |
|
208 | sample_out => sample_out_s); | |
|
223 | process(sample_f0_val) | |
|
224 | variable line_var : line; | |
|
225 | begin | |
|
226 | if sample_f0_val'event and sample_f0_val='1' then | |
|
227 | write(line_var,integer'image(TSTAMP) ); | |
|
228 | for I IN 0 TO 5 loop | |
|
229 | write(line_var, " " & integer'image(to_integer(signed(sample_f0(I))))); | |
|
230 | end loop; | |
|
231 | writeline(log_output_f0,line_var); | |
|
232 | end if; | |
|
233 | end process; | |
|
209 | 234 | |
|
210 | PROCESS (clk, rstn) | |
|
211 | BEGIN -- PROCESS | |
|
212 | IF rstn = '0' THEN -- asynchronous reset (active low) | |
|
213 | all_channel: FOR I IN 0 TO 7 LOOP | |
|
214 | all_bit: FOR J IN 0 TO 17 LOOP | |
|
215 | sample_out_s2(I,J) <= '0'; | |
|
216 | END LOOP all_bit; | |
|
217 | END LOOP all_channel; | |
|
218 | 235 | |
|
219 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |
|
220 | IF sample_out_val = '1' THEN | |
|
221 | sample_out_s2 <= sample_out_s; | |
|
222 | END IF; | |
|
223 | END IF; | |
|
224 | END PROCESS; | |
|
225 | ----------------------------------------------------------------------------- | |
|
226 | IIR_CEL_TEST_v3_1: IIR_CEL_TEST_v3 | |
|
227 | PORT MAP ( | |
|
228 | rstn => rstn, | |
|
229 | clk => clk, | |
|
230 | sample_in1_val => sample_val_v3, | |
|
231 | sample_in1 => sample_s_v3, | |
|
232 | sample_in2_val => sample_val_v3_2, | |
|
233 | sample_in2 => sample_s_v3, | |
|
234 | sample_out1_val => sample_out1_val, | |
|
235 | sample_out1 => sample_out1, | |
|
236 | sample_out2_val => sample_out2_val, | |
|
237 | sample_out2 => sample_out2); | |
|
236 | process(sample_f1_val) | |
|
237 | variable line_var : line; | |
|
238 | begin | |
|
239 | if sample_f1_val'event and sample_f1_val='1' then | |
|
240 | write(line_var,integer'image(TSTAMP) ); | |
|
241 | for I IN 0 TO 5 loop | |
|
242 | write(line_var, " " & integer'image(to_integer(signed(sample_f1(I))))); | |
|
243 | end loop; | |
|
244 | writeline(log_output_f1,line_var); | |
|
245 | end if; | |
|
246 | end process; | |
|
247 | ||
|
238 | 248 | |
|
239 | PROCESS (clk, rstn) | |
|
240 | BEGIN -- PROCESS | |
|
241 | IF rstn = '0' THEN -- asynchronous reset (active low) | |
|
249 | process(sample_f2_val) | |
|
250 | variable line_var : line; | |
|
251 | begin | |
|
252 | if sample_f2_val'event and sample_f2_val='1' then | |
|
253 | write(line_var,integer'image(TSTAMP) ); | |
|
254 | for I IN 0 TO 5 loop | |
|
255 | write(line_var, " " & integer'image(to_integer(signed(sample_f2(I))))); | |
|
256 | end loop; | |
|
257 | writeline(log_output_f2,line_var); | |
|
258 | end if; | |
|
259 | end process; | |
|
242 | 260 | |
|
243 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |
|
244 | IF sample_val = '1' THEN | |
|
245 | sample_s_v3 <= sample_s; | |
|
246 | END IF; | |
|
247 | sample_val_v3 <= sample_val; | |
|
248 | sample_val_v3_2 <= sample_val_2; | |
|
261 | process(sample_f3_val) | |
|
262 | variable line_var : line; | |
|
263 | begin | |
|
264 | if sample_f3_val'event and sample_f3_val='1' then | |
|
265 | write(line_var,integer'image(TSTAMP) ); | |
|
266 | for I IN 0 TO 5 loop | |
|
267 | write(line_var, " " & integer'image(to_integer(signed(sample_f3(I))))); | |
|
268 | end loop; | |
|
269 | writeline(log_output_f3,line_var); | |
|
270 | end if; | |
|
271 | end process; | |
|
249 | 272 | |
|
250 | IF sample_out1_val = '1' THEN | |
|
251 | sample_out1_reg <= sample_out1; | |
|
252 | END IF; | |
|
253 | IF sample_out2_val = '1' THEN | |
|
254 | sample_out2_reg <= sample_out2; | |
|
255 | END IF; | |
|
256 | END IF; | |
|
257 | ||
|
258 | END PROCESS; | |
|
259 | 273 | |
|
260 | 274 | |
|
261 | 275 |
@@ -71,6 +71,7 ARCHITECTURE ar_IIR_CEL_CTRLR_v2 OF IIR_ | |||
|
71 | 71 | virg_pos : IN INTEGER; |
|
72 | 72 | coefs : IN STD_LOGIC_VECTOR((Coef_SZ*Coef_Nb)-1 DOWNTO 0); |
|
73 | 73 | in_sel_src : IN STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
74 | init_mem_done : out STD_LOGIC; | |
|
74 | 75 | ram_sel_Wdata : IN STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
75 | 76 | ram_write : IN STD_LOGIC; |
|
76 | 77 | ram_read : IN STD_LOGIC; |
@@ -97,6 +98,7 ARCHITECTURE ar_IIR_CEL_CTRLR_v2 OF IIR_ | |||
|
97 | 98 | sample_in_rot : OUT STD_LOGIC; |
|
98 | 99 | sample_out_val : OUT STD_LOGIC; |
|
99 | 100 | sample_out_rot : OUT STD_LOGIC; |
|
101 | init_mem_done : in STD_LOGIC; --TODO | |
|
100 | 102 | in_sel_src : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
101 | 103 | ram_sel_Wdata : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
102 | 104 | ram_write : OUT STD_LOGIC; |
@@ -130,6 +132,8 ARCHITECTURE ar_IIR_CEL_CTRLR_v2 OF IIR_ | |||
|
130 | 132 | |
|
131 | 133 | SIGNAL sample_out_s2 : samplT(ChanelsCount-1 DOWNTO 0, Sample_SZ-1 DOWNTO 0); |
|
132 | 134 | |
|
135 | signal init_mem_done : std_logic; | |
|
136 | ||
|
133 | 137 | BEGIN |
|
134 | 138 | |
|
135 | 139 | IIR_CEL_CTRLR_v2_DATAFLOW_1 : IIR_CEL_CTRLR_v2_DATAFLOW |
@@ -147,6 +151,7 BEGIN | |||
|
147 | 151 | coefs => coefs, |
|
148 | 152 | --CTRL |
|
149 | 153 | in_sel_src => in_sel_src, |
|
154 | init_mem_done => init_mem_done, --TODO | |
|
150 | 155 | ram_sel_Wdata => ram_sel_Wdata, |
|
151 | 156 | ram_write => ram_write, |
|
152 | 157 | ram_read => ram_read, |
@@ -175,6 +180,8 BEGIN | |||
|
175 | 180 | sample_out_val => sample_out_val_s, |
|
176 | 181 | sample_out_rot => sample_out_rot_s, |
|
177 | 182 | |
|
183 | init_mem_done => init_mem_done, --TODO | |
|
184 | ||
|
178 | 185 | in_sel_src => in_sel_src, |
|
179 | 186 | ram_sel_Wdata => ram_sel_Wdata, |
|
180 | 187 | ram_write => ram_write, |
@@ -41,6 +41,8 ENTITY IIR_CEL_CTRLR_v2_CONTROL IS | |||
|
41 | 41 | sample_out_val : OUT STD_LOGIC; |
|
42 | 42 | sample_out_rot : OUT STD_LOGIC; |
|
43 | 43 | |
|
44 | init_mem_done : in STD_LOGIC; --TODO | |
|
45 | ||
|
44 | 46 |
|
|
45 | 47 | ram_sel_Wdata : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
46 | 48 | ram_write : OUT STD_LOGIC; |
@@ -117,7 +119,7 BEGIN | |||
|
117 | 119 | ram_sel_Wdata <= "00"; |
|
118 | 120 | ram_write <= '0'; |
|
119 | 121 | waddr_previous <= "00"; |
|
120 | IF sample_in_val = '1' THEN | |
|
122 | IF sample_in_val = '1' and init_mem_done = '1' THEN | |
|
121 | 123 | raddr_rst <= '0'; |
|
122 | 124 | alu_sel_input <= '1'; |
|
123 | 125 | ram_read <= '1'; |
@@ -312,4 +314,4 BEGIN | |||
|
312 | 314 | END IF; |
|
313 | 315 | END PROCESS; |
|
314 | 316 | |
|
315 | END ar_IIR_CEL_CTRLR_v2_CONTROL; No newline at end of file | |
|
317 | END ar_IIR_CEL_CTRLR_v2_CONTROL; |
@@ -46,6 +46,7 ENTITY IIR_CEL_CTRLR_v2_DATAFLOW IS | |||
|
46 | 46 | -- CONTROL |
|
47 | 47 | in_sel_src : IN STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
48 | 48 | -- |
|
49 | init_mem_done : out STD_LOGIC; | |
|
49 | 50 | ram_sel_Wdata : IN STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
50 | 51 | ram_write : IN STD_LOGIC; |
|
51 | 52 | ram_read : IN STD_LOGIC; |
@@ -73,6 +74,7 ARCHITECTURE ar_IIR_CEL_CTRLR_v2_DATAFLO | |||
|
73 | 74 | PORT ( |
|
74 | 75 | rstn : IN STD_LOGIC; |
|
75 | 76 | clk : IN STD_LOGIC; |
|
77 | init_mem_done : out STD_LOGIC; | |
|
76 | 78 | ram_write : IN STD_LOGIC; |
|
77 | 79 | ram_read : IN STD_LOGIC; |
|
78 | 80 | raddr_rst : IN STD_LOGIC; |
@@ -131,6 +133,7 BEGIN | |||
|
131 | 133 | PORT MAP ( |
|
132 | 134 | clk => clk, |
|
133 | 135 | rstn => rstn, |
|
136 | init_mem_done => init_mem_done, | |
|
134 | 137 | ram_write => ram_write, |
|
135 | 138 | ram_read => ram_read, |
|
136 | 139 | raddr_rst => raddr_rst, |
@@ -69,6 +69,7 ARCHITECTURE ar_IIR_CEL_CTRLR_v3 OF IIR_ | |||
|
69 | 69 | PORT ( |
|
70 | 70 | rstn : IN STD_LOGIC; |
|
71 | 71 | clk : IN STD_LOGIC; |
|
72 | init_mem_done : out STD_LOGIC; | |
|
72 | 73 | ram_write : IN STD_LOGIC; |
|
73 | 74 | ram_read : IN STD_LOGIC; |
|
74 | 75 | raddr_rst : IN STD_LOGIC; |
@@ -113,6 +114,9 ARCHITECTURE ar_IIR_CEL_CTRLR_v3 OF IIR_ | |||
|
113 | 114 | sample_in_rot : OUT STD_LOGIC; |
|
114 | 115 | sample_out_val : OUT STD_LOGIC; |
|
115 | 116 | sample_out_rot : OUT STD_LOGIC; |
|
117 | ||
|
118 | init_mem_done : in STD_LOGIC; --TODO | |
|
119 | ||
|
116 | 120 | in_sel_src : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
117 | 121 | ram_sel_Wdata : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
118 | 122 | ram_write : OUT STD_LOGIC; |
@@ -182,12 +186,14 ARCHITECTURE ar_IIR_CEL_CTRLR_v3 OF IIR_ | |||
|
182 | 186 | SIGNAL state_channel_selection : FSM_CHANNEL_SELECTION; |
|
183 | 187 | |
|
184 | 188 | --SIGNAL sample_out_zero : samplT(ChanelsCount-1 DOWNTO 0, Sample_SZ-1 DOWNTO 0); |
|
185 | ||
|
189 | signal init_mem_done : std_logic; | |
|
190 | signal init_mem_done_1 : std_logic; | |
|
191 | signal init_mem_done_2 : std_logic; | |
|
186 | 192 | BEGIN |
|
187 | 193 | |
|
188 | 194 | ----------------------------------------------------------------------------- |
|
189 | channel_val(0) <= sample_in1_val; | |
|
190 | channel_val(1) <= sample_in2_val; | |
|
195 | channel_val(0) <= sample_in1_val when init_mem_done = '1' else '0'; | |
|
196 | channel_val(1) <= sample_in2_val when init_mem_done = '1' else '0'; | |
|
191 | 197 | all_channel_input_valid : FOR I IN 1 DOWNTO 0 GENERATE |
|
192 | 198 | PROCESS (clk, rstn) |
|
193 | 199 | BEGIN -- PROCESS |
@@ -285,6 +291,8 BEGIN | |||
|
285 | 291 | raddr_add1_2 <= raddr_add1 WHEN CHANNEL_SEL = '1' ELSE '0'; |
|
286 | 292 | waddr_previous_2 <= waddr_previous WHEN CHANNEL_SEL = '1' ELSE "00"; |
|
287 | 293 | |
|
294 | init_mem_done <= init_mem_done_1 and init_mem_done_2; | |
|
295 | ||
|
288 | 296 |
|
|
289 | 297 | GENERIC MAP ( |
|
290 | 298 | tech => tech, |
@@ -293,6 +301,7 BEGIN | |||
|
293 | 301 | PORT MAP ( |
|
294 | 302 | clk => clk, |
|
295 | 303 | rstn => rstn, |
|
304 | init_mem_done => init_mem_done_1, | |
|
296 | 305 | ram_write => ram_write_1, |
|
297 | 306 | ram_read => ram_read_1, |
|
298 | 307 | raddr_rst => raddr_rst_1, |
@@ -309,6 +318,7 BEGIN | |||
|
309 | 318 | PORT MAP ( |
|
310 | 319 | clk => clk, |
|
311 | 320 | rstn => rstn, |
|
321 | init_mem_done => init_mem_done_2, | |
|
312 | 322 | ram_write => ram_write_2, |
|
313 | 323 | ram_read => ram_read_2, |
|
314 | 324 | raddr_rst => raddr_rst_2, |
@@ -359,6 +369,8 BEGIN | |||
|
359 | 369 | sample_out_val => sample_out_val_s, |
|
360 | 370 | sample_out_rot => sample_out_rot_s, |
|
361 | 371 | |
|
372 | init_mem_done => init_mem_done, | |
|
373 | ||
|
362 | 374 | in_sel_src => in_sel_src, |
|
363 | 375 | ram_sel_Wdata => ram_sel_Wdata, |
|
364 | 376 | ram_write => ram_write, |
@@ -38,6 +38,8 ENTITY RAM_CTRLR_v2 IS | |||
|
38 | 38 | PORT( |
|
39 | 39 | rstn : IN STD_LOGIC; |
|
40 | 40 | clk : IN STD_LOGIC; |
|
41 | -- ram init done | |
|
42 | init_mem_done: out STD_LOGIC; | |
|
41 | 43 | -- R/W Ctrl |
|
42 | 44 | ram_write : IN STD_LOGIC; |
|
43 | 45 | ram_read : IN STD_LOGIC; |
@@ -61,19 +63,23 ARCHITECTURE ar_RAM_CTRLR_v2 OF RAM_CTRL | |||
|
61 | 63 | SIGNAL WADDR : STD_LOGIC_VECTOR(7 DOWNTO 0); |
|
62 | 64 | SIGNAL counter : STD_LOGIC_VECTOR(7 DOWNTO 0); |
|
63 | 65 | |
|
66 | signal rst_mem_done_s : std_logic; | |
|
67 | signal ram_write_s : std_logic; | |
|
68 | ||
|
64 | 69 | BEGIN |
|
65 | 70 | |
|
66 | sample_out <= RD(Input_SZ_1-1 DOWNTO 0); | |
|
67 | WD(Input_SZ_1-1 DOWNTO 0) <= sample_in; | |
|
71 | init_mem_done <= rst_mem_done_s; | |
|
72 | ||
|
73 | sample_out <= RD(Input_SZ_1-1 DOWNTO 0) when rst_mem_done_s = '1' else (others => '0'); | |
|
74 | WD(Input_SZ_1-1 DOWNTO 0) <= sample_in when rst_mem_done_s = '1' else (others => '0'); | |
|
75 | ram_write_s <= ram_write when rst_mem_done_s = '1' else '1'; | |
|
68 | 76 | ----------------------------------------------------------------------------- |
|
69 | 77 | -- RAM |
|
70 | 78 | ----------------------------------------------------------------------------- |
|
71 | 79 | |
|
72 | 80 | memCEL : IF Mem_use = use_CEL GENERATE |
|
73 | WEN <= NOT ram_write; | |
|
81 | WEN <= NOT ram_write_s; | |
|
74 | 82 | REN <= NOT ram_read; |
|
75 | -- RAMblk : RAM_CEL_N | |
|
76 | -- GENERIC MAP(Input_SZ_1) | |
|
77 | 83 | RAMblk : RAM_CEL |
|
78 | 84 | GENERIC MAP(Input_SZ_1, 8) |
|
79 | 85 | PORT MAP( |
@@ -91,7 +97,7 BEGIN | |||
|
91 | 97 | memRAM : IF Mem_use = use_RAM GENERATE |
|
92 | 98 | SRAM : syncram_2p |
|
93 | 99 | GENERIC MAP(tech, 8, Input_SZ_1) |
|
94 | PORT MAP(clk, ram_read, RADDR, RD, clk, ram_write, WADDR, WD); | |
|
100 | PORT MAP(clk, ram_read, RADDR, RD, clk, ram_write_s, WADDR, WD); | |
|
95 | 101 | END GENERATE; |
|
96 | 102 | |
|
97 | 103 | ----------------------------------------------------------------------------- |
@@ -101,12 +107,21 BEGIN | |||
|
101 | 107 | BEGIN -- PROCESS |
|
102 | 108 | IF rstn = '0' THEN -- asynchronous reset (active low) |
|
103 | 109 | counter <= (OTHERS => '0'); |
|
110 | rst_mem_done_s <= '0'; | |
|
104 | 111 | ELSIF clk'EVENT AND clk = '1' THEN -- rising clock edge |
|
112 | if rst_mem_done_s = '0' then | |
|
113 | counter <= STD_LOGIC_VECTOR(UNSIGNED(counter)+1); | |
|
114 | else | |
|
105 | 115 | IF raddr_rst = '1' THEN |
|
106 | 116 | counter <= (OTHERS => '0'); |
|
107 | 117 | ELSIF raddr_add1 = '1' THEN |
|
108 | 118 | counter <= STD_LOGIC_VECTOR(UNSIGNED(counter)+1); |
|
109 | 119 | END IF; |
|
120 | end if; | |
|
121 | if counter = x"FF" then | |
|
122 | rst_mem_done_s <= '1'; | |
|
123 | end if; | |
|
124 | ||
|
110 | 125 |
|
|
111 | 126 | END PROCESS; |
|
112 | 127 | RADDR <= counter; |
@@ -114,7 +129,8 BEGIN | |||
|
114 | 129 | ----------------------------------------------------------------------------- |
|
115 | 130 | -- WADDR |
|
116 | 131 | ----------------------------------------------------------------------------- |
|
117 |
WADDR <= STD_LOGIC_VECTOR(UNSIGNED(counter) |
|
|
132 | WADDR <= STD_LOGIC_VECTOR(UNSIGNED(counter)) when rst_mem_done_s = '0' else | |
|
133 | STD_LOGIC_VECTOR(UNSIGNED(counter)-2) WHEN waddr_previous = "10" ELSE | |
|
118 | 134 | STD_LOGIC_VECTOR(UNSIGNED(counter)-1) WHEN waddr_previous = "01" ELSE |
|
119 | 135 | STD_LOGIC_VECTOR(UNSIGNED(counter)); |
|
120 | 136 |
General Comments 0
You need to be logged in to leave comments.
Login now