@@ -0,0 +1,122 | |||||
|
1 | set_io clk49_152MHz -pinname D5 -fixed yes -DIRECTION Inout | |||
|
2 | set_io clk100MHz -pinname B3 -fixed yes -DIRECTION Inout | |||
|
3 | set_io reset -pinname N18 -fixed yes -DIRECTION Inout | |||
|
4 | ||||
|
5 | set_io {address[0]} -pinname H16 -fixed yes -DIRECTION Inout | |||
|
6 | set_io {address[1]} -pinname J15 -fixed yes -DIRECTION Inout | |||
|
7 | set_io {address[2]} -pinname B18 -fixed yes -DIRECTION Inout | |||
|
8 | set_io {address[3]} -pinname C17 -fixed yes -DIRECTION Inout | |||
|
9 | set_io {address[4]} -pinname C18 -fixed yes -DIRECTION Inout | |||
|
10 | set_io {address[5]} -pinname U2 -fixed yes -DIRECTION Inout | |||
|
11 | set_io {address[6]} -pinname U3 -fixed yes -DIRECTION Inout | |||
|
12 | set_io {address[7]} -pinname R5 -fixed yes -DIRECTION Inout | |||
|
13 | set_io {address[8]} -pinname N11 -fixed yes -DIRECTION Inout | |||
|
14 | set_io {address[9]} -pinname R13 -fixed yes -DIRECTION Inout | |||
|
15 | set_io {address[10]} -pinname V13 -fixed yes -DIRECTION Inout | |||
|
16 | set_io {address[11]} -pinname U13 -fixed yes -DIRECTION Inout | |||
|
17 | set_io {address[12]} -pinname V15 -fixed yes -DIRECTION Inout | |||
|
18 | set_io {address[13]} -pinname V16 -fixed yes -DIRECTION Inout | |||
|
19 | set_io {address[14]} -pinname V17 -fixed yes -DIRECTION Inout | |||
|
20 | set_io {address[15]} -pinname N1 -fixed yes -DIRECTION Inout | |||
|
21 | set_io {address[16]} -pinname R3 -fixed yes -DIRECTION Inout | |||
|
22 | set_io {address[17]} -pinname P4 -fixed yes -DIRECTION Inout | |||
|
23 | set_io {address[18]} -pinname N3 -fixed yes -DIRECTION Inout | |||
|
24 | set_io {address[19]} -pinname M7 -fixed yes -DIRECTION Inout | |||
|
25 | ||||
|
26 | set_io {data[0]} -pinname P17 -fixed yes -DIRECTION Inout | |||
|
27 | set_io {data[1]} -pinname R18 -fixed yes -DIRECTION Inout | |||
|
28 | set_io {data[2]} -pinname T18 -fixed yes -DIRECTION Inout | |||
|
29 | set_io {data[3]} -pinname J13 -fixed yes -DIRECTION Inout | |||
|
30 | set_io {data[4]} -pinname T13 -fixed yes -DIRECTION Inout | |||
|
31 | set_io {data[5]} -pinname T12 -fixed yes -DIRECTION Inout | |||
|
32 | set_io {data[6]} -pinname R12 -fixed yes -DIRECTION Inout | |||
|
33 | set_io {data[7]} -pinname T11 -fixed yes -DIRECTION Inout | |||
|
34 | set_io {data[8]} -pinname N2 -fixed yes -DIRECTION Inout | |||
|
35 | set_io {data[9]} -pinname P1 -fixed yes -DIRECTION Inout | |||
|
36 | set_io {data[10]} -pinname R1 -fixed yes -DIRECTION Inout | |||
|
37 | set_io {data[11]} -pinname T1 -fixed yes -DIRECTION Inout | |||
|
38 | set_io {data[12]} -pinname M4 -fixed yes -DIRECTION Inout | |||
|
39 | set_io {data[13]} -pinname K1 -fixed yes -DIRECTION Inout | |||
|
40 | set_io {data[14]} -pinname J1 -fixed yes -DIRECTION Inout | |||
|
41 | set_io {data[15]} -pinname H1 -fixed yes -DIRECTION Inout | |||
|
42 | set_io {data[16]} -pinname H15 -fixed yes -DIRECTION Inout | |||
|
43 | set_io {data[17]} -pinname G15 -fixed yes -DIRECTION Inout | |||
|
44 | set_io {data[18]} -pinname H13 -fixed yes -DIRECTION Inout | |||
|
45 | set_io {data[19]} -pinname G12 -fixed yes -DIRECTION Inout | |||
|
46 | set_io {data[20]} -pinname V14 -fixed yes -DIRECTION Inout | |||
|
47 | set_io {data[21]} -pinname N9 -fixed yes -DIRECTION Inout | |||
|
48 | set_io {data[22]} -pinname M13 -fixed yes -DIRECTION Inout | |||
|
49 | set_io {data[23]} -pinname M15 -fixed yes -DIRECTION Inout | |||
|
50 | set_io {data[24]} -pinname J17 -fixed yes -DIRECTION Inout | |||
|
51 | set_io {data[25]} -pinname K15 -fixed yes -DIRECTION Inout | |||
|
52 | set_io {data[26]} -pinname J14 -fixed yes -DIRECTION Inout | |||
|
53 | set_io {data[27]} -pinname U18 -fixed yes -DIRECTION Inout | |||
|
54 | set_io {data[28]} -pinname H18 -fixed yes -DIRECTION Inout | |||
|
55 | set_io {data[29]} -pinname J18 -fixed yes -DIRECTION Inout | |||
|
56 | set_io {data[30]} -pinname G17 -fixed yes -DIRECTION Inout | |||
|
57 | set_io {data[31]} -pinname F18 -fixed yes -DIRECTION Inout | |||
|
58 | ||||
|
59 | set_io nSRAM_BE0 -pinname U12 -fixed yes -DIRECTION Inout | |||
|
60 | set_io nSRAM_BE1 -pinname K18 -fixed yes -DIRECTION Inout | |||
|
61 | set_io nSRAM_BE2 -pinname K12 -fixed yes -DIRECTION Inout | |||
|
62 | set_io nSRAM_BE3 -pinname F17 -fixed yes -DIRECTION Inout | |||
|
63 | set_io nSRAM_WE -pinname D18 -fixed yes -DIRECTION Inout | |||
|
64 | set_io nSRAM_CE -pinname M6 -fixed yes -DIRECTION Inout | |||
|
65 | set_io nSRAM_OE -pinname N12 -fixed yes -DIRECTION Inout | |||
|
66 | ||||
|
67 | set_io spw1_din -pinname D6 -fixed yes -DIRECTION Inout | |||
|
68 | set_io spw1_sin -pinname C6 -fixed yes -DIRECTION Inout | |||
|
69 | set_io spw1_dout -pinname C16 -fixed yes -DIRECTION Inout | |||
|
70 | set_io spw1_sout -pinname C4 -fixed yes -DIRECTION Inout | |||
|
71 | ||||
|
72 | set_io spw2_din -pinname E6 -fixed yes -DIRECTION Inout | |||
|
73 | set_io spw2_sin -pinname C15 -fixed yes -DIRECTION Inout | |||
|
74 | set_io spw2_dout -pinname B7 -fixed yes -DIRECTION Inout | |||
|
75 | set_io spw2_sout -pinname D7 -fixed yes -DIRECTION Inout | |||
|
76 | ||||
|
77 | set_io {led[0]} -pinname K17 -fixed yes -DIRECTION Inout | |||
|
78 | set_io {led[1]} -pinname L18 -fixed yes -DIRECTION Inout | |||
|
79 | set_io {led[2]} -pinname M17 -fixed yes -DIRECTION Inout | |||
|
80 | ||||
|
81 | set_io TAG1 -pinname J12 -fixed yes -DIRECTION Inout | |||
|
82 | set_io TAG2 -pinname K13 -fixed yes -DIRECTION Inout | |||
|
83 | set_io TAG3 -pinname L16 -fixed yes -DIRECTION Inout | |||
|
84 | set_io TAG4 -pinname L15 -fixed yes -DIRECTION Inout | |||
|
85 | #set_io TAG5 -pinname M16 -fixed yes -DIRECTION Inout | |||
|
86 | #set_io TAG6 -pinname L13 -fixed yes -DIRECTION Inout | |||
|
87 | #set_io TAG7 -pinname P6 -fixed yes -DIRECTION Inout | |||
|
88 | set_io TAG8 -pinname R6 -fixed yes -DIRECTION Inout | |||
|
89 | #set_io TAG9 -pinname T4 -fixed yes -DIRECTION Inout | |||
|
90 | ||||
|
91 | set_io bias_fail_sw -pinname A3 -fixed yes -DIRECTION Inout | |||
|
92 | ||||
|
93 | set_io {ADC_OEB_bar_CH[0]} -pinname A13 -fixed yes -DIRECTION Inout | |||
|
94 | set_io {ADC_OEB_bar_CH[1]} -pinname A14 -fixed yes -DIRECTION Inout | |||
|
95 | set_io {ADC_OEB_bar_CH[2]} -pinname A10 -fixed yes -DIRECTION Inout | |||
|
96 | set_io {ADC_OEB_bar_CH[3]} -pinname B10 -fixed yes -DIRECTION Inout | |||
|
97 | set_io {ADC_OEB_bar_CH[4]} -pinname B13 -fixed yes -DIRECTION Inout | |||
|
98 | set_io {ADC_OEB_bar_CH[5]} -pinname D13 -fixed yes -DIRECTION Inout | |||
|
99 | set_io {ADC_OEB_bar_CH[6]} -pinname A11 -fixed yes -DIRECTION Inout | |||
|
100 | set_io {ADC_OEB_bar_CH[7]} -pinname B12 -fixed yes -DIRECTION Inout | |||
|
101 | ||||
|
102 | set_io ADC_smpclk -pinname A15 -fixed yes -DIRECTION Inout | |||
|
103 | ||||
|
104 | set_io HK_smpclk -pinname R11 -fixed yes -DIRECTION Inout | |||
|
105 | set_io ADC_OEB_bar_HK -pinname D14 -fixed yes -DIRECTION Inout | |||
|
106 | set_io {HK_SEL[0]} -pinname A2 -fixed yes -DIRECTION Inout | |||
|
107 | set_io {HK_SEL[1]} -pinname C3 -fixed yes -DIRECTION Inout | |||
|
108 | ||||
|
109 | set_io {ADC_data[0]} -pinname A16 -fixed yes -DIRECTION Inout | |||
|
110 | set_io {ADC_data[1]} -pinname B16 -fixed yes -DIRECTION Inout | |||
|
111 | set_io {ADC_data[2]} -pinname A17 -fixed yes -DIRECTION Inout | |||
|
112 | set_io {ADC_data[3]} -pinname C12 -fixed yes -DIRECTION Inout | |||
|
113 | set_io {ADC_data[4]} -pinname B17 -fixed yes -DIRECTION Inout | |||
|
114 | set_io {ADC_data[5]} -pinname C13 -fixed yes -DIRECTION Inout | |||
|
115 | set_io {ADC_data[6]} -pinname D15 -fixed yes -DIRECTION Inout | |||
|
116 | set_io {ADC_data[7]} -pinname E15 -fixed yes -DIRECTION Inout | |||
|
117 | set_io {ADC_data[8]} -pinname D16 -fixed yes -DIRECTION Inout | |||
|
118 | set_io {ADC_data[9]} -pinname F16 -fixed yes -DIRECTION Inout | |||
|
119 | set_io {ADC_data[10]} -pinname F15 -fixed yes -DIRECTION Inout | |||
|
120 | set_io {ADC_data[11]} -pinname G16 -fixed yes -DIRECTION Inout | |||
|
121 | set_io {ADC_data[12]} -pinname F13 -fixed yes -DIRECTION Inout | |||
|
122 | set_io {ADC_data[13]} -pinname G13 -fixed yes -DIRECTION Inout |
@@ -0,0 +1,53 | |||||
|
1 | #GRLIB=../.. | |||
|
2 | VHDLIB=../.. | |||
|
3 | SCRIPTSDIR=$(VHDLIB)/scripts/ | |||
|
4 | GRLIB := $(shell sh $(VHDLIB)/scripts/lpp_relpath.sh) | |||
|
5 | TOP=leon3mp | |||
|
6 | BOARD=em-LeonLPP-A3PE3kL-v3-core1 | |||
|
7 | include $(GRLIB)/boards/$(BOARD)/Makefile.inc | |||
|
8 | DEVICE=$(PART)-$(PACKAGE)$(SPEED) | |||
|
9 | UCF=$(GRLIB)/boards/$(BOARD)/$(TOP).ucf | |||
|
10 | QSF=$(GRLIB)/boards/$(BOARD)/$(TOP).qsf | |||
|
11 | EFFORT=high | |||
|
12 | XSTOPT= | |||
|
13 | SYNPOPT="set_option -pipe 0; set_option -retiming 0; set_option -write_apr_constraint 0" | |||
|
14 | #VHDLSYNFILES=config.vhd ahbrom.vhd leon3mp.vhd | |||
|
15 | VHDLSYNFILES= | |||
|
16 | VHDLSIMFILES= tb.vhd | |||
|
17 | SIMTOP=testbench | |||
|
18 | #SDCFILE=$(GRLIB)/boards/$(BOARD)/synplify.sdc | |||
|
19 | #SDC=$(GRLIB)/boards/$(BOARD)/leon3mp.sdc | |||
|
20 | PDC=$(GRLIB)/boards/$(BOARD)/em-LeonLPP-A3PE3kL.pdc | |||
|
21 | BITGEN=$(GRLIB)/boards/$(BOARD)/default.ut | |||
|
22 | CLEAN=soft-clean | |||
|
23 | ||||
|
24 | TECHLIBS = proasic3e | |||
|
25 | ||||
|
26 | LIBSKIP = core1553bbc core1553brm core1553brt gr1553 corePCIF \ | |||
|
27 | tmtc openchip hynix ihp gleichmann micron usbhc | |||
|
28 | ||||
|
29 | DIRSKIP = b1553 pcif leon2 leon2ft crypto satcan ddr usb ata i2c \ | |||
|
30 | pci grusbhc haps slink ascs pwm coremp7 spi ac97 \ | |||
|
31 | ./amba_lcd_16x2_ctrlr \ | |||
|
32 | ./general_purpose/lpp_AMR \ | |||
|
33 | ./general_purpose/lpp_balise \ | |||
|
34 | ./general_purpose/lpp_delay \ | |||
|
35 | ./lpp_bootloader \ | |||
|
36 | ./lpp_cna \ | |||
|
37 | ./lpp_uart \ | |||
|
38 | ./lpp_usb \ | |||
|
39 | ./dsp/lpp_fft_rtax \ | |||
|
40 | ||||
|
41 | FILESKIP = i2cmst.vhd \ | |||
|
42 | APB_MULTI_DIODE.vhd \ | |||
|
43 | APB_MULTI_DIODE.vhd \ | |||
|
44 | Top_MatrixSpec.vhd \ | |||
|
45 | APB_FFT.vhd \ | |||
|
46 | lpp_lfr_apbreg.vhd \ | |||
|
47 | CoreFFT.vhd | |||
|
48 | ||||
|
49 | include $(GRLIB)/bin/Makefile | |||
|
50 | include $(GRLIB)/software/leon3/Makefile | |||
|
51 | ||||
|
52 | ################## project specific targets ########################## | |||
|
53 |
@@ -0,0 +1,23 | |||||
|
1 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_pkg.vhd | |||
|
2 | ||||
|
3 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic.vhd | |||
|
4 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_integrator.vhd | |||
|
5 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_downsampler.vhd | |||
|
6 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_comb.vhd | |||
|
7 | ||||
|
8 | ||||
|
9 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_lfr.vhd | |||
|
10 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_lfr_control.vhd | |||
|
11 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_lfr_add_sub.vhd | |||
|
12 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_lfr_address_gen.vhd | |||
|
13 | ||||
|
14 | vcom -quiet -93 -work work tb.vhd | |||
|
15 | ||||
|
16 | vsim work.testbench | |||
|
17 | ||||
|
18 | log -r * | |||
|
19 | ||||
|
20 | do wave_inout.do | |||
|
21 | ||||
|
22 | run -all | |||
|
23 |
@@ -0,0 +1,12 | |||||
|
1 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_pkg.vhd | |||
|
2 | vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_lfr_add_sub.vhd | |||
|
3 | ||||
|
4 | vcom -quiet -93 -work work tb_cic_lfr_add_sub.vhd | |||
|
5 | ||||
|
6 | vsim work.testbench | |||
|
7 | ||||
|
8 | log -r * | |||
|
9 | ||||
|
10 | do wave_add_sub.do | |||
|
11 | ||||
|
12 | run -all |
@@ -0,0 +1,10 | |||||
|
1 | vcom -quiet -93 -work work tb_calc.vhd | |||
|
2 | ||||
|
3 | vsim work.testbench | |||
|
4 | ||||
|
5 | log -r * | |||
|
6 | ||||
|
7 | do wave_calc.do | |||
|
8 | ||||
|
9 | run -all | |||
|
10 |
@@ -0,0 +1,10 | |||||
|
1 | vcom -quiet -93 -work work tb_calc.vhd | |||
|
2 | ||||
|
3 | vsim work.testbench | |||
|
4 | ||||
|
5 | log -r * | |||
|
6 | ||||
|
7 | do wave_calc.do | |||
|
8 | ||||
|
9 | run -all | |||
|
10 |
@@ -0,0 +1,238 | |||||
|
1 | ||||
|
2 | LIBRARY ieee; | |||
|
3 | USE ieee.std_logic_1164.ALL; | |||
|
4 | USE IEEE.MATH_REAL.ALL; | |||
|
5 | USE ieee.numeric_std.ALL; | |||
|
6 | ||||
|
7 | LIBRARY lpp; | |||
|
8 | USE lpp.cic_pkg.ALL; | |||
|
9 | USE lpp.data_type_pkg.ALL; | |||
|
10 | USE lpp.chirp_pkg.ALL; | |||
|
11 | ||||
|
12 | ENTITY testbench IS | |||
|
13 | END; | |||
|
14 | ||||
|
15 | ARCHITECTURE behav OF testbench IS | |||
|
16 | ||||
|
17 | SIGNAL clk : STD_LOGIC := '0'; | |||
|
18 | SIGNAL clk_24k : STD_LOGIC := '0'; | |||
|
19 | SIGNAL clk_24k_r : STD_LOGIC := '0'; | |||
|
20 | SIGNAL rstn : STD_LOGIC; | |||
|
21 | SIGNAL run : STD_LOGIC; | |||
|
22 | SIGNAL data_in : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
23 | SIGNAL data_gen : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
24 | SIGNAL data_in_v : sample_vector(5 DOWNTO 0,15 DOWNTO 0); | |||
|
25 | SIGNAL data_in_valid : STD_LOGIC; | |||
|
26 | ||||
|
27 | CONSTANT DATA_VALUE_0 : STD_LOGIC_VECTOR(15 DOWNTO 0) := X"7FFF"; | |||
|
28 | CONSTANT DATA_VALUE_1 : STD_LOGIC_VECTOR(15 DOWNTO 0) := X"FFFF"; | |||
|
29 | CONSTANT DATA_VALUE_2 : STD_LOGIC_VECTOR(15 DOWNTO 0) := X"8000"; | |||
|
30 | CONSTANT DATA_VALUE_3 : STD_LOGIC_VECTOR(15 DOWNTO 0) := X"0010"; | |||
|
31 | CONSTANT DATA_VALUE_4 : STD_LOGIC_VECTOR(15 DOWNTO 0) := X"0020"; | |||
|
32 | CONSTANT DATA_VALUE_5 : STD_LOGIC_VECTOR(15 DOWNTO 0) := X"0040"; | |||
|
33 | ||||
|
34 | SIGNAL data_in_0_s : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
35 | ||||
|
36 | SIGNAL data_in_0 : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
37 | SIGNAL data_in_1 : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
38 | SIGNAL data_in_2 : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
39 | SIGNAL data_in_3 : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
40 | SIGNAL data_in_4 : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
41 | SIGNAL data_in_5 : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
42 | ||||
|
43 | SIGNAL data_in_0_temp : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
44 | SIGNAL data_in_1_temp : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
45 | SIGNAL data_in_2_temp : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
46 | SIGNAL data_in_3_temp : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
47 | SIGNAL data_in_4_temp : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
48 | SIGNAL data_in_5_temp : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
49 | ||||
|
50 | BEGIN | |||
|
51 | ||||
|
52 | ||||
|
53 | ||||
|
54 | clk <= NOT clk AFTER 5 ns; | |||
|
55 | clk_24k <= NOT clk_24k AFTER 20345 ns; | |||
|
56 | ||||
|
57 | PROCESS (clk, rstn) | |||
|
58 | BEGIN -- PROCESS | |||
|
59 | IF rstn = '0' THEN -- asynchronous reset (active low) | |||
|
60 | data_in_valid <= '0'; | |||
|
61 | clk_24k_r <= '0'; | |||
|
62 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |||
|
63 | clk_24k_r <= clk_24k; | |||
|
64 | IF clk_24k = '1' AND clk_24k_r = '0' THEN | |||
|
65 | data_in_valid <= '1'; | |||
|
66 | ELSE | |||
|
67 | data_in_valid <= '0'; | |||
|
68 | END IF; | |||
|
69 | END IF; | |||
|
70 | END PROCESS; | |||
|
71 | ||||
|
72 | ||||
|
73 | PROCESS | |||
|
74 | BEGIN -- PROCESS | |||
|
75 | WAIT UNTIL clk = '1'; | |||
|
76 | rstn <= '0'; | |||
|
77 | run <= '0'; | |||
|
78 | ||||
|
79 | WAIT UNTIL clk = '1'; | |||
|
80 | rstn <= '1'; | |||
|
81 | WAIT UNTIL clk = '1'; | |||
|
82 | WAIT UNTIL clk = '1'; | |||
|
83 | run <= '1'; | |||
|
84 | WAIT UNTIL clk = '1'; | |||
|
85 | ||||
|
86 | ||||
|
87 | WAIT FOR 30 ms; | |||
|
88 | REPORT "*** END simulation ***" SEVERITY failure; | |||
|
89 | WAIT; | |||
|
90 | END PROCESS; | |||
|
91 | ----------------------------------------------------------------------------- | |||
|
92 | cic_lfr_1: cic_lfr | |||
|
93 | GENERIC MAP ( | |||
|
94 | tech => 0, | |||
|
95 | use_RAM_nCEL => 0) | |||
|
96 | PORT MAP ( | |||
|
97 | clk => clk, | |||
|
98 | rstn => rstn, | |||
|
99 | run => run, | |||
|
100 | data_in => data_in_v, | |||
|
101 | data_in_valid => data_in_valid, | |||
|
102 | data_out_16 => OPEN, | |||
|
103 | data_out_16_valid => OPEN, | |||
|
104 | data_out_256 => OPEN, | |||
|
105 | data_out_256_valid => OPEN); | |||
|
106 | ----------------------------------------------------------------------------- | |||
|
107 | all_bit: FOR J IN 15 DOWNTO 0 GENERATE | |||
|
108 | data_in_v(0,J) <= data_in_0(J); | |||
|
109 | data_in_v(1,J) <= data_in_1(J); | |||
|
110 | data_in_v(2,J) <= data_in_2(J); | |||
|
111 | data_in_v(3,J) <= data_in_3(J); | |||
|
112 | data_in_v(4,J) <= data_in_4(J); | |||
|
113 | data_in_v(5,J) <= data_in_5(J); | |||
|
114 | END GENERATE all_bit; | |||
|
115 | ----------------------------------------------------------------------------- | |||
|
116 | --chirp_gen: chirp | |||
|
117 | -- GENERIC MAP ( | |||
|
118 | -- LOW_FREQUENCY_LIMIT => 0, | |||
|
119 | -- HIGH_FREQUENCY_LIMIT => 1000, | |||
|
120 | -- NB_POINT_TO_GEN => 10000, | |||
|
121 | -- AMPLITUDE => 200, | |||
|
122 | -- NB_BITS => 16) | |||
|
123 | -- PORT MAP ( | |||
|
124 | -- clk => clk, | |||
|
125 | -- rstn => rstn, | |||
|
126 | -- run => run, | |||
|
127 | -- data_ack => data_in_valid, | |||
|
128 | -- data => data_in); | |||
|
129 | ||||
|
130 | PROCESS (clk, rstn) | |||
|
131 | BEGIN | |||
|
132 | IF rstn = '0' THEN | |||
|
133 | data_in_0_temp <= (OTHERS => '0'); | |||
|
134 | data_in_1_temp <= (OTHERS => '0'); | |||
|
135 | data_in_2_temp <= (OTHERS => '0'); | |||
|
136 | data_in_3_temp <= (OTHERS => '0'); | |||
|
137 | data_in_4_temp <= (OTHERS => '0'); | |||
|
138 | data_in_5_temp <= (OTHERS => '0'); | |||
|
139 | ELSIF clk'event AND clk = '1' THEN | |||
|
140 | IF data_in_valid = '1' THEN | |||
|
141 | data_in_0_temp <= DATA_VALUE_0; | |||
|
142 | data_in_1_temp <= DATA_VALUE_1; | |||
|
143 | data_in_2_temp <= DATA_VALUE_2; | |||
|
144 | data_in_3_temp <= DATA_VALUE_3; | |||
|
145 | data_in_4_temp <= DATA_VALUE_4; | |||
|
146 | data_in_5_temp <= DATA_VALUE_5; | |||
|
147 | END IF; | |||
|
148 | END IF; | |||
|
149 | END PROCESS; | |||
|
150 | --data_in_0 <= data_in_0_temp WHEN data_in_valid = '0' ELSE DATA_VALUE_0; | |||
|
151 | data_in_1 <= data_in_1_temp WHEN data_in_valid = '0' ELSE DATA_VALUE_1; | |||
|
152 | data_in_2 <= data_in_2_temp WHEN data_in_valid = '0' ELSE DATA_VALUE_2; | |||
|
153 | data_in_3 <= data_in_3_temp WHEN data_in_valid = '0' ELSE DATA_VALUE_3; | |||
|
154 | data_in_4 <= data_in_4_temp WHEN data_in_valid = '0' ELSE DATA_VALUE_4; | |||
|
155 | data_in_5 <= data_in_5_temp WHEN data_in_valid = '0' ELSE DATA_VALUE_5; | |||
|
156 | ||||
|
157 | ----------------------------------------------------------------------------- | |||
|
158 | chirp_gen: chirp | |||
|
159 | GENERIC MAP ( | |||
|
160 | LOW_FREQUENCY_LIMIT => 0, | |||
|
161 | HIGH_FREQUENCY_LIMIT => 1000, | |||
|
162 | NB_POINT_TO_GEN => 10000, | |||
|
163 | AMPLITUDE => 200, | |||
|
164 | NB_BITS => 16) | |||
|
165 | PORT MAP ( | |||
|
166 | clk => clk, | |||
|
167 | rstn => rstn, | |||
|
168 | run => run, | |||
|
169 | data_ack => data_in_valid, | |||
|
170 | data => data_in_0_s); | |||
|
171 | ||||
|
172 | ----------------------------------------------------------------------------- | |||
|
173 | ||||
|
174 | PROCESS (clk, rstn) | |||
|
175 | BEGIN -- PROCESS | |||
|
176 | IF rstn = '0' THEN -- asynchronous reset (active low) | |||
|
177 | data_in_0 <= (OTHERS => '0'); | |||
|
178 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |||
|
179 | IF data_in_valid = '1' THEN | |||
|
180 | data_in_0 <= data_in_0_s; | |||
|
181 | END IF; | |||
|
182 | END IF; | |||
|
183 | END PROCESS; | |||
|
184 | ||||
|
185 | ----------------------------------------------------------------------------- | |||
|
186 | cic_1: cic | |||
|
187 | GENERIC MAP ( | |||
|
188 | D_delay_number => 2, | |||
|
189 | S_stage_number => 3, | |||
|
190 | R_downsampling_decimation_factor => 16, | |||
|
191 | b_data_size => 16, | |||
|
192 | b_grow => 15) --16 #### log2(RD)*S | |||
|
193 | PORT MAP ( | |||
|
194 | clk => clk, | |||
|
195 | rstn => rstn, | |||
|
196 | run => run, | |||
|
197 | data_in => data_in_0_s, | |||
|
198 | data_in_valid => data_in_valid, | |||
|
199 | data_out => OPEN, | |||
|
200 | data_out_valid => OPEN); | |||
|
201 | ||||
|
202 | --cic_16: cic | |||
|
203 | -- GENERIC MAP ( | |||
|
204 | -- D_delay_number => 2, | |||
|
205 | -- S_stage_number => 3, | |||
|
206 | -- R_downsampling_decimation_factor => 16, | |||
|
207 | -- b_data_size => 16, | |||
|
208 | -- b_grow => 15) --16 #### log2(RD)*S | |||
|
209 | -- PORT MAP ( | |||
|
210 | -- clk => clk, | |||
|
211 | -- rstn => rstn, | |||
|
212 | -- run => run, | |||
|
213 | -- data_in => data_in_0_s, | |||
|
214 | -- data_in_valid => data_in_valid, | |||
|
215 | -- data_out => OPEN, | |||
|
216 | -- data_out_valid => OPEN); | |||
|
217 | ||||
|
218 | cic_256: cic | |||
|
219 | GENERIC MAP ( | |||
|
220 | D_delay_number => 2, | |||
|
221 | S_stage_number => 3, | |||
|
222 | R_downsampling_decimation_factor => 256, | |||
|
223 | b_data_size => 16, | |||
|
224 | b_grow => 27) --32 #### log2(RD)*S = log2(256*2)*3 | |||
|
225 | PORT MAP ( | |||
|
226 | clk => clk, | |||
|
227 | rstn => rstn, | |||
|
228 | run => run, | |||
|
229 | data_in => data_in_0_s, | |||
|
230 | data_in_valid => data_in_valid, | |||
|
231 | data_out => OPEN, | |||
|
232 | data_out_valid => OPEN); | |||
|
233 | ||||
|
234 | ||||
|
235 | ||||
|
236 | ||||
|
237 | ||||
|
238 | END; |
@@ -0,0 +1,124 | |||||
|
1 | LIBRARY ieee; | |||
|
2 | USE ieee.std_logic_1164.ALL; | |||
|
3 | USE ieee.numeric_std.ALL; | |||
|
4 | ||||
|
5 | LIBRARY lpp; | |||
|
6 | USE lpp.cic_pkg.ALL; | |||
|
7 | USE lpp.data_type_pkg.ALL; | |||
|
8 | USE lpp.chirp_pkg.ALL; | |||
|
9 | ||||
|
10 | ENTITY testbench IS | |||
|
11 | END; | |||
|
12 | ||||
|
13 | ARCHITECTURE behav OF testbench IS | |||
|
14 | ||||
|
15 | SIGNAL clk : STD_LOGIC := '0'; | |||
|
16 | SIGNAL rstn : STD_LOGIC; | |||
|
17 | ||||
|
18 | CONSTANT VECTOR_SIZE : INTEGER := 4*2; | |||
|
19 | SIGNAL VECTOR_1 : STD_LOGIC_VECTOR(VECTOR_SIZE-1 DOWNTO 0); | |||
|
20 | SIGNAL VECTOR_MIN : STD_LOGIC_VECTOR(VECTOR_SIZE-1 DOWNTO 0); | |||
|
21 | SIGNAL VECTOR_MAX : STD_LOGIC_VECTOR(VECTOR_SIZE-1 DOWNTO 0); | |||
|
22 | ||||
|
23 | SIGNAL all_done : STD_LOGIC; | |||
|
24 | SIGNAL all_ok : STD_LOGIC; | |||
|
25 | SIGNAL all_ok_E : STD_LOGIC; | |||
|
26 | ||||
|
27 | SIGNAL A : STD_LOGIC_VECTOR(VECTOR_SIZE-1 DOWNTO 0); | |||
|
28 | SIGNAL B : STD_LOGIC_VECTOR(VECTOR_SIZE-1 DOWNTO 0); | |||
|
29 | ||||
|
30 | SIGNAL C : STD_LOGIC_VECTOR(VECTOR_SIZE-1 DOWNTO 0); | |||
|
31 | ||||
|
32 | SIGNAL D_0 : STD_LOGIC_VECTOR(VECTOR_SIZE/2 DOWNTO 0); | |||
|
33 | SIGNAL D_1 : STD_LOGIC_VECTOR(VECTOR_SIZE/2-1 DOWNTO 0); | |||
|
34 | SIGNAL D : STD_LOGIC_VECTOR(VECTOR_SIZE-1 DOWNTO 0); | |||
|
35 | ||||
|
36 | SIGNAL E : STD_LOGIC_VECTOR(VECTOR_SIZE-1 DOWNTO 0); | |||
|
37 | ||||
|
38 | ||||
|
39 | BEGIN | |||
|
40 | VECTOR_1(0) <= '1'; | |||
|
41 | VECTOR_1(VECTOR_SIZE-1 DOWNTO 1) <= (OTHERS => '0') ; | |||
|
42 | ||||
|
43 | VECTOR_MIN(VECTOR_SIZE-1) <= '1'; | |||
|
44 | VECTOR_MIN(VECTOR_SIZE-2 DOWNTO 0) <= (OTHERS => '0') ; | |||
|
45 | VECTOR_MAX(VECTOR_SIZE-1) <= '0'; | |||
|
46 | VECTOR_MAX(VECTOR_SIZE-2 DOWNTO 0) <= (OTHERS => '1') ; | |||
|
47 | ||||
|
48 | clk <= NOT clk AFTER 5 ns; | |||
|
49 | ||||
|
50 | PROCESSD_0(VECTOR_SIZE/2) | |||
|
51 | BEGIN -- PROCESS | |||
|
52 | WAIT UNTIL clk = '1'; | |||
|
53 | rstn <= '0'; | |||
|
54 | WAIT UNTIL clk = '1'; | |||
|
55 | rstn <= '1'; | |||
|
56 | WAIT UNTIL clk = '1'; | |||
|
57 | ||||
|
58 | ||||
|
59 | WAIT FOR 2 ms; | |||
|
60 | REPORT "*** END simulation ***" SEVERITY failure; | |||
|
61 | WAIT; | |||
|
62 | END PROCESS; | |||
|
63 | ||||
|
64 | PROCESS (clk, rstn) | |||
|
65 | BEGIN -- PROCESS | |||
|
66 | IF rstn = '0' THEN -- asynchronous reset (active low) | |||
|
67 | A <= VECTOR_MIN; | |||
|
68 | B <= VECTOR_MIN; | |||
|
69 | all_done <= '0'; | |||
|
70 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |||
|
71 | all_done <= '0'; | |||
|
72 | IF A = VECTOR_MAX THEN | |||
|
73 | A <= VECTOR_MIN; | |||
|
74 | IF B = VECTOR_MAX THEN | |||
|
75 | B <= VECTOR_MIN; | |||
|
76 | all_done <= '1'; | |||
|
77 | ELSE | |||
|
78 | B <= STD_LOGIC_VECTOR(signed(B) + signed(VECTOR_1)); | |||
|
79 | END IF; | |||
|
80 | ELSE | |||
|
81 | A <= STD_LOGIC_VECTOR(signed(A) + signed(VECTOR_1)); | |||
|
82 | END IF; | |||
|
83 | END IF; | |||
|
84 | END PROCESS; | |||
|
85 | ||||
|
86 | ||||
|
87 | C <= STD_LOGIC_VECTOR(SIGNED(A) - SIGNED(B)); | |||
|
88 | ||||
|
89 | E <= STD_LOGIC_VECTOR(UNSIGNED(A) - UNSIGNED(B)); | |||
|
90 | ||||
|
91 | ||||
|
92 | ||||
|
93 | ||||
|
94 | D_0 <= STD_LOGIC_VECTOR(SIGNED('0'&A(VECTOR_SIZE/2-1 DOWNTO 0)) - SIGNED('0' & B(VECTOR_SIZE/2-1 DOWNTO 0))); | |||
|
95 | ||||
|
96 | D_1 <= STD_LOGIC_VECTOR( SIGNED(A(VECTOR_SIZE-1 DOWNTO VECTOR_SIZE/2)) | |||
|
97 | - SIGNED(B(VECTOR_SIZE-1 DOWNTO VECTOR_SIZE/2)) | |||
|
98 | - SIGNED(VECTOR_1(VECTOR_SIZE/2-1 DOWNTO 1) & D_0(VECTOR_SIZE/2) )); | |||
|
99 | ||||
|
100 | D <= D_1(VECTOR_SIZE/2-1 DOWNTO 0) & D_0(VECTOR_SIZE/2-1 DOWNTO 0); | |||
|
101 | ||||
|
102 | ||||
|
103 | PROCESS (clk, rstn) | |||
|
104 | BEGIN -- PROCESS | |||
|
105 | IF rstn = '0' THEN -- asynchronous reset (active low) | |||
|
106 | all_ok <= '1'; | |||
|
107 | all_ok_E <= '1'; | |||
|
108 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |||
|
109 | IF D = C THEN | |||
|
110 | all_ok <= '1'; | |||
|
111 | ELSE | |||
|
112 | all_ok <= '0'; | |||
|
113 | END IF; | |||
|
114 | ||||
|
115 | IF E = C THEN | |||
|
116 | all_ok_E <= '1'; | |||
|
117 | ELSE | |||
|
118 | all_ok_E <= '0'; | |||
|
119 | END IF; | |||
|
120 | END IF; | |||
|
121 | END PROCESS; | |||
|
122 | ||||
|
123 | END; | |||
|
124 |
@@ -0,0 +1,173 | |||||
|
1 | ||||
|
2 | LIBRARY ieee; | |||
|
3 | USE ieee.std_logic_1164.ALL; | |||
|
4 | USE IEEE.MATH_REAL.ALL; | |||
|
5 | USE ieee.numeric_std.ALL; | |||
|
6 | ||||
|
7 | LIBRARY lpp; | |||
|
8 | USE lpp.cic_pkg.ALL; | |||
|
9 | USE lpp.data_type_pkg.ALL; | |||
|
10 | USE lpp.chirp_pkg.ALL; | |||
|
11 | ||||
|
12 | ENTITY testbench IS | |||
|
13 | ||||
|
14 | END; | |||
|
15 | ||||
|
16 | ARCHITECTURE behav OF testbench IS | |||
|
17 | ||||
|
18 | SIGNAL clk : STD_LOGIC := '0'; | |||
|
19 | SIGNAL clk_24k : STD_LOGIC := '0'; | |||
|
20 | SIGNAL clk_24k_r : STD_LOGIC := '0'; | |||
|
21 | SIGNAL rstn : STD_LOGIC; | |||
|
22 | SIGNAL run : STD_LOGIC; | |||
|
23 | SIGNAL data_in : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
24 | SIGNAL data_in_v : sample_vector(5 DOWNTO 0,15 DOWNTO 0); | |||
|
25 | SIGNAL data_in_valid : STD_LOGIC; | |||
|
26 | ----------------------------------------------------------------------------- | |||
|
27 | CONSTANT CARRY : STD_LOGIC := '1'; | |||
|
28 | CONSTANT CARRY_NO : STD_LOGIC := '0'; | |||
|
29 | CONSTANT ADD : STD_LOGIC := '0'; | |||
|
30 | CONSTANT SUB : STD_LOGIC := '1'; | |||
|
31 | SIGNAL OP : STD_LOGIC; | |||
|
32 | SIGNAL OP_0 : STD_LOGIC_VECTOR(1 DOWNTO 0); | |||
|
33 | SIGNAL OP_1 : STD_LOGIC_VECTOR(1 DOWNTO 0); | |||
|
34 | SIGNAL data_out_verif : STD_LOGIC_VECTOR(31 DOWNTO 0); | |||
|
35 | SIGNAL data_out_verif_s : STD_LOGIC_VECTOR(32 DOWNTO 0); | |||
|
36 | SIGNAL data_in_A_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); | |||
|
37 | SIGNAL data_in_B_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); | |||
|
38 | SIGNAL data_in_A : STD_LOGIC_VECTOR(31 DOWNTO 0); | |||
|
39 | SIGNAL data_in_B : STD_LOGIC_VECTOR(31 DOWNTO 0); | |||
|
40 | SIGNAL data_out_s : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
41 | SIGNAL data_out : STD_LOGIC_VECTOR(31 DOWNTO 0); | |||
|
42 | SIGNAL data_out_pre : STD_LOGIC_VECTOR(31 DOWNTO 0); | |||
|
43 | SIGNAL data_out_diff : STD_LOGIC_VECTOR(31 DOWNTO 0); | |||
|
44 | SIGNAL data_out_Carry : STD_LOGIC; | |||
|
45 | ||||
|
46 | SIGNAL COUNTER_A : INTEGER; | |||
|
47 | SIGNAL COUNTER_B : INTEGER; | |||
|
48 | CONSTANT COUNTER_MIN : INTEGER := INTEGER'LOW; | |||
|
49 | CONSTANT COUNTER_MAX : INTEGER := INTEGER'HIGH; | |||
|
50 | CONSTANT COUNTER_STEP : INTEGER := INTEGER'HIGH/100; | |||
|
51 | ||||
|
52 | SIGNAL ALL_is_OK : STD_LOGIC; | |||
|
53 | BEGIN | |||
|
54 | ||||
|
55 | clk <= NOT clk AFTER 5 ns; | |||
|
56 | ||||
|
57 | ----------------------------------------------------------------------------- | |||
|
58 | PROCESS | |||
|
59 | BEGIN -- PROCESS | |||
|
60 | WAIT UNTIL clk = '1'; | |||
|
61 | rstn <= '0'; | |||
|
62 | run <= '0'; | |||
|
63 | WAIT UNTIL clk = '1'; | |||
|
64 | rstn <= '1'; | |||
|
65 | WAIT UNTIL clk = '1'; | |||
|
66 | WAIT UNTIL clk = '1'; | |||
|
67 | run <= '1'; | |||
|
68 | WAIT UNTIL clk = '1'; | |||
|
69 | OP <= ADD; | |||
|
70 | WAIT FOR 500 us; | |||
|
71 | OP <= SUB; | |||
|
72 | WAIT FOR 500 us; | |||
|
73 | REPORT "*** END simulation ***" SEVERITY failure; | |||
|
74 | WAIT; | |||
|
75 | END PROCESS; | |||
|
76 | ||||
|
77 | PROCESS (clk, rstn) | |||
|
78 | BEGIN -- PROCESS | |||
|
79 | IF rstn = '0' THEN -- asynchronous reset (active low) | |||
|
80 | COUNTER_A <= COUNTER_MIN; | |||
|
81 | COUNTER_B <= COUNTER_MIN; | |||
|
82 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |||
|
83 | IF COUNTER_A < COUNTER_MAX - COUNTER_STEP THEN | |||
|
84 | COUNTER_A <= COUNTER_A + COUNTER_STEP; | |||
|
85 | ELSE | |||
|
86 | COUNTER_A <= COUNTER_MIN; | |||
|
87 | IF COUNTER_B < COUNTER_MAX - COUNTER_STEP THEN | |||
|
88 | COUNTER_B <= COUNTER_B + COUNTER_STEP; | |||
|
89 | ELSE | |||
|
90 | COUNTER_B <= COUNTER_MIN; | |||
|
91 | END IF; | |||
|
92 | END IF; | |||
|
93 | END IF; | |||
|
94 | END PROCESS; | |||
|
95 | ||||
|
96 | data_in_A <= STD_LOGIC_VECTOR(to_signed(COUNTER_A,32)); | |||
|
97 | data_in_B <= STD_LOGIC_VECTOR(to_signed(COUNTER_B,32)); | |||
|
98 | ||||
|
99 | ----------------------------------------------------------------------------- | |||
|
100 | OP_0 <= CARRY_NO & OP; | |||
|
101 | OP_1 <= CARRY & OP; | |||
|
102 | cic_lfr_add_sub_1: cic_lfr_add_sub | |||
|
103 | PORT MAP ( | |||
|
104 | clk => clk, | |||
|
105 | rstn => rstn, | |||
|
106 | run => run, | |||
|
107 | OP => OP_0, | |||
|
108 | data_in_A => data_in_A(15 DOWNTO 0), | |||
|
109 | data_in_B => data_in_B(15 DOWNTO 0), | |||
|
110 | data_in_Carry => '0', | |||
|
111 | data_out => data_out_s, | |||
|
112 | data_out_Carry => data_out_Carry); | |||
|
113 | ||||
|
114 | PROCESS (clk, rstn) | |||
|
115 | BEGIN -- PROCESS | |||
|
116 | IF rstn = '0' THEN -- asynchronous reset (active low) | |||
|
117 | data_in_A_reg <= (OTHERS => '0'); | |||
|
118 | data_in_B_reg <= (OTHERS => '0'); | |||
|
119 | data_out(15 DOWNTO 0) <= (OTHERS => '0'); | |||
|
120 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |||
|
121 | data_in_A_reg <= data_in_A; | |||
|
122 | data_in_B_reg <= data_in_B; | |||
|
123 | data_out(15 DOWNTO 0) <= data_out_s; | |||
|
124 | END IF; | |||
|
125 | END PROCESS; | |||
|
126 | ||||
|
127 | cic_lfr_add_sub_2: cic_lfr_add_sub | |||
|
128 | PORT MAP ( | |||
|
129 | clk => clk, | |||
|
130 | rstn => rstn, | |||
|
131 | run => run, | |||
|
132 | OP => OP_1, | |||
|
133 | data_in_A => data_in_A_reg(31 DOWNTO 16), | |||
|
134 | data_in_B => data_in_B_reg(31 DOWNTO 16), | |||
|
135 | data_in_Carry => data_out_Carry, | |||
|
136 | data_out => data_out(31 DOWNTO 16), | |||
|
137 | data_out_Carry => OPEN); | |||
|
138 | ----------------------------------------------------------------------------- | |||
|
139 | data_out_verif_s <= STD_LOGIC_VECTOR(to_signed(to_integer(SIGNED(data_in_A_reg)) + to_integer(SIGNED(data_in_B_reg)),33)) WHEN OP = ADD ELSE | |||
|
140 | STD_LOGIC_VECTOR(to_signed(to_integer(SIGNED(data_in_A_reg)) - to_integer(SIGNED(data_in_B_reg)),33)); | |||
|
141 | PROCESS (clk, rstn) | |||
|
142 | BEGIN -- PROCESS | |||
|
143 | IF rstn = '0' THEN -- asynchronous reset (active low) | |||
|
144 | data_out_verif <= (OTHERS => '0'); | |||
|
145 | ALL_is_OK <= '0'; | |||
|
146 | data_out_pre <= (OTHERS => '0'); | |||
|
147 | data_out_diff <= (OTHERS => '0'); | |||
|
148 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |||
|
149 | data_out_verif <= data_out_verif_s(31 DOWNTO 0); | |||
|
150 | IF data_out_verif = data_out THEN | |||
|
151 | ALL_is_OK <= '1'; | |||
|
152 | ELSE | |||
|
153 | ALL_is_OK <= '0'; | |||
|
154 | END IF; | |||
|
155 | ------------------------------------------------------------------------- | |||
|
156 | data_out_pre <= data_out; | |||
|
157 | IF OP = ADD THEN | |||
|
158 | data_out_diff <= STD_LOGIC_VECTOR(to_signed(to_integer(SIGNED(data_out)) - to_integer(SIGNED(data_out_pre) ),32)); | |||
|
159 | ELSE | |||
|
160 | data_out_diff <= STD_LOGIC_VECTOR(to_signed(to_integer(SIGNED(data_out)) - to_integer(SIGNED(data_out_pre) ),32)); | |||
|
161 | END IF; | |||
|
162 | END IF; | |||
|
163 | END PROCESS; | |||
|
164 | ----------------------------------------------------------------------------- | |||
|
165 | ||||
|
166 | ||||
|
167 | ||||
|
168 | ||||
|
169 | ||||
|
170 | ||||
|
171 | ||||
|
172 | ||||
|
173 | END; |
@@ -0,0 +1,130 | |||||
|
1 | onerror {resume} | |||
|
2 | quietly WaveActivateNextPane {} 0 | |||
|
3 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group out -radix hexadecimal /testbench/cic_1/data_out_valid | |||
|
4 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group out -radix decimal /testbench/cic_1/data_out | |||
|
5 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group in -radix decimal /testbench/cic_1/data_in | |||
|
6 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group in -radix hexadecimal /testbench/cic_1/data_in_valid | |||
|
7 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group internal -expand -group int -radix hexadecimal -childformat {{/testbench/cic_1/I_data(3) -radix hexadecimal} {/testbench/cic_1/I_data(2) -radix hexadecimal} {/testbench/cic_1/I_data(1) -radix hexadecimal} {/testbench/cic_1/I_data(0) -radix hexadecimal}} -expand -subitemconfig {/testbench/cic_1/I_data(3) {-radix hexadecimal} /testbench/cic_1/I_data(2) {-radix hexadecimal} /testbench/cic_1/I_data(1) {-radix hexadecimal} /testbench/cic_1/I_data(0) {-radix hexadecimal}} /testbench/cic_1/I_data | |||
|
8 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group internal -expand -group int -radix hexadecimal /testbench/cic_1/I_valid | |||
|
9 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group internal -expand -group COMB_1 -radix decimal /testbench/cic_1/all_C(1)/cic_comb_1/data_in | |||
|
10 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group internal -expand -group COMB_1 -radix decimal /testbench/cic_1/all_C(1)/cic_comb_1/data_in_valid | |||
|
11 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group internal -expand -group COMB_1 -radix decimal -childformat {{/testbench/cic_1/all_C(1)/cic_comb_1/data_reg(2) -radix decimal} {/testbench/cic_1/all_C(1)/cic_comb_1/data_reg(1) -radix decimal} {/testbench/cic_1/all_C(1)/cic_comb_1/data_reg(0) -radix decimal}} -expand -subitemconfig {/testbench/cic_1/all_C(1)/cic_comb_1/data_reg(2) {-radix decimal} /testbench/cic_1/all_C(1)/cic_comb_1/data_reg(1) {-radix decimal} /testbench/cic_1/all_C(1)/cic_comb_1/data_reg(0) {-radix decimal}} /testbench/cic_1/all_C(1)/cic_comb_1/data_reg | |||
|
12 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group internal -expand -group COMB_1 -radix decimal /testbench/cic_1/all_C(1)/cic_comb_1/data_out_valid | |||
|
13 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group internal -expand -group COMB_1 -radix decimal /testbench/cic_1/all_C(1)/cic_comb_1/data_out | |||
|
14 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group internal -expand -group comb -radix hexadecimal -childformat {{/testbench/cic_1/C_data(3) -radix hexadecimal} {/testbench/cic_1/C_data(2) -radix hexadecimal} {/testbench/cic_1/C_data(1) -radix hexadecimal} {/testbench/cic_1/C_data(0) -radix hexadecimal}} -expand -subitemconfig {/testbench/cic_1/C_data(3) {-radix hexadecimal} /testbench/cic_1/C_data(2) {-radix hexadecimal} /testbench/cic_1/C_data(1) {-radix hexadecimal} /testbench/cic_1/C_data(0) {-radix hexadecimal}} /testbench/cic_1/C_data | |||
|
15 | add wave -noupdate -expand -group TEMP -expand -group CIC -expand -group internal -expand -group comb -radix hexadecimal /testbench/cic_1/C_valid | |||
|
16 | add wave -noupdate -expand -group C16_REG -group C0 -expand -group C0_0 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(9) | |||
|
17 | add wave -noupdate -expand -group C16_REG -group C0 -expand -group C0_0 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(12) | |||
|
18 | add wave -noupdate -expand -group C16_REG -group C0 -expand -group C0_1 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(15) | |||
|
19 | add wave -noupdate -expand -group C16_REG -group C0 -expand -group C0_1 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(18) | |||
|
20 | add wave -noupdate -expand -group C16_REG -group C0 -radix hexadecimal /testbench/cic_1/C_data(0) | |||
|
21 | add wave -noupdate -expand -group C16_REG -group C1 -expand -group C1_0 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(10) | |||
|
22 | add wave -noupdate -expand -group C16_REG -group C1 -expand -group C1_0 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(13) | |||
|
23 | add wave -noupdate -expand -group C16_REG -group C1 -expand -group C1_1 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(16) | |||
|
24 | add wave -noupdate -expand -group C16_REG -group C1 -expand -group C1_1 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(19) | |||
|
25 | add wave -noupdate -expand -group C16_REG -group C1 -radix hexadecimal /testbench/cic_1/C_data(1) | |||
|
26 | add wave -noupdate -expand -group C16_REG -group C2 -expand -group C2_0 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(11) | |||
|
27 | add wave -noupdate -expand -group C16_REG -group C2 -expand -group C2_0 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(14) | |||
|
28 | add wave -noupdate -expand -group C16_REG -group C2 -expand -group C2_1 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(17) | |||
|
29 | add wave -noupdate -expand -group C16_REG -group C2 -expand -group C2_1 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(20) | |||
|
30 | add wave -noupdate -expand -group C16_REG -group C2 -radix hexadecimal /testbench/cic_1/C_data(2) | |||
|
31 | add wave -noupdate -expand -group C16_REG -expand -group {C3 - OUTPUT} -radix decimal -childformat {{/testbench/cic_lfr_1/sample_out_reg16_s(5) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(3) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(2) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(1) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(0) -radix hexadecimal}} -expand -subitemconfig {/testbench/cic_lfr_1/sample_out_reg16_s(5) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(3) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(2) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(1) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(0) {-height 15 -radix hexadecimal}} /testbench/cic_lfr_1/sample_out_reg16_s | |||
|
32 | add wave -noupdate -expand -group C16_REG -expand -group {C3 - OUTPUT} -radix hexadecimal -childformat {{/testbench/cic_1/C_data(3)(31) -radix hexadecimal} {/testbench/cic_1/C_data(3)(30) -radix hexadecimal} {/testbench/cic_1/C_data(3)(29) -radix hexadecimal} {/testbench/cic_1/C_data(3)(28) -radix hexadecimal} {/testbench/cic_1/C_data(3)(27) -radix hexadecimal} {/testbench/cic_1/C_data(3)(26) -radix hexadecimal} {/testbench/cic_1/C_data(3)(25) -radix hexadecimal} {/testbench/cic_1/C_data(3)(24) -radix hexadecimal} {/testbench/cic_1/C_data(3)(23) -radix hexadecimal} {/testbench/cic_1/C_data(3)(22) -radix hexadecimal} {/testbench/cic_1/C_data(3)(21) -radix hexadecimal} {/testbench/cic_1/C_data(3)(20) -radix hexadecimal} {/testbench/cic_1/C_data(3)(19) -radix hexadecimal} {/testbench/cic_1/C_data(3)(18) -radix hexadecimal} {/testbench/cic_1/C_data(3)(17) -radix hexadecimal} {/testbench/cic_1/C_data(3)(16) -radix hexadecimal} {/testbench/cic_1/C_data(3)(15) -radix hexadecimal} {/testbench/cic_1/C_data(3)(14) -radix hexadecimal} {/testbench/cic_1/C_data(3)(13) -radix hexadecimal} {/testbench/cic_1/C_data(3)(12) -radix hexadecimal} {/testbench/cic_1/C_data(3)(11) -radix hexadecimal} {/testbench/cic_1/C_data(3)(10) -radix hexadecimal} {/testbench/cic_1/C_data(3)(9) -radix hexadecimal} {/testbench/cic_1/C_data(3)(8) -radix hexadecimal} {/testbench/cic_1/C_data(3)(7) -radix hexadecimal} {/testbench/cic_1/C_data(3)(6) -radix hexadecimal} {/testbench/cic_1/C_data(3)(5) -radix hexadecimal} {/testbench/cic_1/C_data(3)(4) -radix hexadecimal} {/testbench/cic_1/C_data(3)(3) -radix hexadecimal} {/testbench/cic_1/C_data(3)(2) -radix hexadecimal} {/testbench/cic_1/C_data(3)(1) -radix hexadecimal} {/testbench/cic_1/C_data(3)(0) -radix hexadecimal}} -subitemconfig {/testbench/cic_1/C_data(3)(31) {-radix hexadecimal} /testbench/cic_1/C_data(3)(30) {-radix hexadecimal} /testbench/cic_1/C_data(3)(29) {-radix hexadecimal} /testbench/cic_1/C_data(3)(28) {-radix hexadecimal} /testbench/cic_1/C_data(3)(27) {-radix hexadecimal} /testbench/cic_1/C_data(3)(26) {-radix hexadecimal} /testbench/cic_1/C_data(3)(25) {-radix hexadecimal} /testbench/cic_1/C_data(3)(24) {-radix hexadecimal} /testbench/cic_1/C_data(3)(23) {-radix hexadecimal} /testbench/cic_1/C_data(3)(22) {-radix hexadecimal} /testbench/cic_1/C_data(3)(21) {-radix hexadecimal} /testbench/cic_1/C_data(3)(20) {-radix hexadecimal} /testbench/cic_1/C_data(3)(19) {-radix hexadecimal} /testbench/cic_1/C_data(3)(18) {-radix hexadecimal} /testbench/cic_1/C_data(3)(17) {-radix hexadecimal} /testbench/cic_1/C_data(3)(16) {-radix hexadecimal} /testbench/cic_1/C_data(3)(15) {-radix hexadecimal} /testbench/cic_1/C_data(3)(14) {-radix hexadecimal} /testbench/cic_1/C_data(3)(13) {-radix hexadecimal} /testbench/cic_1/C_data(3)(12) {-radix hexadecimal} /testbench/cic_1/C_data(3)(11) {-radix hexadecimal} /testbench/cic_1/C_data(3)(10) {-radix hexadecimal} /testbench/cic_1/C_data(3)(9) {-radix hexadecimal} /testbench/cic_1/C_data(3)(8) {-radix hexadecimal} /testbench/cic_1/C_data(3)(7) {-radix hexadecimal} /testbench/cic_1/C_data(3)(6) {-radix hexadecimal} /testbench/cic_1/C_data(3)(5) {-radix hexadecimal} /testbench/cic_1/C_data(3)(4) {-radix hexadecimal} /testbench/cic_1/C_data(3)(3) {-radix hexadecimal} /testbench/cic_1/C_data(3)(2) {-radix hexadecimal} /testbench/cic_1/C_data(3)(1) {-radix hexadecimal} /testbench/cic_1/C_data(3)(0) {-radix hexadecimal}} /testbench/cic_1/C_data(3) | |||
|
33 | add wave -noupdate -group Literal -radix hexadecimal /testbench/cic_1/C_data(0) | |||
|
34 | add wave -noupdate -group I_REG -radix hexadecimal /testbench/cic_1/I_data(1) | |||
|
35 | add wave -noupdate -group I_REG -expand -group I0 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(0) | |||
|
36 | add wave -noupdate -group I_REG -expand -group I0 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(3) | |||
|
37 | add wave -noupdate -group I_REG -expand -group I0 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(6) | |||
|
38 | add wave -noupdate -group I_REG -radix hexadecimal /testbench/cic_1/I_data(2) | |||
|
39 | add wave -noupdate -group I_REG -expand -group I1 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(1) | |||
|
40 | add wave -noupdate -group I_REG -expand -group I1 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(4) | |||
|
41 | add wave -noupdate -group I_REG -expand -group I1 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(7) | |||
|
42 | add wave -noupdate -group I_REG -radix hexadecimal /testbench/cic_1/I_data(3) | |||
|
43 | add wave -noupdate -group I_REG -expand -group I2 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(2) | |||
|
44 | add wave -noupdate -group I_REG -expand -group I2 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(5) | |||
|
45 | add wave -noupdate -group I_REG -expand -group I2 -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(8) | |||
|
46 | add wave -noupdate -group OLD -divider DEBUG | |||
|
47 | add wave -noupdate -group OLD -group CONTROL -radix hexadecimal /testbench/cic_lfr_1/cic_lfr_control_1/current_channel | |||
|
48 | add wave -noupdate -group OLD -group CONTROL -radix hexadecimal /testbench/cic_lfr_1/cic_lfr_control_1/STATE_CIC_LFR | |||
|
49 | add wave -noupdate -group OLD -group CONTROL -radix hexadecimal /testbench/cic_lfr_1/cic_lfr_control_1/OPERATION | |||
|
50 | add wave -noupdate -group OLD -group CONTROL -radix hexadecimal /testbench/cic_lfr_1/cic_lfr_control_1/current_cmd | |||
|
51 | add wave -noupdate -group OLD -group INPUT -radix hexadecimal -childformat {{/testbench/cic_lfr_1/data_in(5) -radix hexadecimal} {/testbench/cic_lfr_1/data_in(4) -radix hexadecimal} {/testbench/cic_lfr_1/data_in(3) -radix hexadecimal} {/testbench/cic_lfr_1/data_in(2) -radix hexadecimal} {/testbench/cic_lfr_1/data_in(1) -radix hexadecimal} {/testbench/cic_lfr_1/data_in(0) -radix hexadecimal}} -expand -subitemconfig {/testbench/cic_lfr_1/data_in(5) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_in(4) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_in(3) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_in(2) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_in(1) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_in(0) {-height 15 -radix hexadecimal}} /testbench/cic_lfr_1/data_in | |||
|
52 | add wave -noupdate -group OLD -group INPUT -radix hexadecimal /testbench/cic_lfr_1/data_in_valid | |||
|
53 | add wave -noupdate -group OLD -group SEL_INPUT -radix unsigned -childformat {{/testbench/cic_lfr_1/sel_sample(2) -radix unsigned} {/testbench/cic_lfr_1/sel_sample(1) -radix unsigned} {/testbench/cic_lfr_1/sel_sample(0) -radix unsigned}} -expand -subitemconfig {/testbench/cic_lfr_1/sel_sample(2) {-height 15 -radix unsigned} /testbench/cic_lfr_1/sel_sample(1) {-height 15 -radix unsigned} /testbench/cic_lfr_1/sel_sample(0) {-height 15 -radix unsigned}} /testbench/cic_lfr_1/sel_sample | |||
|
54 | add wave -noupdate -group OLD -group SEL_INPUT -radix hexadecimal /testbench/cic_lfr_1/sample | |||
|
55 | add wave -noupdate -group OLD -group ALU -radix unsigned -radixenum symbolic /testbench/cic_lfr_1/ALU/OP | |||
|
56 | add wave -noupdate -group OLD -group ALU -expand -group INPUT -radix hexadecimal /testbench/cic_lfr_1/ALU/data_in_A | |||
|
57 | add wave -noupdate -group OLD -group ALU -expand -group INPUT -radix hexadecimal /testbench/cic_lfr_1/ALU/data_in_B | |||
|
58 | add wave -noupdate -group OLD -group ALU -expand -group INPUT /testbench/cic_lfr_1/ALU/data_in_Carry | |||
|
59 | add wave -noupdate -group OLD -group ALU -expand -group OUTPUT /testbench/cic_lfr_1/ALU/data_out_Carry | |||
|
60 | add wave -noupdate -group OLD -group ALU -expand -group OUTPUT -radix hexadecimal /testbench/cic_lfr_1/ALU/data_out | |||
|
61 | add wave -noupdate -group OLD -group RAM -radix hexadecimal -childformat {{/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(0) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(1) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(2) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(3) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(4) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(5) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(6) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(7) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(8) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(9) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(10) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(11) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(12) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(13) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(14) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(15) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(16) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(17) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(18) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(19) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(20) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(21) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(22) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(23) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(24) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(25) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(26) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(27) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(28) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(29) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(30) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(31) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(32) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(33) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(34) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(35) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(36) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(37) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(38) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(39) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(40) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(41) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(42) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(43) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(44) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(45) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(46) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(47) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(48) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(49) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(50) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(51) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(52) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(53) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(54) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(55) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(56) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(57) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(58) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(59) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(60) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(61) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(62) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(63) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(64) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(65) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(66) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(67) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(68) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(69) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(70) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(71) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(72) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(73) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(74) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(75) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(76) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(77) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(78) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(79) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(80) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(81) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(82) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(83) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(84) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(85) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(86) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(87) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(88) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(89) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(90) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(91) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(92) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(93) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(94) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(95) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(96) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(97) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(98) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(99) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(100) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(101) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(102) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(103) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(104) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(105) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(106) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(107) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(108) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(109) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(110) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(111) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(112) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(113) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(114) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(115) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(116) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(117) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(118) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(119) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(120) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(121) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(122) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(123) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(124) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(125) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(126) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(127) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(128) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(129) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(130) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(131) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(132) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(133) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(134) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(135) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(136) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(137) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(138) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(139) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(140) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(141) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(142) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(143) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(144) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(145) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(146) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(147) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(148) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(149) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(150) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(151) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(152) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(153) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(154) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(155) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(156) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(157) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(158) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(159) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(160) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(161) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(162) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(163) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(164) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(165) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(166) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(167) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(168) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(169) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(170) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(171) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(172) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(173) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(174) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(175) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(176) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(177) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(178) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(179) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(180) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(181) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(182) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(183) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(184) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(185) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(186) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(187) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(188) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(189) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(190) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(191) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(192) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(193) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(194) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(195) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(196) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(197) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(198) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(199) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(200) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(201) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(202) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(203) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(204) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(205) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(206) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(207) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(208) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(209) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(210) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(211) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(212) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(213) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(214) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(215) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(216) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(217) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(218) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(219) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(220) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(221) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(222) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(223) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(224) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(225) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(226) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(227) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(228) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(229) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(230) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(231) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(232) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(233) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(234) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(235) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(236) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(237) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(238) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(239) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(240) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(241) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(242) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(243) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(244) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(245) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(246) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(247) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(248) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(249) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(250) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(251) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(252) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(253) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(254) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(255) -radix hexadecimal}} -expand -subitemconfig {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(0) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(1) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(2) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(3) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(4) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(5) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(6) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(7) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(8) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(9) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(10) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(11) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(12) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(13) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(14) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(15) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(16) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(17) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(18) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(19) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(20) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(21) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(22) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(23) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(24) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(25) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(26) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(27) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(28) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(29) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(30) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(31) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(32) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(33) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(34) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(35) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(36) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(37) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(38) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(39) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(40) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(41) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(42) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(43) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(44) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(45) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(46) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(47) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(48) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(49) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(50) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(51) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(52) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(53) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(54) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(55) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(56) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(57) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(58) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(59) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(60) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(61) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(62) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(63) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(64) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(65) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(66) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(67) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(68) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(69) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(70) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(71) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(72) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(73) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(74) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(75) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(76) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(77) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(78) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(79) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(80) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(81) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(82) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(83) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(84) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(85) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(86) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(87) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(88) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(89) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(90) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(91) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(92) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(93) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(94) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(95) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(96) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(97) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(98) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(99) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(100) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(101) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(102) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(103) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(104) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(105) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(106) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(107) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(108) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(109) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(110) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(111) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(112) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(113) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(114) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(115) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(116) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(117) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(118) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(119) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(120) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(121) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(122) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(123) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(124) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(125) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(126) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(127) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(128) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(129) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(130) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(131) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(132) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(133) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(134) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(135) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(136) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(137) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(138) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(139) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(140) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(141) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(142) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(143) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(144) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(145) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(146) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(147) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(148) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(149) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(150) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(151) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(152) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(153) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(154) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(155) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(156) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(157) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(158) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(159) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(160) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(161) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(162) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(163) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(164) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(165) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(166) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(167) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(168) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(169) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(170) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(171) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(172) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(173) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(174) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(175) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(176) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(177) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(178) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(179) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(180) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(181) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(182) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(183) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(184) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(185) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(186) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(187) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(188) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(189) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(190) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(191) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(192) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(193) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(194) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(195) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(196) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(197) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(198) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(199) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(200) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(201) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(202) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(203) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(204) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(205) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(206) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(207) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(208) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(209) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(210) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(211) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(212) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(213) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(214) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(215) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(216) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(217) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(218) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(219) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(220) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(221) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(222) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(223) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(224) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(225) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(226) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(227) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(228) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(229) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(230) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(231) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(232) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(233) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(234) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(235) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(236) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(237) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(238) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(239) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(240) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(241) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(242) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(243) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(244) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(245) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(246) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(247) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(248) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(249) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(250) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(251) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(252) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(253) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(254) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(255) {-radix hexadecimal}} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray | |||
|
62 | add wave -noupdate -group OLD -group RAM -expand -group WRITE /testbench/cic_lfr_1/memCEL/RAMblk/WEN | |||
|
63 | add wave -noupdate -group OLD -group RAM -expand -group WRITE -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/WD | |||
|
64 | add wave -noupdate -group OLD -group RAM -expand -group WRITE -radix unsigned /testbench/cic_lfr_1/memCEL/RAMblk/WADDR | |||
|
65 | add wave -noupdate -group OLD -group RAM -expand -group READ /testbench/cic_lfr_1/memCEL/RAMblk/REN | |||
|
66 | add wave -noupdate -group OLD -group RAM -expand -group READ -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RD | |||
|
67 | add wave -noupdate -group OLD -group RAM -expand -group READ -radix unsigned /testbench/cic_lfr_1/memCEL/RAMblk/RADDR | |||
|
68 | add wave -noupdate -group OLD /testbench/cic_lfr_1/cic_lfr_address_gen_1/addr_base | |||
|
69 | add wave -noupdate -group OLD /testbench/cic_lfr_1/cic_lfr_address_gen_1/addr_init | |||
|
70 | add wave -noupdate -group OLD /testbench/cic_lfr_1/cic_lfr_address_gen_1/addr_add_1 | |||
|
71 | add wave -noupdate -group OLD -radix unsigned /testbench/cic_lfr_1/cic_lfr_address_gen_1/addr | |||
|
72 | add wave -noupdate -group OLD -radix hexadecimal -childformat {{/testbench/cic_lfr_1/sample_out_reg16(11) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(10) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(9) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(8) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(7) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(6) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(5) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(4) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(3) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(2) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(1) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16(0) -radix hexadecimal}} -expand -subitemconfig {/testbench/cic_lfr_1/sample_out_reg16(11) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(10) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(9) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(8) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(7) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(6) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(5) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(4) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(3) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(2) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(1) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16(0) {-height 15 -radix hexadecimal}} /testbench/cic_lfr_1/sample_out_reg16 | |||
|
73 | add wave -noupdate -group OLD -radix unsigned /testbench/cic_lfr_1/sample_out_reg256 | |||
|
74 | add wave -noupdate -group OLD -radix unsigned /testbench/cic_lfr_1/data_out_16_valid | |||
|
75 | add wave -noupdate -group OLD -radix unsigned /testbench/cic_lfr_1/data_out_16 | |||
|
76 | add wave -noupdate -group OLD -radix unsigned /testbench/cic_lfr_1/data_out_256 | |||
|
77 | add wave -noupdate -group OLD -radix unsigned /testbench/cic_lfr_1/data_out_256_valid | |||
|
78 | add wave -noupdate -group OLD -radix unsigned /testbench/cic_lfr_1/sample_out_reg256_s | |||
|
79 | add wave -noupdate -group OLD -radix unsigned /testbench/cic_lfr_1/sample_out_reg16_s | |||
|
80 | add wave -noupdate -expand -group CIC_LFR -group CONTROL /testbench/cic_lfr_1/cic_lfr_control_1/STATE_CIC_LFR | |||
|
81 | add wave -noupdate -expand -group CIC_LFR -group CONTROL /testbench/cic_lfr_1/cic_lfr_control_1/current_channel | |||
|
82 | add wave -noupdate -expand -group CIC_LFR -group CONTROL /testbench/cic_lfr_1/cic_lfr_control_1/current_cmd | |||
|
83 | add wave -noupdate -expand -group CIC_LFR -group SEL_SAMPLE /testbench/cic_lfr_1/sel_sample | |||
|
84 | add wave -noupdate -expand -group CIC_LFR -group SEL_SAMPLE -radix hexadecimal /testbench/cic_lfr_1/sample | |||
|
85 | add wave -noupdate -expand -group CIC_LFR -group SEL_A /testbench/cic_lfr_1/sel_A | |||
|
86 | add wave -noupdate -expand -group CIC_LFR -group SEL_A -radix hexadecimal /testbench/cic_lfr_1/data_A | |||
|
87 | add wave -noupdate -expand -group CIC_LFR -group ALU -radix hexadecimal /testbench/cic_lfr_1/ALU/OP | |||
|
88 | add wave -noupdate -expand -group CIC_LFR -group ALU -expand -group IN -radix hexadecimal /testbench/cic_lfr_1/ALU/data_in_A | |||
|
89 | add wave -noupdate -expand -group CIC_LFR -group ALU -expand -group IN -radix hexadecimal /testbench/cic_lfr_1/ALU/data_in_B | |||
|
90 | add wave -noupdate -expand -group CIC_LFR -group ALU -expand -group IN -radix hexadecimal /testbench/cic_lfr_1/ALU/data_in_Carry | |||
|
91 | add wave -noupdate -expand -group CIC_LFR -group ALU /testbench/cic_lfr_1/CARRY_POP | |||
|
92 | add wave -noupdate -expand -group CIC_LFR -group ALU -expand -group OUT -radix hexadecimal /testbench/cic_lfr_1/ALU/data_out | |||
|
93 | add wave -noupdate -expand -group CIC_LFR -group ALU -expand -group OUT -radix hexadecimal /testbench/cic_lfr_1/ALU/data_out_Carry | |||
|
94 | add wave -noupdate -expand -group CIC_LFR /testbench/cic_lfr_1/CARRY_PUSH | |||
|
95 | add wave -noupdate -expand -group CIC_LFR /testbench/cic_lfr_1/carry_reg | |||
|
96 | add wave -noupdate -expand -group CIC_LFR -group RAM -expand -group READ -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/REN | |||
|
97 | add wave -noupdate -expand -group CIC_LFR -group RAM -expand -group READ -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/RD | |||
|
98 | add wave -noupdate -expand -group CIC_LFR -group RAM -expand -group READ -radix decimal /testbench/cic_lfr_1/memCEL/RAMblk/RADDR | |||
|
99 | add wave -noupdate -expand -group CIC_LFR -group RAM -expand -group WRITE -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/WEN | |||
|
100 | add wave -noupdate -expand -group CIC_LFR -group RAM -expand -group WRITE -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/WD | |||
|
101 | add wave -noupdate -expand -group CIC_LFR -group RAM -expand -group WRITE -radix hexadecimal /testbench/cic_lfr_1/memCEL/RAMblk/WADDR | |||
|
102 | add wave -noupdate -group CARRY -radix hexadecimal /testbench/cic_lfr_1/ALU/data_out_Carry | |||
|
103 | add wave -noupdate -group CARRY /testbench/cic_lfr_1/CARRY_PUSH | |||
|
104 | add wave -noupdate -group CARRY /testbench/cic_lfr_1/carry_reg | |||
|
105 | add wave -noupdate -group CARRY -radix hexadecimal /testbench/cic_lfr_1/ALU/data_in_Carry | |||
|
106 | add wave -noupdate -group CARRY /testbench/cic_lfr_1/CARRY_POP | |||
|
107 | add wave -noupdate -radix hexadecimal /testbench/cic_lfr_1/data_in | |||
|
108 | add wave -noupdate -radix hexadecimal /testbench/cic_lfr_1/data_in_valid | |||
|
109 | add wave -noupdate -radix hexadecimal -childformat {{/testbench/cic_lfr_1/data_out_16(5) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(4) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(3) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(2) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(1) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0) -radix hexadecimal}} -subitemconfig {/testbench/cic_lfr_1/data_out_16(5) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(4) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(3) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(2) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(1) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0) {-radix hexadecimal}} /testbench/cic_lfr_1/data_out_16 | |||
|
110 | add wave -noupdate -radix hexadecimal /testbench/cic_lfr_1/data_out_16_valid | |||
|
111 | add wave -noupdate -radix hexadecimal /testbench/cic_lfr_1/data_out_256 | |||
|
112 | add wave -noupdate -radix hexadecimal /testbench/cic_lfr_1/data_out_256_valid | |||
|
113 | TreeUpdate [SetDefaultTree] | |||
|
114 | WaveRestoreCursors {{Cursor 1} {6254226873 ps} 0} {{Cursor 2} {10074245984 ps} 0} {{Cursor 3} {36151600 ps} 0} | |||
|
115 | quietly wave cursor active 1 | |||
|
116 | configure wave -namecolwidth 377 | |||
|
117 | configure wave -valuecolwidth 188 | |||
|
118 | configure wave -justifyvalue left | |||
|
119 | configure wave -signalnamewidth 0 | |||
|
120 | configure wave -snapdistance 10 | |||
|
121 | configure wave -datasetprefix 0 | |||
|
122 | configure wave -rowmargin 4 | |||
|
123 | configure wave -childrowmargin 2 | |||
|
124 | configure wave -gridoffset 0 | |||
|
125 | configure wave -gridperiod 1 | |||
|
126 | configure wave -griddelta 40 | |||
|
127 | configure wave -timeline 0 | |||
|
128 | configure wave -timelineunits ns | |||
|
129 | update | |||
|
130 | WaveRestoreZoom {0 ps} {15750047250 ps} |
@@ -0,0 +1,27 | |||||
|
1 | onerror {resume} | |||
|
2 | quietly WaveActivateNextPane {} 0 | |||
|
3 | add wave -noupdate -format Analog-Step -height 74 -max 2147609999.9999995 -min -2104620000.0 -radix decimal /testbench/data_in_a | |||
|
4 | add wave -noupdate -format Analog-Step -height 74 -max 2147609999.9999995 -min -2104620000.0 -radix decimal /testbench/data_in_b | |||
|
5 | add wave -noupdate -format Analog-Step -height 74 -max 2147609999.9999995 -min -2104620000.0 -radix decimal /testbench/data_out | |||
|
6 | add wave -noupdate /testbench/data_out_carry | |||
|
7 | add wave -noupdate /testbench/all_is_ok | |||
|
8 | add wave -noupdate -radix hexadecimal /testbench/data_out | |||
|
9 | add wave -noupdate -radix hexadecimal /testbench/data_out_pre | |||
|
10 | add wave -noupdate -radix hexadecimal -subitemconfig {/testbench/data_out_diff(31) {-radix hexadecimal} /testbench/data_out_diff(30) {-radix hexadecimal} /testbench/data_out_diff(29) {-radix hexadecimal} /testbench/data_out_diff(28) {-radix hexadecimal} /testbench/data_out_diff(27) {-radix hexadecimal} /testbench/data_out_diff(26) {-radix hexadecimal} /testbench/data_out_diff(25) {-radix hexadecimal} /testbench/data_out_diff(24) {-radix hexadecimal} /testbench/data_out_diff(23) {-radix hexadecimal} /testbench/data_out_diff(22) {-radix hexadecimal} /testbench/data_out_diff(21) {-radix hexadecimal} /testbench/data_out_diff(20) {-radix hexadecimal} /testbench/data_out_diff(19) {-radix hexadecimal} /testbench/data_out_diff(18) {-radix hexadecimal} /testbench/data_out_diff(17) {-radix hexadecimal} /testbench/data_out_diff(16) {-radix hexadecimal} /testbench/data_out_diff(15) {-radix hexadecimal} /testbench/data_out_diff(14) {-radix hexadecimal} /testbench/data_out_diff(13) {-radix hexadecimal} /testbench/data_out_diff(12) {-radix hexadecimal} /testbench/data_out_diff(11) {-radix hexadecimal} /testbench/data_out_diff(10) {-radix hexadecimal} /testbench/data_out_diff(9) {-radix hexadecimal} /testbench/data_out_diff(8) {-radix hexadecimal} /testbench/data_out_diff(7) {-radix hexadecimal} /testbench/data_out_diff(6) {-radix hexadecimal} /testbench/data_out_diff(5) {-radix hexadecimal} /testbench/data_out_diff(4) {-radix hexadecimal} /testbench/data_out_diff(3) {-radix hexadecimal} /testbench/data_out_diff(2) {-radix hexadecimal} /testbench/data_out_diff(1) {-radix hexadecimal} /testbench/data_out_diff(0) {-radix hexadecimal}} /testbench/data_out_diff | |||
|
11 | TreeUpdate [SetDefaultTree] | |||
|
12 | WaveRestoreCursors {{Cursor 1} {55000 ps} 0} | |||
|
13 | configure wave -namecolwidth 182 | |||
|
14 | configure wave -valuecolwidth 97 | |||
|
15 | configure wave -justifyvalue left | |||
|
16 | configure wave -signalnamewidth 0 | |||
|
17 | configure wave -snapdistance 10 | |||
|
18 | configure wave -datasetprefix 0 | |||
|
19 | configure wave -rowmargin 4 | |||
|
20 | configure wave -childrowmargin 2 | |||
|
21 | configure wave -gridoffset 0 | |||
|
22 | configure wave -gridperiod 1 | |||
|
23 | configure wave -griddelta 40 | |||
|
24 | configure wave -timeline 0 | |||
|
25 | configure wave -timelineunits ns | |||
|
26 | update | |||
|
27 | WaveRestoreZoom {0 ps} {424577 ps} |
@@ -0,0 +1,23 | |||||
|
1 | onerror {resume} | |||
|
2 | quietly WaveActivateNextPane {} 0 | |||
|
3 | add wave -noupdate /testbench/a | |||
|
4 | add wave -noupdate /testbench/b | |||
|
5 | add wave -noupdate /testbench/c | |||
|
6 | add wave -noupdate /testbench/all_done | |||
|
7 | TreeUpdate [SetDefaultTree] | |||
|
8 | WaveRestoreCursors {{Cursor 1} {631245000 ps} 1} {{Cursor 2} {590125000 ps} 0} | |||
|
9 | configure wave -namecolwidth 424 | |||
|
10 | configure wave -valuecolwidth 119 | |||
|
11 | configure wave -justifyvalue left | |||
|
12 | configure wave -signalnamewidth 0 | |||
|
13 | configure wave -snapdistance 10 | |||
|
14 | configure wave -datasetprefix 0 | |||
|
15 | configure wave -rowmargin 4 | |||
|
16 | configure wave -childrowmargin 2 | |||
|
17 | configure wave -gridoffset 0 | |||
|
18 | configure wave -gridperiod 1 | |||
|
19 | configure wave -griddelta 40 | |||
|
20 | configure wave -timeline 0 | |||
|
21 | configure wave -timelineunits ns | |||
|
22 | update | |||
|
23 | WaveRestoreZoom {0 ps} {2100026250 ps} |
@@ -0,0 +1,50 | |||||
|
1 | onerror {resume} | |||
|
2 | quietly WaveActivateNextPane {} 0 | |||
|
3 | add wave -noupdate -group CIC_256 -radix hexadecimal /testbench/cic_256/data_in | |||
|
4 | add wave -noupdate -group CIC_256 -radix hexadecimal /testbench/cic_256/data_in_valid | |||
|
5 | add wave -noupdate -group CIC_256 -radix hexadecimal /testbench/cic_256/data_out | |||
|
6 | add wave -noupdate -group CIC_256 -radix hexadecimal /testbench/cic_256/data_out_valid | |||
|
7 | add wave -noupdate -group CIC_256 -radix hexadecimal /testbench/cic_256/I_data | |||
|
8 | add wave -noupdate -group CIC_256 -radix hexadecimal /testbench/cic_256/I_valid | |||
|
9 | add wave -noupdate -group CIC_256 -radix hexadecimal /testbench/cic_256/C_data | |||
|
10 | add wave -noupdate -group CIC_256 -radix hexadecimal /testbench/cic_256/C_valid | |||
|
11 | add wave -noupdate -expand -group CIC_16 -radix hexadecimal /testbench/cic_1/data_in | |||
|
12 | add wave -noupdate -expand -group CIC_16 -radix hexadecimal /testbench/cic_1/data_in_valid | |||
|
13 | add wave -noupdate -expand -group CIC_16 -radix hexadecimal /testbench/cic_1/data_out | |||
|
14 | add wave -noupdate -expand -group CIC_16 -radix hexadecimal /testbench/cic_1/data_out_valid | |||
|
15 | add wave -noupdate -expand -group CIC_16 -radix hexadecimal -childformat {{/testbench/cic_1/I_data(3) -radix hexadecimal} {/testbench/cic_1/I_data(2) -radix hexadecimal} {/testbench/cic_1/I_data(1) -radix hexadecimal} {/testbench/cic_1/I_data(0) -radix hexadecimal}} -expand -subitemconfig {/testbench/cic_1/I_data(3) {-radix hexadecimal} /testbench/cic_1/I_data(2) {-radix hexadecimal} /testbench/cic_1/I_data(1) {-radix hexadecimal} /testbench/cic_1/I_data(0) {-radix hexadecimal}} /testbench/cic_1/I_data | |||
|
16 | add wave -noupdate -expand -group CIC_16 -radix hexadecimal /testbench/cic_1/I_valid | |||
|
17 | add wave -noupdate -expand -group CIC_16 -radix hexadecimal -childformat {{/testbench/cic_1/C_data(3) -radix hexadecimal -childformat {{/testbench/cic_1/C_data(3)(30) -radix hexadecimal} {/testbench/cic_1/C_data(3)(29) -radix hexadecimal} {/testbench/cic_1/C_data(3)(28) -radix hexadecimal} {/testbench/cic_1/C_data(3)(27) -radix hexadecimal} {/testbench/cic_1/C_data(3)(26) -radix hexadecimal} {/testbench/cic_1/C_data(3)(25) -radix hexadecimal} {/testbench/cic_1/C_data(3)(24) -radix hexadecimal} {/testbench/cic_1/C_data(3)(23) -radix hexadecimal} {/testbench/cic_1/C_data(3)(22) -radix hexadecimal} {/testbench/cic_1/C_data(3)(21) -radix hexadecimal} {/testbench/cic_1/C_data(3)(20) -radix hexadecimal} {/testbench/cic_1/C_data(3)(19) -radix hexadecimal} {/testbench/cic_1/C_data(3)(18) -radix hexadecimal} {/testbench/cic_1/C_data(3)(17) -radix hexadecimal} {/testbench/cic_1/C_data(3)(16) -radix hexadecimal} {/testbench/cic_1/C_data(3)(15) -radix hexadecimal} {/testbench/cic_1/C_data(3)(14) -radix hexadecimal} {/testbench/cic_1/C_data(3)(13) -radix hexadecimal} {/testbench/cic_1/C_data(3)(12) -radix hexadecimal} {/testbench/cic_1/C_data(3)(11) -radix hexadecimal} {/testbench/cic_1/C_data(3)(10) -radix hexadecimal} {/testbench/cic_1/C_data(3)(9) -radix hexadecimal} {/testbench/cic_1/C_data(3)(8) -radix hexadecimal} {/testbench/cic_1/C_data(3)(7) -radix hexadecimal} {/testbench/cic_1/C_data(3)(6) -radix hexadecimal} {/testbench/cic_1/C_data(3)(5) -radix hexadecimal} {/testbench/cic_1/C_data(3)(4) -radix hexadecimal} {/testbench/cic_1/C_data(3)(3) -radix hexadecimal} {/testbench/cic_1/C_data(3)(2) -radix hexadecimal} {/testbench/cic_1/C_data(3)(1) -radix hexadecimal} {/testbench/cic_1/C_data(3)(0) -radix hexadecimal}}} {/testbench/cic_1/C_data(2) -radix hexadecimal} {/testbench/cic_1/C_data(1) -radix hexadecimal} {/testbench/cic_1/C_data(0) -radix hexadecimal}} -expand -subitemconfig {/testbench/cic_1/C_data(3) {-height 15 -radix hexadecimal -childformat {{/testbench/cic_1/C_data(3)(30) -radix hexadecimal} {/testbench/cic_1/C_data(3)(29) -radix hexadecimal} {/testbench/cic_1/C_data(3)(28) -radix hexadecimal} {/testbench/cic_1/C_data(3)(27) -radix hexadecimal} {/testbench/cic_1/C_data(3)(26) -radix hexadecimal} {/testbench/cic_1/C_data(3)(25) -radix hexadecimal} {/testbench/cic_1/C_data(3)(24) -radix hexadecimal} {/testbench/cic_1/C_data(3)(23) -radix hexadecimal} {/testbench/cic_1/C_data(3)(22) -radix hexadecimal} {/testbench/cic_1/C_data(3)(21) -radix hexadecimal} {/testbench/cic_1/C_data(3)(20) -radix hexadecimal} {/testbench/cic_1/C_data(3)(19) -radix hexadecimal} {/testbench/cic_1/C_data(3)(18) -radix hexadecimal} {/testbench/cic_1/C_data(3)(17) -radix hexadecimal} {/testbench/cic_1/C_data(3)(16) -radix hexadecimal} {/testbench/cic_1/C_data(3)(15) -radix hexadecimal} {/testbench/cic_1/C_data(3)(14) -radix hexadecimal} {/testbench/cic_1/C_data(3)(13) -radix hexadecimal} {/testbench/cic_1/C_data(3)(12) -radix hexadecimal} {/testbench/cic_1/C_data(3)(11) -radix hexadecimal} {/testbench/cic_1/C_data(3)(10) -radix hexadecimal} {/testbench/cic_1/C_data(3)(9) -radix hexadecimal} {/testbench/cic_1/C_data(3)(8) -radix hexadecimal} {/testbench/cic_1/C_data(3)(7) -radix hexadecimal} {/testbench/cic_1/C_data(3)(6) -radix hexadecimal} {/testbench/cic_1/C_data(3)(5) -radix hexadecimal} {/testbench/cic_1/C_data(3)(4) -radix hexadecimal} {/testbench/cic_1/C_data(3)(3) -radix hexadecimal} {/testbench/cic_1/C_data(3)(2) -radix hexadecimal} {/testbench/cic_1/C_data(3)(1) -radix hexadecimal} {/testbench/cic_1/C_data(3)(0) -radix hexadecimal}}} /testbench/cic_1/C_data(3)(30) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(29) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(28) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(27) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(26) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(25) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(24) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(23) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(22) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(21) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(20) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(19) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(18) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(17) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(16) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(15) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(14) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(13) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(12) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(11) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(10) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(9) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(8) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(7) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(6) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(5) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(4) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(3) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(2) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(1) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(3)(0) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(2) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(1) {-height 15 -radix hexadecimal} /testbench/cic_1/C_data(0) {-height 15 -radix hexadecimal}} /testbench/cic_1/C_data | |||
|
18 | add wave -noupdate -expand -group CIC_16 -radix hexadecimal /testbench/cic_1/C_valid | |||
|
19 | add wave -noupdate -expand -group CIC_LFR -radix decimal -childformat {{/testbench/cic_lfr_1/data_in(5) -radix hexadecimal} {/testbench/cic_lfr_1/data_in(4) -radix hexadecimal} {/testbench/cic_lfr_1/data_in(3) -radix hexadecimal} {/testbench/cic_lfr_1/data_in(2) -radix hexadecimal} {/testbench/cic_lfr_1/data_in(1) -radix hexadecimal} {/testbench/cic_lfr_1/data_in(0) -radix hexadecimal}} -expand -subitemconfig {/testbench/cic_lfr_1/data_in(5) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_in(4) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_in(3) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_in(2) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_in(1) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_in(0) {-height 15 -radix hexadecimal}} /testbench/cic_lfr_1/data_in | |||
|
20 | add wave -noupdate -expand -group CIC_LFR -radix hexadecimal /testbench/cic_lfr_1/data_in_valid | |||
|
21 | add wave -noupdate -expand -group CIC_LFR -radix hexadecimal -childformat {{/testbench/cic_lfr_1/data_out_16(5) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(4) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(3) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(2) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(1) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0) -radix hexadecimal -childformat {{/testbench/cic_lfr_1/data_out_16(0)(15) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(14) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(13) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(12) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(11) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(10) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(9) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(8) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(7) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(6) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(5) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(4) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(3) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(2) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(1) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(0) -radix hexadecimal}}}} -expand -subitemconfig {/testbench/cic_lfr_1/data_out_16(5) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(4) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(3) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(2) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(1) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0) {-height 15 -radix hexadecimal -childformat {{/testbench/cic_lfr_1/data_out_16(0)(15) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(14) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(13) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(12) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(11) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(10) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(9) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(8) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(7) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(6) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(5) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(4) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(3) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(2) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(1) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(0) -radix hexadecimal}}} /testbench/cic_lfr_1/data_out_16(0)(15) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(14) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(13) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(12) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(11) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(10) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(9) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(8) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(7) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(6) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(5) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(4) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(3) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(2) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(1) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(0) {-height 15 -radix hexadecimal}} /testbench/cic_lfr_1/data_out_16 | |||
|
22 | add wave -noupdate -expand -group CIC_LFR -radix hexadecimal /testbench/cic_lfr_1/data_out_16_valid | |||
|
23 | add wave -noupdate -expand -group CIC_LFR -radix hexadecimal -childformat {{/testbench/cic_lfr_1/data_out_256(5) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_256(4) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_256(3) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_256(2) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_256(1) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_256(0) -radix hexadecimal}} -subitemconfig {/testbench/cic_lfr_1/data_out_256(5) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_256(4) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_256(3) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_256(2) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_256(1) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/data_out_256(0) {-height 15 -radix hexadecimal}} /testbench/cic_lfr_1/data_out_256 | |||
|
24 | add wave -noupdate -expand -group CIC_LFR -radix hexadecimal /testbench/cic_lfr_1/data_out_256_valid | |||
|
25 | add wave -noupdate -format Analog-Step -height 74 -max 6000000.0 -min 6.0000000000000002e-06 -radix decimal /testbench/cic_lfr_1/sample_out_reg16_s(0) | |||
|
26 | add wave -noupdate -format Analog-Step -height 200 -max 200.0 -min -200.0 -radix decimal /testbench/cic_1/data_out | |||
|
27 | add wave -noupdate -group temp -format Analog-Step -height 200 -max 200.0 -min -200.0 -radix decimal -childformat {{/testbench/cic_lfr_1/data_out_16(0)(15) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(14) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(13) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(12) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(11) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(10) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(9) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(8) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(7) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(6) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(5) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(4) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(3) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(2) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(1) -radix hexadecimal} {/testbench/cic_lfr_1/data_out_16(0)(0) -radix hexadecimal}} -subitemconfig {/testbench/cic_lfr_1/data_out_16(0)(15) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(14) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(13) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(12) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(11) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(10) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(9) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(8) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(7) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(6) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(5) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(4) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(3) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(2) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(1) {-radix hexadecimal} /testbench/cic_lfr_1/data_out_16(0)(0) {-radix hexadecimal}} /testbench/cic_lfr_1/data_out_16(0) | |||
|
28 | add wave -noupdate -group temp -radix hexadecimal -childformat {{/testbench/cic_lfr_1/sample_out_reg16_s(5) -radix hexadecimal -childformat {{/testbench/cic_lfr_1/sample_out_reg16_s(5)(31) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(30) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(29) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(28) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(27) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(26) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(25) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(24) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(23) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(22) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(21) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(20) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(19) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(18) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(17) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(16) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(15) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(14) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(13) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(12) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(11) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(10) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(9) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(8) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(7) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(6) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(5) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(4) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(3) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(2) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(1) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(0) -radix hexadecimal}}} {/testbench/cic_lfr_1/sample_out_reg16_s(4) -radix hexadecimal -childformat {{/testbench/cic_lfr_1/sample_out_reg16_s(4)(31) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(30) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(29) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(28) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(27) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(26) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(25) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(24) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(23) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(22) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(21) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(20) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(19) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(18) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(17) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(16) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(15) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(14) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(13) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(12) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(11) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(10) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(9) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(8) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(7) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(6) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(5) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(4) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(3) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(2) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(1) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(0) -radix hexadecimal}}} {/testbench/cic_lfr_1/sample_out_reg16_s(3) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(2) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(1) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(0) -radix hexadecimal}} -subitemconfig {/testbench/cic_lfr_1/sample_out_reg16_s(5) {-height 15 -radix hexadecimal -childformat {{/testbench/cic_lfr_1/sample_out_reg16_s(5)(31) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(30) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(29) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(28) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(27) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(26) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(25) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(24) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(23) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(22) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(21) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(20) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(19) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(18) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(17) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(16) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(15) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(14) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(13) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(12) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(11) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(10) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(9) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(8) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(7) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(6) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(5) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(4) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(3) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(2) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(1) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(5)(0) -radix hexadecimal}}} /testbench/cic_lfr_1/sample_out_reg16_s(5)(31) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(30) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(29) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(28) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(27) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(26) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(25) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(24) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(23) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(22) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(21) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(20) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(19) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(18) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(17) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(16) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(15) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(14) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(13) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(12) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(11) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(10) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(9) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(8) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(7) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(6) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(5) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(4) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(3) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(2) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(1) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(5)(0) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4) {-height 15 -radix hexadecimal -childformat {{/testbench/cic_lfr_1/sample_out_reg16_s(4)(31) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(30) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(29) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(28) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(27) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(26) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(25) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(24) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(23) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(22) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(21) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(20) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(19) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(18) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(17) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(16) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(15) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(14) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(13) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(12) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(11) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(10) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(9) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(8) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(7) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(6) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(5) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(4) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(3) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(2) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(1) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg16_s(4)(0) -radix hexadecimal}}} /testbench/cic_lfr_1/sample_out_reg16_s(4)(31) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(30) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(29) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(28) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(27) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(26) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(25) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(24) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(23) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(22) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(21) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(20) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(19) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(18) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(17) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(16) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(15) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(14) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(13) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(12) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(11) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(10) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(9) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(8) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(7) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(6) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(5) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(4) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(3) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(2) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(1) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(4)(0) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(3) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(2) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(1) {-height 15 -radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg16_s(0) {-height 15 -radix hexadecimal}} /testbench/cic_lfr_1/sample_out_reg16_s | |||
|
29 | add wave -noupdate -group temp -radix hexadecimal -childformat {{/testbench/cic_lfr_1/sample_out_reg256_s(5) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg256_s(4) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg256_s(3) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg256_s(2) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg256_s(1) -radix hexadecimal} {/testbench/cic_lfr_1/sample_out_reg256_s(0) -radix hexadecimal}} -subitemconfig {/testbench/cic_lfr_1/sample_out_reg256_s(5) {-radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg256_s(4) {-radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg256_s(3) {-radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg256_s(2) {-radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg256_s(1) {-radix hexadecimal} /testbench/cic_lfr_1/sample_out_reg256_s(0) {-radix hexadecimal}} /testbench/cic_lfr_1/sample_out_reg256_s | |||
|
30 | add wave -noupdate -group temp -format Analog-Step -height 74 -max 6000000.0 -min 6.0000000000000002e-06 -radix decimal /testbench/cic_lfr_1/sample_out_reg16_s(0) | |||
|
31 | add wave -noupdate -group temp -format Analog-Step -height 200 -max 200.0 -min -200.0 -radix decimal /testbench/cic_1/data_out | |||
|
32 | add wave -noupdate -radix hexadecimal -childformat {{/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(0) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(1) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(2) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(3) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(4) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(5) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(6) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(7) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(8) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(9) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(10) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(11) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(12) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(13) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(14) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(15) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(16) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(17) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(18) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(19) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(20) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(21) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(22) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(23) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(24) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(25) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(26) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(27) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(28) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(29) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(30) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(31) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(32) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(33) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(34) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(35) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(36) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(37) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(38) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(39) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(40) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(41) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(42) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(43) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(44) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(45) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(46) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(47) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(48) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(49) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(50) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(51) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(52) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(53) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(54) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(55) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(56) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(57) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(58) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(59) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(60) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(61) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(62) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(63) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(64) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(65) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(66) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(67) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(68) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(69) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(70) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(71) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(72) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(73) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(74) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(75) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(76) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(77) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(78) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(79) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(80) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(81) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(82) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(83) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(84) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(85) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(86) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(87) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(88) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(89) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(90) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(91) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(92) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(93) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(94) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(95) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(96) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(97) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(98) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(99) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(100) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(101) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(102) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(103) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(104) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(105) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(106) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(107) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(108) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(109) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(110) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(111) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(112) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(113) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(114) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(115) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(116) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(117) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(118) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(119) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(120) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(121) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(122) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(123) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(124) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(125) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(126) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(127) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(128) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(129) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(130) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(131) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(132) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(133) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(134) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(135) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(136) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(137) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(138) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(139) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(140) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(141) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(142) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(143) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(144) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(145) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(146) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(147) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(148) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(149) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(150) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(151) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(152) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(153) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(154) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(155) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(156) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(157) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(158) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(159) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(160) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(161) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(162) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(163) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(164) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(165) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(166) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(167) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(168) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(169) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(170) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(171) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(172) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(173) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(174) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(175) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(176) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(177) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(178) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(179) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(180) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(181) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(182) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(183) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(184) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(185) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(186) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(187) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(188) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(189) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(190) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(191) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(192) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(193) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(194) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(195) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(196) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(197) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(198) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(199) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(200) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(201) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(202) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(203) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(204) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(205) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(206) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(207) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(208) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(209) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(210) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(211) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(212) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(213) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(214) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(215) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(216) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(217) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(218) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(219) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(220) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(221) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(222) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(223) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(224) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(225) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(226) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(227) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(228) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(229) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(230) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(231) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(232) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(233) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(234) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(235) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(236) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(237) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(238) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(239) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(240) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(241) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(242) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(243) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(244) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(245) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(246) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(247) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(248) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(249) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(250) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(251) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(252) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(253) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(254) -radix hexadecimal} {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(255) -radix hexadecimal}} -subitemconfig {/testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(0) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(1) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(2) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(3) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(4) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(5) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(6) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(7) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(8) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(9) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(10) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(11) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(12) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(13) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(14) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(15) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(16) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(17) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(18) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(19) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(20) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(21) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(22) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(23) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(24) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(25) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(26) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(27) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(28) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(29) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(30) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(31) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(32) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(33) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(34) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(35) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(36) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(37) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(38) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(39) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(40) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(41) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(42) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(43) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(44) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(45) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(46) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(47) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(48) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(49) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(50) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(51) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(52) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(53) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(54) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(55) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(56) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(57) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(58) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(59) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(60) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(61) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(62) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(63) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(64) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(65) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(66) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(67) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(68) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(69) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(70) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(71) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(72) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(73) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(74) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(75) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(76) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(77) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(78) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(79) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(80) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(81) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(82) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(83) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(84) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(85) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(86) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(87) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(88) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(89) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(90) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(91) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(92) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(93) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(94) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(95) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(96) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(97) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(98) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(99) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(100) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(101) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(102) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(103) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(104) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(105) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(106) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(107) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(108) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(109) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(110) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(111) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(112) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(113) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(114) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(115) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(116) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(117) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(118) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(119) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(120) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(121) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(122) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(123) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(124) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(125) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(126) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(127) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(128) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(129) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(130) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(131) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(132) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(133) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(134) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(135) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(136) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(137) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(138) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(139) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(140) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(141) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(142) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(143) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(144) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(145) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(146) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(147) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(148) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(149) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(150) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(151) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(152) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(153) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(154) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(155) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(156) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(157) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(158) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(159) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(160) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(161) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(162) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(163) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(164) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(165) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(166) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(167) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(168) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(169) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(170) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(171) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(172) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(173) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(174) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(175) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(176) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(177) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(178) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(179) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(180) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(181) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(182) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(183) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(184) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(185) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(186) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(187) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(188) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(189) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(190) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(191) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(192) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(193) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(194) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(195) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(196) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(197) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(198) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(199) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(200) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(201) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(202) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(203) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(204) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(205) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(206) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(207) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(208) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(209) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(210) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(211) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(212) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(213) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(214) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(215) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(216) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(217) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(218) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(219) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(220) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(221) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(222) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(223) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(224) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(225) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(226) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(227) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(228) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(229) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(230) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(231) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(232) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(233) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(234) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(235) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(236) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(237) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(238) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(239) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(240) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(241) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(242) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(243) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(244) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(245) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(246) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(247) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(248) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(249) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(250) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(251) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(252) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(253) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(254) {-radix hexadecimal} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray(255) {-radix hexadecimal}} /testbench/cic_lfr_1/memCEL/RAMblk/RAMarray | |||
|
33 | TreeUpdate [SetDefaultTree] | |||
|
34 | WaveRestoreCursors {{Cursor 1} {21545643925 ps} 0} {{Cursor 2} {514701877948 ps} 0} {{Cursor 3} {8443215000 ps} 0} | |||
|
35 | quietly wave cursor active 3 | |||
|
36 | configure wave -namecolwidth 299 | |||
|
37 | configure wave -valuecolwidth 188 | |||
|
38 | configure wave -justifyvalue left | |||
|
39 | configure wave -signalnamewidth 0 | |||
|
40 | configure wave -snapdistance 10 | |||
|
41 | configure wave -datasetprefix 0 | |||
|
42 | configure wave -rowmargin 4 | |||
|
43 | configure wave -childrowmargin 2 | |||
|
44 | configure wave -gridoffset 0 | |||
|
45 | configure wave -gridperiod 1 | |||
|
46 | configure wave -griddelta 40 | |||
|
47 | configure wave -timeline 0 | |||
|
48 | configure wave -timelineunits ns | |||
|
49 | update | |||
|
50 | WaveRestoreZoom {0 ps} {300136435256 ps} |
@@ -0,0 +1,140 | |||||
|
1 | ------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | ------------------------------------------------------------------------------- | |||
|
19 | -- Author : Jean-christophe Pellion | |||
|
20 | -- Mail : jean-christophe.pellion@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
|
22 | LIBRARY IEEE; | |||
|
23 | USE IEEE.STD_LOGIC_1164.ALL; | |||
|
24 | USE IEEE.NUMERIC_STD.ALL; | |||
|
25 | ||||
|
26 | LIBRARY grlib; | |||
|
27 | USE grlib.amba.ALL; | |||
|
28 | USE grlib.stdlib.ALL; | |||
|
29 | USE grlib.devices.ALL; | |||
|
30 | ||||
|
31 | LIBRARY lpp; | |||
|
32 | USE lpp.apb_devices_list.ALL; | |||
|
33 | ||||
|
34 | ENTITY lpp_lfr_hk IS | |||
|
35 | ||||
|
36 | GENERIC ( | |||
|
37 | pindex : INTEGER := 0; | |||
|
38 | paddr : INTEGER := 0; | |||
|
39 | pmask : INTEGER := 16#fff#); --! MASK field of the APB BAR); | |||
|
40 | ||||
|
41 | PORT ( | |||
|
42 | clk : IN STD_LOGIC; | |||
|
43 | rstn : IN STD_LOGIC; | |||
|
44 | ||||
|
45 | apbi : IN apb_slv_in_type; --! APB slave input signals | |||
|
46 | apbo : OUT apb_slv_out_type; --! APB slave output signals | |||
|
47 | ||||
|
48 | sample_val : IN STD_LOGIC; | |||
|
49 | sample : IN STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
50 | ||||
|
51 | HK_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) | |||
|
52 | ); | |||
|
53 | ||||
|
54 | END lpp_lfr_hk; | |||
|
55 | ||||
|
56 | ||||
|
57 | ARCHITECTURE Behavioral OF lpp_lfr_hk IS | |||
|
58 | ||||
|
59 | ----------------------------------------------------------------------------- | |||
|
60 | -- APB REG | |||
|
61 | CONSTANT REVISION : INTEGER := 1; | |||
|
62 | ||||
|
63 | CONSTANT pconfig : apb_config_type := ( | |||
|
64 | 0 => ahb_device_reg (VENDOR_LPP, LPP_LFR_HK_DEVICE, 0, REVISION, 0), | |||
|
65 | 1 => apb_iobar(paddr, pmask) | |||
|
66 | ); | |||
|
67 | ||||
|
68 | TYPE lpp_lfr_HK_reg IS RECORD | |||
|
69 | temp_0 : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
70 | temp_1 : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
71 | temp_2 : STD_LOGIC_VECTOR(15 DOWNTO 0); | |||
|
72 | END RECORD; | |||
|
73 | ||||
|
74 | SIGNAL reg_hk : lpp_lfr_HK_reg; | |||
|
75 | ||||
|
76 | SIGNAL Rdata : STD_LOGIC_VECTOR(31 DOWNTO 0); | |||
|
77 | ----------------------------------------------------------------------------- | |||
|
78 | SIGNAL HK_SEL_s :STD_LOGIC_VECTOR(1 DOWNTO 0); | |||
|
79 | ||||
|
80 | BEGIN | |||
|
81 | ||||
|
82 | ----------------------------------------------------------------------------- | |||
|
83 | -- APB REG | |||
|
84 | PROCESS (clk, rstn) | |||
|
85 | BEGIN -- PROCESS | |||
|
86 | IF rstn = '0' THEN -- asynchronous reset (active low) | |||
|
87 | ||||
|
88 | Rdata <= (OTHERS => '0'); | |||
|
89 | ||||
|
90 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |||
|
91 | --APB READ OP | |||
|
92 | IF (apbi.psel(pindex) AND (NOT apbi.pwrite)) = '1' THEN | |||
|
93 | CASE apbi.paddr(7 DOWNTO 2) IS | |||
|
94 | WHEN "000000" => Rdata(15 DOWNTO 0) <= reg_hk.temp_0; | |||
|
95 | WHEN "000001" => Rdata(15 DOWNTO 0) <= reg_hk.temp_1; | |||
|
96 | WHEN "000010" => Rdata(15 DOWNTO 0) <= reg_hk.temp_2; | |||
|
97 | WHEN OTHERS => Rdata(31 DOWNTO 0) <= (others => '0'); | |||
|
98 | END CASE; | |||
|
99 | END IF; | |||
|
100 | ||||
|
101 | END IF; | |||
|
102 | END PROCESS; | |||
|
103 | ||||
|
104 | apbo.pirq <= (OTHERS => '0'); | |||
|
105 | apbo.prdata <= Rdata; | |||
|
106 | apbo.pconfig <= pconfig; | |||
|
107 | apbo.pindex <= pindex; | |||
|
108 | ----------------------------------------------------------------------------- | |||
|
109 | ||||
|
110 | PROCESS (clk, rstn) | |||
|
111 | BEGIN -- PROCESS | |||
|
112 | IF rstn = '0' THEN -- asynchronous reset (active low) | |||
|
113 | ||||
|
114 | reg_hk.temp_0 <= (OTHERS => '0'); | |||
|
115 | reg_hk.temp_1 <= (OTHERS => '0'); | |||
|
116 | reg_hk.temp_2 <= (OTHERS => '0'); | |||
|
117 | ||||
|
118 | HK_SEL_s <= "00"; | |||
|
119 | ||||
|
120 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |||
|
121 | ||||
|
122 | IF sample_val = '1' THEN | |||
|
123 | CASE HK_SEL_s IS | |||
|
124 | WHEN "00" => reg_hk.temp_0 <= sample; HK_SEL_s <= "01"; | |||
|
125 | WHEN "01" => reg_hk.temp_1 <= sample; HK_SEL_s <= "10"; | |||
|
126 | WHEN "10" => reg_hk.temp_2 <= sample; HK_SEL_s <= "00"; | |||
|
127 | WHEN OTHERS => NULL; | |||
|
128 | END CASE; | |||
|
129 | ||||
|
130 | END IF; | |||
|
131 | ||||
|
132 | END IF; | |||
|
133 | END PROCESS; | |||
|
134 | ||||
|
135 | HK_SEL <= HK_SEL_s; | |||
|
136 | ||||
|
137 | END Behavioral; | |||
|
138 | ||||
|
139 | ||||
|
140 |
@@ -1,384 +1,385 | |||||
1 | ------------------------------------------------------------------------------ |
|
1 | ------------------------------------------------------------------------------ | |
2 | -- This file is a part of the LPP VHDL IP LIBRARY |
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS |
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |
4 | -- |
|
4 | -- | |
5 | -- This program is free software; you can redistribute it and/or modify |
|
5 | -- This program is free software; you can redistribute it and/or modify | |
6 | -- it under the terms of the GNU General Public License as published by |
|
6 | -- it under the terms of the GNU General Public License as published by | |
7 | -- the Free Software Foundation; either version 3 of the License, or |
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |
8 | -- (at your option) any later version. |
|
8 | -- (at your option) any later version. | |
9 | -- |
|
9 | -- | |
10 | -- This program is distributed in the hope that it will be useful, |
|
10 | -- This program is distributed in the hope that it will be useful, | |
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of |
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |
13 | -- GNU General Public License for more details. |
|
13 | -- GNU General Public License for more details. | |
14 | -- |
|
14 | -- | |
15 | -- You should have received a copy of the GNU General Public License |
|
15 | -- You should have received a copy of the GNU General Public License | |
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
19 | -- Author : Jean-christophe Pellion |
|
19 | -- Author : Jean-christophe Pellion | |
20 | -- Mail : jean-christophe.pellion@lpp.polytechnique.fr |
|
20 | -- Mail : jean-christophe.pellion@lpp.polytechnique.fr | |
21 | -- jean-christophe.pellion@easii-ic.com |
|
21 | -- jean-christophe.pellion@easii-ic.com | |
22 | ---------------------------------------------------------------------------- |
|
22 | ---------------------------------------------------------------------------- | |
23 |
|
23 | |||
24 | LIBRARY ieee; |
|
24 | LIBRARY ieee; | |
25 | USE ieee.std_logic_1164.ALL; |
|
25 | USE ieee.std_logic_1164.ALL; | |
26 | USE ieee.numeric_std.all; |
|
26 | USE ieee.numeric_std.all; | |
27 |
|
27 | |||
28 | LIBRARY lpp; |
|
28 | LIBRARY lpp; | |
29 | USE lpp.cic_pkg.ALL; |
|
29 | USE lpp.cic_pkg.ALL; | |
30 | USE lpp.data_type_pkg.ALL; |
|
30 | USE lpp.data_type_pkg.ALL; | |
31 | USE lpp.iir_filter.ALL; |
|
31 | USE lpp.iir_filter.ALL; | |
32 |
|
32 | |||
33 | LIBRARY techmap; |
|
33 | LIBRARY techmap; | |
34 | USE techmap.gencomp.ALL; |
|
34 | USE techmap.gencomp.ALL; | |
35 |
|
35 | |||
36 | ENTITY cic_lfr IS |
|
36 | ENTITY cic_lfr IS | |
37 | GENERIC( |
|
37 | GENERIC( | |
38 | tech : INTEGER := 0; |
|
38 | tech : INTEGER := 0; | |
39 | use_RAM_nCEL : INTEGER := 0 -- 1 => RAM(tech) , 0 => RAM_CEL |
|
39 | use_RAM_nCEL : INTEGER := 0 -- 1 => RAM(tech) , 0 => RAM_CEL | |
40 | ); |
|
40 | ); | |
41 | PORT ( |
|
41 | PORT ( | |
42 | clk : IN STD_LOGIC; |
|
42 | clk : IN STD_LOGIC; | |
43 | rstn : IN STD_LOGIC; |
|
43 | rstn : IN STD_LOGIC; | |
44 | run : IN STD_LOGIC; |
|
44 | run : IN STD_LOGIC; | |
45 |
|
45 | |||
46 | data_in : IN sample_vector(5 DOWNTO 0,15 DOWNTO 0); |
|
46 | data_in : IN sample_vector(5 DOWNTO 0,15 DOWNTO 0); | |
47 | data_in_valid : IN STD_LOGIC; |
|
47 | data_in_valid : IN STD_LOGIC; | |
48 |
|
48 | |||
49 | data_out_16 : OUT sample_vector(5 DOWNTO 0,15 DOWNTO 0); |
|
49 | data_out_16 : OUT sample_vector(5 DOWNTO 0,15 DOWNTO 0); | |
50 | data_out_16_valid : OUT STD_LOGIC; |
|
50 | data_out_16_valid : OUT STD_LOGIC; | |
51 | data_out_256 : OUT sample_vector(5 DOWNTO 0,15 DOWNTO 0); |
|
51 | data_out_256 : OUT sample_vector(5 DOWNTO 0,15 DOWNTO 0); | |
52 | data_out_256_valid : OUT STD_LOGIC |
|
52 | data_out_256_valid : OUT STD_LOGIC | |
53 | ); |
|
53 | ); | |
54 |
|
54 | |||
55 | END cic_lfr; |
|
55 | END cic_lfr; | |
56 |
|
56 | |||
57 | ARCHITECTURE beh OF cic_lfr IS |
|
57 | ARCHITECTURE beh OF cic_lfr IS | |
58 | -- |
|
58 | -- | |
59 | SIGNAL sel_sample : STD_LOGIC_VECTOR(2 DOWNTO 0); |
|
59 | SIGNAL sel_sample : STD_LOGIC_VECTOR(2 DOWNTO 0); | |
60 | SIGNAL sample_temp : sample_vector(5 DOWNTO 0,15 DOWNTO 0); |
|
60 | SIGNAL sample_temp : sample_vector(5 DOWNTO 0,15 DOWNTO 0); | |
61 | SIGNAL sample : STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
61 | SIGNAL sample : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
62 | -- |
|
62 | -- | |
63 | SIGNAL sel_A : STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
63 | SIGNAL sel_A : STD_LOGIC_VECTOR(1 DOWNTO 0); | |
64 | SIGNAL data_A_temp : sample_vector(2 DOWNTO 0,15 DOWNTO 0); |
|
64 | SIGNAL data_A_temp : sample_vector(2 DOWNTO 0,15 DOWNTO 0); | |
65 | SIGNAL data_A : STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
65 | SIGNAL data_A : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
66 | -- |
|
66 | -- | |
67 | SIGNAL ALU_OP : STD_LOGIC_VECTOR(1 DOWNTO 0); |
|
67 | SIGNAL ALU_OP : STD_LOGIC_VECTOR(1 DOWNTO 0); | |
68 | SIGNAL data_B : STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
68 | SIGNAL data_B : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
69 | SIGNAL data_B_reg : STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
69 | SIGNAL data_B_reg : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
70 | SIGNAL data_out : STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
70 | SIGNAL data_out : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
71 | SIGNAL data_in_Carry : STD_LOGIC; |
|
71 | SIGNAL data_in_Carry : STD_LOGIC; | |
72 | SIGNAL data_out_Carry : STD_LOGIC; |
|
72 | SIGNAL data_out_Carry : STD_LOGIC; | |
73 | -- |
|
73 | -- | |
74 | CONSTANT S_parameter : INTEGER := 3; |
|
74 | CONSTANT S_parameter : INTEGER := 3; | |
75 | SIGNAL carry_reg : STD_LOGIC_VECTOR(S_parameter-1 DOWNTO 0); |
|
75 | SIGNAL carry_reg : STD_LOGIC_VECTOR(S_parameter-1 DOWNTO 0); | |
76 | SIGNAL CARRY_PUSH : STD_LOGIC; |
|
76 | SIGNAL CARRY_PUSH : STD_LOGIC; | |
77 | SIGNAL CARRY_POP : STD_LOGIC; |
|
77 | SIGNAL CARRY_POP : STD_LOGIC; | |
78 | -- |
|
78 | -- | |
79 |
|
79 | |||
80 | SIGNAL OPERATION : STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
80 | SIGNAL OPERATION : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
81 | SIGNAL OPERATION_reg: STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
81 | SIGNAL OPERATION_reg: STD_LOGIC_VECTOR(15 DOWNTO 0); | |
82 | SIGNAL OPERATION_reg2: STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
82 | SIGNAL OPERATION_reg2: STD_LOGIC_VECTOR(15 DOWNTO 0); | |
83 |
|
83 | |||
84 | ----------------------------------------------------------------------------- |
|
84 | ----------------------------------------------------------------------------- | |
85 | TYPE ARRAY_OF_ADDR IS ARRAY (5 DOWNTO 0) OF STD_LOGIC_VECTOR(7 DOWNTO 0); |
|
85 | TYPE ARRAY_OF_ADDR IS ARRAY (5 DOWNTO 0) OF STD_LOGIC_VECTOR(7 DOWNTO 0); | |
86 | SIGNAL base_addr_INT : ARRAY_OF_ADDR; |
|
86 | SIGNAL base_addr_INT : ARRAY_OF_ADDR; | |
87 | CONSTANT base_addr_delta : INTEGER := 40; |
|
87 | CONSTANT base_addr_delta : INTEGER := 40; | |
88 | SIGNAL addr_base_sel : STD_LOGIC_VECTOR(7 DOWNTO 0); |
|
88 | SIGNAL addr_base_sel : STD_LOGIC_VECTOR(7 DOWNTO 0); | |
89 | SIGNAL addr_gen: STD_LOGIC_VECTOR(7 DOWNTO 0); |
|
89 | SIGNAL addr_gen: STD_LOGIC_VECTOR(7 DOWNTO 0); | |
90 | SIGNAL addr_read: STD_LOGIC_VECTOR(7 DOWNTO 0); |
|
90 | SIGNAL addr_read: STD_LOGIC_VECTOR(7 DOWNTO 0); | |
91 | SIGNAL addr_write: STD_LOGIC_VECTOR(7 DOWNTO 0); |
|
91 | SIGNAL addr_write: STD_LOGIC_VECTOR(7 DOWNTO 0); | |
92 | SIGNAL addr_write_mux: STD_LOGIC_VECTOR(7 DOWNTO 0); |
|
92 | SIGNAL addr_write_mux: STD_LOGIC_VECTOR(7 DOWNTO 0); | |
93 | SIGNAL addr_write_s: STD_LOGIC_VECTOR(7 DOWNTO 0); |
|
93 | SIGNAL addr_write_s: STD_LOGIC_VECTOR(7 DOWNTO 0); | |
94 | SIGNAL data_we: STD_LOGIC; |
|
94 | SIGNAL data_we: STD_LOGIC; | |
95 | SIGNAL data_we_s: STD_LOGIC; |
|
95 | SIGNAL data_we_s: STD_LOGIC; | |
96 | SIGNAL data_wen : STD_LOGIC; |
|
96 | SIGNAL data_wen : STD_LOGIC; | |
97 | -- SIGNAL data_write : STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
97 | -- SIGNAL data_write : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
98 | -- SIGNAL data_read : STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
98 | -- SIGNAL data_read : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
99 | -- SIGNAL data_read_pre : STD_LOGIC_VECTOR(15 DOWNTO 0); |
|
99 | -- SIGNAL data_read_pre : STD_LOGIC_VECTOR(15 DOWNTO 0); | |
100 | ----------------------------------------------------------------------------- |
|
100 | ----------------------------------------------------------------------------- | |
101 | SIGNAL sample_out_reg16 : sample_vector(6*2-1 DOWNTO 0, 15 DOWNTO 0); |
|
101 | SIGNAL sample_out_reg16 : sample_vector(6*2-1 DOWNTO 0, 15 DOWNTO 0); | |
102 | SIGNAL sample_out_reg256 : sample_vector(6*3-1 DOWNTO 0, 15 DOWNTO 0); |
|
102 | SIGNAL sample_out_reg256 : sample_vector(6*3-1 DOWNTO 0, 15 DOWNTO 0); | |
103 | SIGNAL sample_valid_reg16 : STD_LOGIC_VECTOR(6*2 DOWNTO 0); |
|
103 | SIGNAL sample_valid_reg16 : STD_LOGIC_VECTOR(6*2 DOWNTO 0); | |
104 | SIGNAL sample_valid_reg256: STD_LOGIC_VECTOR(6*3 DOWNTO 0); |
|
104 | SIGNAL sample_valid_reg256: STD_LOGIC_VECTOR(6*3 DOWNTO 0); | |
105 | SIGNAL data_out_16_valid_s : STD_LOGIC; |
|
105 | SIGNAL data_out_16_valid_s : STD_LOGIC; | |
106 | SIGNAL data_out_256_valid_s : STD_LOGIC; |
|
106 | SIGNAL data_out_256_valid_s : STD_LOGIC; | |
107 | SIGNAL data_out_16_valid_s1 : STD_LOGIC; |
|
107 | SIGNAL data_out_16_valid_s1 : STD_LOGIC; | |
108 | SIGNAL data_out_256_valid_s1 : STD_LOGIC; |
|
108 | SIGNAL data_out_256_valid_s1 : STD_LOGIC; | |
109 | SIGNAL data_out_16_valid_s2 : STD_LOGIC; |
|
109 | SIGNAL data_out_16_valid_s2 : STD_LOGIC; | |
110 | SIGNAL data_out_256_valid_s2 : STD_LOGIC; |
|
110 | SIGNAL data_out_256_valid_s2 : STD_LOGIC; | |
111 | ----------------------------------------------------------------------------- |
|
111 | ----------------------------------------------------------------------------- | |
112 | SIGNAL sample_out_reg16_s : sample_vector(5 DOWNTO 0, 16*2-1 DOWNTO 0); |
|
112 | SIGNAL sample_out_reg16_s : sample_vector(5 DOWNTO 0, 16*2-1 DOWNTO 0); | |
113 | SIGNAL sample_out_reg256_s : sample_vector(5 DOWNTO 0, 16*3-1 DOWNTO 0); |
|
113 | SIGNAL sample_out_reg256_s : sample_vector(5 DOWNTO 0, 16*3-1 DOWNTO 0); | |
114 | ----------------------------------------------------------------------------- |
|
114 | ----------------------------------------------------------------------------- | |
115 |
|
115 | |||
116 |
|
116 | |||
117 | BEGIN |
|
117 | BEGIN | |
118 |
|
118 | |||
119 |
|
119 | |||
120 | PROCESS (clk, rstn) |
|
120 | PROCESS (clk, rstn) | |
121 | BEGIN -- PROCESS |
|
121 | BEGIN -- PROCESS | |
122 | IF rstn = '0' THEN -- asynchronous reset (active low) |
|
122 | IF rstn = '0' THEN -- asynchronous reset (active low) | |
123 | data_B_reg <= (OTHERS => '0'); |
|
123 | data_B_reg <= (OTHERS => '0'); | |
124 | OPERATION_reg <= (OTHERS => '0'); |
|
124 | OPERATION_reg <= (OTHERS => '0'); | |
125 | OPERATION_reg2 <= (OTHERS => '0'); |
|
125 | OPERATION_reg2 <= (OTHERS => '0'); | |
126 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge |
|
126 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |
127 | OPERATION_reg <= OPERATION; |
|
127 | OPERATION_reg <= OPERATION; | |
128 | OPERATION_reg2 <= OPERATION_reg; |
|
128 | OPERATION_reg2 <= OPERATION_reg; | |
129 | data_B_reg <= data_B; |
|
129 | data_B_reg <= data_B; | |
130 | END IF; |
|
130 | END IF; | |
131 | END PROCESS; |
|
131 | END PROCESS; | |
132 |
|
132 | |||
133 |
|
133 | |||
134 | ----------------------------------------------------------------------------- |
|
134 | ----------------------------------------------------------------------------- | |
135 | -- SEL_SAMPLE |
|
135 | -- SEL_SAMPLE | |
136 | ----------------------------------------------------------------------------- |
|
136 | ----------------------------------------------------------------------------- | |
137 | sel_sample <= OPERATION_reg(2 DOWNTO 0); |
|
137 | sel_sample <= OPERATION_reg(2 DOWNTO 0); | |
138 |
|
138 | |||
139 | all_bit: FOR I IN 15 DOWNTO 0 GENERATE |
|
139 | all_bit: FOR I IN 15 DOWNTO 0 GENERATE | |
140 | sample_temp(0,I) <= data_in(0,I) WHEN sel_sample(0) = '0' ELSE data_in(1,I); |
|
140 | sample_temp(0,I) <= data_in(0,I) WHEN sel_sample(0) = '0' ELSE data_in(1,I); | |
141 | sample_temp(1,I) <= data_in(2,I) WHEN sel_sample(0) = '0' ELSE data_in(3,I); |
|
141 | sample_temp(1,I) <= data_in(2,I) WHEN sel_sample(0) = '0' ELSE data_in(3,I); | |
142 | sample_temp(2,I) <= data_in(4,I) WHEN sel_sample(0) = '0' ELSE data_in(5,I); |
|
142 | sample_temp(2,I) <= data_in(4,I) WHEN sel_sample(0) = '0' ELSE data_in(5,I); | |
143 |
|
143 | |||
144 | sample_temp(4,I) <= sample_temp(0,I) WHEN sel_sample(1) = '0' ELSE sample_temp(1,I); |
|
144 | sample_temp(4,I) <= sample_temp(0,I) WHEN sel_sample(1) = '0' ELSE sample_temp(1,I); | |
145 | sample_temp(5,I) <= sample_temp(2,I) WHEN sel_sample(1) = '0' ELSE '0'; |
|
145 | sample_temp(5,I) <= sample_temp(2,I) WHEN sel_sample(1) = '0' ELSE '0'; | |
146 |
|
146 | |||
147 | sample(I) <= sample_temp(4,I) WHEN sel_sample(2) = '0' ELSE sample_temp(5,I); |
|
147 | sample(I) <= sample_temp(4,I) WHEN sel_sample(2) = '0' ELSE sample_temp(5,I); | |
148 | END GENERATE all_bit; |
|
148 | END GENERATE all_bit; | |
149 |
|
149 | |||
150 | ----------------------------------------------------------------------------- |
|
150 | ----------------------------------------------------------------------------- | |
151 | -- SEL_DATA_IN_A |
|
151 | -- SEL_DATA_IN_A | |
152 | ----------------------------------------------------------------------------- |
|
152 | ----------------------------------------------------------------------------- | |
153 | sel_A <= OPERATION_reg(4 DOWNTO 3); |
|
153 | sel_A <= OPERATION_reg(4 DOWNTO 3); | |
154 |
|
154 | |||
155 | all_data_mux_A: FOR I IN 15 DOWNTO 0 GENERATE |
|
155 | all_data_mux_A: FOR I IN 15 DOWNTO 0 GENERATE | |
156 | data_A_temp(0,I) <= sample(I) WHEN sel_A(0) = '0' ELSE data_out(I); |
|
156 | data_A_temp(0,I) <= sample(I) WHEN sel_A(0) = '0' ELSE data_out(I); | |
157 | data_A_temp(1,I) <= '0' WHEN sel_A(0) = '0' ELSE sample(15); |
|
157 | data_A_temp(1,I) <= '0' WHEN sel_A(0) = '0' ELSE sample(15); | |
158 | data_A_temp(2,I) <= data_A_temp(0,I) WHEN sel_A(1) = '0' ELSE data_A_temp(1,I); |
|
158 | data_A_temp(2,I) <= data_A_temp(0,I) WHEN sel_A(1) = '0' ELSE data_A_temp(1,I); | |
159 | data_A(I) <= data_A_temp(2,I) WHEN OPERATION_reg(14) = '0' ELSE data_B_reg(I); |
|
159 | data_A(I) <= data_A_temp(2,I) WHEN OPERATION_reg(14) = '0' ELSE data_B_reg(I); | |
160 | END GENERATE all_data_mux_A; |
|
160 | END GENERATE all_data_mux_A; | |
161 |
|
161 | |||
162 |
|
162 | |||
163 |
|
163 | |||
164 | ----------------------------------------------------------------------------- |
|
164 | ----------------------------------------------------------------------------- | |
165 | -- ALU |
|
165 | -- ALU | |
166 | ----------------------------------------------------------------------------- |
|
166 | ----------------------------------------------------------------------------- | |
167 | ALU_OP <= OPERATION_reg(6 DOWNTO 5); |
|
167 | ALU_OP <= OPERATION_reg(6 DOWNTO 5); | |
168 |
|
168 | |||
169 | ALU: cic_lfr_add_sub |
|
169 | ALU: cic_lfr_add_sub | |
170 | PORT MAP ( |
|
170 | PORT MAP ( | |
171 | clk => clk, |
|
171 | clk => clk, | |
172 | rstn => rstn, |
|
172 | rstn => rstn, | |
173 | run => run, |
|
173 | run => run, | |
174 |
|
174 | |||
175 | OP => ALU_OP, |
|
175 | OP => ALU_OP, | |
176 |
|
176 | |||
177 | data_in_A => data_A, |
|
177 | data_in_A => data_A, | |
178 | data_in_B => data_B, |
|
178 | data_in_B => data_B, | |
179 | data_in_Carry => data_in_Carry, |
|
179 | data_in_Carry => data_in_Carry, | |
180 |
|
180 | |||
181 | data_out => data_out, |
|
181 | data_out => data_out, | |
182 | data_out_Carry => data_out_Carry); |
|
182 | data_out_Carry => data_out_Carry); | |
183 |
|
183 | |||
184 | ----------------------------------------------------------------------------- |
|
184 | ----------------------------------------------------------------------------- | |
185 | -- CARRY_MANAGER |
|
185 | -- CARRY_MANAGER | |
186 | ----------------------------------------------------------------------------- |
|
186 | ----------------------------------------------------------------------------- | |
187 | data_in_Carry <= carry_reg(S_parameter-2) WHEN OPERATION_reg(7) = '0' ELSE carry_reg(S_parameter-1); |
|
187 | data_in_Carry <= carry_reg(S_parameter-2) WHEN OPERATION_reg(7) = '0' ELSE carry_reg(S_parameter-1); | |
188 |
|
188 | |||
189 | -- CARRY_PUSH <= OPERATION_reg(7); |
|
189 | -- CARRY_PUSH <= OPERATION_reg(7); | |
190 | -- CARRY_POP <= OPERATION_reg(6); |
|
190 | -- CARRY_POP <= OPERATION_reg(6); | |
191 |
|
191 | |||
192 | PROCESS (clk, rstn) |
|
192 | PROCESS (clk, rstn) | |
193 | BEGIN -- PROCESS |
|
193 | BEGIN -- PROCESS | |
194 | IF rstn = '0' THEN -- asynchronous reset (active low) |
|
194 | IF rstn = '0' THEN -- asynchronous reset (active low) | |
195 | carry_reg <= (OTHERS => '0'); |
|
195 | carry_reg <= (OTHERS => '0'); | |
196 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge |
|
196 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |
197 | --IF CARRY_POP = '1' OR CARRY_PUSH = '1' THEN |
|
197 | --IF CARRY_POP = '1' OR CARRY_PUSH = '1' THEN | |
198 | carry_reg(S_parameter-1 DOWNTO 1) <= carry_reg(S_parameter-2 DOWNTO 0); |
|
198 | carry_reg(S_parameter-1 DOWNTO 1) <= carry_reg(S_parameter-2 DOWNTO 0); | |
199 | carry_reg(0) <= data_out_Carry; |
|
199 | carry_reg(0) <= data_out_Carry; | |
200 | --END IF; |
|
200 | --END IF; | |
201 | END IF; |
|
201 | END IF; | |
202 | END PROCESS; |
|
202 | END PROCESS; | |
203 |
|
203 | |||
204 | ----------------------------------------------------------------------------- |
|
204 | ----------------------------------------------------------------------------- | |
205 | -- MEMORY |
|
205 | -- MEMORY | |
206 | ----------------------------------------------------------------------------- |
|
206 | ----------------------------------------------------------------------------- | |
207 | all_channel: FOR I IN 5 DOWNTO 0 GENERATE |
|
207 | all_channel: FOR I IN 5 DOWNTO 0 GENERATE | |
208 | all_bit: FOR J IN 7 DOWNTO 0 GENERATE |
|
208 | all_bit: FOR J IN 7 DOWNTO 0 GENERATE | |
209 | base_addr_INT(I)(J) <= '1' WHEN (base_addr_delta * I/(2**J)) MOD 2 = 1 ELSE '0'; |
|
209 | base_addr_INT(I)(J) <= '1' WHEN (base_addr_delta * I/(2**J)) MOD 2 = 1 ELSE '0'; | |
210 | END GENERATE all_bit; |
|
210 | END GENERATE all_bit; | |
211 | END GENERATE all_channel; |
|
211 | END GENERATE all_channel; | |
212 | addr_base_sel <= base_addr_INT(to_integer(UNSIGNED(OPERATION(2 DOWNTO 0)))); |
|
212 | addr_base_sel <= base_addr_INT(to_integer(UNSIGNED(OPERATION(2 DOWNTO 0)))); | |
213 |
|
213 | |||
214 | cic_lfr_address_gen_1: cic_lfr_address_gen |
|
214 | cic_lfr_address_gen_1: cic_lfr_address_gen | |
215 | PORT MAP ( |
|
215 | PORT MAP ( | |
216 | clk => clk, |
|
216 | clk => clk, | |
217 | rstn => rstn, |
|
217 | rstn => rstn, | |
218 | run => run, |
|
218 | run => run, | |
219 |
|
219 | |||
220 | addr_base => addr_base_sel, |
|
220 | addr_base => addr_base_sel, | |
221 | addr_init => OPERATION(8), |
|
221 | addr_init => OPERATION(8), | |
222 | addr_add_1 => OPERATION(9), |
|
222 | addr_add_1 => OPERATION(9), | |
223 | addr => addr_gen); |
|
223 | addr => addr_gen); | |
224 |
|
224 | |||
225 |
|
225 | |||
226 | addr_read <= addr_gen WHEN OPERATION(12 DOWNTO 10) = "000" ELSE |
|
226 | addr_read <= addr_gen WHEN OPERATION(12 DOWNTO 10) = "000" ELSE | |
227 | STD_LOGIC_VECTOR(to_unsigned(to_integer(UNSIGNED(addr_base_sel))+2,8)) WHEN OPERATION(12 DOWNTO 10) = "001" ELSE |
|
227 | STD_LOGIC_VECTOR(to_unsigned(to_integer(UNSIGNED(addr_base_sel))+2,8)) WHEN OPERATION(12 DOWNTO 10) = "001" ELSE | |
228 | STD_LOGIC_VECTOR(to_unsigned(to_integer(UNSIGNED(addr_base_sel))+5,8)) WHEN OPERATION(12 DOWNTO 10) = "010" ELSE |
|
228 | STD_LOGIC_VECTOR(to_unsigned(to_integer(UNSIGNED(addr_base_sel))+5,8)) WHEN OPERATION(12 DOWNTO 10) = "010" ELSE | |
229 | STD_LOGIC_VECTOR(to_unsigned(to_integer(UNSIGNED(addr_base_sel))+8,8)) WHEN OPERATION(12 DOWNTO 10) = "011" ELSE |
|
229 | STD_LOGIC_VECTOR(to_unsigned(to_integer(UNSIGNED(addr_base_sel))+8,8)) WHEN OPERATION(12 DOWNTO 10) = "011" ELSE | |
230 |
STD_LOGIC_VECTOR(to_unsigned(to_integer(UNSIGNED(addr_gen ))+6,8)) |
|
230 | STD_LOGIC_VECTOR(to_unsigned(to_integer(UNSIGNED(addr_gen ))+6,8)) WHEN OPERATION(12 DOWNTO 10) = "100" ELSE | |
|
231 | STD_LOGIC_VECTOR(to_unsigned(to_integer(UNSIGNED(addr_gen ))+15,8)); | |||
231 |
|
232 | |||
232 | PROCESS (clk, rstn) |
|
233 | PROCESS (clk, rstn) | |
233 | BEGIN -- PROCESS |
|
234 | BEGIN -- PROCESS | |
234 | IF rstn = '0' THEN -- asynchronous reset (active low) |
|
235 | IF rstn = '0' THEN -- asynchronous reset (active low) | |
235 | addr_write <= (OTHERS => '0'); |
|
236 | addr_write <= (OTHERS => '0'); | |
236 | data_we <= '0'; |
|
237 | data_we <= '0'; | |
237 | addr_write_s <= (OTHERS => '0'); |
|
238 | addr_write_s <= (OTHERS => '0'); | |
238 | data_we_s <= '0'; |
|
239 | data_we_s <= '0'; | |
239 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge |
|
240 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |
240 | addr_write_s <= addr_read; |
|
241 | addr_write_s <= addr_read; | |
241 | data_we_s <= OPERATION(13); |
|
242 | data_we_s <= OPERATION(13); | |
242 | IF OPERATION_reg(15) = '0' THEN |
|
243 | IF OPERATION_reg(15) = '0' THEN | |
243 | addr_write <= addr_write_s; |
|
244 | addr_write <= addr_write_s; | |
244 | ELSE |
|
245 | ELSE | |
245 | addr_write <= addr_read; |
|
246 | addr_write <= addr_read; | |
246 | END IF; |
|
247 | END IF; | |
247 | data_we <= data_we_s; |
|
248 | data_we <= data_we_s; | |
248 | END IF; |
|
249 | END IF; | |
249 | END PROCESS; |
|
250 | END PROCESS; | |
250 |
|
251 | |||
251 | memCEL : IF use_RAM_nCEL = 0 GENERATE |
|
252 | memCEL : IF use_RAM_nCEL = 0 GENERATE | |
252 | data_wen <= NOT data_we; |
|
253 | data_wen <= NOT data_we; | |
253 | RAMblk : RAM_CEL |
|
254 | RAMblk : RAM_CEL | |
254 | GENERIC MAP(16, 8) |
|
255 | GENERIC MAP(16, 8) | |
255 | PORT MAP( |
|
256 | PORT MAP( | |
256 | WD => data_out, |
|
257 | WD => data_out, | |
257 | RD => data_B, |
|
258 | RD => data_B, | |
258 | WEN => data_wen, |
|
259 | WEN => data_wen, | |
259 | REN => '0', |
|
260 | REN => '0', | |
260 | WADDR => addr_write, |
|
261 | WADDR => addr_write, | |
261 | RADDR => addr_read, |
|
262 | RADDR => addr_read, | |
262 | RWCLK => clk, |
|
263 | RWCLK => clk, | |
263 | RESET => rstn |
|
264 | RESET => rstn | |
264 | ) ; |
|
265 | ) ; | |
265 | END GENERATE; |
|
266 | END GENERATE; | |
266 |
|
267 | |||
267 | memRAM : IF use_RAM_nCEL = 1 GENERATE |
|
268 | memRAM : IF use_RAM_nCEL = 1 GENERATE | |
268 | SRAM : syncram_2p |
|
269 | SRAM : syncram_2p | |
269 | GENERIC MAP(tech, 8, 16) |
|
270 | GENERIC MAP(tech, 8, 16) | |
270 | PORT MAP(clk, '1', addr_read, data_B, |
|
271 | PORT MAP(clk, '1', addr_read, data_B, | |
271 | clk, data_we, addr_write, data_out); |
|
272 | clk, data_we, addr_write, data_out); | |
272 | END GENERATE; |
|
273 | END GENERATE; | |
273 |
|
274 | |||
274 | ----------------------------------------------------------------------------- |
|
275 | ----------------------------------------------------------------------------- | |
275 | -- CONTROL |
|
276 | -- CONTROL | |
276 | ----------------------------------------------------------------------------- |
|
277 | ----------------------------------------------------------------------------- | |
277 | cic_lfr_control_1: cic_lfr_control |
|
278 | cic_lfr_control_1: cic_lfr_control | |
278 | PORT MAP ( |
|
279 | PORT MAP ( | |
279 | clk => clk, |
|
280 | clk => clk, | |
280 | rstn => rstn, |
|
281 | rstn => rstn, | |
281 | run => run, |
|
282 | run => run, | |
282 | data_in_valid => data_in_valid, |
|
283 | data_in_valid => data_in_valid, | |
283 | data_out_16_valid => data_out_16_valid_s, |
|
284 | data_out_16_valid => data_out_16_valid_s, | |
284 | data_out_256_valid => data_out_256_valid_s, |
|
285 | data_out_256_valid => data_out_256_valid_s, | |
285 | OPERATION => OPERATION); |
|
286 | OPERATION => OPERATION); | |
286 |
|
287 | |||
287 | ----------------------------------------------------------------------------- |
|
288 | ----------------------------------------------------------------------------- | |
288 | PROCESS (clk, rstn) |
|
289 | PROCESS (clk, rstn) | |
289 | BEGIN -- PROCESS |
|
290 | BEGIN -- PROCESS | |
290 | IF rstn = '0' THEN -- asynchronous reset (active low) |
|
291 | IF rstn = '0' THEN -- asynchronous reset (active low) | |
291 | data_out_16_valid_s1 <= '0'; |
|
292 | data_out_16_valid_s1 <= '0'; | |
292 | data_out_256_valid_s1 <= '0'; |
|
293 | data_out_256_valid_s1 <= '0'; | |
293 | data_out_16_valid_s2 <= '0'; |
|
294 | data_out_16_valid_s2 <= '0'; | |
294 | data_out_256_valid_s2 <= '0'; |
|
295 | data_out_256_valid_s2 <= '0'; | |
295 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge |
|
296 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |
296 | data_out_16_valid_s1 <= data_out_16_valid_s; |
|
297 | data_out_16_valid_s1 <= data_out_16_valid_s; | |
297 | data_out_256_valid_s1 <= data_out_256_valid_s; |
|
298 | data_out_256_valid_s1 <= data_out_256_valid_s; | |
298 | data_out_16_valid_s2 <= data_out_16_valid_s1; |
|
299 | data_out_16_valid_s2 <= data_out_16_valid_s1; | |
299 | data_out_256_valid_s2 <= data_out_256_valid_s1; |
|
300 | data_out_256_valid_s2 <= data_out_256_valid_s1; | |
300 | END IF; |
|
301 | END IF; | |
301 | END PROCESS; |
|
302 | END PROCESS; | |
302 |
|
303 | |||
303 | PROCESS (clk, rstn) |
|
304 | PROCESS (clk, rstn) | |
304 | BEGIN -- PROCESS |
|
305 | BEGIN -- PROCESS | |
305 | IF rstn = '0' THEN -- asynchronous reset (active low) |
|
306 | IF rstn = '0' THEN -- asynchronous reset (active low) | |
306 | sample_valid_reg16 <= '0' & "000000" & "000001"; |
|
307 | sample_valid_reg16 <= '0' & "000000" & "000001"; | |
307 | sample_valid_reg256 <= '0' & "000000" & "000000" & "000001"; |
|
308 | sample_valid_reg256 <= '0' & "000000" & "000000" & "000001"; | |
308 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge |
|
309 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |
309 | IF run = '0' THEN |
|
310 | IF run = '0' THEN | |
310 | sample_valid_reg16 <= '0' & "000000" & "000001"; |
|
311 | sample_valid_reg16 <= '0' & "000000" & "000001"; | |
311 | sample_valid_reg256 <= '0' & "000000" & "000000" & "000001"; |
|
312 | sample_valid_reg256 <= '0' & "000000" & "000000" & "000001"; | |
312 | ELSE |
|
313 | ELSE | |
313 | IF data_out_16_valid_s2 = '1' OR sample_valid_reg16(6*2) = '1' THEN |
|
314 | IF data_out_16_valid_s2 = '1' OR sample_valid_reg16(6*2) = '1' THEN | |
314 | sample_valid_reg16 <= sample_valid_reg16(6*2-1 DOWNTO 0) & sample_valid_reg16(6*2); |
|
315 | sample_valid_reg16 <= sample_valid_reg16(6*2-1 DOWNTO 0) & sample_valid_reg16(6*2); | |
315 | END IF; |
|
316 | END IF; | |
316 | IF data_out_256_valid_s2 = '1' OR sample_valid_reg256(6*3) = '1' THEN |
|
317 | IF data_out_256_valid_s2 = '1' OR sample_valid_reg256(6*3) = '1' THEN | |
317 | sample_valid_reg256 <= sample_valid_reg256(6*3-1 DOWNTO 0) & sample_valid_reg256(6*3); |
|
318 | sample_valid_reg256 <= sample_valid_reg256(6*3-1 DOWNTO 0) & sample_valid_reg256(6*3); | |
318 | END IF; |
|
319 | END IF; | |
319 | END IF; |
|
320 | END IF; | |
320 | END IF; |
|
321 | END IF; | |
321 | END PROCESS; |
|
322 | END PROCESS; | |
322 |
|
323 | |||
323 | data_out_16_valid <= sample_valid_reg16(6*2); |
|
324 | data_out_16_valid <= sample_valid_reg16(6*2); | |
324 | data_out_256_valid <= sample_valid_reg256(6*3); |
|
325 | data_out_256_valid <= sample_valid_reg256(6*3); | |
325 |
|
326 | |||
326 | ----------------------------------------------------------------------------- |
|
327 | ----------------------------------------------------------------------------- | |
327 |
|
328 | |||
328 | all_bits: FOR J IN 15 DOWNTO 0 GENERATE |
|
329 | all_bits: FOR J IN 15 DOWNTO 0 GENERATE | |
329 | all_channel_out16: FOR I IN 6*2-1 DOWNTO 0 GENERATE |
|
330 | all_channel_out16: FOR I IN 6*2-1 DOWNTO 0 GENERATE | |
330 | PROCESS (clk, rstn) |
|
331 | PROCESS (clk, rstn) | |
331 | BEGIN -- PROCESS |
|
332 | BEGIN -- PROCESS | |
332 | IF rstn = '0' THEN -- asynchronous reset (active low) |
|
333 | IF rstn = '0' THEN -- asynchronous reset (active low) | |
333 | sample_out_reg16(I,J) <= '0'; |
|
334 | sample_out_reg16(I,J) <= '0'; | |
334 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge |
|
335 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |
335 | IF run = '0' THEN |
|
336 | IF run = '0' THEN | |
336 | sample_out_reg16(I,J) <= '0'; |
|
337 | sample_out_reg16(I,J) <= '0'; | |
337 | ELSE |
|
338 | ELSE | |
338 | IF sample_valid_reg16(I) = '1' AND data_out_16_valid_s2 = '1' THEN |
|
339 | IF sample_valid_reg16(I) = '1' AND data_out_16_valid_s2 = '1' THEN | |
339 | sample_out_reg16(I,J) <= data_out(J); |
|
340 | sample_out_reg16(I,J) <= data_out(J); | |
340 | END IF; |
|
341 | END IF; | |
341 | END IF; |
|
342 | END IF; | |
342 | END IF; |
|
343 | END IF; | |
343 | END PROCESS; |
|
344 | END PROCESS; | |
344 | END GENERATE all_channel_out16; |
|
345 | END GENERATE all_channel_out16; | |
345 |
|
346 | |||
346 | all_channel_out256: FOR I IN 6*3-1 DOWNTO 0 GENERATE |
|
347 | all_channel_out256: FOR I IN 6*3-1 DOWNTO 0 GENERATE | |
347 | PROCESS (clk, rstn) |
|
348 | PROCESS (clk, rstn) | |
348 | BEGIN -- PROCESS |
|
349 | BEGIN -- PROCESS | |
349 | IF rstn = '0' THEN -- asynchronous reset (active low) |
|
350 | IF rstn = '0' THEN -- asynchronous reset (active low) | |
350 | sample_out_reg256(I,J) <= '0'; |
|
351 | sample_out_reg256(I,J) <= '0'; | |
351 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge |
|
352 | ELSIF clk'event AND clk = '1' THEN -- rising clock edge | |
352 | IF run = '0' THEN |
|
353 | IF run = '0' THEN | |
353 | sample_out_reg256(I,J) <= '0'; |
|
354 | sample_out_reg256(I,J) <= '0'; | |
354 | ELSE |
|
355 | ELSE | |
355 | IF sample_valid_reg256(I) = '1' AND data_out_256_valid_s2 = '1' THEN |
|
356 | IF sample_valid_reg256(I) = '1' AND data_out_256_valid_s2 = '1' THEN | |
356 | sample_out_reg256(I,J) <= data_out(J); |
|
357 | sample_out_reg256(I,J) <= data_out(J); | |
357 | END IF; |
|
358 | END IF; | |
358 | END IF; |
|
359 | END IF; | |
359 | END IF; |
|
360 | END IF; | |
360 | END PROCESS; |
|
361 | END PROCESS; | |
361 | END GENERATE all_channel_out256; |
|
362 | END GENERATE all_channel_out256; | |
362 | END GENERATE all_bits; |
|
363 | END GENERATE all_bits; | |
363 |
|
364 | |||
364 |
|
365 | |||
365 | all_channel_out: FOR I IN 5 DOWNTO 0 GENERATE |
|
366 | all_channel_out: FOR I IN 5 DOWNTO 0 GENERATE | |
366 | all_bits: FOR J IN 15 DOWNTO 0 GENERATE |
|
367 | all_bits: FOR J IN 15 DOWNTO 0 GENERATE | |
367 | all_reg_16: FOR K IN 1 DOWNTO 0 GENERATE |
|
368 | all_reg_16: FOR K IN 1 DOWNTO 0 GENERATE | |
368 | sample_out_reg16_s(I,J+(K*16)) <= sample_out_reg16(2*I+K,J); |
|
369 | sample_out_reg16_s(I,J+(K*16)) <= sample_out_reg16(2*I+K,J); | |
369 | END GENERATE all_reg_16; |
|
370 | END GENERATE all_reg_16; | |
370 | all_reg_256: FOR K IN 2 DOWNTO 0 GENERATE |
|
371 | all_reg_256: FOR K IN 2 DOWNTO 0 GENERATE | |
371 | sample_out_reg256_s(I,J+(K*16)) <= sample_out_reg256(3*I+K,J); |
|
372 | sample_out_reg256_s(I,J+(K*16)) <= sample_out_reg256(3*I+K,J); | |
372 | END GENERATE all_reg_256; |
|
373 | END GENERATE all_reg_256; | |
373 | END GENERATE all_bits; |
|
374 | END GENERATE all_bits; | |
374 | END GENERATE all_channel_out; |
|
375 | END GENERATE all_channel_out; | |
375 |
|
376 | |||
376 | all_channel_out_v: FOR I IN 5 DOWNTO 0 GENERATE |
|
377 | all_channel_out_v: FOR I IN 5 DOWNTO 0 GENERATE | |
377 | all_bits: FOR J IN 15 DOWNTO 0 GENERATE |
|
378 | all_bits: FOR J IN 15 DOWNTO 0 GENERATE | |
378 |
data_out_256(I,J) <= sample_out_reg256_s(I,J+16*2- |
|
379 | data_out_256(I,J) <= sample_out_reg256_s(I,J+16*2-32+27); | |
379 | data_out_16(I,J) <= sample_out_reg16_s (I,J+16 -1); |
|
380 | data_out_16(I,J) <= sample_out_reg16_s (I,J+16 -16+15); | |
380 | END GENERATE all_bits; |
|
381 | END GENERATE all_bits; | |
381 | END GENERATE all_channel_out_v; |
|
382 | END GENERATE all_channel_out_v; | |
382 |
|
383 | |||
383 | END beh; |
|
384 | END beh; | |
384 |
|
385 |
@@ -1,242 +1,249 | |||||
1 | ------------------------------------------------------------------------------ |
|
1 | ------------------------------------------------------------------------------ | |
2 | -- This file is a part of the LPP VHDL IP LIBRARY |
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS |
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |
4 | -- |
|
4 | -- | |
5 | -- This program is free software; you can redistribute it and/or modify |
|
5 | -- This program is free software; you can redistribute it and/or modify | |
6 | -- it under the terms of the GNU General Public License as published by |
|
6 | -- it under the terms of the GNU General Public License as published by | |
7 | -- the Free Software Foundation; either version 3 of the License, or |
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |
8 | -- (at your option) any later version. |
|
8 | -- (at your option) any later version. | |
9 | -- |
|
9 | -- | |
10 | -- This program is distributed in the hope that it will be useful, |
|
10 | -- This program is distributed in the hope that it will be useful, | |
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of |
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |
13 | -- GNU General Public License for more details. |
|
13 | -- GNU General Public License for more details. | |
14 | -- |
|
14 | -- | |
15 | -- You should have received a copy of the GNU General Public License |
|
15 | -- You should have received a copy of the GNU General Public License | |
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
19 | -- Author : Jean-christophe Pellion |
|
19 | -- Author : Jean-christophe Pellion | |
20 | -- Mail : jean-christophe.pellion@lpp.polytechnique.fr |
|
20 | -- Mail : jean-christophe.pellion@lpp.polytechnique.fr | |
21 | -- jean-christophe.pellion@easii-ic.com |
|
21 | -- jean-christophe.pellion@easii-ic.com | |
22 | ---------------------------------------------------------------------------- |
|
22 | ---------------------------------------------------------------------------- | |
23 |
|
23 | |||
24 | LIBRARY ieee; |
|
24 | LIBRARY ieee; | |
25 | USE ieee.std_logic_1164.ALL; |
|
25 | USE ieee.std_logic_1164.ALL; | |
26 | USE ieee.numeric_std.ALL; |
|
26 | USE ieee.numeric_std.ALL; | |
27 |
|
27 | |||
28 |
|
28 | |||
29 | LIBRARY lpp; |
|
29 | LIBRARY lpp; | |
30 | USE lpp.cic_pkg.ALL; |
|
30 | USE lpp.cic_pkg.ALL; | |
31 | USE lpp.data_type_pkg.ALL; |
|
31 | USE lpp.data_type_pkg.ALL; | |
32 |
|
32 | |||
33 | ENTITY cic_lfr_control IS |
|
33 | ENTITY cic_lfr_control IS | |
34 | PORT ( |
|
34 | PORT ( | |
35 | clk : IN STD_LOGIC; |
|
35 | clk : IN STD_LOGIC; | |
36 | rstn : IN STD_LOGIC; |
|
36 | rstn : IN STD_LOGIC; | |
37 | run : IN STD_LOGIC; |
|
37 | run : IN STD_LOGIC; | |
38 | -- |
|
38 | -- | |
39 | data_in_valid : IN STD_LOGIC; |
|
39 | data_in_valid : IN STD_LOGIC; | |
40 | data_out_16_valid : OUT STD_LOGIC; |
|
40 | data_out_16_valid : OUT STD_LOGIC; | |
41 | data_out_256_valid : OUT STD_LOGIC; |
|
41 | data_out_256_valid : OUT STD_LOGIC; | |
42 | -- |
|
42 | -- | |
43 | OPERATION : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) |
|
43 | OPERATION : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) | |
44 | ); |
|
44 | ); | |
45 |
|
45 | |||
46 | END cic_lfr_control; |
|
46 | END cic_lfr_control; | |
47 |
|
47 | |||
48 | ARCHITECTURE beh OF cic_lfr_control IS |
|
48 | ARCHITECTURE beh OF cic_lfr_control IS | |
49 |
|
49 | |||
50 | TYPE STATE_CIC_LFR_TYPE IS (IDLE, |
|
50 | TYPE STATE_CIC_LFR_TYPE IS (IDLE, | |
51 | RUN_PROG_I, |
|
51 | RUN_PROG_I, | |
52 | RUN_PROG_C16, |
|
52 | RUN_PROG_C16, | |
53 | RUN_PROG_C256 |
|
53 | RUN_PROG_C256 | |
54 | ); |
|
54 | ); | |
55 |
|
55 | |||
56 | SIGNAL STATE_CIC_LFR : STATE_CIC_LFR_TYPE; |
|
56 | SIGNAL STATE_CIC_LFR : STATE_CIC_LFR_TYPE; | |
57 |
|
57 | |||
58 | SIGNAL nb_data_receipt : INTEGER := 0; |
|
58 | SIGNAL nb_data_receipt : INTEGER := 0; | |
59 | SIGNAL current_cmd : INTEGER := 0; |
|
59 | SIGNAL current_cmd : INTEGER := 0; | |
60 | SIGNAL current_channel : INTEGER := 0; |
|
60 | SIGNAL current_channel : INTEGER := 0; | |
61 | SIGNAL sample_16_odd : STD_LOGIC; |
|
61 | SIGNAL sample_16_odd : STD_LOGIC; | |
62 | SIGNAL sample_256_odd : STD_LOGIC; |
|
62 | SIGNAL sample_256_odd : STD_LOGIC; | |
63 |
|
63 | |||
64 | TYPE PROGRAM_ARRAY IS ARRAY (INTEGER RANGE <>) OF STD_LOGIC_VECTOR(13 DOWNTO 0); |
|
64 | TYPE PROGRAM_ARRAY IS ARRAY (INTEGER RANGE <>) OF STD_LOGIC_VECTOR(13 DOWNTO 0); | |
65 | --OPERATION( 8 DOWNTO 0) <= PROGRAM_ARRAY( 8 DOWNTO 0) sauf pour PROG_I(0) |
|
65 | --OPERATION( 8 DOWNTO 0) <= PROGRAM_ARRAY( 8 DOWNTO 0) sauf pour PROG_I(0) | |
66 | --OPERATION(13 DOWNTO 12) <= PROGRAM_ARRAY(10 DOWNTO 9) |
|
66 | --OPERATION(13 DOWNTO 12) <= PROGRAM_ARRAY(10 DOWNTO 9) | |
67 | --OPERATION(11 DOWNTO 9) <= current_channel |
|
67 | --OPERATION(11 DOWNTO 9) <= current_channel | |
68 | --OPERATION(14) <= PROGRAM_ARRAY(11) selon sample_X_odd et l'etat |
|
68 | --OPERATION(14) <= PROGRAM_ARRAY(11) selon sample_X_odd et l'etat | |
69 | CONSTANT PROG : PROGRAM_ARRAY(0 TO 28) := |
|
69 | CONSTANT PROG : PROGRAM_ARRAY(0 TO 28) := | |
70 | ( |
|
70 | ( | |
71 | -- DCBA 98765 43210 |
|
71 | -- DCBA 98765 43210 | |
72 | --PROG I------------------ |
|
72 | --PROG I------------------ | |
73 | "0001" & "00011" & "00000", --0 |
|
73 | "0001" & "00011" & "00000", --0 | |
74 | "0101" & "00010" & "00001", --1 |
|
74 | "0101" & "00010" & "00001", --1 | |
75 | "0101" & "00010" & "00001", --2 |
|
75 | "0101" & "00010" & "00001", --2 | |
76 | "0001" & "00010" & "01011", --3 |
|
76 | "0001" & "00010" & "01011", --3 | |
77 | "0101" & "00010" & "01001", --4 |
|
77 | "0101" & "00010" & "01001", --4 | |
78 | "0101" & "00010" & "01001", --5 |
|
78 | "0101" & "00010" & "01001", --5 | |
79 | "0001" & "00010" & "01011", --6 |
|
79 | "0001" & "00010" & "01011", --6 | |
80 | "0101" & "00010" & "01001", --7 |
|
80 | "0101" & "00010" & "01001", --7 | |
81 | "0101" & "00010" & "01001", --8 |
|
81 | "0101" & "00010" & "01001", --8 | |
82 | --PROG_C16 |
|
82 | --PROG_C16 | |
83 | "1001" & "00100" & "10010", --9 |
|
83 | "1001" & "00100" & "10010", --9 | |
84 | "1001" & "10010" & "10101", --10 |
|
84 | "1001" & "10010" & "10101", --10 | |
85 | "1001" & "10010" & "10101", --11 |
|
85 | "1001" & "10010" & "10101", --11 | |
86 | "1010" & "10010" & "10101", --12 |
|
86 | "1010" & "10010" & "10101", --12 | |
87 | "1001" & "01000" & "10010", --13 |
|
87 | "1001" & "01000" & "10010", --13 | |
88 | "1001" & "10010" & "11101", --14 |
|
88 | "1001" & "10010" & "11101", --14 | |
89 | "1001" & "10010" & "11101", --15 |
|
89 | "1001" & "10010" & "11101", --15 | |
90 | "1010" & "10010" & "11101", --16 |
|
90 | "1010" & "10010" & "11101", --16 | |
91 | --PROG_C256 |
|
91 | --PROG_C256 | |
92 | "1001" & "00100" & "10010", --17 |
|
92 | "1001" & "00100" & "10010", --17 | |
93 |
"1001" & "10 |
|
93 | "1001" & "10110" & "10101", --18 | |
94 |
"1001" & "10 |
|
94 | "1001" & "10110" & "10101", --19 | |
95 |
"1010" & "10 |
|
95 | "1010" & "10110" & "10101", --20 | |
96 | "1001" & "01000" & "10010", --21 |
|
96 | "1001" & "01000" & "10010", --21 | |
97 |
"1001" & "10 |
|
97 | "1001" & "10110" & "11101", --22 | |
98 |
"1001" & "10 |
|
98 | "1001" & "10110" & "11101", --23 | |
99 |
"1010" & "10 |
|
99 | "1010" & "10110" & "11101", --24 | |
100 | "1001" & "01100" & "10010", --25 |
|
100 | "1001" & "01100" & "10010", --25 | |
101 |
"1001" & "10 |
|
101 | "1001" & "10110" & "11101", --26 | |
102 |
"1001" & "10 |
|
102 | "1001" & "10110" & "11101", --27 | |
103 |
"1010" & "10 |
|
103 | "1010" & "10110" & "11101" --28 | |
104 | ); |
|
104 | ); | |
105 |
|
105 | |||
106 |
|
106 | |||
107 | CONSTANT PROG_START_I : INTEGER := 0; |
|
107 | CONSTANT PROG_START_I : INTEGER := 0; | |
108 | CONSTANT PROG_END_I : INTEGER := 8; |
|
108 | CONSTANT PROG_END_I : INTEGER := 8; | |
109 | CONSTANT PROG_START_C16 : INTEGER := 9; |
|
109 | CONSTANT PROG_START_C16 : INTEGER := 9; | |
110 | CONSTANT PROG_END_C16 : INTEGER := 16; |
|
110 | CONSTANT PROG_END_C16 : INTEGER := 16; | |
111 | CONSTANT PROG_START_C256 : INTEGER := 17; |
|
111 | CONSTANT PROG_START_C256 : INTEGER := 17; | |
112 | CONSTANT PROG_END_C256 : INTEGER := 28; |
|
112 | CONSTANT PROG_END_C256 : INTEGER := 28; | |
113 |
|
113 | |||
114 | BEGIN |
|
114 | BEGIN | |
115 |
|
115 | |||
116 | OPERATION(2 DOWNTO 0) <= STD_LOGIC_VECTOR(to_unsigned(current_channel, 3)); --SEL_SAMPLE |
|
116 | OPERATION(2 DOWNTO 0) <= STD_LOGIC_VECTOR(to_unsigned(current_channel, 3)); --SEL_SAMPLE | |
117 | OPERATION(4 DOWNTO 3) <= PROG(current_cmd)(1 DOWNTO 0); --SEL_DATA_A |
|
117 | OPERATION(4 DOWNTO 3) <= PROG(current_cmd)(1 DOWNTO 0); --SEL_DATA_A | |
118 | OPERATION(6 DOWNTO 5) <= "00" WHEN STATE_CIC_LFR = IDLE ELSE PROG(current_cmd)(3 DOWNTO 2); --ALU_CMD |
|
118 | OPERATION(6 DOWNTO 5) <= "00" WHEN STATE_CIC_LFR = IDLE ELSE PROG(current_cmd)(3 DOWNTO 2); --ALU_CMD | |
119 | OPERATION(7) <= '0' WHEN STATE_CIC_LFR = IDLE ELSE PROG(current_cmd)(4); --CARRY_PUSH |
|
119 | OPERATION(7) <= '0' WHEN STATE_CIC_LFR = IDLE ELSE PROG(current_cmd)(4); --CARRY_PUSH | |
120 | OPERATION(8) <= PROG(current_cmd)(5); --@_init |
|
120 | OPERATION(8) <= PROG(current_cmd)(5); --@_init | |
121 | OPERATION(9) <= PROG(current_cmd)(6); --@_add_1 |
|
121 | OPERATION(9) <= PROG(current_cmd)(6); --@_add_1 | |
122 | OPERATION(11 DOWNTO 10) <= PROG(current_cmd)(8 DOWNTO 7); --@_sel(1..0) |
|
122 | ||
123 |
OPERATION(1 |
|
123 | OPERATION(10) <= PROG(current_cmd)(7) AND PROG(current_cmd)(9) AND sample_256_odd WHEN STATE_CIC_LFR = RUN_PROG_C256 ELSE | |
124 |
PROG(current_cmd)( |
|
124 | PROG(current_cmd)(7); --@_sel(1..0) | |
|
125 | OPERATION(11) <= PROG(current_cmd)(8); | |||
|
126 | OPERATION(12) <= PROG(current_cmd)(9) AND sample_16_odd WHEN STATE_CIC_LFR = RUN_PROG_C16 ELSE | |||
|
127 | --PROG(current_cmd)(9) AND sample_256_odd WHEN STATE_CIC_LFR = RUN_PROG_C256 ELSE | |||
|
128 | PROG(current_cmd)(9) WHEN STATE_CIC_LFR = RUN_PROG_C256 ELSE | |||
|
129 | '0'; --@_sel(2) | |||
|
130 | ||||
|
131 | ||||
125 |
|
|
132 | OPERATION(13) <= '0' WHEN STATE_CIC_LFR = IDLE ELSE PROG(current_cmd)(10); --WE | |
126 | OPERATION(14) <= PROG(current_cmd)(12); -- SEL_DATA_A = data_b_reg |
|
133 | OPERATION(14) <= PROG(current_cmd)(12); -- SEL_DATA_A = data_b_reg | |
127 | OPERATION(15) <= PROG(current_cmd)(13); -- WRITE_ADDR_sel |
|
134 | OPERATION(15) <= PROG(current_cmd)(13); -- WRITE_ADDR_sel | |
128 | data_out_16_valid <= PROG(current_cmd)(11) WHEN STATE_CIC_LFR = RUN_PROG_C16 ELSE '0'; |
|
135 | data_out_16_valid <= PROG(current_cmd)(11) WHEN STATE_CIC_LFR = RUN_PROG_C16 ELSE '0'; | |
129 | data_out_256_valid <= PROG(current_cmd)(11) WHEN STATE_CIC_LFR = RUN_PROG_C256 ELSE '0'; |
|
136 | data_out_256_valid <= PROG(current_cmd)(11) WHEN STATE_CIC_LFR = RUN_PROG_C256 ELSE '0'; | |
130 |
|
137 | |||
131 |
|
138 | |||
132 |
|
139 | |||
133 |
|
140 | |||
134 |
|
141 | |||
135 |
|
142 | |||
136 |
|
143 | |||
137 |
|
144 | |||
138 |
|
145 | |||
139 |
|
146 | |||
140 | --OPERATION(1 DOWNTO 0) <= PROG(current_cmd)(1 DOWNTO 0); |
|
147 | --OPERATION(1 DOWNTO 0) <= PROG(current_cmd)(1 DOWNTO 0); | |
141 | --OPERATION(2) <= '0' WHEN STATE_CIC_LFR = IDLE ELSE |
|
148 | --OPERATION(2) <= '0' WHEN STATE_CIC_LFR = IDLE ELSE | |
142 | -- PROG(current_cmd)(2); |
|
149 | -- PROG(current_cmd)(2); | |
143 | --OPERATION(5 DOWNTO 3) <= STD_LOGIC_VECTOR(to_unsigned(current_channel, 3)) WHEN STATE_CIC_LFR = RUN_PROG_I AND current_cmd = 0 ELSE |
|
150 | --OPERATION(5 DOWNTO 3) <= STD_LOGIC_VECTOR(to_unsigned(current_channel, 3)) WHEN STATE_CIC_LFR = RUN_PROG_I AND current_cmd = 0 ELSE | |
144 | -- PROG(current_cmd)(5 DOWNTO 3); |
|
151 | -- PROG(current_cmd)(5 DOWNTO 3); | |
145 |
|
152 | |||
146 | --OPERATION(8 DOWNTO 6) <= "000" WHEN STATE_CIC_LFR = IDLE ELSE |
|
153 | --OPERATION(8 DOWNTO 6) <= "000" WHEN STATE_CIC_LFR = IDLE ELSE | |
147 | -- PROG(current_cmd)(8 DOWNTO 6); |
|
154 | -- PROG(current_cmd)(8 DOWNTO 6); | |
148 | --OPERATION(11 DOWNTO 9) <= STD_LOGIC_VECTOR(to_unsigned(current_channel, 3)); |
|
155 | --OPERATION(11 DOWNTO 9) <= STD_LOGIC_VECTOR(to_unsigned(current_channel, 3)); | |
149 | --OPERATION(13 DOWNTO 12) <= PROG(current_cmd)(10 DOWNTO 9); |
|
156 | --OPERATION(13 DOWNTO 12) <= PROG(current_cmd)(10 DOWNTO 9); | |
150 | --OPERATION(14) <= PROG(current_cmd)(11) AND sample_16_odd WHEN STATE_CIC_LFR = RUN_PROG_C16 ELSE |
|
157 | --OPERATION(14) <= PROG(current_cmd)(11) AND sample_16_odd WHEN STATE_CIC_LFR = RUN_PROG_C16 ELSE | |
151 | -- PROG(current_cmd)(11) AND sample_256_odd WHEN STATE_CIC_LFR = RUN_PROG_C256 ELSE '0'; |
|
158 | -- PROG(current_cmd)(11) AND sample_256_odd WHEN STATE_CIC_LFR = RUN_PROG_C256 ELSE '0'; | |
152 |
|
159 | |||
153 | --OPERATION(15) <= PROG(current_cmd)(12); |
|
160 | --OPERATION(15) <= PROG(current_cmd)(12); | |
154 |
|
161 | |||
155 | --data_out_16_valid <= PROG(current_cmd)(13) WHEN STATE_CIC_LFR = RUN_PROG_C16 ELSE '0'; |
|
162 | --data_out_16_valid <= PROG(current_cmd)(13) WHEN STATE_CIC_LFR = RUN_PROG_C16 ELSE '0'; | |
156 | --data_out_256_valid <= PROG(current_cmd)(13) WHEN STATE_CIC_LFR = RUN_PROG_C256 ELSE '0'; |
|
163 | --data_out_256_valid <= PROG(current_cmd)(13) WHEN STATE_CIC_LFR = RUN_PROG_C256 ELSE '0'; | |
157 |
|
164 | |||
158 | PROCESS (clk, rstn) |
|
165 | PROCESS (clk, rstn) | |
159 | BEGIN |
|
166 | BEGIN | |
160 | IF rstn = '0' THEN |
|
167 | IF rstn = '0' THEN | |
161 | STATE_CIC_LFR <= IDLE; |
|
168 | STATE_CIC_LFR <= IDLE; | |
162 | nb_data_receipt <= 0; |
|
169 | nb_data_receipt <= 0; | |
163 | current_channel <= 0; |
|
170 | current_channel <= 0; | |
164 | current_cmd <= 0; |
|
171 | current_cmd <= 0; | |
165 | sample_16_odd <= '0'; |
|
172 | sample_16_odd <= '0'; | |
166 | sample_256_odd <= '0'; |
|
173 | sample_256_odd <= '0'; | |
167 |
|
174 | |||
168 | ELSIF clk'EVENT AND clk = '1' THEN |
|
175 | ELSIF clk'EVENT AND clk = '1' THEN | |
169 |
|
176 | |||
170 | CASE STATE_CIC_LFR IS |
|
177 | CASE STATE_CIC_LFR IS | |
171 | WHEN IDLE => |
|
178 | WHEN IDLE => | |
172 | IF data_in_valid = '1' THEN |
|
179 | IF data_in_valid = '1' THEN | |
173 | STATE_CIC_LFR <= RUN_PROG_I; |
|
180 | STATE_CIC_LFR <= RUN_PROG_I; | |
174 | current_cmd <= PROG_START_I; |
|
181 | current_cmd <= PROG_START_I; | |
175 | current_channel <= 0; |
|
182 | current_channel <= 0; | |
176 | nb_data_receipt <= nb_data_receipt + 1; |
|
183 | nb_data_receipt <= nb_data_receipt + 1; | |
177 | END IF; |
|
184 | END IF; | |
178 |
|
185 | |||
179 | WHEN RUN_PROG_I => |
|
186 | WHEN RUN_PROG_I => | |
180 | IF current_cmd = PROG_END_I THEN |
|
187 | IF current_cmd = PROG_END_I THEN | |
181 | IF nb_data_receipt MOD 16 = 15 THEN |
|
188 | IF nb_data_receipt MOD 16 = 15 THEN | |
182 | STATE_CIC_LFR <= RUN_PROG_C16; |
|
189 | STATE_CIC_LFR <= RUN_PROG_C16; | |
183 | current_cmd <= PROG_START_C16; |
|
190 | current_cmd <= PROG_START_C16; | |
184 | IF current_channel = 0 THEN |
|
191 | IF current_channel = 0 THEN | |
185 | sample_16_odd <= NOT sample_16_odd; |
|
192 | sample_16_odd <= NOT sample_16_odd; | |
186 | END IF; |
|
193 | END IF; | |
187 | ELSE |
|
194 | ELSE | |
188 | IF current_channel = 5 THEN |
|
195 | IF current_channel = 5 THEN | |
189 | current_channel <= 0; |
|
196 | current_channel <= 0; | |
190 | STATE_CIC_LFR <= IDLE; |
|
197 | STATE_CIC_LFR <= IDLE; | |
191 | ELSE |
|
198 | ELSE | |
192 | current_cmd <= PROG_START_I; |
|
199 | current_cmd <= PROG_START_I; | |
193 | current_channel <= current_channel + 1; |
|
200 | current_channel <= current_channel + 1; | |
194 | END IF; |
|
201 | END IF; | |
195 | END IF; |
|
202 | END IF; | |
196 | ELSE |
|
203 | ELSE | |
197 | current_cmd <= current_cmd +1; |
|
204 | current_cmd <= current_cmd +1; | |
198 | END IF; |
|
205 | END IF; | |
199 |
|
206 | |||
200 | WHEN RUN_PROG_C16 => |
|
207 | WHEN RUN_PROG_C16 => | |
201 | IF current_cmd = PROG_END_C16 THEN |
|
208 | IF current_cmd = PROG_END_C16 THEN | |
202 | IF nb_data_receipt MOD 256 = 255 THEN |
|
209 | IF nb_data_receipt MOD 256 = 255 THEN | |
203 | STATE_CIC_LFR <= RUN_PROG_C256; |
|
210 | STATE_CIC_LFR <= RUN_PROG_C256; | |
204 | current_cmd <= PROG_START_C256; |
|
211 | current_cmd <= PROG_START_C256; | |
205 | IF current_channel = 0 THEN |
|
212 | IF current_channel = 0 THEN | |
206 | sample_256_odd <= NOT sample_256_odd; |
|
213 | sample_256_odd <= NOT sample_256_odd; | |
207 | END IF; |
|
214 | END IF; | |
208 | ELSE |
|
215 | ELSE | |
209 | IF current_channel = 5 THEN |
|
216 | IF current_channel = 5 THEN | |
210 | current_channel <= 0; |
|
217 | current_channel <= 0; | |
211 | STATE_CIC_LFR <= IDLE; |
|
218 | STATE_CIC_LFR <= IDLE; | |
212 | ELSE |
|
219 | ELSE | |
213 | STATE_CIC_LFR <= RUN_PROG_I; |
|
220 | STATE_CIC_LFR <= RUN_PROG_I; | |
214 | current_cmd <= PROG_START_I; |
|
221 | current_cmd <= PROG_START_I; | |
215 | current_channel <= current_channel + 1; |
|
222 | current_channel <= current_channel + 1; | |
216 | END IF; |
|
223 | END IF; | |
217 | END IF; |
|
224 | END IF; | |
218 | ELSE |
|
225 | ELSE | |
219 | current_cmd <= current_cmd +1; |
|
226 | current_cmd <= current_cmd +1; | |
220 | END IF; |
|
227 | END IF; | |
221 |
|
228 | |||
222 | WHEN RUN_PROG_C256 => |
|
229 | WHEN RUN_PROG_C256 => | |
223 | IF current_cmd = PROG_END_C256 THEN |
|
230 | IF current_cmd = PROG_END_C256 THEN | |
224 | -- data_out_256_valid <= '1'; |
|
231 | -- data_out_256_valid <= '1'; | |
225 | IF current_channel = 5 THEN |
|
232 | IF current_channel = 5 THEN | |
226 | current_channel <= 0; |
|
233 | current_channel <= 0; | |
227 | STATE_CIC_LFR <= IDLE; |
|
234 | STATE_CIC_LFR <= IDLE; | |
228 | ELSE |
|
235 | ELSE | |
229 | STATE_CIC_LFR <= RUN_PROG_I; |
|
236 | STATE_CIC_LFR <= RUN_PROG_I; | |
230 | current_cmd <= PROG_START_I; |
|
237 | current_cmd <= PROG_START_I; | |
231 | current_channel <= current_channel + 1; |
|
238 | current_channel <= current_channel + 1; | |
232 | END IF; |
|
239 | END IF; | |
233 | ELSE |
|
240 | ELSE | |
234 | current_cmd <= current_cmd +1; |
|
241 | current_cmd <= current_cmd +1; | |
235 | END IF; |
|
242 | END IF; | |
236 |
|
243 | |||
237 | WHEN OTHERS => NULL; |
|
244 | WHEN OTHERS => NULL; | |
238 | END CASE; |
|
245 | END CASE; | |
239 | END IF; |
|
246 | END IF; | |
240 | END PROCESS; |
|
247 | END PROCESS; | |
241 |
|
248 | |||
242 | END beh; |
|
249 | END beh; |
General Comments 0
You need to be logged in to leave comments.
Login now