diff --git a/boards/DISCOSPACE/DISCOSPACE.sdc b/boards/DISCOSPACE/DISCOSPACE.sdc new file mode 100644 --- /dev/null +++ b/boards/DISCOSPACE/DISCOSPACE.sdc @@ -0,0 +1,114 @@ +################################################################################ +# SDC WRITER VERSION "3.1"; +# DESIGN "LFR_EQM"; +# Timing constraints scenario: "Primary"; +# DATE "Fri Apr 24 16:02:16 2015"; +# VENDOR "Actel"; +# PROGRAM "Actel Designer Software Release v9.1 SP5"; +# VERSION "9.1.5.1" Copyright (C) 1989-2012 Actel Corp. +################################################################################ + + +set sdc_version 1.7 + + +######## Clock Constraints ######## + +create_clock -name { clk100MHz } -period 10.000 -waveform { 0.000 5.000 } { clk100MHz } + +create_clock -name { clk49_152MHz } -period 20.345 -waveform { 0.000 10.172 } { clk49_152MHz } + +create_clock -name { clk_25:Q } -period 40.000 -waveform { 0.000 20.000 } { clk_25:Q } + +create_clock -name { clk_24:Q } -period 40.690 -waveform { 0.000 20.345 } { clk_24:Q } + +create_clock -name { spw_inputloop.1.spw_phy0/ntstrxclk.rx_clkbuf/pa3e.pae30/buf1.buf_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.1.spw_phy0/ntstrxclk.rx_clkbuf/pa3e.pae30/buf1.buf_RNO:Y } + +create_clock -name { spw_inputloop.0.spw_phy0/ntstrxclk.rx_clkbuf/pa3e.pae30/buf1.buf_RNO:Y } -period 100.000 -waveform { 0.000 50.000 } { spw_inputloop.0.spw_phy0/ntstrxclk.rx_clkbuf/pa3e.pae30/buf1.buf_RNO:Y } + + + +######## Generated Clock Constraints ######## + + + +######## Clock Source Latency Constraints ######### + + + +######## Input Delay Constraints ######## + +set_input_delay 0.000 -clock { clk_25:Q } [get_ports { SRAM_DQ[0] SRAM_DQ[10] SRAM_DQ[11] SRAM_DQ[12] SRAM_DQ[13] SRAM_DQ[14] SRAM_DQ[15] SRAM_DQ[16] SRAM_DQ[17] SRAM_DQ[18] SRAM_DQ[19] SRAM_DQ[1] SRAM_DQ[20] SRAM_DQ[21] SRAM_DQ[22] SRAM_DQ[23] SRAM_DQ[24] SRAM_DQ[25] SRAM_DQ[26] SRAM_DQ[27] SRAM_DQ[28] SRAM_DQ[29] SRAM_DQ[2] SRAM_DQ[30] SRAM_DQ[31] SRAM_DQ[3] SRAM_DQ[4] SRAM_DQ[5] SRAM_DQ[6] SRAM_DQ[7] SRAM_DQ[8] SRAM_DQ[9] }] +set_max_delay 30.000 -from [get_ports { SRAM_DQ[0] SRAM_DQ[10] SRAM_DQ[11] SRAM_DQ[12] SRAM_DQ[13] SRAM_DQ[14] \ +data[15] SRAM_DQ[16] SRAM_DQ[17] SRAM_DQ[18] SRAM_DQ[19] SRAM_DQ[1] SRAM_DQ[20] SRAM_DQ[21] SRAM_DQ[22] SRAM_DQ[23] \ +data[24] SRAM_DQ[25] SRAM_DQ[26] SRAM_DQ[27] SRAM_DQ[28] SRAM_DQ[29] SRAM_DQ[2] SRAM_DQ[30] SRAM_DQ[31] SRAM_DQ[3] \ +data[4] SRAM_DQ[5] SRAM_DQ[6] SRAM_DQ[7] SRAM_DQ[8] SRAM_DQ[9] }] -to [get_clocks {clk_25:Q}] +set_min_delay 0.000 -from [get_ports { SRAM_DQ[0] SRAM_DQ[10] SRAM_DQ[11] SRAM_DQ[12] SRAM_DQ[13] SRAM_DQ[14] \ +data[15] SRAM_DQ[16] SRAM_DQ[17] SRAM_DQ[18] SRAM_DQ[19] SRAM_DQ[1] SRAM_DQ[20] SRAM_DQ[21] SRAM_DQ[22] SRAM_DQ[23] \ +data[24] SRAM_DQ[25] SRAM_DQ[26] SRAM_DQ[27] SRAM_DQ[28] SRAM_DQ[29] SRAM_DQ[2] SRAM_DQ[30] SRAM_DQ[31] SRAM_DQ[3] \ +data[4] SRAM_DQ[5] SRAM_DQ[6] SRAM_DQ[7] SRAM_DQ[8] SRAM_DQ[9] }] -to [get_clocks {clk_25:Q}] + +#set_input_delay 0.000 -clock { clk_25:Q } [get_ports { nSRAM_BUSY }] +#set_max_delay 10.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] +#set_min_delay 0.000 -from [get_ports { nSRAM_BUSY }] -to [get_clocks {clk_25:Q}] + + + +######## Output Delay Constraints ######## + +set_output_delay 0.000 -clock { clk_25:Q } [get_ports { SRAM_DQ[0] SRAM_DQ[10] SRAM_DQ[11] SRAM_DQ[12] SRAM_DQ[13] SRAM_DQ[14] SRAM_DQ[15] SRAM_DQ[16] SRAM_DQ[17] SRAM_DQ[18] SRAM_DQ[19] SRAM_DQ[1] SRAM_DQ[20] SRAM_DQ[21] SRAM_DQ[22] SRAM_DQ[23] SRAM_DQ[24] SRAM_DQ[25] SRAM_DQ[26] SRAM_DQ[27] SRAM_DQ[28] SRAM_DQ[29] SRAM_DQ[2] SRAM_DQ[30] SRAM_DQ[31] SRAM_DQ[3] SRAM_DQ[4] SRAM_DQ[5] SRAM_DQ[6] SRAM_DQ[7] SRAM_DQ[8] SRAM_DQ[9] }] +set_max_delay 18.000 -from [get_clocks {clk_25:Q}] -to [get_ports { SRAM_DQ[0] SRAM_DQ[10] SRAM_DQ[11] \ +data[12] SRAM_DQ[13] SRAM_DQ[14] SRAM_DQ[15] SRAM_DQ[16] SRAM_DQ[17] SRAM_DQ[18] SRAM_DQ[19] SRAM_DQ[1] SRAM_DQ[20] \ +data[21] SRAM_DQ[22] SRAM_DQ[23] SRAM_DQ[24] SRAM_DQ[25] SRAM_DQ[26] SRAM_DQ[27] SRAM_DQ[28] SRAM_DQ[29] SRAM_DQ[2] \ +data[30] SRAM_DQ[31] SRAM_DQ[3] SRAM_DQ[4] SRAM_DQ[5] SRAM_DQ[6] SRAM_DQ[7] SRAM_DQ[8] SRAM_DQ[9] }] +set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { SRAM_DQ[0] SRAM_DQ[10] SRAM_DQ[11] \ +data[12] SRAM_DQ[13] SRAM_DQ[14] SRAM_DQ[15] SRAM_DQ[16] SRAM_DQ[17] SRAM_DQ[18] SRAM_DQ[19] SRAM_DQ[1] SRAM_DQ[20] \ +data[21] SRAM_DQ[22] SRAM_DQ[23] SRAM_DQ[24] SRAM_DQ[25] SRAM_DQ[26] SRAM_DQ[27] SRAM_DQ[28] SRAM_DQ[29] SRAM_DQ[2] \ +data[30] SRAM_DQ[31] SRAM_DQ[3] SRAM_DQ[4] SRAM_DQ[5] SRAM_DQ[6] SRAM_DQ[7] SRAM_DQ[8] SRAM_DQ[9] }] + +set_output_delay 0.000 -clock { clk_25:Q } [get_ports { SRAM_A[0] SRAM_A[10] SRAM_A[11] SRAM_A[12] SRAM_A[13] SRAM_A[14] SRAM_A[15] SRAM_A[16] SRAM_A[17] SRAM_A[18] SRAM_A[19] SRAM_A[1] SRAM_A[2] SRAM_A[3] SRAM_A[4] SRAM_A[5] SRAM_A[6] SRAM_A[7] SRAM_A[8] SRAM_A[9] }] +set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { SRAM_A[0] SRAM_A[10] \ +address[11] SRAM_A[12] SRAM_A[13] SRAM_A[14] SRAM_A[15] SRAM_A[16] SRAM_A[17] \ +address[18] SRAM_A[19] SRAM_A[1] SRAM_A[2] SRAM_A[3] SRAM_A[4] SRAM_A[5] SRAM_A[6] \ +address[7] SRAM_A[8] SRAM_A[9] }] +set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { SRAM_A[0] SRAM_A[10] \ +address[11] SRAM_A[12] SRAM_A[13] SRAM_A[14] SRAM_A[15] SRAM_A[16] SRAM_A[17] \ +address[18] SRAM_A[19] SRAM_A[1] SRAM_A[2] SRAM_A[3] SRAM_A[4] SRAM_A[5] SRAM_A[6] \ +address[7] SRAM_A[8] SRAM_A[9] }] + +set_output_delay 0.000 -clock { clk_25:Q } [get_ports { SRAM_nBE[0] SRAM_nBE[1] SRAM_nBE[2] SRAM_nBE[3] SRAM_nWE SRAM_CE SRAM_nOE }] +set_max_delay 20.000 -from [get_clocks {clk_25:Q}] -to [get_ports { SRAM_nBE[0] SRAM_nBE[1] SRAM_nBE[2] SRAM_nBE[3] SRAM_nWE SRAM_CE SRAM_nOE }] +set_min_delay 0.000 -from [get_clocks {clk_25:Q}] -to [get_ports { SRAM_nBE[0] SRAM_nBE[1] SRAM_nBE[2] SRAM_nBE[3] SRAM_nWE SRAM_CE SRAM_nOE }] + + +######## Delay Constraints ######## + +set_max_delay 4.000 -from [get_ports { SPW_RED_SIN SPW_RED_DIN SPW_NOM_SIN SPW_NOM_DIN reset }] -to [get_clocks { spw_inputloop.1.spw_phy0/ntstrxclk.rx_clkbuf/pa3e.pae30/buf1.buf_RNO:Y}] + +set_max_delay 4.000 -from [get_ports { SPW_RED_SIN SPW_RED_DIN SPW_NOM_SIN SPW_NOM_DIN reset }] -to [get_clocks {spw_inputloop.0.spw_phy0/ntstrxclk.rx_clkbuf/pa3e.pae30/buf1.buf_RNO:Y}] + + +######## Delay Constraints ######## + + + +######## Multicycle Constraints ######## + + + +######## False Path Constraints ######## + + + +######## Output load Constraints ######## + + + +######## Disable Timing Constraints ######### + + + +######## Clock Uncertainty Constraints ######### + + + diff --git a/boards/DISCOSPACE/Makefile.inc b/boards/DISCOSPACE/Makefile.inc new file mode 100644 --- /dev/null +++ b/boards/DISCOSPACE/Makefile.inc @@ -0,0 +1,19 @@ +PACKAGE=\"\" +SPEED=Std +SYNFREQ=50 + +TECHNOLOGY=ProASIC3E +LIBERO_DIE=IT14X14M4 +PART=A3PE3000 + +DESIGNER_VOLTAGE=COM +DESIGNER_TEMP=COM +DESIGNER_PACKAGE=FBGA +DESIGNER_PINS=324 + +MANUFACTURER=Actel +MGCTECHNOLOGY=Proasic3 +MGCPART=$(PART) +MGCPACKAGE= {$(DESIGNER_PINS) $(DESIGNER_PACKAGE)} +LIBERO_PACKAGE=fg$(DESIGNER_PINS) + diff --git a/boards/DISCOSPACE/default.pdc b/boards/DISCOSPACE/default.pdc new file mode 100644 --- /dev/null +++ b/boards/DISCOSPACE/default.pdc @@ -0,0 +1,521 @@ +# Actel Physical design constraints file +# Generated file + +# Version: 9.1 SP3 9.1.3.4 +# Family: ProASIC3L , Die: A3PE3000L , Package: 324 FBGA +# Date generated: Tue Oct 18 08:21:45 2011 + + +# +# IO banks setting +# + + +# +# I/O constraints +# + +set_io clk100MHz \ + -pinname F7 \ + -fixed yes \ + -DIRECTION Inout + +set_io clk49_152MHz \ + -pinname F8 \ + -fixed yes \ + -DIRECTION Inout + +set_io reset \ + -pinname J12 \ + -fixed yes \ + -DIRECTION Inout +#==================================================================== +# BPs +#==================================================================== +set_io BP0 \ + -pinname F16 \ + -fixed yes \ + -DIRECTION Inout + +set_io BP1 \ + -pinname F13 \ + -fixed yes \ + -DIRECTION Inout + +#==================================================================== +# LEDs +#==================================================================== + +set_io LED0 \ + -pinname R13 \ + -fixed yes \ + -DIRECTION Inout + +set_io LED1 \ + -pinname P13 \ + -fixed yes \ + -DIRECTION Inout + +set_io LED2 \ + -pinname N11 \ + -fixed yes \ + -DIRECTION Inout + +#==================================================================== +# TRIGGERs +#==================================================================== + +set_io DISCO1_TRIG1 \ + -pinname J15 \ + -fixed yes \ + -DIRECTION Inout + +set_io DISCO2_TRIG1 \ + -pinname H15 \ + -fixed yes \ + -DIRECTION Inout + +set_io DISCO3_TRIG1 \ + -pinname D14 \ + -fixed yes \ + -DIRECTION Inout + +set_io DISCO4_TRIG1 \ + -pinname A8 \ + -fixed yes \ + -DIRECTION Inout + +#==================================================================== +# UARTS +#==================================================================== + +set_io TXD1 \ + -pinname N12 \ + -fixed yes \ + -DIRECTION Inout + +set_io RXD1 \ + -pinname N10 \ + -fixed yes \ + -DIRECTION Inout + +set_io nCTS1 \ + -pinname L13 \ + -fixed yes \ + -DIRECTION Inout + +set_io nRTS1 \ + -pinname M9 \ + -fixed yes \ + -DIRECTION Inout + + +set_io TXD2 \ + -pinname G6 \ + -fixed yes \ + -DIRECTION Inout + +set_io RXD2 \ + -pinname F6 \ + -fixed yes \ + -DIRECTION Inout + + + +#==================================================================== +# SPACE WIRE +#==================================================================== + +set_io SPW_EN \ + -pinname U9 \ + -fixed yes \ + -DIRECTION Inout + + #================================ + # NOMINAL LINK + #================================ + +set_io SPW_NOM_DIN \ + -pinname T9 \ + -fixed yes \ + -DIRECTION Inout + +set_io SPW_NOM_SIN \ + -pinname T8 \ + -fixed yes \ + -DIRECTION Inout + +set_io SPW_NOM_DOUT \ + -pinname U7 \ + -fixed yes \ + -DIRECTION Inout + +set_io SPW_NOM_SOUT \ + -pinname U1 \ + -fixed yes \ + -DIRECTION Inout + + #================================ + # REDUNDANT LINK + #================================ + +set_io SPW_RED_DIN \ + -pinname R10 \ + -fixed yes \ + -DIRECTION Inout + +set_io SPW_RED_SIN \ + -pinname T10 \ + -fixed yes \ + -DIRECTION Inout + +set_io SPW_RED_DOUT \ + -pinname V2 \ + -fixed yes \ + -DIRECTION Inout + +set_io SPW_RED_SOUT \ + -pinname T11 \ + -fixed yes \ + -DIRECTION Inout + + +#==================================================================== +# SRAM +#==================================================================== + + #================================ + # SRAM CTRL + #================================ + +set_io SRAM_nWE \ + -pinname D4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_CE \ + -pinname J6 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_nOE \ + -pinname J1 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_nBE\[0\] \ + -pinname N2 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_nBE\[1\] \ + -pinname K5 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_nBE\[2\] \ + -pinname G2 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_nBE\[3\] \ + -pinname J2 \ + -fixed yes \ + -DIRECTION Inout + + + #================================ + # SRAM ADDRESS + #================================ + +set_io SRAM_A\[0\] \ + -pinname A3 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[1\] \ + -pinname A2 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[2\] \ + -pinname B1 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[3\] \ + -pinname C1 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[4\] \ + -pinname D1 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[5\] \ + -pinname B6 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[6\] \ + -pinname F1 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[7\] \ + -pinname C6 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[8\] \ + -pinname H1 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[9\] \ + -pinname A5 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[10\] \ + -pinname D5 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[11\] \ + -pinname K1 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[12\] \ + -pinname A4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[13\] \ + -pinname E10 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[14\] \ + -pinname C4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[15\] \ + -pinname G4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[16\] \ + -pinname K7 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[17\] \ + -pinname F4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[18\] \ + -pinname K2 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_A\[19\] \ + -pinname E4 \ + -fixed yes \ + -DIRECTION Inout + + + #================================ + # SRAM DATA + #================================ + +set_io SRAM_DQ\[0\] \ + -pinname M3 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[1\] \ + -pinname N8 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[2\] \ + -pinname M2 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[3\] \ + -pinname N9 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[4\] \ + -pinname R11 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[5\] \ + -pinname K12 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[6\] \ + -pinname J4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[7\] \ + -pinname N3 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[8\] \ + -pinname M6 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[9\] \ + -pinname L3 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[10\] \ + -pinname L6 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[11\] \ + -pinname K4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[12\] \ + -pinname L4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[13\] \ + -pinname N7 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[14\] \ + -pinname M7 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[15\] \ + -pinname K6 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[16\] \ + -pinname E1 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[17\] \ + -pinname J7 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[18\] \ + -pinname H4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[19\] \ + -pinname F10 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[20\] \ + -pinname B3 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[21\] \ + -pinname F3 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[22\] \ + -pinname C3 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[23\] \ + -pinname G3 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[24\] \ + -pinname R6 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[25\] \ + -pinname P4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[26\] \ + -pinname R4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[27\] \ + -pinname M4 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[28\] \ + -pinname F9 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[29\] \ + -pinname B2 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[30\] \ + -pinname H3 \ + -fixed yes \ + -DIRECTION Inout + +set_io SRAM_DQ\[31\] \ + -pinname C2 \ + -fixed yes \ + -DIRECTION Inout + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/designs/TIMEGEN/DISCOSPACE_top - Copie.vhd b/designs/TIMEGEN/DISCOSPACE_top - Copie.vhd new file mode 100644 --- /dev/null +++ b/designs/TIMEGEN/DISCOSPACE_top - Copie.vhd @@ -0,0 +1,584 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2016, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- Author : Jean-christophe Pellion +-- Mail : jean-christophe.pellion@lpp.polytechnique.fr +------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.numeric_std.ALL; +USE IEEE.std_logic_1164.ALL; +LIBRARY grlib; +USE grlib.amba.ALL; +USE grlib.stdlib.ALL; +LIBRARY techmap; +USE techmap.gencomp.ALL; +LIBRARY gaisler; +USE gaisler.memctrl.ALL; +USE gaisler.leon3.ALL; +USE gaisler.uart.ALL; +USE gaisler.misc.ALL; +USE gaisler.spacewire.ALL; +LIBRARY esa; +USE esa.memoryctrl.ALL; +LIBRARY lpp; +USE lpp.lpp_memory.ALL; +USE lpp.lpp_ad_conv.ALL; +USE lpp.lpp_lfr_pkg.ALL; +USE lpp.lpp_top_lfr_pkg.ALL; +USE lpp.iir_filter.ALL; +USE lpp.general_purpose.ALL; +use lpp.lpp_amba.all; +USE lpp.lpp_lfr_management.ALL; +USE lpp.lpp_leon3_soc_pkg.ALL; + +ENTITY DISCOSPACE_top IS + + PORT ( + clk100MHz : IN STD_LOGIC; + clk49_152MHz : IN STD_LOGIC; + reset : IN STD_LOGIC; + --BPs + BP0 : IN STD_LOGIC; + BP1 : IN STD_LOGIC; + --LEDs + LED0 : OUT STD_LOGIC; + LED1 : OUT STD_LOGIC; + LED2 : OUT STD_LOGIC; + --UARTs + TXD1 : IN STD_LOGIC; + RXD1 : OUT STD_LOGIC; + nCTS1 : OUT STD_LOGIC; + nRTS1 : IN STD_LOGIC; + + TXD2 : IN STD_LOGIC; + RXD2 : OUT STD_LOGIC; + nCTS2 : OUT STD_LOGIC; + nDTR2 : IN STD_LOGIC; + nRTS2 : IN STD_LOGIC; + nDCD2 : OUT STD_LOGIC; + + --EXT CONNECTOR + DISCO1_TRIG1 : OUT STD_LOGIC; + DISCO2_TRIG1 : OUT STD_LOGIC; + DISCO3_TRIG1 : OUT STD_LOGIC; + DISCO4_TRIG1 : OUT STD_LOGIC; + + -- MINI LFR ADC INPUTS + ADC_nCS : OUT STD_LOGIC; + ADC_CLK : OUT STD_LOGIC; + ADC_SDO : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + --SPACE WIRE + SPW_EN : OUT STD_LOGIC; -- 0 => off + SPW_NOM_DIN : IN STD_LOGIC; -- NOMINAL LINK + SPW_NOM_SIN : IN STD_LOGIC; + SPW_NOM_DOUT : OUT STD_LOGIC; + SPW_NOM_SOUT : OUT STD_LOGIC; + SPW_RED_DIN : IN STD_LOGIC; -- REDUNDANT LINK + SPW_RED_SIN : IN STD_LOGIC; + SPW_RED_DOUT : OUT STD_LOGIC; + SPW_RED_SOUT : OUT STD_LOGIC; + + -- SRAM + SRAM_nWE : OUT STD_LOGIC; + SRAM_CE : OUT STD_LOGIC; + SRAM_nOE : OUT STD_LOGIC; + SRAM_nBE : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); + SRAM_A : OUT STD_LOGIC_VECTOR(19 DOWNTO 0); + SRAM_DQ : INOUT STD_LOGIC_VECTOR(31 DOWNTO 0) + ); + +END DISCOSPACE_top; + + +ARCHITECTURE beh OF DISCOSPACE_top IS + +--========================================================================== +-- USE_IAP_MEMCTRL allow to use the srctrle-0ws on MINILFR board +-- when enabled, chip enable polarity should be reversed and bank size also +-- MINILFR -> 1 bank of 4MBytes -> SRBANKSZ=9 +-- LFR EQM & FM -> 2 banks of 2MBytes -> SRBANKSZ=8 +--========================================================================== + CONSTANT USE_IAP_MEMCTRL : integer := 1; +--========================================================================== + + SIGNAL clk_50_s : STD_LOGIC := '0'; + SIGNAL clk_25 : STD_LOGIC := '0'; + SIGNAL clk_24 : STD_LOGIC := '0'; + ----------------------------------------------------------------------------- + SIGNAL coarse_time : STD_LOGIC_VECTOR(31 DOWNTO 0); + SIGNAL fine_time : STD_LOGIC_VECTOR(15 DOWNTO 0); + -- + SIGNAL errorn : STD_LOGIC; + -- + SIGNAL I00_s : STD_LOGIC; + + -- CONSTANTS + CONSTANT CFG_PADTECH : INTEGER := inferred; + -- + CONSTANT NB_APB_SLAVE : INTEGER := 11; -- 3 = grspw + waveform picker + time manager, 11 allows pindex = f + CONSTANT NB_AHB_SLAVE : INTEGER := 1; + CONSTANT NB_AHB_MASTER : INTEGER := 2; -- 2 = grspw + waveform picker + + SIGNAL apbi_ext : apb_slv_in_type; + SIGNAL apbo_ext : soc_apb_slv_out_vector(NB_APB_SLAVE-1+5 DOWNTO 5); -- := (OTHERS => apb_none); + SIGNAL ahbi_s_ext : ahb_slv_in_type; + SIGNAL ahbo_s_ext : soc_ahb_slv_out_vector(NB_AHB_SLAVE-1+3 DOWNTO 3); -- := (OTHERS => ahbs_none); + SIGNAL ahbi_m_ext : AHB_Mst_In_Type; + SIGNAL ahbo_m_ext : soc_ahb_mst_out_vector(NB_AHB_MASTER-1+1 DOWNTO 1); -- := (OTHERS => ahbm_none); + +-- Spacewire signals + SIGNAL dtmp : STD_LOGIC_VECTOR(1 DOWNTO 0); + SIGNAL stmp : STD_LOGIC_VECTOR(1 DOWNTO 0); + SIGNAL spw_rxclk : STD_LOGIC_VECTOR(1 DOWNTO 0); + SIGNAL spw_rxtxclk : STD_ULOGIC; + SIGNAL spw_rxclkn : STD_ULOGIC; + SIGNAL spw_clk : STD_LOGIC; + SIGNAL swni : grspw_in_type; + SIGNAL swno : grspw_out_type; + + +-- AdvancedTrigger + SIGNAL Trigger : STD_LOGIC; + +-- AD Converter ADS7886 + SIGNAL sample : Samples14v(7 DOWNTO 0); + SIGNAL sample_s : Samples(7 DOWNTO 0); + SIGNAL sample_val : STD_LOGIC; + SIGNAL ADC_nCS_sig : STD_LOGIC; + SIGNAL ADC_CLK_sig : STD_LOGIC; + SIGNAL ADC_SDO_sig : STD_LOGIC_VECTOR(7 DOWNTO 0); + + SIGNAL bias_fail_sw_sig : STD_LOGIC; + + + ----------------------------------------------------------------------------- + + SIGNAL LFR_soft_rstn : STD_LOGIC; + SIGNAL LFR_rstn : STD_LOGIC; + + + SIGNAL rstn_25 : STD_LOGIC; + SIGNAL rstn_25_d1 : STD_LOGIC; + SIGNAL rstn_25_d2 : STD_LOGIC; + SIGNAL rstn_25_d3 : STD_LOGIC; + + SIGNAL rstn_24 : STD_LOGIC; + SIGNAL rstn_24_d1 : STD_LOGIC; + SIGNAL rstn_24_d2 : STD_LOGIC; + SIGNAL rstn_24_d3 : STD_LOGIC; + + SIGNAL rstn_50 : STD_LOGIC; + SIGNAL rstn_50_d1 : STD_LOGIC; + SIGNAL rstn_50_d2 : STD_LOGIC; + SIGNAL rstn_50_d3 : STD_LOGIC; + -- + SIGNAL SRAM_CE_s : STD_LOGIC_VECTOR(1 DOWNTO 0); + + -- + SIGNAL sample_hk : STD_LOGIC_VECTOR(15 DOWNTO 0); + SIGNAL HK_SEL : STD_LOGIC_VECTOR(1 DOWNTO 0); + + SIGNAL nSRAM_READY : STD_LOGIC; + +BEGIN -- beh + + ----------------------------------------------------------------------------- + PROCESS (clk100MHz, reset) + BEGIN -- PROCESS + IF clk100MHz'EVENT AND clk100MHz = '1' THEN -- rising clock edge + clk_50_s <= NOT clk_50_s; + END IF; + END PROCESS; + ----------------------------------------------------------------------------- + + PROCESS (clk_50_s, reset) + BEGIN -- PROCESS + IF reset = '0' THEN -- asynchronous reset (active low) + clk_25 <= '0'; + rstn_25 <= '0'; + rstn_25_d1 <= '0'; + rstn_25_d2 <= '0'; + rstn_25_d3 <= '0'; + ELSIF clk_50_s'EVENT AND clk_50_s = '1' THEN -- rising clock edge + clk_25 <= NOT clk_25; + rstn_25_d1 <= '1'; + rstn_25_d2 <= rstn_25_d1; + rstn_25_d3 <= rstn_25_d2; + rstn_25 <= rstn_25_d3; + END IF; + END PROCESS; + + PROCESS (clk49_152MHz, reset) + BEGIN -- PROCESS + IF reset = '0' THEN -- asynchronous reset (active low) + clk_24 <= '0'; + rstn_24_d1 <= '0'; + rstn_24_d2 <= '0'; + rstn_24_d3 <= '0'; + rstn_24 <= '0'; + ELSIF clk49_152MHz'EVENT AND clk49_152MHz = '1' THEN -- rising clock edge + clk_24 <= NOT clk_24; + rstn_24_d1 <= '1'; + rstn_24_d2 <= rstn_24_d1; + rstn_24_d3 <= rstn_24_d2; + rstn_24 <= rstn_24_d3; + END IF; + END PROCESS; + + ----------------------------------------------------------------------------- + + PROCESS (clk_25, rstn_25) + BEGIN -- PROCESS + IF rstn_25 = '0' THEN -- asynchronous reset (active low) + LED0 <= '0'; + LED1 <= '0'; + LED2 <= '0'; + ELSIF clk_25'EVENT AND clk_25 = '1' THEN -- rising clock edge + LED0 <= '0'; + LED1 <= '1'; + LED2 <= BP0 OR BP1 OR nDTR2 OR nRTS2 OR nRTS1; + END IF; + END PROCESS; + + PROCESS (clk49_152MHz, rstn_24) + BEGIN -- PROCESS + IF rstn_24 = '0' THEN -- asynchronous reset (active low) + I00_s <= '0'; + ELSIF clk49_152MHz'EVENT AND clk49_152MHz = '1' THEN -- rising clock edge + I00_s <= NOT I00_s; + END IF; + END PROCESS; + + --UARTs + nCTS1 <= '1'; + nCTS2 <= '1'; + nDCD2 <= '1'; + -- No AHB UART + RXD1 <= TXD1; + + -- + + leon3_soc_1 : leon3_soc + GENERIC MAP ( + fabtech => apa3e, + memtech => apa3e, + padtech => inferred, + clktech => inferred, + disas => 0, + dbguart => 0, + pclow => 2, + clk_freq => 25000, + IS_RADHARD => 0, + NB_CPU => 1, + ENABLE_FPU => 1, + FPU_NETLIST => 0, + ENABLE_DSU => 1, + ENABLE_AHB_UART => 0, + ENABLE_APB_UART => 1, + ENABLE_IRQMP => 1, + ENABLE_GPT => 1, + NB_AHB_MASTER => NB_AHB_MASTER, + NB_AHB_SLAVE => NB_AHB_SLAVE, + NB_APB_SLAVE => NB_APB_SLAVE, + ADDRESS_SIZE => 20, + USES_IAP_MEMCTRLR => USE_IAP_MEMCTRL, + BYPASS_EDAC_MEMCTRLR => '0', + SRBANKSZ => 9) + PORT MAP ( + clk => clk_25, + reset => rstn_25, + errorn => errorn, + ahbrxd => OPEN,--TXD1, + ahbtxd => OPEN,--RXD1, + urxd1 => TXD2, + utxd1 => RXD2, + address => SRAM_A, + data => SRAM_DQ, + nSRAM_BE0 => SRAM_nBE(0), + nSRAM_BE1 => SRAM_nBE(1), + nSRAM_BE2 => SRAM_nBE(2), + nSRAM_BE3 => SRAM_nBE(3), + nSRAM_WE => SRAM_nWE, + nSRAM_CE => SRAM_CE_s, + nSRAM_OE => SRAM_nOE, + nSRAM_READY => nSRAM_READY, + SRAM_MBE => OPEN, + apbi_ext => apbi_ext, + apbo_ext => apbo_ext, + ahbi_s_ext => ahbi_s_ext, + ahbo_s_ext => ahbo_s_ext, + ahbi_m_ext => ahbi_m_ext, + ahbo_m_ext => ahbo_m_ext); + + PROCESS (clk_25, rstn_25) + BEGIN -- PROCESS + IF rstn_25 = '0' THEN -- asynchronous reset (active low) + nSRAM_READY <= '1'; + ELSIF clk_25'event AND clk_25 = '1' THEN -- rising clock edge + nSRAM_READY <= '1'; + END IF; + END PROCESS; + + + + IAP:if USE_IAP_MEMCTRL = 1 GENERATE + SRAM_CE <= not SRAM_CE_s(0); + END GENERATE; + + NOIAP:if USE_IAP_MEMCTRL = 0 GENERATE + SRAM_CE <= SRAM_CE_s(0); + END GENERATE; +------------------------------------------------------------------------------- +-- APB_LFR_MANAGEMENT --------------------------------------------------------- +------------------------------------------------------------------------------- + apb_lfr_management_1 : apb_lfr_management + GENERIC MAP ( + tech => apa3e, + pindex => 6, + paddr => 6, + pmask => 16#fff#, + NB_SECOND_DESYNC => 60) -- 60 secondes of desynchronization before CoarseTime's MSB is Set + PORT MAP ( + clk25MHz => clk_25, + resetn_25MHz => rstn_25, + grspw_tick => swno.tickout, + apbi => apbi_ext, + apbo => apbo_ext(6), + HK_sample => sample_hk, + HK_val => sample_val, + HK_sel => HK_SEL, + DAC_SDO => OPEN, + DAC_SCK => OPEN, + DAC_SYNC => OPEN, + DAC_CAL_EN => OPEN, + coarse_time => coarse_time, + fine_time => fine_time, + LFR_soft_rstn => LFR_soft_rstn + ); + +----------------------------------------------------------------------- +--- SpaceWire -------------------------------------------------------- +----------------------------------------------------------------------- + + SPW_EN <= '1'; + + spw_clk <= clk_50_s; + spw_rxtxclk <= spw_clk; + spw_rxclkn <= NOT spw_rxtxclk; + + -- PADS for SPW1 + spw1_rxd_pad : inpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_NOM_DIN, dtmp(0)); + spw1_rxs_pad : inpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_NOM_SIN, stmp(0)); + spw1_txd_pad : outpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_NOM_DOUT, swno.d(0)); + spw1_txs_pad : outpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_NOM_SOUT, swno.s(0)); + -- PADS FOR SPW2 + spw2_rxd_pad : inpad GENERIC MAP (tech => inferred) -- bad naming of the MINI-LFR /!\ + PORT MAP (SPW_RED_SIN, dtmp(1)); + spw2_rxs_pad : inpad GENERIC MAP (tech => inferred) -- bad naming of the MINI-LFR /!\ + PORT MAP (SPW_RED_DIN, stmp(1)); + spw2_txd_pad : outpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_RED_DOUT, swno.d(1)); + spw2_txs_pad : outpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_RED_SOUT, swno.s(1)); + + -- GRSPW PHY + spw_inputloop : FOR j IN 0 TO 1 GENERATE + spw_phy0 : grspw_phy + GENERIC MAP( + tech => apa3e, + rxclkbuftype => 1, + scantest => 0) + PORT MAP( + rxrst => swno.rxrst, + di => dtmp(j), + si => stmp(j), + rxclko => spw_rxclk(j), + do => swni.d(j), + ndo => swni.nd(j*5+4 DOWNTO j*5), + dconnect => swni.dconnect(j*2+1 DOWNTO j*2)); + END GENERATE spw_inputloop; + + swni.rmapnodeaddr <= (OTHERS => '0'); + + -- SPW core + sw0 : grspwm GENERIC MAP( + tech => apa3e, + hindex => 1, + pindex => 5, + paddr => 5, + pirq => 11, + sysfreq => 25000, -- CPU_FREQ + rmap => 1, + rmapcrc => 1, + fifosize1 => 16, + fifosize2 => 16, + rxclkbuftype => 1, + rxunaligned => 0, + rmapbufs => 4, + ft => 0, + netlist => 0, + ports => 2, + --dmachan => CFG_SPW_DMACHAN, -- not used byt the spw core 1 + memtech => apa3e, + destkey => 2, + spwcore => 1 + --input_type => CFG_SPW_INPUT, -- not used byt the spw core 1 + --output_type => CFG_SPW_OUTPUT, -- not used byt the spw core 1 + --rxtx_sameclk => CFG_SPW_RTSAME -- not used byt the spw core 1 + ) + PORT MAP(rstn_25, clk_25, spw_rxclk(0), + spw_rxclk(1), spw_rxtxclk, spw_rxtxclk, + ahbi_m_ext, ahbo_m_ext(1), apbi_ext, apbo_ext(5), + swni, swno); + + swni.tickin <= '0'; + swni.rmapen <= '1'; + swni.clkdiv10 <= "00000100"; -- 10 MHz / (4 + 1) = 10 MHz + swni.tickinraw <= '0'; + swni.timein <= (OTHERS => '0'); + swni.dcrstval <= (OTHERS => '0'); + swni.timerrstval <= (OTHERS => '0'); + +------------------------------------------------------------------------------- +-- LFR ------------------------------------------------------------------------ +------------------------------------------------------------------------------- + + + LFR_rstn <= LFR_soft_rstn AND rstn_25; + + lpp_lfr_1 : lpp_lfr + GENERIC MAP ( + Mem_use => use_RAM, + nb_data_by_buffer_size => 32, + nb_snapshot_param_size => 32, + delta_vector_size => 32, + delta_vector_size_f0_2 => 7, -- log2(96) + pindex => 15, + paddr => 15, + pmask => 16#fff#, + pirq_ms => 6, + pirq_wfp => 14, + hindex => 2, + top_lfr_version => X"000159") -- aa.bb.cc version + PORT MAP ( + clk => clk_25, + rstn => LFR_rstn, + sample_B => sample_s(2 DOWNTO 0), + sample_E => sample_s(7 DOWNTO 3), + sample_val => sample_val, + apbi => apbi_ext, + apbo => apbo_ext(15), + ahbi => ahbi_m_ext, + ahbo => ahbo_m_ext(2), + coarse_time => coarse_time, + fine_time => fine_time, + data_shaping_BW => bias_fail_sw_sig, + debug_vector => open, + debug_vector_ms => open + ); + + all_sample : FOR I IN 7 DOWNTO 0 GENERATE + sample_s(I) <= sample(I)(11 DOWNTO 0) & '0' & '0' & '0' & '0'; + END GENERATE all_sample; + + top_ad_conv_ADS7886_v2_1 : top_ad_conv_ADS7886_v2 + GENERIC MAP( + ChannelCount => 8, + SampleNbBits => 14, + ncycle_cnv_high => 40, -- at least 32 cycles at 25 MHz, 32 * 49.152 / 25 /2 = 31.5 + ncycle_cnv => 249) -- 49 152 000 / 98304 /2 + PORT MAP ( + -- CONV + cnv_clk => clk_24, + cnv_rstn => rstn_24, + cnv => ADC_nCS_sig, + -- DATA + clk => clk_25, + rstn => rstn_25, + sck => ADC_CLK_sig, + sdo => ADC_SDO_sig, + -- SAMPLE + sample => sample, + sample_val => sample_val); + + ADC_nCS <= ADC_nCS_sig; + ADC_CLK <= ADC_CLK_sig; + ADC_SDO_sig <= ADC_SDO; + + sample_hk <= "0001000100010001" WHEN HK_SEL = "00" ELSE + "0010001000100010" WHEN HK_SEL = "01" ELSE + "0100010001000100" WHEN HK_SEL = "10" ELSE + (OTHERS => '0'); + + + +---------------------------------------------------------------------- +--- APB_ADVANCED_TRIGGER ----------------------------------------------------------- +---------------------------------------------------------------------- +advtrig0: APB_ADVANCED_TRIGGER + generic map( + pindex => 12, + paddr => 12) + port map( + rstn => rstn_25, + clk => clk_25, + apbi => apbi_ext, + apbo => apbo_ext(12), + + SPW_Tickout => swno.tickout, + CoarseTime => coarse_time, + FineTime => fine_time, + + Trigger => Trigger + ); + + + DISCO1_TRIG1_PAD : outpad GENERIC MAP (tech => inferred) + PORT MAP (DISCO1_TRIG1, Trigger); + DISCO2_TRIG1_PAD : outpad GENERIC MAP (tech => inferred) + PORT MAP (DISCO2_TRIG1, Trigger); + DISCO3_TRIG1_PAD : outpad GENERIC MAP (tech => inferred) + PORT MAP (DISCO3_TRIG1, Trigger); + DISCO4_TRIG1_PAD : outpad GENERIC MAP (tech => inferred) + PORT MAP (DISCO4_TRIG1, Trigger); + + ----------------------------------------------------------------------------- + -- + ----------------------------------------------------------------------------- + all_apbo_ext : FOR I IN NB_APB_SLAVE-1+5 DOWNTO 5 GENERATE + apbo_ext_not_used : IF I /= 5 AND I /= 6 AND I /= 12 AND I /= 15 GENERATE + apbo_ext(I) <= apb_none; + END GENERATE apbo_ext_not_used; + END GENERATE all_apbo_ext; + + + all_ahbo_ext : FOR I IN NB_AHB_SLAVE-1+3 DOWNTO 3 GENERATE + ahbo_s_ext(I) <= ahbs_none; + END GENERATE all_ahbo_ext; + + all_ahbo_m_ext : FOR I IN NB_AHB_MASTER-1+1 DOWNTO 1 GENERATE + ahbo_m_ext_not_used : IF I /= 1 AND I /= 2 GENERATE + ahbo_m_ext(I) <= ahbm_none; + END GENERATE ahbo_m_ext_not_used; + END GENERATE all_ahbo_m_ext; + +END beh; \ No newline at end of file diff --git a/designs/TIMEGEN/DISCOSPACE_top.vhd b/designs/TIMEGEN/DISCOSPACE_top.vhd new file mode 100644 --- /dev/null +++ b/designs/TIMEGEN/DISCOSPACE_top.vhd @@ -0,0 +1,584 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2016, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- Author : Jean-christophe Pellion +-- Mail : jean-christophe.pellion@lpp.polytechnique.fr +------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.numeric_std.ALL; +USE IEEE.std_logic_1164.ALL; +LIBRARY grlib; +USE grlib.amba.ALL; +USE grlib.stdlib.ALL; +LIBRARY techmap; +USE techmap.gencomp.ALL; +LIBRARY gaisler; +USE gaisler.memctrl.ALL; +USE gaisler.leon3.ALL; +USE gaisler.uart.ALL; +USE gaisler.misc.ALL; +USE gaisler.spacewire.ALL; +LIBRARY esa; +USE esa.memoryctrl.ALL; +LIBRARY lpp; +USE lpp.lpp_memory.ALL; +USE lpp.lpp_ad_conv.ALL; +USE lpp.lpp_lfr_pkg.ALL; +USE lpp.lpp_top_lfr_pkg.ALL; +USE lpp.iir_filter.ALL; +USE lpp.general_purpose.ALL; +use lpp.lpp_amba.all; +USE lpp.lpp_lfr_management.ALL; +USE lpp.lpp_leon3_soc_pkg.ALL; + +ENTITY DISCOSPACE_top IS + + PORT ( + clk100MHz : IN STD_LOGIC; + clk49_152MHz : IN STD_LOGIC; + reset : IN STD_LOGIC; + --BPs + BP0 : IN STD_LOGIC; + BP1 : IN STD_LOGIC; + --LEDs + LED0 : OUT STD_LOGIC; + LED1 : OUT STD_LOGIC; + LED2 : OUT STD_LOGIC; + --UARTs + TXD1 : IN STD_LOGIC; + RXD1 : OUT STD_LOGIC; + nCTS1 : OUT STD_LOGIC; + nRTS1 : IN STD_LOGIC; + + TXD2 : IN STD_LOGIC; + RXD2 : OUT STD_LOGIC; + nCTS2 : OUT STD_LOGIC; + nDTR2 : IN STD_LOGIC; + nRTS2 : IN STD_LOGIC; + nDCD2 : OUT STD_LOGIC; + + --EXT CONNECTOR + DISCO1_TRIG1 : OUT STD_LOGIC; + DISCO2_TRIG1 : OUT STD_LOGIC; + DISCO3_TRIG1 : OUT STD_LOGIC; + DISCO4_TRIG1 : OUT STD_LOGIC; + + -- MINI LFR ADC INPUTS + ADC_nCS : OUT STD_LOGIC; + ADC_CLK : OUT STD_LOGIC; + ADC_SDO : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + --SPACE WIRE + SPW_EN : OUT STD_LOGIC; -- 0 => off + SPW_NOM_DIN : IN STD_LOGIC; -- NOMINAL LINK + SPW_NOM_SIN : IN STD_LOGIC; + SPW_NOM_DOUT : OUT STD_LOGIC; + SPW_NOM_SOUT : OUT STD_LOGIC; + SPW_RED_DIN : IN STD_LOGIC; -- REDUNDANT LINK + SPW_RED_SIN : IN STD_LOGIC; + SPW_RED_DOUT : OUT STD_LOGIC; + SPW_RED_SOUT : OUT STD_LOGIC; + + -- SRAM + SRAM_nWE : OUT STD_LOGIC; + SRAM_CE : OUT STD_LOGIC; + SRAM_nOE : OUT STD_LOGIC; + SRAM_nBE : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); + SRAM_A : OUT STD_LOGIC_VECTOR(19 DOWNTO 0); + SRAM_DQ : INOUT STD_LOGIC_VECTOR(31 DOWNTO 0) + ); + +END DISCOSPACE_top; + + +ARCHITECTURE beh OF DISCOSPACE_top IS + +--========================================================================== +-- USE_IAP_MEMCTRL allow to use the srctrle-0ws on MINILFR board +-- when enabled, chip enable polarity should be reversed and bank size also +-- MINILFR -> 1 bank of 4MBytes -> SRBANKSZ=9 +-- LFR EQM & FM -> 2 banks of 2MBytes -> SRBANKSZ=8 +--========================================================================== + CONSTANT USE_IAP_MEMCTRL : integer := 1; +--========================================================================== + + SIGNAL clk_50_s : STD_LOGIC := '0'; + SIGNAL clk_25 : STD_LOGIC := '0'; + SIGNAL clk_24 : STD_LOGIC := '0'; + ----------------------------------------------------------------------------- + SIGNAL coarse_time : STD_LOGIC_VECTOR(31 DOWNTO 0); + SIGNAL fine_time : STD_LOGIC_VECTOR(15 DOWNTO 0); + -- + SIGNAL errorn : STD_LOGIC; + -- + SIGNAL I00_s : STD_LOGIC; + + -- CONSTANTS + CONSTANT CFG_PADTECH : INTEGER := inferred; + -- + CONSTANT NB_APB_SLAVE : INTEGER := 11; -- 3 = grspw + waveform picker + time manager, 11 allows pindex = f + CONSTANT NB_AHB_SLAVE : INTEGER := 1; + CONSTANT NB_AHB_MASTER : INTEGER := 2; -- 2 = grspw + waveform picker + + SIGNAL apbi_ext : apb_slv_in_type; + SIGNAL apbo_ext : soc_apb_slv_out_vector(NB_APB_SLAVE-1+5 DOWNTO 5); -- := (OTHERS => apb_none); + SIGNAL ahbi_s_ext : ahb_slv_in_type; + SIGNAL ahbo_s_ext : soc_ahb_slv_out_vector(NB_AHB_SLAVE-1+3 DOWNTO 3); -- := (OTHERS => ahbs_none); + SIGNAL ahbi_m_ext : AHB_Mst_In_Type; + SIGNAL ahbo_m_ext : soc_ahb_mst_out_vector(NB_AHB_MASTER-1+1 DOWNTO 1); -- := (OTHERS => ahbm_none); + +-- Spacewire signals + SIGNAL dtmp : STD_LOGIC_VECTOR(1 DOWNTO 0); + SIGNAL stmp : STD_LOGIC_VECTOR(1 DOWNTO 0); + SIGNAL spw_rxclk : STD_LOGIC_VECTOR(1 DOWNTO 0); + SIGNAL spw_rxtxclk : STD_ULOGIC; + SIGNAL spw_rxclkn : STD_ULOGIC; + SIGNAL spw_clk : STD_LOGIC; + SIGNAL swni : grspw_in_type; + SIGNAL swno : grspw_out_type; + + +-- AdvancedTrigger + SIGNAL Trigger : STD_LOGIC; + +-- AD Converter ADS7886 + SIGNAL sample : Samples14v(7 DOWNTO 0); + SIGNAL sample_s : Samples(7 DOWNTO 0); + SIGNAL sample_val : STD_LOGIC; + SIGNAL ADC_nCS_sig : STD_LOGIC; + SIGNAL ADC_CLK_sig : STD_LOGIC; + SIGNAL ADC_SDO_sig : STD_LOGIC_VECTOR(7 DOWNTO 0); + + SIGNAL bias_fail_sw_sig : STD_LOGIC; + + + ----------------------------------------------------------------------------- + + SIGNAL LFR_soft_rstn : STD_LOGIC; + SIGNAL LFR_rstn : STD_LOGIC; + + + SIGNAL rstn_25 : STD_LOGIC; + SIGNAL rstn_25_d1 : STD_LOGIC; + SIGNAL rstn_25_d2 : STD_LOGIC; + SIGNAL rstn_25_d3 : STD_LOGIC; + + SIGNAL rstn_24 : STD_LOGIC; + SIGNAL rstn_24_d1 : STD_LOGIC; + SIGNAL rstn_24_d2 : STD_LOGIC; + SIGNAL rstn_24_d3 : STD_LOGIC; + + SIGNAL rstn_50 : STD_LOGIC; + SIGNAL rstn_50_d1 : STD_LOGIC; + SIGNAL rstn_50_d2 : STD_LOGIC; + SIGNAL rstn_50_d3 : STD_LOGIC; + -- + SIGNAL SRAM_CE_s : STD_LOGIC_VECTOR(1 DOWNTO 0); + + -- + SIGNAL sample_hk : STD_LOGIC_VECTOR(15 DOWNTO 0); + SIGNAL HK_SEL : STD_LOGIC_VECTOR(1 DOWNTO 0); + + SIGNAL nSRAM_READY : STD_LOGIC; + +BEGIN -- beh + + ----------------------------------------------------------------------------- + PROCESS (clk100MHz, reset) + BEGIN -- PROCESS + IF clk100MHz'EVENT AND clk100MHz = '1' THEN -- rising clock edge + clk_50_s <= NOT clk_50_s; + END IF; + END PROCESS; + ----------------------------------------------------------------------------- + + PROCESS (clk_50_s, reset) + BEGIN -- PROCESS + IF reset = '0' THEN -- asynchronous reset (active low) + clk_25 <= '0'; + rstn_25 <= '0'; + rstn_25_d1 <= '0'; + rstn_25_d2 <= '0'; + rstn_25_d3 <= '0'; + ELSIF clk_50_s'EVENT AND clk_50_s = '1' THEN -- rising clock edge + clk_25 <= NOT clk_25; + rstn_25_d1 <= '1'; + rstn_25_d2 <= rstn_25_d1; + rstn_25_d3 <= rstn_25_d2; + rstn_25 <= rstn_25_d3; + END IF; + END PROCESS; + + PROCESS (clk49_152MHz, reset) + BEGIN -- PROCESS + IF reset = '0' THEN -- asynchronous reset (active low) + clk_24 <= '0'; + rstn_24_d1 <= '0'; + rstn_24_d2 <= '0'; + rstn_24_d3 <= '0'; + rstn_24 <= '0'; + ELSIF clk49_152MHz'EVENT AND clk49_152MHz = '1' THEN -- rising clock edge + clk_24 <= NOT clk_24; + rstn_24_d1 <= '1'; + rstn_24_d2 <= rstn_24_d1; + rstn_24_d3 <= rstn_24_d2; + rstn_24 <= rstn_24_d3; + END IF; + END PROCESS; + + ----------------------------------------------------------------------------- + + PROCESS (clk_25, rstn_25) + BEGIN -- PROCESS + IF rstn_25 = '0' THEN -- asynchronous reset (active low) + LED0 <= '0'; + LED1 <= '0'; + LED2 <= '0'; + ELSIF clk_25'EVENT AND clk_25 = '1' THEN -- rising clock edge + LED0 <= '0'; + LED1 <= '1'; + LED2 <= BP0 OR BP1 OR nDTR2 OR nRTS2 OR nRTS1; + END IF; + END PROCESS; + + PROCESS (clk49_152MHz, rstn_24) + BEGIN -- PROCESS + IF rstn_24 = '0' THEN -- asynchronous reset (active low) + I00_s <= '0'; + ELSIF clk49_152MHz'EVENT AND clk49_152MHz = '1' THEN -- rising clock edge + I00_s <= NOT I00_s; + END IF; + END PROCESS; + + --UARTs + nCTS1 <= '1'; + nCTS2 <= '1'; + nDCD2 <= '1'; + -- No AHB UART + RXD1 <= TXD1; + + -- + + leon3_soc_1 : leon3_soc + GENERIC MAP ( + fabtech => apa3e, + memtech => apa3e, + padtech => inferred, + clktech => inferred, + disas => 0, + dbguart => 0, + pclow => 2, + clk_freq => 25000, + IS_RADHARD => 0, + NB_CPU => 1, + ENABLE_FPU => 1, + FPU_NETLIST => 0, + ENABLE_DSU => 1, + ENABLE_AHB_UART => 0, + ENABLE_APB_UART => 1, + ENABLE_IRQMP => 1, + ENABLE_GPT => 1, + NB_AHB_MASTER => NB_AHB_MASTER, + NB_AHB_SLAVE => NB_AHB_SLAVE, + NB_APB_SLAVE => NB_APB_SLAVE, + ADDRESS_SIZE => 20, + USES_IAP_MEMCTRLR => USE_IAP_MEMCTRL, + BYPASS_EDAC_MEMCTRLR => '0', + SRBANKSZ => 9) + PORT MAP ( + clk => clk_25, + reset => rstn_25, + errorn => errorn, + ahbrxd => OPEN,--TXD1, + ahbtxd => OPEN,--RXD1, + urxd1 => TXD2, + utxd1 => RXD2, + address => SRAM_A, + data => SRAM_DQ, + nSRAM_BE0 => SRAM_nBE(0), + nSRAM_BE1 => SRAM_nBE(1), + nSRAM_BE2 => SRAM_nBE(2), + nSRAM_BE3 => SRAM_nBE(3), + nSRAM_WE => SRAM_nWE, + nSRAM_CE => SRAM_CE_s, + nSRAM_OE => SRAM_nOE, + nSRAM_READY => nSRAM_READY, + SRAM_MBE => OPEN, + apbi_ext => apbi_ext, + apbo_ext => apbo_ext, + ahbi_s_ext => ahbi_s_ext, + ahbo_s_ext => ahbo_s_ext, + ahbi_m_ext => ahbi_m_ext, + ahbo_m_ext => ahbo_m_ext); + + PROCESS (clk_25, rstn_25) + BEGIN -- PROCESS + IF rstn_25 = '0' THEN -- asynchronous reset (active low) + nSRAM_READY <= '1'; + ELSIF clk_25'event AND clk_25 = '1' THEN -- rising clock edge + nSRAM_READY <= '1'; + END IF; + END PROCESS; + + + + IAP:if USE_IAP_MEMCTRL = 1 GENERATE + SRAM_CE <= not SRAM_CE_s(0); + END GENERATE; + + NOIAP:if USE_IAP_MEMCTRL = 0 GENERATE + SRAM_CE <= SRAM_CE_s(0); + END GENERATE; +------------------------------------------------------------------------------- +-- APB_LFR_MANAGEMENT --------------------------------------------------------- +------------------------------------------------------------------------------- + apb_lfr_management_1 : apb_lfr_management + GENERIC MAP ( + tech => apa3e, + pindex => 6, + paddr => 6, + pmask => 16#fff#, + NB_SECOND_DESYNC => 60) -- 60 secondes of desynchronization before CoarseTime's MSB is Set + PORT MAP ( + clk25MHz => clk_25, + resetn_25MHz => rstn_25, + grspw_tick => swno.tickout, + apbi => apbi_ext, + apbo => apbo_ext(6), + HK_sample => sample_hk, + HK_val => sample_val, + HK_sel => HK_SEL, + DAC_SDO => OPEN, + DAC_SCK => OPEN, + DAC_SYNC => OPEN, + DAC_CAL_EN => OPEN, + coarse_time => coarse_time, + fine_time => fine_time, + LFR_soft_rstn => LFR_soft_rstn + ); + +----------------------------------------------------------------------- +--- SpaceWire -------------------------------------------------------- +----------------------------------------------------------------------- + + SPW_EN <= '1'; + + spw_clk <= clk_50_s; + spw_rxtxclk <= spw_clk; + spw_rxclkn <= NOT spw_rxtxclk; + + -- PADS for SPW1 + spw1_rxd_pad : inpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_NOM_DIN, dtmp(0)); + spw1_rxs_pad : inpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_NOM_SIN, stmp(0)); + spw1_txd_pad : outpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_NOM_DOUT, swno.d(0)); + spw1_txs_pad : outpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_NOM_SOUT, swno.s(0)); + -- PADS FOR SPW2 + spw2_rxd_pad : inpad GENERIC MAP (tech => inferred) -- bad naming of the MINI-LFR /!\ + PORT MAP (SPW_RED_SIN, dtmp(1)); + spw2_rxs_pad : inpad GENERIC MAP (tech => inferred) -- bad naming of the MINI-LFR /!\ + PORT MAP (SPW_RED_DIN, stmp(1)); + spw2_txd_pad : outpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_RED_DOUT, swno.d(1)); + spw2_txs_pad : outpad GENERIC MAP (tech => inferred) + PORT MAP (SPW_RED_SOUT, swno.s(1)); + + -- GRSPW PHY + spw_inputloop : FOR j IN 0 TO 1 GENERATE + spw_phy0 : grspw_phy + GENERIC MAP( + tech => apa3e, + rxclkbuftype => 1, + scantest => 0) + PORT MAP( + rxrst => swno.rxrst, + di => dtmp(j), + si => stmp(j), + rxclko => spw_rxclk(j), + do => swni.d(j), + ndo => swni.nd(j*5+4 DOWNTO j*5), + dconnect => swni.dconnect(j*2+1 DOWNTO j*2)); + END GENERATE spw_inputloop; + + swni.rmapnodeaddr <= (OTHERS => '0'); + + -- SPW core + sw0 : grspwm GENERIC MAP( + tech => apa3e, + hindex => 1, + pindex => 5, + paddr => 5, + pirq => 11, + sysfreq => 25000, -- CPU_FREQ + rmap => 1, + rmapcrc => 1, + fifosize1 => 16, + fifosize2 => 16, + rxclkbuftype => 1, + rxunaligned => 0, + rmapbufs => 4, + ft => 0, + netlist => 0, + ports => 2, + --dmachan => CFG_SPW_DMACHAN, -- not used byt the spw core 1 + memtech => apa3e, + destkey => 2, + spwcore => 1 + --input_type => CFG_SPW_INPUT, -- not used byt the spw core 1 + --output_type => CFG_SPW_OUTPUT, -- not used byt the spw core 1 + --rxtx_sameclk => CFG_SPW_RTSAME -- not used byt the spw core 1 + ) + PORT MAP(rstn_25, clk_25, spw_rxclk(0), + spw_rxclk(1), spw_rxtxclk, spw_rxtxclk, + ahbi_m_ext, ahbo_m_ext(1), apbi_ext, apbo_ext(5), + swni, swno); + + swni.tickin <= '0'; + swni.rmapen <= '1'; + swni.clkdiv10 <= "00000100"; -- 10 MHz / (4 + 1) = 10 MHz + swni.tickinraw <= '0'; + swni.timein <= (OTHERS => '0'); + swni.dcrstval <= (OTHERS => '0'); + swni.timerrstval <= (OTHERS => '0'); + +------------------------------------------------------------------------------- +-- LFR ------------------------------------------------------------------------ +------------------------------------------------------------------------------- + + + LFR_rstn <= LFR_soft_rstn AND rstn_25; + + lpp_lfr_1 : lpp_lfr + GENERIC MAP ( + Mem_use => use_RAM, + nb_data_by_buffer_size => 32, + nb_snapshot_param_size => 32, + delta_vector_size => 32, + delta_vector_size_f0_2 => 7, -- log2(96) + pindex => 15, + paddr => 15, + pmask => 16#fff#, + pirq_ms => 6, + pirq_wfp => 14, + hindex => 2, + top_lfr_version => X"000159") -- aa.bb.cc version + PORT MAP ( + clk => clk_25, + rstn => LFR_rstn, + sample_B => sample_s(2 DOWNTO 0), + sample_E => sample_s(7 DOWNTO 3), + sample_val => sample_val, + apbi => apbi_ext, + apbo => apbo_ext(15), + ahbi => ahbi_m_ext, + ahbo => ahbo_m_ext(2), + coarse_time => coarse_time, + fine_time => fine_time, + data_shaping_BW => bias_fail_sw_sig, + debug_vector => open, + debug_vector_ms => open + ); + + all_sample : FOR I IN 7 DOWNTO 0 GENERATE + sample_s(I) <= sample(I)(11 DOWNTO 0) & '0' & '0' & '0' & '0'; + END GENERATE all_sample; + + top_ad_conv_ADS7886_v2_1 : top_ad_conv_ADS7886_v2 + GENERIC MAP( + ChannelCount => 8, + SampleNbBits => 14, + ncycle_cnv_high => 40, -- at least 32 cycles at 25 MHz, 32 * 49.152 / 25 /2 = 31.5 + ncycle_cnv => 249) -- 49 152 000 / 98304 /2 + PORT MAP ( + -- CONV + cnv_clk => clk_24, + cnv_rstn => rstn_24, + cnv => ADC_nCS_sig, + -- DATA + clk => clk_25, + rstn => rstn_25, + sck => ADC_CLK_sig, + sdo => ADC_SDO_sig, + -- SAMPLE + sample => sample, + sample_val => sample_val); + + ADC_nCS <= ADC_nCS_sig; + ADC_CLK <= ADC_CLK_sig; + ADC_SDO_sig <= ADC_SDO; + + sample_hk <= "0001000100010001" WHEN HK_SEL = "00" ELSE + "0010001000100010" WHEN HK_SEL = "01" ELSE + "0100010001000100" WHEN HK_SEL = "10" ELSE + (OTHERS => '0'); + + + +---------------------------------------------------------------------- +--- APB_ADVANCED_TRIGGER ----------------------------------------------------------- +---------------------------------------------------------------------- +advtrig0: APB_ADVANCED_TRIGGER + generic map( + pindex => 12, + paddr => 12) + port map( + rstn => rstn_25, + clk => clk_25, + apbi => apbi_ext, + apbo => apbo_ext(12), + + SPW_Tickout => swno.tickout, + CoarseTime => coarse_time, + FineTime => fine_time, + + Trigger => Trigger + ); + + + DISCO1_TRIG1_PAD : outpad GENERIC MAP (tech => inferred) + PORT MAP (DISCO1_TRIG1, Trigger); + DISCO2_TRIG1_PAD : outpad GENERIC MAP (tech => inferred) + PORT MAP (DISCO2_TRIG1, Trigger); + DISCO3_TRIG1_PAD : outpad GENERIC MAP (tech => inferred) + PORT MAP (DISCO3_TRIG1, Trigger); + DISCO4_TRIG1_PAD : outpad GENERIC MAP (tech => inferred) + PORT MAP (DISCO4_TRIG1, Trigger); + + ----------------------------------------------------------------------------- + -- + ----------------------------------------------------------------------------- + all_apbo_ext : FOR I IN NB_APB_SLAVE-1+5 DOWNTO 5 GENERATE + apbo_ext_not_used : IF I /= 5 AND I /= 6 AND I /= 12 AND I /= 15 GENERATE + apbo_ext(I) <= apb_none; + END GENERATE apbo_ext_not_used; + END GENERATE all_apbo_ext; + + + all_ahbo_ext : FOR I IN NB_AHB_SLAVE-1+3 DOWNTO 3 GENERATE + ahbo_s_ext(I) <= ahbs_none; + END GENERATE all_ahbo_ext; + + all_ahbo_m_ext : FOR I IN NB_AHB_MASTER-1+1 DOWNTO 1 GENERATE + ahbo_m_ext_not_used : IF I /= 1 AND I /= 2 GENERATE + ahbo_m_ext(I) <= ahbm_none; + END GENERATE ahbo_m_ext_not_used; + END GENERATE all_ahbo_m_ext; + +END beh; diff --git a/designs/TIMEGEN/DISCOSPACE_top_libero.prj b/designs/TIMEGEN/DISCOSPACE_top_libero.prj new file mode 100644 --- /dev/null +++ b/designs/TIMEGEN/DISCOSPACE_top_libero.prj @@ -0,0 +1,4865 @@ +KEY LIBERO "9.1" +KEY CAPTURE "9.1.5.1" +KEY DEFAULT_IMPORT_LOC "C:\opt\VHDLIB\tests\Validation_LFR_Filters" +KEY DEFAULT_OPEN_LOC "" +KEY ProjectID "2f64e589-285c-45b2-b6c4-709f59f83db9" +KEY HDLTechnology "VHDL" +KEY VendorTechnology_Family "ProASIC3E" +KEY VendorTechnology_Die "IT14X14M4" +KEY VendorTechnology_Package "fg324" +KEY ProjectLocation "C:\opt\VHDLIB\designs\TIMEGEN" +KEY SimulationType "VHDL" +KEY Vendor "Actel" +KEY ActiveRoot "DISCOSPACE_top::work" +LIST REVISIONS +VALUE="Impl1",NUM=1 +VALUE="Impl2",NUM=2 +CURREV=2 +ENDLIST +LIST LIBRARIES +grlib +synplify +techmap +spw +eth +opencores +gaisler +esa +fmf +spansion +gsi +iap +lpp +cypress +ENDLIST +LIST LIBRARY_grlib +ALIAS=grlib +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_synplify +ALIAS=synplify +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_techmap +ALIAS=techmap +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_spw +ALIAS=spw +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_eth +ALIAS=eth +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_opencores +ALIAS=opencores +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_gaisler +ALIAS=gaisler +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_esa +ALIAS=esa +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_fmf +ALIAS=fmf +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_spansion +ALIAS=spansion +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_gsi +ALIAS=gsi +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_iap +ALIAS=iap +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_lpp +ALIAS=lpp +COMPILE_OPTION=COMPILE +ENDLIST +LIST LIBRARY_cypress +ALIAS=cypress +COMPILE_OPTION=COMPILE +ENDLIST +LIST FileManager +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3091" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1890" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4795" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_comb.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3112" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_downsampler.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3141" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_integrator.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2735" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="15484" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_address_gen.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2919" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_add_sub.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3324" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="10820" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control_r2.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="10988" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_r2.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="15918" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6861" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="7426" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2.vhd,hdl" +STATE="utd" +TIME="1478688463" +SIZE="9785" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_CONTROL.vhd,hdl" +STATE="utd" +TIME="1478082550" +SIZE="11300" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_DATAFLOW.vhd,hdl" +STATE="utd" +TIME="1478196483" +SIZE="7913" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3.vhd,hdl" +STATE="utd" +TIME="1478082253" +SIZE="17692" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3_DATAFLOW.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6368" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\iir_filter.vhd,hdl" +STATE="utd" +TIME="1478688463" +SIZE="11622" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2383" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd,hdl" +STATE="utd" +TIME="1478688463" +SIZE="3777" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CTRLR_v2.vhd,hdl" +STATE="utd" +TIME="1478196483" +SIZE="5046" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_downsampling\Downsampling.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2773" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actar.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="141871" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actram.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4034" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\CoreFFT.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="12457" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Driver_FFT.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3995" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\FFT.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3947" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftDp.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="25884" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftSm.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="32249" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fft_components.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="5049" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Linker_FFT.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3730" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\lpp_fft.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="9069" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\primitives.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3997" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\twiddle.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="12080" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_processing.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3794" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_rom.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4946" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3069" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2981" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Adder.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2284" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ADDRcntr.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1930" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ALU.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2952" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_divider.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1958" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_Divider2.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="685" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clock_Divider.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2306" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\data_type_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2319" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_counter.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1537" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_purpose.vhd,hdl" +STATE="utd" +TIME="1479489159" +SIZE="13529" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_detection.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2014" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_to_level.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1985" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="9428" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2314" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1941" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX2.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1667" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_REG.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1731" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Multiplier.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2185" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUX2.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1692" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUXN.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3295" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ramp_generator.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2482" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\REG.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1812" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\RR_Arbiter_4.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3487" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Shifter.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2198" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_FF.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2089" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_VALID_BIT.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2273" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TimeGenAdvancedTrigger.vhd,hdl" +STATE="utd" +TIME="1479495398" +SIZE="3634" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TwoComplementer.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2848" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\apb_lfr_management.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="17466" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\coarse_time_counter.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4205" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_counter.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2933" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_max_value_gen.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2238" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lfr_time_management.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="5325" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4786" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management_apbreg_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="1264" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr_v2.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4316" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="12321" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_lfr_hk.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4539" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\RHF1401.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4454" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\TestModule_RHF1401.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2479" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_ADS7886_v2.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4091" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2677" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401_withFilter.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="7740" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\APB_ADVANCED_TRIGGER.vhd,hdl" +STATE="utd" +TIME="1479920608" +SIZE="5053" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\apb_devices_list.vhd,hdl" +STATE="utd" +TIME="1479911446" +SIZE="2143" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\lpp_amba.vhd,hdl" +STATE="utd" +TIME="1479913560" +SIZE="2634" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\APB_LFR_CAL.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6288" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\dynamic_freq_div.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3856" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lfr_cal_driver.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4819" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lpp_cna.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="9925" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_READER.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="5162" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_WRITER.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2965" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\SPI_DAC_DRIVER.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4811" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_dma_singleOrBurst.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6901" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_lfr_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2457" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\DEMUX.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4636" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\lpp_demux.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2302" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="8553" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_Arbiter.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2966" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_GestionBuffer.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2465" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_MUX.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4291" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\fifo_latency_correction.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="5071" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="8480" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_ip.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="14356" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="12064" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_SEND16B_FIFO2DMA.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="9280" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_16word.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6032" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_1word.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4061" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_singleOrBurst.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6996" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_file\reader_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3724" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\HeaderBuilder.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4664" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\lpp_Header.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2306" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\leon3_soc.vhd,hdl" +STATE="utd" +TIME="1479827535" +SIZE="26034" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\lpp_leon3_soc_pkg.vhd,hdl" +STATE="utd" +TIME="1479827376" +SIZE="6066" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ALU_Driver.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="8186" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Dispatch.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2863" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\DriveInputs.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3738" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\GetResult.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3703" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\lpp_matrix.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="8911" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\MatriceSpectrale.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3302" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Matrix.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2979" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ReUse_CTRLR.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3145" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\SpectralMatrix.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2907" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\TopSpecMatrix.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="7397" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lppFIFOxN.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3225" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4323" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6735" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_0.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="5354" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_1.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6258" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_control.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6154" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_memory.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="11043" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_lfr_sim_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="23856" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_sim_pkg.vhd,hdl" +STATE="utd" +TIME="1478688463" +SIZE="5730" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_reader.vhd,hdl" +STATE="utd" +TIME="1478688463" +SIZE="1605" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_recorder.vhd,hdl" +STATE="utd" +TIME="1478688463" +SIZE="1200" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_calculation.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="8177" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_control.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6072" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_package.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2638" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_switch_f0.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2925" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_time_managment.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="782" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr.vhd,hdl" +STATE="utd" +TIME="1478084024" +SIZE="21340" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="42632" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_ms_pointer.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3522" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="5903" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter.vhd,hdl" +STATE="utd" +TIME="1478688463" +SIZE="25901" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter_coeff.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4867" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="49854" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_FFT.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3237" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_fsmdma.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="7349" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_reg_head.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3003" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_pkg.vhd,hdl" +STATE="utd" +TIME="1478084031" +SIZE="18462" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_top_lfr_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="9063" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="20050" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_burst.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="988" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_dma_genvalid.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3170" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4440" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="9316" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter_reg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="3230" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_ctrl.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="6124" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_headreg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="7653" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_latencyCorrection.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="4033" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_withoutLatency.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="5609" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fsmdma.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="5006" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_genaddress.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="10418" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_pkg.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="17107" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="2491" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot_controler.vhd,hdl" +STATE="utd" +TIME="1472547172" +SIZE="9119" +LIBRARY="lpp" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices.vhd,hdl" +STATE="utd" +TIME="1472547186" +SIZE="1327" +LIBRARY="iap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices_list.vhd,hdl" +STATE="utd" +TIME="1472547186" +SIZE="615" +LIBRARY="iap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\memctrlr.vhd,hdl" +STATE="utd" +TIME="1472547186" +SIZE="3594" +LIBRARY="iap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-0ws.vhd,hdl" +STATE="utd" +TIME="1472547186" +SIZE="29411" +LIBRARY="iap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-1ws.vhd,hdl" +STATE="utd" +TIME="1472547186" +SIZE="26803" +LIBRARY="iap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\components.vhd,hdl" +STATE="utd" +TIME="1465836263" +SIZE="6333" +LIBRARY="cypress" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\cy7c1354b.vhd,hdl" +STATE="utd" +TIME="1465836263" +SIZE="16818" +LIBRARY="cypress" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\cy7c1380d.vhd,hdl" +STATE="utd" +TIME="1465836263" +SIZE="27113" +LIBRARY="cypress" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\package_utility.vhd,hdl" +STATE="utd" +TIME="1465836263" +SIZE="2115" +LIBRARY="cypress" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\esa\memoryctrl\mctrl.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="37496" +LIBRARY="esa" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\esa\memoryctrl\memoryctrl.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="2151" +LIBRARY="esa" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\comp\ethcomp.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="19987" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="5579" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst_gbit.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="5777" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_edcl_ahb_mst.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="4225" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_rstgen.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="1405" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\grethc.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="85494" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbitc.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="138716" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_gtx.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="17322" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_rx.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="12935" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_tx.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="14338" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_pkg.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="23913" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_rx.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="11414" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_tx.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="17410" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\wrapper\greth_gbit_gen.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="13418" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\eth\wrapper\greth_gen.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="13621" +LIBRARY="eth" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\fmf\fifo\idt7202.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="32667" +LIBRARY="fmf" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\fmf\flash\flash.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="5422" +LIBRARY="fmf" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\fmf\flash\m25p80.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="52702" +LIBRARY="fmf" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\fmf\flash\s25fl064a.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="53367" +LIBRARY="fmf" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\fmf\utilities\conversions.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="40830" +LIBRARY="fmf" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\fmf\utilities\gen_utils.vhd,hdl" +STATE="utd" +TIME="1465836264" +SIZE="6125" +LIBRARY="fmf" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbm.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="14039" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbp.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="37327" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\arith.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="4322" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\div32.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="6723" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\mul32.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="14935" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="6491" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\can\canmux.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="930" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_mc.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="5932" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_mod.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="7365" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_oc.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="5263" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_oc_core.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="22146" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_rd.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="6307" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\can\grcan.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="82838" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\clk2x.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="2110" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\qmod.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="5053" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\qmod_prect.vhd,hdl" +STATE="utd" +TIME="1465836265" +SIZE="5455" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pads.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="4509" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pkg.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="15710" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\simtrans1553.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="3233" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\comma_detect.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="4215" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\elastic_buffer.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="4246" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\ethernet_mac.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="4671" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="13232" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\grethm.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="6029" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="13018" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit_mb.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="13513" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_mb.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="13648" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\rgmii.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="27223" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpc\mtie_grlfpc.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="106245" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpc\synpe_grlfpc.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="105334" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpu\mtie_grlfpu.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="216796" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpu\synpe_grlfpu.vhd,hdl" +STATE="utd" +TIME="1465836266" +SIZE="215376" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="25247" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp2x.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="4714" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="10957" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp2x.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="4678" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="5844" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag_bsd.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="2839" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanctrl.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="4606" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanregs.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="2259" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanregsbd.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="2593" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtag.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="6832" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="7460" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom2.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="9005" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagtst.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="30211" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\libjtagcom.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="2335" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\l2cache\v2-pkg\l2cache.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="2598" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3v3\mtie_leon3v3.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="681304" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3v3\synpe_leon3v3.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="677660" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3\grfpushwx.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="10473" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3\leon3.vhd,hdl" +STATE="utd" +TIME="1465836267" +SIZE="36926" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftmctrl.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="59984" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="27397" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl64.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="39968" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdmctrl.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="21278" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="5246" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl8.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="31725" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrlc.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="35358" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\memctrl.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="20458" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="29852" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl64.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="29845" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdmctrl.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="25626" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\srctrl.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="15978" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ssrctrl.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="18077" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahb2ahb.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="144276" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbbridge.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="5511" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbdpram.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="4822" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbfrom.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="11940" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbram.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="8846" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbstat.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="3865" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="1813" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mb.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="2188" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mmb.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="19357" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahb_mst_iface.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="4570" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\apbps2.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="13338" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\apbvga.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="11680" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\charrom.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="121182" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\charrom_package.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="1147" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ftahbram.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="14451" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ftahbram2.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="17226" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gptimer.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="17880" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gracectrl.vhd,hdl" +STATE="utd" +TIME="1465836268" +SIZE="13844" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gradcdac.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="38705" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grclkgate.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="9094" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grclkgate2x.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="9997" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grfifo.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="90518" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgpio.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="11337" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgprbank.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="3181" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgpreg.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="4223" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grpulse.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="15198" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grsysmon.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="16727" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grtimer.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="2528" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grversion.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="4295" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\logan.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="16786" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\memscrub.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="35455" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\misc.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="46512" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\rstgen.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="3108" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\svgactrl.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="27694" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandfctrl.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="10472" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandfctrlx.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="144797" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandpkg.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="2906" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\net\net.vhd,hdl" +STATE="utd" +TIME="1465836269" +SIZE="15433" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ahbrep.vhd,hdl" +STATE="utd" +TIME="1465836270" +SIZE="4220" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddr2ram.vhd,hdl" +STATE="utd" +TIME="1465836270" +SIZE="22444" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddr3ram.vhd,hdl" +STATE="utd" +TIME="1465836270" +SIZE="30992" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddrram.vhd,hdl" +STATE="utd" +TIME="1465836270" +SIZE="20300" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\delay_wire.vhd,hdl" +STATE="utd" +TIME="1465836270" +SIZE="1995" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\phy.vhd,hdl" +STATE="utd" +TIME="1465836270" +SIZE="24680" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\pwm_check.vhd,hdl" +STATE="utd" +TIME="1465836270" +SIZE="31994" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ramback.vhd,hdl" +STATE="utd" +TIME="1465836270" +SIZE="18393" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sim.vhd,hdl" +STATE="utd" +TIME="1465836270" +SIZE="30700" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\slavecheck.vhd,hdl" +STATE="utd" +TIME="1465836270" +SIZE="5396" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\spwtrace.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="3368" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\spwtracev.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="1309" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sram.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="4974" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sram16.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="1836" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sramft.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="5275" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\zbtssram.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="10727" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="15236" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="18294" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2_phy.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="10323" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspwm.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="5060" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_codec_clockgate.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="6480" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_phy.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="5154" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\spacewire.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="30720" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\libmmu.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="10955" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmu.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="20811" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmuconfig.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="22308" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmuiface.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="7793" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmulru.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="5042" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmulrue.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="2785" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlb.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="21585" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlbcam.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="9145" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutw.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="10027" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\ahbuart.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="2137" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\apbuart.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="20732" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\dcom.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="5191" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\dcom_uart.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="11383" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\libdcom.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="4887" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\uart.vhd,hdl" +STATE="utd" +TIME="1465836271" +SIZE="2166" +LIBRARY="gaisler" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbctrl.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="42215" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbmon.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="28693" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbmst.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="5313" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\amba.vhd,hdl" +STATE="utd" +TIME="1478196656" +SIZE="49258" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ambamon.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="2696" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\amba_tp.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="73534" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\apbctrl.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="11478" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\apbmon.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="6919" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\defmst.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="1377" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\devices.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="45046" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="25048" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_pkg.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="5530" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_tp.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="68490" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahbs.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="4984" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_ctrl.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="32765" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="21107" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst_pkg.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="508332" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="54977" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv_pkg.vhd,hdl" +STATE="utd" +TIME="1465836272" +SIZE="57885" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_pkg.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="22816" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_util.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="20072" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\ftlib\mtie_ftlib.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="190884" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\ftlib\synpe_ftlib.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="189562" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\modgen\leaves.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="707143" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\modgen\multlib.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="1677" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\cpu_disas.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="3842" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\sparc.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="9925" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\sparc_disas.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="27816" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\config.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="2337" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\config_types.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="1864" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\stdio.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="8705" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\stdlib.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="19877" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\testlib.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="32050" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\version.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="280" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\grlib\util\util.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="1823" +LIBRARY="grlib" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\core_burst.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="21018" +LIBRARY="gsi" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\functions.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="101033" +LIBRARY="gsi" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\g880e18bt.vhd,hdl" +STATE="utd" +TIME="1465836273" +SIZE="7166" +LIBRARY="gsi" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\opencores\can\cancomp.vhd,hdl" +STATE="utd" +TIME="1465836274" +SIZE="3314" +LIBRARY="opencores" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top.vhd,hdl" +STATE="utd" +TIME="1465836274" +SIZE="355786" +LIBRARY="opencores" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top_core_sync.vhd,hdl" +STATE="utd" +TIME="1465836274" +SIZE="162783" +LIBRARY="opencores" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top_sync.vhd,hdl" +STATE="utd" +TIME="1465836274" +SIZE="372520" +LIBRARY="opencores" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\spw\comp\spwcomp.vhd,hdl" +STATE="utd" +TIME="1465836274" +SIZE="31632" +LIBRARY="spw" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\spw\core\mtie_core.vhd,hdl" +STATE="utd" +TIME="1465836275" +SIZE="675060" +LIBRARY="spw" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\spw\core\synpe_core.vhd,hdl" +STATE="utd" +TIME="1465836275" +SIZE="671446" +LIBRARY="spw" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw2_gen.vhd,hdl" +STATE="utd" +TIME="1465836275" +SIZE="13746" +LIBRARY="spw" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw_codec_gen.vhd,hdl" +STATE="utd" +TIME="1465836275" +SIZE="7350" +LIBRARY="spw" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw_gen.vhd,hdl" +STATE="utd" +TIME="1465836275" +SIZE="10828" +LIBRARY="spw" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\synplify\sim\synattr.vhd,hdl" +STATE="utd" +TIME="1465836275" +SIZE="22767" +LIBRARY="synplify" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\synplify\sim\synplify.vhd,hdl" +STATE="utd" +TIME="1465836275" +SIZE="9658" +LIBRARY="synplify" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\gencomp\gencomp.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="87370" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\gencomp\netcomp.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="68821" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddrphy_datapath.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="8877" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddr_inferred.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="2125" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddr_phy_inferred.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="16342" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\lpddr2_phy_inferred.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="9890" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\memory_inferred.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="9771" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\mul_inferred.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="3786" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\sim_pll.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="6060" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\tap_inferred.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="8747" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allclkgen.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="20766" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allddr.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="47583" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allmem.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="49928" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allmul.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="2628" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allpads.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="31552" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\alltap.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="11856" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkand.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="3276" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkgen.vhd,hdl" +STATE="utd" +TIME="1465836279" +SIZE="9429" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkinv.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="1353" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkmux.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="3340" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkpad.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="3822" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkpad_ds.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="2467" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\cpu_disas_net.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="4047" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddrphy.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="55551" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddr_ireg.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="2410" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddr_oreg.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="2384" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\from.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="5648" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\grgates.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="6227" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\grpci2_phy_net.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="41376" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="4573" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad_ddr.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="3223" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad_ds.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="3193" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iodpad.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="4657" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="6902" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad_ddr.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="4453" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad_ds.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="4511" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\lvds_combo.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="3363" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\mtie_maps.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="24009" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\mul_61x61.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="3712" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\nandtree.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="1902" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\odpad.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="5158" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="5228" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad_ddr.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="3280" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad_ds.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="3131" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\regfile_3p.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="3873" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ringosc.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="1713" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\sdram_phy.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="7536" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\serdes.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="2394" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\skew_outpad.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="1538" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\spictrl_net.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="5903" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncfifo_2p.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="2741" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="10371" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram128.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="5432" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram128bw.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="5817" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram156bw.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="6396" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram256bw.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="6369" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram64.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="7035" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncrambw.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="4795" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_2p.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="14689" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_2pbw.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="8867" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_dp.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="8198" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncreg.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="1853" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\synpe_maps.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="23512" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\system_monitor.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="12909" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\tap.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="10293" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\techbuf.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="4187" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\techmult.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="7410" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\maps\toutpad.vhd,hdl" +STATE="utd" +TIME="1465836280" +SIZE="6512" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\buffer_apa3e.vhd,hdl" +STATE="utd" +TIME="1465836281" +SIZE="1677" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\clkgen_proasic3e.vhd,hdl" +STATE="utd" +TIME="1465836281" +SIZE="8345" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\ddr_proasic3e.vhd,hdl" +STATE="utd" +TIME="1465836281" +SIZE="1995" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\memory_apa3e.vhd,hdl" +STATE="utd" +TIME="1465836281" +SIZE="22086" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\pads_apa3e.vhd,hdl" +STATE="utd" +TIME="1465836281" +SIZE="9702" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\tap_proasic3e.vhd,hdl" +STATE="utd" +TIME="1465836281" +SIZE="3247" +LIBRARY="techmap" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\work\debug\cpu_disas.vhd,hdl" +STATE="utd" +TIME="1465836281" +SIZE="3749" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\work\debug\debug.vhd,hdl" +STATE="utd" +TIME="1465836281" +SIZE="1426" +ENDFILE +VALUE "\..\..\..\GRLIB\lib\work\debug\grtestmod.vhd,hdl" +STATE="utd" +TIME="1465836281" +SIZE="6370" +ENDFILE +VALUE "\..\..\boards\DISCOSPACE\default.pdc,pdc" +STATE="utd" +TIME="1479997712" +SIZE="10350" +ENDFILE +VALUE "\..\..\boards\DISCOSPACE\DISCOSPACE.sdc,sdc" +STATE="utd" +TIME="1480062337" +SIZE="6372" +ENDFILE +VALUE "\DISCOSPACE_top.vhd,hdl" +STATE="utd" +TIME="1479997682" +SIZE="19589" +ENDFILE +ENDLIST +LIST UsedFile +ENDLIST +LIST NewModulesInfo +LIST "DISCOSPACE_top::work" +FILE "\DISCOSPACE_top.vhd,hdl" +LIST ExcludePackageForSynthesis +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\stdio.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\testlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\ftlib\mtie_ftlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\util\util.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\sparc_disas.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\cpu_disas.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\apbmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ambamon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_tp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\amba_tp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_util.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahbs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_ctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\synplify\sim\synplify.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\synplify\sim\synattr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\sim_pll.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\lpddr2_phy_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\mtie_maps.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\core\mtie_core.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpu\mtie_grlfpu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpc\mtie_grlfpc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3v3\mtie_leon3v3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sim.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sramft.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sram16.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ahbrep.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\delay_wire.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\pwm_check.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ramback.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\zbtssram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\slavecheck.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\spwtrace.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\spwtracev.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddrram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddr2ram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddr3ram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagtst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\simtrans1553.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\utilities\conversions.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\utilities\gen_utils.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\flash.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\s25fl064a.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\m25p80.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\fifo\idt7202.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\functions.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\core_burst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\g880e18bt.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_reader.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_recorder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_sim_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_lfr_sim_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\components.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\package_utility.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\cy7c1354b.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\cy7c1380d.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\debug.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\grtestmod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\cpu_disas.vhd,hdl" +ENDLIST +LIST UserCustomizedFileList +LIST "ideSYNTHESIS" +USE_LIST=TRUE +FILELIST +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\version.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\config_types.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\config.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\stdlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\ftlib\synpe_ftlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\sparc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\modgen\multlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\modgen\leaves.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\amba.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\devices.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\defmst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\apbctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbmst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\gencomp\gencomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\gencomp\netcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\memory_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\tap_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddr_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\mul_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddr_phy_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddrphy_datapath.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\buffer_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\clkgen_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\ddr_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\memory_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\pads_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\tap_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allclkgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allmem.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allmul.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allpads.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\alltap.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkmux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkinv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkand.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddr_ireg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddr_oreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddrphy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_2p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_dp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncfifo_2p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\regfile_3p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\tap.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\techbuf.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\nandtree.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iodpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\lvds_combo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\odpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\toutpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\skew_outpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\mul_61x61.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\cpu_disas_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ringosc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\grpci2_phy_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\system_monitor.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\grgates.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram128bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram256bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram128.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram156bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\techmult.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\spictrl_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncrambw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_2pbw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\sdram_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\from.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\serdes.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\synpe_maps.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\comp\spwcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\core\synpe_core.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw2_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw_codec_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\comp\ethcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_rstgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_edcl_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst_gbit.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_rx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_tx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_gtx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_tx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_rx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbitc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\grethc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\wrapper\greth_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\wrapper\greth_gbit_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\cancomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top_sync.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top_core_sync.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\arith.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\mul32.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\div32.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\memctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\srctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ssrctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrlc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl8.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpu\synpe_grlfpu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpc\synpe_grlfpc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmuconfig.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmuiface.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\libmmu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlbcam.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmulrue.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmulru.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3\leon3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3\grfpushwx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3v3\synpe_leon3v3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\l2cache\v2-pkg\l2cache.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_mod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_oc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_mc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\canmux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_rd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_oc_core.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\grcan.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\misc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\rstgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gptimer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbdpram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mmb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgpio.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ftahbram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ftahbram2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbstat.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\logan.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\apbps2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\charrom_package.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\charrom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\apbvga.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahb2ahb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbbridge.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\svgactrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grfifo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gradcdac.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grsysmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gracectrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgpreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\memscrub.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahb_mst_iface.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgprbank.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grclkgate.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grclkgate2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grtimer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grpulse.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grversion.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbfrom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\net\net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\uart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\libdcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\apbuart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\dcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\dcom_uart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\ahbuart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtag.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\libjtagcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag_bsd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanregs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanregsbd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\ethernet_mac.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\grethm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\rgmii.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\comma_detect.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\elastic_buffer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\spacewire.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspwm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_codec_clockgate.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pads.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandpkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandfctrlx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandfctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\clk2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\qmod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\qmod_prect.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\esa\memoryctrl\memoryctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\esa\memoryctrl\mctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices_list.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\memctrlr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-0ws.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-1ws.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\data_type_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_purpose.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ADDRcntr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ALU.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Adder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_Divider2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_divider.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_REG.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUX2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUXN.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Multiplier.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\REG.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_FF.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Shifter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TwoComplementer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clock_Divider.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_to_level.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_detection.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_VALID_BIT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\RR_Arbiter_4.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ramp_generator.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TimeGenAdvancedTrigger.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\apb_devices_list.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\lpp_amba.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\APB_ADVANCED_TRIGGER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\iir_filter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CTRLR_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_CONTROL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_DATAFLOW.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3_DATAFLOW.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_integrator.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_downsampler.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_comb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_add_sub.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_address_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_r2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control_r2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_downsampling\Downsampling.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_processing.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_rom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_memory.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_0.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_1.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lppFIFOxN.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fft_components.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\lpp_fft.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actar.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\CoreFFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftDp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftSm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\primitives.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\twiddle.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Driver_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Linker_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lpp_cna.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\APB_LFR_CAL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_READER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_WRITER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\SPI_DAC_DRIVER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\dynamic_freq_div.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lfr_cal_driver.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management_apbreg_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\apb_lfr_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lfr_time_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\coarse_time_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_max_value_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401_withFilter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\TestModule_RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_ADS7886_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_lfr_hk.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_package.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_calculation.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_switch_f0.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_time_managment.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\DEMUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\lpp_demux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\lpp_Header.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\HeaderBuilder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\lpp_matrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ALU_Driver.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ReUse_CTRLR.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Dispatch.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\DriveInputs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\GetResult.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\MatriceSpectrale.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Matrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\SpectralMatrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\TopSpecMatrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\fifo_latency_correction.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_ip.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_16word.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_1word.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_singleOrBurst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_GestionBuffer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_Arbiter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_MUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_SEND16B_FIFO2DMA.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_burst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_withoutLatency.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_latencyCorrection.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_ctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_headreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot_controler.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_genaddress.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_dma_genvalid.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter_reg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fsmdma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_top_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter_coeff.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_ms_pointer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_fsmdma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_reg_head.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\lpp_leon3_soc_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\leon3_soc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_dma_singleOrBurst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_file\reader_pkg.vhd,hdl" +VALUE "\DISCOSPACE_top.vhd,hdl" +ENDFILELIST +ENDLIST +LIST "ideSIMULATION" +USE_LIST=TRUE +FILELIST +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\version.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\config_types.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\config.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\stdlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\stdio.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\testlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\ftlib\mtie_ftlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\util\util.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\sparc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\sparc_disas.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\cpu_disas.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\modgen\multlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\modgen\leaves.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\amba.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\devices.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\defmst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\apbctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbmst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\apbmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ambamon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_tp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\amba_tp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_util.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahbs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_ctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\synplify\sim\synplify.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\synplify\sim\synattr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\gencomp\gencomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\gencomp\netcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\memory_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\tap_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddr_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\mul_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddr_phy_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddrphy_datapath.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\sim_pll.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\lpddr2_phy_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\buffer_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\clkgen_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\ddr_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\memory_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\pads_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\tap_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allclkgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allmem.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allmul.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allpads.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\alltap.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkmux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkinv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkand.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddr_ireg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddr_oreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddrphy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_2p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_dp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncfifo_2p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\regfile_3p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\tap.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\techbuf.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\nandtree.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iodpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\lvds_combo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\odpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\toutpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\skew_outpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\mul_61x61.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\cpu_disas_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ringosc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\grpci2_phy_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\system_monitor.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\grgates.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram128bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram256bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram128.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram156bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\techmult.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\spictrl_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncrambw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_2pbw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\sdram_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\from.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\serdes.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\mtie_maps.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\comp\spwcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\core\mtie_core.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw2_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw_codec_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\comp\ethcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_rstgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_edcl_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst_gbit.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_rx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_tx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_gtx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_tx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_rx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbitc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\grethc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\wrapper\greth_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\wrapper\greth_gbit_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\cancomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top_sync.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top_core_sync.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\arith.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\mul32.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\div32.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\memctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\srctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ssrctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrlc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl8.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpu\mtie_grlfpu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpc\mtie_grlfpc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmuconfig.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmuiface.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\libmmu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlbcam.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmulrue.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmulru.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3\leon3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3\grfpushwx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3v3\mtie_leon3v3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\l2cache\v2-pkg\l2cache.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_mod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_oc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_mc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\canmux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_rd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_oc_core.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\grcan.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\misc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\rstgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gptimer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbdpram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mmb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgpio.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ftahbram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ftahbram2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbstat.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\logan.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\apbps2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\charrom_package.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\charrom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\apbvga.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahb2ahb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbbridge.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\svgactrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grfifo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gradcdac.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grsysmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gracectrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgpreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\memscrub.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahb_mst_iface.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgprbank.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grclkgate.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grclkgate2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grtimer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grpulse.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grversion.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbfrom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\net\net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\uart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\libdcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\apbuart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\dcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\dcom_uart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\ahbuart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sim.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sramft.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sram16.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ahbrep.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\delay_wire.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\pwm_check.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ramback.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\zbtssram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\slavecheck.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\spwtrace.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\spwtracev.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddrram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddr2ram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddr3ram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtag.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\libjtagcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag_bsd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanregs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanregsbd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagtst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\ethernet_mac.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\grethm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\rgmii.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\comma_detect.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\elastic_buffer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\spacewire.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspwm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_codec_clockgate.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pads.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\simtrans1553.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandpkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandfctrlx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandfctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\clk2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\qmod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\qmod_prect.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\esa\memoryctrl\memoryctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\esa\memoryctrl\mctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\utilities\conversions.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\utilities\gen_utils.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\flash.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\s25fl064a.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\m25p80.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\fifo\idt7202.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\functions.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\core_burst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\g880e18bt.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices_list.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\memctrlr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-0ws.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-1ws.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\data_type_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_purpose.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ADDRcntr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ALU.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Adder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_Divider2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_divider.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_REG.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUX2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUXN.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Multiplier.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\REG.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_FF.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Shifter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TwoComplementer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clock_Divider.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_to_level.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_detection.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_VALID_BIT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\RR_Arbiter_4.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ramp_generator.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TimeGenAdvancedTrigger.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\apb_devices_list.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\lpp_amba.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\APB_ADVANCED_TRIGGER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\iir_filter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CTRLR_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_CONTROL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_DATAFLOW.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3_DATAFLOW.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_integrator.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_downsampler.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_comb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_add_sub.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_address_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_r2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control_r2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_downsampling\Downsampling.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_processing.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_rom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_memory.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_0.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_1.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lppFIFOxN.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fft_components.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\lpp_fft.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actar.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\CoreFFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftDp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftSm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\primitives.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\twiddle.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Driver_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Linker_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lpp_cna.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\APB_LFR_CAL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_READER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_WRITER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\SPI_DAC_DRIVER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\dynamic_freq_div.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lfr_cal_driver.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management_apbreg_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\apb_lfr_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lfr_time_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\coarse_time_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_max_value_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401_withFilter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\TestModule_RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_ADS7886_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_lfr_hk.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_package.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_calculation.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_switch_f0.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_time_managment.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\DEMUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\lpp_demux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\lpp_Header.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\HeaderBuilder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\lpp_matrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ALU_Driver.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ReUse_CTRLR.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Dispatch.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\DriveInputs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\GetResult.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\MatriceSpectrale.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Matrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\SpectralMatrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\TopSpecMatrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\fifo_latency_correction.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_ip.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_16word.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_1word.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_singleOrBurst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_GestionBuffer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_Arbiter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_MUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_SEND16B_FIFO2DMA.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_burst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_withoutLatency.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_latencyCorrection.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_ctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_headreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot_controler.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_genaddress.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_dma_genvalid.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter_reg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fsmdma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_top_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter_coeff.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_ms_pointer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_fsmdma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_reg_head.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\lpp_leon3_soc_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\leon3_soc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_dma_singleOrBurst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_reader.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_recorder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_sim_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_lfr_sim_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_file\reader_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\components.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\package_utility.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\cy7c1354b.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\cy7c1380d.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\debug.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\grtestmod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\cpu_disas.vhd,hdl" +VALUE "\DISCOSPACE_top.vhd,hdl" +ENDFILELIST +ENDLIST +ENDLIST +ENDLIST +ENDLIST +LIST AssociatedStimulus +ENDLIST +LIST Other_Association +ENDLIST +LIST SimulationOptions +UseAutomaticDoFile=true +IncludeWaveDo=true +Type=max +RunTime=1000ns +Resolution=1ps +VsimOpt= +EntityName=testbench +TopInstanceName=_0 +DoFileName= +DoFileName2=wave.do +DoFileParams= +DisplayDUTWave=false +LogAllSignals=false +DumpVCD=false +VCDFileName=power.vcd +ENDLIST +LIST ModelSimLibPath +UseCustomPath=FALSE +LibraryPath= +ENDLIST +LIST GlobalFlowOptions +GenerateHDLAfterSynthesis=FALSE +GenerateHDLAfterPhySynthesis=FALSE +RunDRCAfterSynthesis=FALSE +AutoCheckConstraints=TRUE +UpdateViewDrawIni=TRUE +UpdateModelSimIni=TRUE +EnableFileDetection=FALSE +NoIOMode=FALSE +GenerateHDLFromSchematic=TRUE +FlashProInputFile=stp +SmartGenCompileReport=T +ENDLIST +LIST PhySynthesisOptions +ENDLIST +LIST Profiles +NAME="Synplify 2012-03A-SP1-2" +FUNCTION="Synthesis" +TOOL="Synplify" +LOCATION="C:\Synopsys\synplify_F201203ASP1-2\bin\synplify_pro.exe" +PARAM="" +BATCH=0 +EndProfile +NAME="Questa" +FUNCTION="Simulation" +TOOL="ModelSim" +LOCATION="C:\questasim64_10.5c\win64\questasim.exe" +PARAM="" +BATCH=0 +EndProfile +NAME="WFL" +FUNCTION="Stimulus" +TOOL="WFL" +LOCATION="syncad.exe" +PARAM="-pwflite" +BATCH=0 +EndProfile +NAME="FlashPro" +FUNCTION="Program" +TOOL="FlashPro" +LOCATION="C:\Microsemi\Libero_v9.1\Designer\bin\FlashPro.exe" +PARAM="" +BATCH=0 +EndProfile +ENDLIST +LIST ProjectState5.1 +ENDLIST +LIST ExcludePackageForSimulation +ENDLIST +LIST ExcludePackageForSynthesis +LIST DISCOSPACE_top +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\stdio.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\testlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\ftlib\mtie_ftlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\util\util.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\sparc_disas.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\cpu_disas.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\apbmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ambamon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_tp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\amba_tp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_util.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahbs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_ctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\synplify\sim\synplify.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\synplify\sim\synattr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\sim_pll.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\lpddr2_phy_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\mtie_maps.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\core\mtie_core.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpu\mtie_grlfpu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpc\mtie_grlfpc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3v3\mtie_leon3v3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sim.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sramft.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sram16.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ahbrep.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\delay_wire.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\pwm_check.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ramback.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\zbtssram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\slavecheck.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\spwtrace.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\spwtracev.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddrram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddr2ram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddr3ram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagtst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\simtrans1553.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\utilities\conversions.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\utilities\gen_utils.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\flash.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\s25fl064a.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\m25p80.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\fifo\idt7202.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\functions.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\core_burst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\g880e18bt.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_reader.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_recorder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_sim_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_lfr_sim_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\components.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\package_utility.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\cy7c1354b.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\cy7c1380d.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\debug.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\grtestmod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\cpu_disas.vhd,hdl" +ENDLIST +ENDLIST +LIST IncludeModuleForSimulation +ENDLIST +LIST CDBOrder +ENDLIST +LIST UserCustomizedFileList +LIST "DISCOSPACE_top" +LIST "ideSYNTHESIS" +USE_LIST=TRUE +FILELIST +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\version.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\config_types.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\config.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\stdlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\ftlib\synpe_ftlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\sparc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\modgen\multlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\modgen\leaves.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\amba.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\devices.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\defmst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\apbctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbmst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\gencomp\gencomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\gencomp\netcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\memory_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\tap_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddr_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\mul_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddr_phy_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddrphy_datapath.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\buffer_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\clkgen_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\ddr_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\memory_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\pads_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\tap_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allclkgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allmem.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allmul.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allpads.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\alltap.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkmux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkinv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkand.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddr_ireg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddr_oreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddrphy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_2p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_dp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncfifo_2p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\regfile_3p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\tap.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\techbuf.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\nandtree.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iodpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\lvds_combo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\odpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\toutpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\skew_outpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\mul_61x61.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\cpu_disas_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ringosc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\grpci2_phy_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\system_monitor.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\grgates.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram128bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram256bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram128.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram156bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\techmult.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\spictrl_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncrambw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_2pbw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\sdram_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\from.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\serdes.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\synpe_maps.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\comp\spwcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\core\synpe_core.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw2_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw_codec_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\comp\ethcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_rstgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_edcl_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst_gbit.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_rx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_tx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_gtx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_tx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_rx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbitc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\grethc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\wrapper\greth_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\wrapper\greth_gbit_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\cancomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top_sync.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top_core_sync.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\arith.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\mul32.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\div32.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\memctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\srctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ssrctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrlc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl8.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpu\synpe_grlfpu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpc\synpe_grlfpc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmuconfig.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmuiface.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\libmmu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlbcam.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmulrue.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmulru.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3\leon3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3\grfpushwx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3v3\synpe_leon3v3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\l2cache\v2-pkg\l2cache.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_mod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_oc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_mc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\canmux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_rd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_oc_core.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\grcan.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\misc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\rstgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gptimer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbdpram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mmb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgpio.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ftahbram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ftahbram2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbstat.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\logan.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\apbps2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\charrom_package.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\charrom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\apbvga.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahb2ahb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbbridge.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\svgactrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grfifo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gradcdac.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grsysmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gracectrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgpreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\memscrub.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahb_mst_iface.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgprbank.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grclkgate.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grclkgate2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grtimer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grpulse.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grversion.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbfrom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\net\net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\uart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\libdcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\apbuart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\dcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\dcom_uart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\ahbuart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtag.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\libjtagcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag_bsd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanregs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanregsbd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\ethernet_mac.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\grethm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\rgmii.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\comma_detect.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\elastic_buffer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\spacewire.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspwm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_codec_clockgate.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pads.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandpkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandfctrlx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandfctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\clk2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\qmod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\qmod_prect.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\esa\memoryctrl\memoryctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\esa\memoryctrl\mctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices_list.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\memctrlr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-0ws.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-1ws.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\data_type_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_purpose.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ADDRcntr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ALU.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Adder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_Divider2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_divider.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_REG.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUX2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUXN.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Multiplier.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\REG.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_FF.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Shifter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TwoComplementer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clock_Divider.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_to_level.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_detection.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_VALID_BIT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\RR_Arbiter_4.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ramp_generator.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TimeGenAdvancedTrigger.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\apb_devices_list.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\lpp_amba.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\APB_ADVANCED_TRIGGER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\iir_filter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CTRLR_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_CONTROL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_DATAFLOW.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3_DATAFLOW.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_integrator.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_downsampler.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_comb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_add_sub.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_address_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_r2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control_r2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_downsampling\Downsampling.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_processing.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_rom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_memory.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_0.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_1.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lppFIFOxN.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fft_components.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\lpp_fft.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actar.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\CoreFFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftDp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftSm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\primitives.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\twiddle.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Driver_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Linker_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lpp_cna.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\APB_LFR_CAL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_READER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_WRITER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\SPI_DAC_DRIVER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\dynamic_freq_div.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lfr_cal_driver.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management_apbreg_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\apb_lfr_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lfr_time_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\coarse_time_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_max_value_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401_withFilter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\TestModule_RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_ADS7886_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_lfr_hk.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_package.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_calculation.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_switch_f0.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_time_managment.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\DEMUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\lpp_demux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\lpp_Header.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\HeaderBuilder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\lpp_matrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ALU_Driver.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ReUse_CTRLR.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Dispatch.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\DriveInputs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\GetResult.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\MatriceSpectrale.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Matrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\SpectralMatrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\TopSpecMatrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\fifo_latency_correction.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_ip.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_16word.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_1word.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_singleOrBurst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_GestionBuffer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_Arbiter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_MUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_SEND16B_FIFO2DMA.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_burst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_withoutLatency.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_latencyCorrection.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_ctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_headreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot_controler.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_genaddress.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_dma_genvalid.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter_reg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fsmdma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_top_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter_coeff.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_ms_pointer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_fsmdma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_reg_head.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\lpp_leon3_soc_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\leon3_soc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_dma_singleOrBurst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_file\reader_pkg.vhd,hdl" +VALUE "\DISCOSPACE_top.vhd,hdl" +ENDFILELIST +ENDLIST +LIST "ideSIMULATION" +USE_LIST=TRUE +FILELIST +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\version.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\config_types.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\config.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\stdlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\stdio.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\stdlib\testlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\ftlib\mtie_ftlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\util\util.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\sparc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\sparc_disas.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\sparc\cpu_disas.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\modgen\multlib.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\modgen\leaves.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\amba.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\devices.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\defmst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\apbctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbmst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ahbmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\apbmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\ambamon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_tp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\amba\amba_tp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_util.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahbs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\grlib\atf\at_ahb_ctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\synplify\sim\synplify.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\synplify\sim\synattr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\gencomp\gencomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\gencomp\netcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\memory_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\tap_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddr_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\mul_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddr_phy_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\ddrphy_datapath.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\sim_pll.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\inferred\lpddr2_phy_inferred.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\buffer_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\clkgen_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\ddr_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\memory_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\pads_apa3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\proasic3e\tap_proasic3e.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allclkgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allmem.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allmul.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\allpads.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\alltap.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkmux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkinv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkand.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddr_ireg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddr_oreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ddrphy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_2p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_dp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncfifo_2p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\regfile_3p.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\tap.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\techbuf.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\nandtree.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\clkpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iodpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\lvds_combo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\odpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad_ds.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\toutpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\skew_outpad.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\mul_61x61.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\cpu_disas_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\ringosc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\grpci2_phy_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\system_monitor.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\grgates.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\inpad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\outpad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\iopad_ddr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram128bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram256bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram128.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram156bw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\techmult.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\spictrl_net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncrambw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncram_2pbw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\sdram_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\from.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\syncreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\serdes.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\techmap\maps\mtie_maps.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\comp\spwcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\core\mtie_core.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw2_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\spw\wrapper\grspw_codec_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\comp\ethcomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_rstgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_edcl_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst_gbit.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_rx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_tx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbit_gtx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_tx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_rx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\greth_gbitc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\core\grethc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\wrapper\greth_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\eth\wrapper\greth_gbit_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\cancomp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top_sync.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\opencores\can\can_top_core_sync.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\arith.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\mul32.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\arith\div32.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\memctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\sdmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\srctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ssrctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrlc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl8.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftmctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl64.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpu\mtie_grlfpu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\grlfpc\mtie_grlfpc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmuconfig.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmuiface.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\libmmu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlbcam.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmulrue.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmulru.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmutw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\srmmu\mmu.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3\leon3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3\grfpushwx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\leon3v3\mtie_leon3v3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\l2cache\v2-pkg\l2cache.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_mod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_oc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_mc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\canmux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_rd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\can_oc_core.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\can\grcan.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\misc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\rstgen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gptimer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbdpram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mmb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgpio.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ftahbram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ftahbram2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbstat.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\logan.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\apbps2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\charrom_package.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\charrom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\apbvga.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahb2ahb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbbridge.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\svgactrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grfifo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gradcdac.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grsysmon.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\gracectrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgpreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\memscrub.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahb_mst_iface.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grgprbank.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grclkgate.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grclkgate2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grtimer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grpulse.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\grversion.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\misc\ahbfrom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\net\net.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\uart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\libdcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\apbuart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\dcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\dcom_uart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\uart\ahbuart.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sim.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sramft.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\sram16.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ahbrep.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\delay_wire.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\pwm_check.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ramback.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\zbtssram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\slavecheck.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\spwtrace.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\spwtracev.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddrram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddr2ram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\sim\ddr3ram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtag.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\libjtagcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag_bsd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanregs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\bscanregsbd.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\jtag\jtagtst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\ethernet_mac.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit_mb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\grethm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\rgmii.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\comma_detect.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\greth\elastic_buffer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\spacewire.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspwm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_codec_clockgate.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_phy.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pads.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\gr1553b\simtrans1553.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandpkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandfctrlx.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\nand\nandfctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\clk2x.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\qmod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gaisler\clk2x\qmod_prect.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\esa\memoryctrl\memoryctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\esa\memoryctrl\mctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\utilities\conversions.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\utilities\gen_utils.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\flash.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\s25fl064a.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\flash\m25p80.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\fmf\fifo\idt7202.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\functions.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\core_burst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\gsi\ssram\g880e18bt.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices_list.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\memctrlr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-0ws.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-1ws.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\data_type_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_purpose.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ADDRcntr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ALU.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Adder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_Divider2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_divider.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_REG.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUX2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUXN.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Multiplier.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\REG.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_FF.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Shifter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TwoComplementer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clock_Divider.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_to_level.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_detection.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_VALID_BIT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\RR_Arbiter_4.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ramp_generator.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TimeGenAdvancedTrigger.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\apb_devices_list.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\lpp_amba.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\APB_ADVANCED_TRIGGER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\iir_filter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CTRLR_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_CONTROL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_DATAFLOW.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3_DATAFLOW.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_integrator.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_downsampler.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_comb.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_add_sub.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_address_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_r2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control_r2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_downsampling\Downsampling.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_processing.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_rom.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_memory.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_0.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_1.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lppFIFOxN.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fft_components.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\lpp_fft.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actar.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actram.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\CoreFFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftDp.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftSm.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\primitives.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\twiddle.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Driver_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Linker_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lpp_cna.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\APB_LFR_CAL.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_READER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_WRITER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\SPI_DAC_DRIVER.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\dynamic_freq_div.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lfr_cal_driver.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management_apbreg_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\apb_lfr_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lfr_time_management.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\coarse_time_counter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_max_value_gen.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401_withFilter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\TestModule_RHF1401.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_ADS7886_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr_v2.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_lfr_hk.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_package.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_calculation.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_control.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_switch_f0.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_time_managment.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\DEMUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\lpp_demux.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\lpp_Header.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\HeaderBuilder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\lpp_matrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ALU_Driver.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ReUse_CTRLR.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Dispatch.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\DriveInputs.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\GetResult.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\MatriceSpectrale.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Matrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\SpectralMatrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\TopSpecMatrix.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\fifo_latency_correction.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_ip.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_16word.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_1word.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_singleOrBurst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_GestionBuffer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_Arbiter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_MUX.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_SEND16B_FIFO2DMA.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_burst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_withoutLatency.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_latencyCorrection.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_ctrl.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_headreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot_controler.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_genaddress.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_dma_genvalid.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter_reg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fsmdma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_top_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter_coeff.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_ms_pointer.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_fsmdma.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_FFT.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_reg_head.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\lpp_leon3_soc_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\leon3_soc.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_lfr_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_dma_singleOrBurst.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_reader.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_recorder.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_sim_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_lfr_sim_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_file\reader_pkg.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\components.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\package_utility.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\cy7c1354b.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\cypress\ssram\cy7c1380d.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\debug.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\grtestmod.vhd,hdl" +VALUE "\..\..\..\GRLIB\lib\work\debug\cpu_disas.vhd,hdl" +VALUE "\DISCOSPACE_top.vhd,hdl" +ENDFILELIST +ENDLIST +ENDLIST +ENDLIST +LIST OpenedFileList +ENDLIST diff --git a/designs/TIMEGEN/Makefile b/designs/TIMEGEN/Makefile new file mode 100644 --- /dev/null +++ b/designs/TIMEGEN/Makefile @@ -0,0 +1,47 @@ +VHDLIB=../.. +SCRIPTSDIR=$(VHDLIB)/scripts/ +GRLIB := $(shell sh $(VHDLIB)/scripts/lpp_relpath.sh) +TOP=DISCOSPACE_top +BOARD=DISCOSPACE +include $(VHDLIB)/boards/$(BOARD)/Makefile.inc +DEVICE=$(PART)-$(PACKAGE)$(SPEED) +UCF=$(VHDLIB)/boards/$(BOARD)/$(TOP).ucf +QSF=$(VHDLIB)/boards/$(BOARD)/$(TOP).qsf +EFFORT=high +XSTOPT= +SYNPOPT="set_option -pipe 0; set_option -retiming 0; set_option -write_apr_constraint 0" +VHDLSYNFILES= DISCOSPACE_top.vhd +VHDLSIMFILES= testbench.vhd +SIMTOP=testbench +PDC=$(VHDLIB)/boards/$(BOARD)/default.pdc +SDCFILE=$(VHDLIB)/boards/$(BOARD)/DISCOSPACE.sdc +SDC=$(VHDLIB)/boards/$(BOARD)/DISCOSPACE.sdc +CLEAN=soft-clean + +TECHLIBS = proasic3e + +LIBSKIP = core1553bbc core1553brm core1553brt gr1553 corePCIF \ + tmtc openchip hynix ihp gleichmann micron usbhc ge_1000baseX + +DIRSKIP = b1553 pcif leon2 leon2ft crypto satcan ddr usb ata i2c \ + pci grusbhc haps slink ascs pwm coremp7 spi ac97 \ + ./lpp_bootloader \ + ./lpp_uart \ + ./lpp_usb \ + ./dsp/lpp_fft_rtax \ + ./lpp_sim/CY7C1061DV33 \ + +FILESKIP =i2cmst.vhd \ + APB_MULTI_DIODE.vhd \ + APB_SIMPLE_DIODE.vhd \ + Top_MatrixSpec.vhd \ + APB_FFT.vhd \ + CoreFFT_simu.vhd \ + lpp_lfr_apbreg_simu.vhd \ + sgmii.vhd + +include $(GRLIB)/bin/Makefile +include $(GRLIB)/software/leon3/Makefile + +################## project specific targets ########################## + diff --git a/lib/lpp/general_purpose/TimeGenAdvancedTrigger.vhd b/lib/lpp/general_purpose/TimeGenAdvancedTrigger.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/TimeGenAdvancedTrigger.vhd @@ -0,0 +1,128 @@ +-- TimeGenAdvancedTrigger.vhd +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2016, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.numeric_std.ALL; +USE IEEE.std_logic_1164.ALL; + +ENTITY TimeGenAdvancedTrigger IS +PORT( + clk : IN STD_LOGIC; + rstn : IN STD_LOGIC; + + SPW_Tickout : IN STD_LOGIC; + + CoarseTime : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + FineTime : IN STD_LOGIC_VECTOR(15 DOWNTO 0); + + TrigPeriod : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- In seconds 0 to 15 + TrigShift : IN STD_LOGIC_VECTOR(15 DOWNTO 0); -- In FineTime steps + Restart : IN STD_LOGIC; + StartDate : IN STD_LOGIC_VECTOR(31 DOWNTO 0); -- Date in seconds since epoch + + BypassTickout : IN STD_LOGIC; -- if set then Trigger output is driven by SPW tickout + -- else Trigger output is driven by advanced trig + Trigger : OUT STD_LOGIC + +); + +END TimeGenAdvancedTrigger; + + +ARCHITECTURE beh OF TimeGenAdvancedTrigger IS + +SIGNAL AdvancedTrigger : STD_LOGIC:='0'; +SIGNAL AdvancedTrigger_l0 : STD_LOGIC:='0'; +SIGNAL AdvancedTrigger_l1 : STD_LOGIC:='0'; +SIGNAL started : STD_LOGIC:='0'; +SIGNAL periodCntr : STD_LOGIC_VECTOR(3 DOWNTO 0):=(OTHERS=>'0'); +SIGNAL coarseTime0 : STD_LOGIC:='0'; + + +BEGIN + +Trigger <= SPW_Tickout WHEN BypassTickout = '1' ELSE AdvancedTrigger; +AdvancedTrigger <= AdvancedTrigger_l0 AND AdvancedTrigger_l1; + + +PROCESS(clk,rstn) +BEGIN +IF rstn = '0' THEN + started <= '0'; + AdvancedTrigger_l0 <='0'; + AdvancedTrigger_l1 <='0'; + coarseTime0 <= '0'; + periodCntr <= (OTHERS => '0'); + +ELSIF clk'event AND clk = '1' THEN + + coarseTime0 <= CoarseTime(0); + +-- Detection of start date and handling of Restart + IF Restart = '1' THEN + started <= '0'; + ELSIF StartDate = CoarseTime THEN + started <= '1'; + END IF; + +-- Fine time based comparator for phase shift + IF TrigShift = FineTime THEN + AdvancedTrigger_l0 <='1'; + ELSE + AdvancedTrigger_l0 <='0'; + END IF; + +-- Second filter, generates a pulse for each N seconds since StartDate + IF started = '1' THEN + IF periodCntr = "0000" THEN + AdvancedTrigger_l1 <='1'; + periodCntr <= TrigPeriod; + ELSIF CoarseTime(0) /= coarseTime0 THEN + periodCntr <= STD_LOGIC_VECTOR(SIGNED(periodCntr) - 1); + AdvancedTrigger_l1 <='0'; + END IF; + ELSE + periodCntr <= (OTHERS => '0'); + AdvancedTrigger_l1 <='0'; + END IF; + +END IF; +END PROCESS; + +END beh; + + + + + + + + + + + + + + + + + diff --git a/lib/lpp/general_purpose/general_purpose.vhd b/lib/lpp/general_purpose/general_purpose.vhd --- a/lib/lpp/general_purpose/general_purpose.vhd +++ b/lib/lpp/general_purpose/general_purpose.vhd @@ -14,7 +14,7 @@ -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Author : Alexis Jeandet -- Mail : alexis.jeandet@lpp.polytechnique.fr @@ -32,7 +32,7 @@ USE IEEE.NUMERIC_STD.ALL; PACKAGE general_purpose IS - + COMPONENT general_counter GENERIC ( CYCLIC : STD_LOGIC; @@ -417,4 +417,26 @@ PACKAGE general_purpose IS output_data : OUT STD_LOGIC_VECTOR(DATA_SIZE-1 DOWNTO 0)); END COMPONENT; + COMPONENT TimeGenAdvancedTrigger + PORT( + clk : IN STD_LOGIC; + rstn : IN STD_LOGIC; + + SPW_Tickout : IN STD_LOGIC; + + CoarseTime : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + FineTime : IN STD_LOGIC_VECTOR(15 DOWNTO 0); + + TrigPeriod : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- In seconds 0 to 15 + TrigShift : IN STD_LOGIC_VECTOR(15 DOWNTO 0); -- In FineTime steps + Restart : IN STD_LOGIC; + StartDate : IN STD_LOGIC_VECTOR(31 DOWNTO 0); -- Date in seconds since epoch + + BypassTickout : IN STD_LOGIC; -- if set then Trigger output is driven by SPW tickout + -- else Trigger output is driven by advanced trig + Trigger : OUT STD_LOGIC + + ); + END COMPONENT; + END; diff --git a/lib/lpp/general_purpose/vhdlsyn.txt b/lib/lpp/general_purpose/vhdlsyn.txt --- a/lib/lpp/general_purpose/vhdlsyn.txt +++ b/lib/lpp/general_purpose/vhdlsyn.txt @@ -25,3 +25,4 @@ SYNC_VALID_BIT.vhd RR_Arbiter_4.vhd general_counter.vhd ramp_generator.vhd +TimeGenAdvancedTrigger.vhd diff --git a/lib/lpp/lpp_amba/APB_ADVANCED_TRIGGER.vhd b/lib/lpp/lpp_amba/APB_ADVANCED_TRIGGER.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_amba/APB_ADVANCED_TRIGGER.vhd @@ -0,0 +1,158 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2016, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------ +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------ +library ieee; +use ieee.std_logic_1164.all; +--use ieee.numeric_std.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; +library lpp; +use lpp.apb_devices_list.all; +use lpp.lpp_amba.all; +use lpp.general_purpose.TimeGenAdvancedTrigger; + + +entity APB_ADVANCED_TRIGGER is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8); + port ( + rstn : in std_ulogic; + clk : in std_ulogic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + + SPW_Tickout : IN STD_LOGIC; + CoarseTime : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + FineTime : IN STD_LOGIC_VECTOR(15 DOWNTO 0); + + Trigger : OUT STD_LOGIC + ); +end; + + +architecture beh of APB_ADVANCED_TRIGGER is + +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, LPP_APB_ADVANCED_TRIGGER, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); + + + +type adv_trig_type is record + TrigPeriod : STD_LOGIC_VECTOR(3 DOWNTO 0); -- In seconds 0 to 15 + TrigShift : STD_LOGIC_VECTOR(15 DOWNTO 0); -- In FineTime steps + Restart : STD_LOGIC; + StartDate : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Date in seconds since epoch + BypassTickout : STD_LOGIC; -- if set then Trigger output is driven by SPW tickout +end record; + +type adv_trig_regs is record + CFG : STD_LOGIC_VECTOR(31 DOWNTO 0); + Restart : STD_LOGIC_VECTOR(31 DOWNTO 0); + StartDate : STD_LOGIC_VECTOR(31 DOWNTO 0); +end record; + +signal r : adv_trig_regs; +signal adv_trig : adv_trig_type; +signal Rdata : std_logic_vector(31 downto 0); + + +begin + + + +adv_trig0: TimeGenAdvancedTrigger + PORT MAP( + clk => clk, + rstn => rstn, + + SPW_Tickout => SPW_Tickout, + + CoarseTime => CoarseTime, + FineTime => FineTime, + + TrigPeriod => adv_trig.TrigPeriod, + TrigShift => adv_trig.TrigShift, + Restart => adv_trig.Restart, + StartDate => adv_trig.StartDate, + + BypassTickout => adv_trig.BypassTickout, + Trigger => Trigger + + ); + + adv_trig.BypassTickout <= r.CFG(0); + adv_trig.TrigPeriod <= r.CFG(7 downto 4); + adv_trig.TrigShift <= r.CFG(31 downto 16); + adv_trig.Restart <= r.Restart(0); + adv_trig.StartDate <= r.StartDate; + + +process(rstn,clk) +begin + if rstn = '0' then + r.CFG <= (others=>'0'); + r.Restart <= (others=>'0'); + r.StartDate <= (others=>'0'); + elsif clk'event and clk = '1' then + +--APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + r.CFG <= apbi.pwdata; + when "000001" => + r.Restart <= apbi.pwdata; + when "000010" => + r.StartDate <= apbi.pwdata; + when others => + null; + end case; + end if; + +--APB READ OP + if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + Rdata <= r.CFG; + when "000001" => + Rdata <= r.Restart; + when "000010" => + Rdata <= r.StartDate; + when others => + Rdata <= r.Restart; + end case; + end if; + + end if; + apbo.pconfig <= pconfig; +end process; + +apbo.prdata <= Rdata when apbi.penable = '1'; +end beh; \ No newline at end of file diff --git a/lib/lpp/lpp_amba/apb_devices_list.vhd b/lib/lpp/lpp_amba/apb_devices_list.vhd --- a/lib/lpp/lpp_amba/apb_devices_list.vhd +++ b/lib/lpp/lpp_amba/apb_devices_list.vhd @@ -42,7 +42,8 @@ PACKAGE apb_devices_list IS CONSTANT LPP_LFR_MANAGEMENT : amba_device_type := 16#22#; CONSTANT LPP_DEBUG_DMA : amba_device_type := 16#A0#; CONSTANT LPP_DEBUG_LFR : amba_device_type := 16#A1#; - constant APB_ADC_READER : amba_device_type := 16#F1#; + constant APB_ADC_READER : amba_device_type := 16#F1#; CONSTANT LPP_DEBUG_LFR_ID : amba_device_type := 16#A2#; + CONSTANT LPP_APB_ADVANCED_TRIGGER : amba_device_type := 16#A3#; END; diff --git a/lib/lpp/lpp_amba/lpp_amba.vhd b/lib/lpp/lpp_amba/lpp_amba.vhd --- a/lib/lpp/lpp_amba/lpp_amba.vhd +++ b/lib/lpp/lpp_amba/lpp_amba.vhd @@ -29,7 +29,7 @@ use std.textio.all; package lpp_amba is -component APB_CHENILLARD is +component APB_ADVANCED_TRIGGER is generic ( pindex : integer := 0; paddr : integer := 0; @@ -37,12 +37,16 @@ component APB_CHENILLARD is pirq : integer := 0; abits : integer := 8); port ( - rst : in std_ulogic; + rstn : in std_ulogic; clk : in std_ulogic; - RegLed : in std_logic_vector (7 downto 0); apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; - Leds : out std_logic_vector (7 downto 0) + + SPW_Tickout : IN STD_LOGIC; + CoarseTime : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + FineTime : IN STD_LOGIC_VECTOR(15 DOWNTO 0); + + Trigger : OUT STD_LOGIC ); end component; diff --git a/lib/lpp/lpp_amba/vhdlsyn.txt b/lib/lpp/lpp_amba/vhdlsyn.txt --- a/lib/lpp/lpp_amba/vhdlsyn.txt +++ b/lib/lpp/lpp_amba/vhdlsyn.txt @@ -1,2 +1,3 @@ apb_devices_list.vhd lpp_amba.vhd +APB_ADVANCED_TRIGGER.vhd