diff --git a/.hgignore b/.hgignore --- a/.hgignore +++ b/.hgignore @@ -11,5 +11,16 @@ syntax: glob *.eps *.pdf *.toc +*.map +*.sty +*.3 +*.js +*.aux +*.idx +*doc* +*Doc* +*vhdlsyn.txt +*.orig +*.o *~ diff --git a/.vhd b/.vhd new file mode 100644 --- /dev/null +++ b/.vhd @@ -0,0 +1,36 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- diff --git a/LPP_drivers/Doxyfile b/LPP_drivers/Doxyfile --- a/LPP_drivers/Doxyfile +++ b/LPP_drivers/Doxyfile @@ -590,7 +590,7 @@ WARN_LOGFILE = # directories like "/usr/src/myproject". Separate the files or directories # with spaces. -INPUT = ./ +INPUT = ./libsrc # This tag can be used to specify the character encoding of the source files # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is @@ -643,7 +643,7 @@ FILE_PATTERNS = *.c \ # should be searched for input files as well. Possible values are YES and NO. # If left blank NO is used. -RECURSIVE = NO +RECURSIVE = YES # The EXCLUDE tag can be used to specify files and/or directories that should # excluded from the INPUT source files. This way you can easily exclude a diff --git a/LPP_drivers/apb_lcd_driver.c b/LPP_drivers/apb_lcd_driver.c deleted file mode 100644 --- a/LPP_drivers/apb_lcd_driver.c +++ /dev/null @@ -1,97 +0,0 @@ -#include "apb_lcd_driver.h" -#include "lpp_apb_functions.h" -#include "lpp_apb_functions.h" -#include - -int lcdbusy(lcd_device* lcd) -{ - return (!(lcd->cfg_reg&readyFlag)==readyFlag); -} - - -lcd_device* lcdopen(int count) -{ - lcd_device* dev; - dev = (lcd_device*) apbgetdevice(LPP_LCD_CTRLR,VENDOR_LPP,count); - return dev; - //* scan APB bus an return the count(th) lcd controler */ - -} - - - -lcd_err lcdsendcmd(lcd_device* lcd,int cmd) -{ - lcd_err err; - err = lcd_error_no_error; - if (lcd!=NULL) - { - while(lcdbusy(lcd)); - lcd->cfg_reg = cmd; - return err; - } - else - { - err = lcd_error_not_openned ; - return err; - } -} - - - -lcd_err lcdsetchar(lcd_device* lcd,int position,const char value) -{ - lcd_err err; - err = lcd_error_no_error; - return err; -} - - - -lcd_err lcdprint(lcd_device* lcd,int position,const char* value) -{ - lcd_err err; - err = lcd_error_no_error; - if (lcd!=NULL) - { - int i = position; - int n = 0; - while(value[n]!= '\0' && iFrame_buff[i++] = value[n++]; - } - return err; - } - else - { - err = lcd_error_not_openned ; - return err; - } -} - - - -lcd_err lcdclear(lcd_device* lcd) -{ - lcd_err err; - err = lcd_error_no_error; - if (lcd!=NULL) - { - int i=0; - for(i=0;iFrame_buff[i] = ' '; - } - return err; - } - err = lcd_error_not_openned ; - return err; -} - - - - diff --git a/LPP_drivers/apb_lcd_driver.h b/LPP_drivers/apb_lcd_driver.h deleted file mode 100644 --- a/LPP_drivers/apb_lcd_driver.h +++ /dev/null @@ -1,77 +0,0 @@ -#ifndef APB_LCD_DRIVER_H -#define APB_LCD_DRIVER_H - -#define readyFlag 1024 -#define lcdCharCnt 80 - - -/** @todo implemente some shift functions */ - - -/*=================================================== - T Y P E S D E F -====================================================*/ - - - -/** error type used for most of lcd functions */ -typedef int lcd_err; - -/** lcd error ennum for higher abstraction level when error decoding */ - enum lcd_error -{ - lcd_error_no_error, /**< no error append while function execution */ - lcd_error_not_ready, /**< the lcd isn't available*/ - lcd_error_not_openned, /**< the device guiven to the function isn't opened*/ - lcd_error_too_long /**< the string guiven to the lcd is bigger than the lcd frame buffer memory */ -}; - - -/** for each command sended to the lcd driver a time should be guiven according to the lcd datasheet */ - enum lcd_CMD_time -{ - lcd_4us = 0x0FF, - lcd_100us = 0x1FF, - lcd_4ms = 0x2FF, - lcd_20ms = 0x3FF -}; - -/** list of availiable lcd commands use whith an AND mask whith cmd time */ - enum lcd_CMD -{ - CursorON = 0xF0E, - CursorOFF = 0xF0C -}; - -/** structure representing the lcd registers */ -struct lcd_driver -{ - int cfg_reg; /**< Configuration register composed of Ready flag [10], CMD time Value [9:8], CMD to send [7:0]*/ - int Frame_buff[lcdCharCnt]; /**< Frame Buffer space each address corresponds to a char on the lcd screen */ -}; - -typedef struct lcd_driver lcd_device; - -/*=================================================== - F U N C T I O N S -====================================================*/ - -/** says if the lcd is busy */ -int lcdbusy(lcd_device * lcd); - -/** Opens and returns the counth lcd found on APB bus else NULL */ -lcd_device* lcdopen(int count); - -/** Sends a command to the given device, don't forget to guive the time of the cmd */ -lcd_err lcdsendcmd(lcd_device* lcd,int cmd); - -/** Sets a char on the given device at given position */ -lcd_err lcdsetchar(lcd_device* lcd,int position,const char value); - -/** Prints a message on the given device at given position, "\n" is understood but for others use sprintf before */ -lcd_err lcdprint(lcd_device* lcd,int position,const char* value); - -/** Writes space character on each adress of the lcd screen */ -lcd_err lcdclear(lcd_device* lcd); - -#endif diff --git a/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/Doxyfile b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/Doxyfile new file mode 100644 --- /dev/null +++ b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/Doxyfile @@ -0,0 +1,1661 @@ +# Doxyfile 1.7.1 + +# This file describes the settings to be used by the documentation system +# doxygen (www.doxygen.org) for a project +# +# All text after a hash (#) is considered a comment and will be ignored +# The format is: +# TAG = value [value, ...] +# For lists items can also be appended using: +# TAG += value [value, ...] +# Values that contain spaces should be placed between quotes (" ") + +#--------------------------------------------------------------------------- +# Project related configuration options +#--------------------------------------------------------------------------- + +# This tag specifies the encoding used for all characters in the config file +# that follow. The default is UTF-8 which is also the encoding used for all +# text before the first occurrence of this tag. Doxygen uses libiconv (or the +# iconv built into libc) for the transcoding. See +# http://www.gnu.org/software/libiconv for the list of possible encodings. + +DOXYFILE_ENCODING = UTF-8 + +# The PROJECT_NAME tag is a single word (or a sequence of words surrounded +# by quotes) that should identify the project. + +PROJECT_NAME = "apb lcd driver" + +# The PROJECT_NUMBER tag can be used to enter a project or revision number. +# This could be handy for archiving the generated documentation or +# if some version control system is used. + +PROJECT_NUMBER = 0.1 + +# The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute) +# base path where the generated documentation will be put. +# If a relative path is entered, it will be relative to the location +# where doxygen was started. If left blank the current directory will be used. + +OUTPUT_DIRECTORY = ./Doc + +# If the CREATE_SUBDIRS tag is set to YES, then doxygen will create +# 4096 sub-directories (in 2 levels) under the output directory of each output +# format and will distribute the generated files over these directories. +# Enabling this option can be useful when feeding doxygen a huge amount of +# source files, where putting all generated files in the same directory would +# otherwise cause performance problems for the file system. + +CREATE_SUBDIRS = NO + +# The OUTPUT_LANGUAGE tag is used to specify the language in which all +# documentation generated by doxygen is written. Doxygen will use this +# information to generate all constant output in the proper language. +# The default language is English, other supported languages are: +# Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional, +# Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German, +# Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English +# messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian, +# Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak, +# Slovene, Spanish, Swedish, Ukrainian, and Vietnamese. + +OUTPUT_LANGUAGE = English + +# If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will +# include brief member descriptions after the members that are listed in +# the file and class documentation (similar to JavaDoc). +# Set to NO to disable this. + +BRIEF_MEMBER_DESC = YES + +# If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend +# the brief description of a member or function before the detailed description. +# Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the +# brief descriptions will be completely suppressed. + +REPEAT_BRIEF = YES + +# This tag implements a quasi-intelligent brief description abbreviator +# that is used to form the text in various listings. Each string +# in this list, if found as the leading text of the brief description, will be +# stripped from the text and the result after processing the whole list, is +# used as the annotated text. Otherwise, the brief description is used as-is. +# If left blank, the following values are used ("$name" is automatically +# replaced with the name of the entity): "The $name class" "The $name widget" +# "The $name file" "is" "provides" "specifies" "contains" +# "represents" "a" "an" "the" + +ABBREVIATE_BRIEF = "The $name class" \ + "The $name widget" \ + "The $name file" \ + is \ + provides \ + specifies \ + contains \ + represents \ + a \ + an \ + the + +# If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then +# Doxygen will generate a detailed section even if there is only a brief +# description. + +ALWAYS_DETAILED_SEC = NO + +# If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all +# inherited members of a class in the documentation of that class as if those +# members were ordinary class members. Constructors, destructors and assignment +# operators of the base classes will not be shown. + +INLINE_INHERITED_MEMB = NO + +# If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full +# path before files name in the file list and in the header files. If set +# to NO the shortest path that makes the file name unique will be used. + +FULL_PATH_NAMES = YES + +# If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag +# can be used to strip a user-defined part of the path. Stripping is +# only done if one of the specified strings matches the left-hand part of +# the path. The tag can be used to show relative paths in the file list. +# If left blank the directory from which doxygen is run is used as the +# path to strip. + +STRIP_FROM_PATH = + +# The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of +# the path mentioned in the documentation of a class, which tells +# the reader which header file to include in order to use a class. +# If left blank only the name of the header file containing the class +# definition is used. Otherwise one should specify the include paths that +# are normally passed to the compiler using the -I flag. + +STRIP_FROM_INC_PATH = + +# If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter +# (but less readable) file names. This can be useful is your file systems +# doesn't support long names like on DOS, Mac, or CD-ROM. + +SHORT_NAMES = YES + +# If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen +# will interpret the first line (until the first dot) of a JavaDoc-style +# comment as the brief description. If set to NO, the JavaDoc +# comments will behave just like regular Qt-style comments +# (thus requiring an explicit @brief command for a brief description.) + +JAVADOC_AUTOBRIEF = NO + +# If the QT_AUTOBRIEF tag is set to YES then Doxygen will +# interpret the first line (until the first dot) of a Qt-style +# comment as the brief description. If set to NO, the comments +# will behave just like regular Qt-style comments (thus requiring +# an explicit \brief command for a brief description.) + +QT_AUTOBRIEF = NO + +# The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen +# treat a multi-line C++ special comment block (i.e. a block of //! or /// +# comments) as a brief description. This used to be the default behaviour. +# The new default is to treat a multi-line C++ comment block as a detailed +# description. Set this tag to YES if you prefer the old behaviour instead. + +MULTILINE_CPP_IS_BRIEF = NO + +# If the INHERIT_DOCS tag is set to YES (the default) then an undocumented +# member inherits the documentation from any documented member that it +# re-implements. + +INHERIT_DOCS = YES + +# If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce +# a new page for each member. If set to NO, the documentation of a member will +# be part of the file/class/namespace that contains it. + +SEPARATE_MEMBER_PAGES = NO + +# The TAB_SIZE tag can be used to set the number of spaces in a tab. +# Doxygen uses this value to replace tabs by spaces in code fragments. + +TAB_SIZE = 8 + +# This tag can be used to specify a number of aliases that acts +# as commands in the documentation. An alias has the form "name=value". +# For example adding "sideeffect=\par Side Effects:\n" will allow you to +# put the command \sideeffect (or @sideeffect) in the documentation, which +# will result in a user-defined paragraph with heading "Side Effects:". +# You can put \n's in the value part of an alias to insert newlines. + +ALIASES = + +# Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C +# sources only. Doxygen will then generate output that is more tailored for C. +# For instance, some of the names that are used will be different. The list +# of all members will be omitted, etc. + +OPTIMIZE_OUTPUT_FOR_C = YES + +# Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java +# sources only. Doxygen will then generate output that is more tailored for +# Java. For instance, namespaces will be presented as packages, qualified +# scopes will look different, etc. + +OPTIMIZE_OUTPUT_JAVA = NO + +# Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran +# sources only. Doxygen will then generate output that is more tailored for +# Fortran. + +OPTIMIZE_FOR_FORTRAN = NO + +# Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL +# sources. Doxygen will then generate output that is tailored for +# VHDL. + +OPTIMIZE_OUTPUT_VHDL = NO + +# Doxygen selects the parser to use depending on the extension of the files it +# parses. With this tag you can assign which parser to use for a given extension. +# Doxygen has a built-in mapping, but you can override or extend it using this +# tag. The format is ext=language, where ext is a file extension, and language +# is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C, +# C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make +# doxygen treat .inc files as Fortran files (default is PHP), and .f files as C +# (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions +# you also need to set FILE_PATTERNS otherwise the files are not read by doxygen. + +EXTENSION_MAPPING = + +# If you use STL classes (i.e. std::string, std::vector, etc.) but do not want +# to include (a tag file for) the STL sources as input, then you should +# set this tag to YES in order to let doxygen match functions declarations and +# definitions whose arguments contain STL classes (e.g. func(std::string); v.s. +# func(std::string) {}). This also make the inheritance and collaboration +# diagrams that involve STL classes more complete and accurate. + +BUILTIN_STL_SUPPORT = NO + +# If you use Microsoft's C++/CLI language, you should set this option to YES to +# enable parsing support. + +CPP_CLI_SUPPORT = NO + +# Set the SIP_SUPPORT tag to YES if your project consists of sip sources only. +# Doxygen will parse them like normal C++ but will assume all classes use public +# instead of private inheritance when no explicit protection keyword is present. + +SIP_SUPPORT = NO + +# For Microsoft's IDL there are propget and propput attributes to indicate getter +# and setter methods for a property. Setting this option to YES (the default) +# will make doxygen to replace the get and set methods by a property in the +# documentation. This will only work if the methods are indeed getting or +# setting a simple type. If this is not the case, or you want to show the +# methods anyway, you should set this option to NO. + +IDL_PROPERTY_SUPPORT = YES + +# If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC +# tag is set to YES, then doxygen will reuse the documentation of the first +# member in the group (if any) for the other members of the group. By default +# all members of a group must be documented explicitly. + +DISTRIBUTE_GROUP_DOC = NO + +# Set the SUBGROUPING tag to YES (the default) to allow class member groups of +# the same type (for instance a group of public functions) to be put as a +# subgroup of that type (e.g. under the Public Functions section). Set it to +# NO to prevent subgrouping. Alternatively, this can be done per class using +# the \nosubgrouping command. + +SUBGROUPING = YES + +# When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum +# is documented as struct, union, or enum with the name of the typedef. So +# typedef struct TypeS {} TypeT, will appear in the documentation as a struct +# with name TypeT. When disabled the typedef will appear as a member of a file, +# namespace, or class. And the struct will be named TypeS. This can typically +# be useful for C code in case the coding convention dictates that all compound +# types are typedef'ed and only the typedef is referenced, never the tag name. + +TYPEDEF_HIDES_STRUCT = NO + +# The SYMBOL_CACHE_SIZE determines the size of the internal cache use to +# determine which symbols to keep in memory and which to flush to disk. +# When the cache is full, less often used symbols will be written to disk. +# For small to medium size projects (<1000 input files) the default value is +# probably good enough. For larger projects a too small cache size can cause +# doxygen to be busy swapping symbols to and from disk most of the time +# causing a significant performance penality. +# If the system has enough physical memory increasing the cache will improve the +# performance by keeping more symbols in memory. Note that the value works on +# a logarithmic scale so increasing the size by one will rougly double the +# memory usage. The cache size is given by this formula: +# 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0, +# corresponding to a cache size of 2^16 = 65536 symbols + +SYMBOL_CACHE_SIZE = 0 + +#--------------------------------------------------------------------------- +# Build related configuration options +#--------------------------------------------------------------------------- + +# If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in +# documentation are documented, even if no documentation was available. +# Private class members and static file members will be hidden unless +# the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES + +EXTRACT_ALL = YES + +# If the EXTRACT_PRIVATE tag is set to YES all private members of a class +# will be included in the documentation. + +EXTRACT_PRIVATE = NO + +# If the EXTRACT_STATIC tag is set to YES all static members of a file +# will be included in the documentation. + +EXTRACT_STATIC = NO + +# If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs) +# defined locally in source files will be included in the documentation. +# If set to NO only classes defined in header files are included. + +EXTRACT_LOCAL_CLASSES = YES + +# This flag is only useful for Objective-C code. When set to YES local +# methods, which are defined in the implementation section but not in +# the interface are included in the documentation. +# If set to NO (the default) only methods in the interface are included. + +EXTRACT_LOCAL_METHODS = YES + +# If this flag is set to YES, the members of anonymous namespaces will be +# extracted and appear in the documentation as a namespace called +# 'anonymous_namespace{file}', where file will be replaced with the base +# name of the file that contains the anonymous namespace. By default +# anonymous namespace are hidden. + +EXTRACT_ANON_NSPACES = NO + +# If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all +# undocumented members of documented classes, files or namespaces. +# If set to NO (the default) these members will be included in the +# various overviews, but no documentation section is generated. +# This option has no effect if EXTRACT_ALL is enabled. + +HIDE_UNDOC_MEMBERS = NO + +# If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all +# undocumented classes that are normally visible in the class hierarchy. +# If set to NO (the default) these classes will be included in the various +# overviews. This option has no effect if EXTRACT_ALL is enabled. + +HIDE_UNDOC_CLASSES = NO + +# If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all +# friend (class|struct|union) declarations. +# If set to NO (the default) these declarations will be included in the +# documentation. + +HIDE_FRIEND_COMPOUNDS = NO + +# If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any +# documentation blocks found inside the body of a function. +# If set to NO (the default) these blocks will be appended to the +# function's detailed documentation block. + +HIDE_IN_BODY_DOCS = NO + +# The INTERNAL_DOCS tag determines if documentation +# that is typed after a \internal command is included. If the tag is set +# to NO (the default) then the documentation will be excluded. +# Set it to YES to include the internal documentation. + +INTERNAL_DOCS = NO + +# If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate +# file names in lower-case letters. If set to YES upper-case letters are also +# allowed. This is useful if you have classes or files whose names only differ +# in case and if your file system supports case sensitive file names. Windows +# and Mac users are advised to set this option to NO. + +CASE_SENSE_NAMES = NO + +# If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen +# will show members with their full class and namespace scopes in the +# documentation. If set to YES the scope will be hidden. + +HIDE_SCOPE_NAMES = YES + +# If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen +# will put a list of the files that are included by a file in the documentation +# of that file. + +SHOW_INCLUDE_FILES = YES + +# If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen +# will list include files with double quotes in the documentation +# rather than with sharp brackets. + +FORCE_LOCAL_INCLUDES = NO + +# If the INLINE_INFO tag is set to YES (the default) then a tag [inline] +# is inserted in the documentation for inline members. + +INLINE_INFO = YES + +# If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen +# will sort the (detailed) documentation of file and class members +# alphabetically by member name. If set to NO the members will appear in +# declaration order. + +SORT_MEMBER_DOCS = YES + +# If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the +# brief documentation of file, namespace and class members alphabetically +# by member name. If set to NO (the default) the members will appear in +# declaration order. + +SORT_BRIEF_DOCS = NO + +# If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen +# will sort the (brief and detailed) documentation of class members so that +# constructors and destructors are listed first. If set to NO (the default) +# the constructors will appear in the respective orders defined by +# SORT_MEMBER_DOCS and SORT_BRIEF_DOCS. +# This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO +# and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO. + +SORT_MEMBERS_CTORS_1ST = NO + +# If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the +# hierarchy of group names into alphabetical order. If set to NO (the default) +# the group names will appear in their defined order. + +SORT_GROUP_NAMES = NO + +# If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be +# sorted by fully-qualified names, including namespaces. If set to +# NO (the default), the class list will be sorted only by class name, +# not including the namespace part. +# Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES. +# Note: This option applies only to the class list, not to the +# alphabetical list. + +SORT_BY_SCOPE_NAME = NO + +# The GENERATE_TODOLIST tag can be used to enable (YES) or +# disable (NO) the todo list. This list is created by putting \todo +# commands in the documentation. + +GENERATE_TODOLIST = YES + +# The GENERATE_TESTLIST tag can be used to enable (YES) or +# disable (NO) the test list. This list is created by putting \test +# commands in the documentation. + +GENERATE_TESTLIST = YES + +# The GENERATE_BUGLIST tag can be used to enable (YES) or +# disable (NO) the bug list. This list is created by putting \bug +# commands in the documentation. + +GENERATE_BUGLIST = YES + +# The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or +# disable (NO) the deprecated list. This list is created by putting +# \deprecated commands in the documentation. + +GENERATE_DEPRECATEDLIST= YES + +# The ENABLED_SECTIONS tag can be used to enable conditional +# documentation sections, marked by \if sectionname ... \endif. + +ENABLED_SECTIONS = + +# The MAX_INITIALIZER_LINES tag determines the maximum number of lines +# the initial value of a variable or define consists of for it to appear in +# the documentation. If the initializer consists of more lines than specified +# here it will be hidden. Use a value of 0 to hide initializers completely. +# The appearance of the initializer of individual variables and defines in the +# documentation can be controlled using \showinitializer or \hideinitializer +# command in the documentation regardless of this setting. + +MAX_INITIALIZER_LINES = 30 + +# Set the SHOW_USED_FILES tag to NO to disable the list of files generated +# at the bottom of the documentation of classes and structs. If set to YES the +# list will mention the files that were used to generate the documentation. + +SHOW_USED_FILES = YES + +# If the sources in your project are distributed over multiple directories +# then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy +# in the documentation. The default is NO. + +SHOW_DIRECTORIES = NO + +# Set the SHOW_FILES tag to NO to disable the generation of the Files page. +# This will remove the Files entry from the Quick Index and from the +# Folder Tree View (if specified). The default is YES. + +SHOW_FILES = YES + +# Set the SHOW_NAMESPACES tag to NO to disable the generation of the +# Namespaces page. This will remove the Namespaces entry from the Quick Index +# and from the Folder Tree View (if specified). The default is YES. + +SHOW_NAMESPACES = YES + +# The FILE_VERSION_FILTER tag can be used to specify a program or script that +# doxygen should invoke to get the current version for each file (typically from +# the version control system). Doxygen will invoke the program by executing (via +# popen()) the command , where is the value of +# the FILE_VERSION_FILTER tag, and is the name of an input file +# provided by doxygen. Whatever the program writes to standard output +# is used as the file version. See the manual for examples. + +FILE_VERSION_FILTER = + +# The LAYOUT_FILE tag can be used to specify a layout file which will be parsed +# by doxygen. The layout file controls the global structure of the generated +# output files in an output format independent way. The create the layout file +# that represents doxygen's defaults, run doxygen with the -l option. +# You can optionally specify a file name after the option, if omitted +# DoxygenLayout.xml will be used as the name of the layout file. + +LAYOUT_FILE = + +#--------------------------------------------------------------------------- +# configuration options related to warning and progress messages +#--------------------------------------------------------------------------- + +# The QUIET tag can be used to turn on/off the messages that are generated +# by doxygen. Possible values are YES and NO. If left blank NO is used. + +QUIET = NO + +# The WARNINGS tag can be used to turn on/off the warning messages that are +# generated by doxygen. Possible values are YES and NO. If left blank +# NO is used. + +WARNINGS = YES + +# If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings +# for undocumented members. If EXTRACT_ALL is set to YES then this flag will +# automatically be disabled. + +WARN_IF_UNDOCUMENTED = YES + +# If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for +# potential errors in the documentation, such as not documenting some +# parameters in a documented function, or documenting parameters that +# don't exist or using markup commands wrongly. + +WARN_IF_DOC_ERROR = YES + +# This WARN_NO_PARAMDOC option can be abled to get warnings for +# functions that are documented, but have no documentation for their parameters +# or return value. If set to NO (the default) doxygen will only warn about +# wrong or incomplete parameter documentation, but not about the absence of +# documentation. + +WARN_NO_PARAMDOC = NO + +# The WARN_FORMAT tag determines the format of the warning messages that +# doxygen can produce. The string should contain the $file, $line, and $text +# tags, which will be replaced by the file and line number from which the +# warning originated and the warning text. Optionally the format may contain +# $version, which will be replaced by the version of the file (if it could +# be obtained via FILE_VERSION_FILTER) + +WARN_FORMAT = "$file:$line: $text" + +# The WARN_LOGFILE tag can be used to specify a file to which warning +# and error messages should be written. If left blank the output is written +# to stderr. + +WARN_LOGFILE = + +#--------------------------------------------------------------------------- +# configuration options related to the input files +#--------------------------------------------------------------------------- + +# The INPUT tag can be used to specify the files and/or directories that contain +# documented source files. You may enter file names like "myfile.cpp" or +# directories like "/usr/src/myproject". Separate the files or directories +# with spaces. + +INPUT = ./ + +# This tag can be used to specify the character encoding of the source files +# that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is +# also the default input encoding. Doxygen uses libiconv (or the iconv built +# into libc) for the transcoding. See http://www.gnu.org/software/libiconv for +# the list of possible encodings. + +INPUT_ENCODING = UTF-8 + +# If the value of the INPUT tag contains directories, you can use the +# FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp +# and *.h) to filter out the source-files in the directories. If left +# blank the following patterns are tested: +# *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx +# *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90 + +FILE_PATTERNS = *.c \ + *.cc \ + *.cxx \ + *.cpp \ + *.c++ \ + *.d \ + *.java \ + *.ii \ + *.ixx \ + *.ipp \ + *.i++ \ + *.inl \ + *.h \ + *.hh \ + *.hxx \ + *.hpp \ + *.h++ \ + *.idl \ + *.odl \ + *.cs \ + *.php \ + *.php3 \ + *.inc \ + *.m \ + *.mm \ + *.dox \ + *.py \ + *.f90 \ + *.f \ + *.vhd \ + *.vhdl + +# The RECURSIVE tag can be used to turn specify whether or not subdirectories +# should be searched for input files as well. Possible values are YES and NO. +# If left blank NO is used. + +RECURSIVE = NO + +# The EXCLUDE tag can be used to specify files and/or directories that should +# excluded from the INPUT source files. This way you can easily exclude a +# subdirectory from a directory tree whose root is specified with the INPUT tag. + +EXCLUDE = + +# The EXCLUDE_SYMLINKS tag can be used select whether or not files or +# directories that are symbolic links (a Unix filesystem feature) are excluded +# from the input. + +EXCLUDE_SYMLINKS = NO + +# If the value of the INPUT tag contains directories, you can use the +# EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude +# certain files from those directories. Note that the wildcards are matched +# against the file with absolute path, so to exclude all test directories +# for example use the pattern */test/* + +EXCLUDE_PATTERNS = + +# The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names +# (namespaces, classes, functions, etc.) that should be excluded from the +# output. The symbol name can be a fully qualified name, a word, or if the +# wildcard * is used, a substring. Examples: ANamespace, AClass, +# AClass::ANamespace, ANamespace::*Test + +EXCLUDE_SYMBOLS = + +# The EXAMPLE_PATH tag can be used to specify one or more files or +# directories that contain example code fragments that are included (see +# the \include command). + +EXAMPLE_PATH = + +# If the value of the EXAMPLE_PATH tag contains directories, you can use the +# EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp +# and *.h) to filter out the source-files in the directories. If left +# blank all files are included. + +EXAMPLE_PATTERNS = * + +# If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be +# searched for input files to be used with the \include or \dontinclude +# commands irrespective of the value of the RECURSIVE tag. +# Possible values are YES and NO. If left blank NO is used. + +EXAMPLE_RECURSIVE = NO + +# The IMAGE_PATH tag can be used to specify one or more files or +# directories that contain image that are included in the documentation (see +# the \image command). + +IMAGE_PATH = + +# The INPUT_FILTER tag can be used to specify a program that doxygen should +# invoke to filter for each input file. Doxygen will invoke the filter program +# by executing (via popen()) the command , where +# is the value of the INPUT_FILTER tag, and is the name of an +# input file. Doxygen will then use the output that the filter program writes +# to standard output. If FILTER_PATTERNS is specified, this tag will be +# ignored. + +INPUT_FILTER = + +# The FILTER_PATTERNS tag can be used to specify filters on a per file pattern +# basis. Doxygen will compare the file name with each pattern and apply the +# filter if there is a match. The filters are a list of the form: +# pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further +# info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER +# is applied to all files. + +FILTER_PATTERNS = + +# If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using +# INPUT_FILTER) will be used to filter the input files when producing source +# files to browse (i.e. when SOURCE_BROWSER is set to YES). + +FILTER_SOURCE_FILES = NO + +#--------------------------------------------------------------------------- +# configuration options related to source browsing +#--------------------------------------------------------------------------- + +# If the SOURCE_BROWSER tag is set to YES then a list of source files will +# be generated. Documented entities will be cross-referenced with these sources. +# Note: To get rid of all source code in the generated output, make sure also +# VERBATIM_HEADERS is set to NO. + +SOURCE_BROWSER = YES + +# Setting the INLINE_SOURCES tag to YES will include the body +# of functions and classes directly in the documentation. + +INLINE_SOURCES = YES + +# Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct +# doxygen to hide any special comment blocks from generated source code +# fragments. Normal C and C++ comments will always remain visible. + +STRIP_CODE_COMMENTS = YES + +# If the REFERENCED_BY_RELATION tag is set to YES +# then for each documented function all documented +# functions referencing it will be listed. + +REFERENCED_BY_RELATION = NO + +# If the REFERENCES_RELATION tag is set to YES +# then for each documented function all documented entities +# called/used by that function will be listed. + +REFERENCES_RELATION = NO + +# If the REFERENCES_LINK_SOURCE tag is set to YES (the default) +# and SOURCE_BROWSER tag is set to YES, then the hyperlinks from +# functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will +# link to the source code. Otherwise they will link to the documentation. + +REFERENCES_LINK_SOURCE = YES + +# If the USE_HTAGS tag is set to YES then the references to source code +# will point to the HTML generated by the htags(1) tool instead of doxygen +# built-in source browser. The htags tool is part of GNU's global source +# tagging system (see http://www.gnu.org/software/global/global.html). You +# will need version 4.8.6 or higher. + +USE_HTAGS = NO + +# If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen +# will generate a verbatim copy of the header file for each class for +# which an include is specified. Set to NO to disable this. + +VERBATIM_HEADERS = YES + +#--------------------------------------------------------------------------- +# configuration options related to the alphabetical class index +#--------------------------------------------------------------------------- + +# If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index +# of all compounds will be generated. Enable this if the project +# contains a lot of classes, structs, unions or interfaces. + +ALPHABETICAL_INDEX = YES + +# If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then +# the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns +# in which this list will be split (can be a number in the range [1..20]) + +COLS_IN_ALPHA_INDEX = 5 + +# In case all classes in a project start with a common prefix, all +# classes will be put under the same header in the alphabetical index. +# The IGNORE_PREFIX tag can be used to specify one or more prefixes that +# should be ignored while generating the index headers. + +IGNORE_PREFIX = + +#--------------------------------------------------------------------------- +# configuration options related to the HTML output +#--------------------------------------------------------------------------- + +# If the GENERATE_HTML tag is set to YES (the default) Doxygen will +# generate HTML output. + +GENERATE_HTML = YES + +# The HTML_OUTPUT tag is used to specify where the HTML docs will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `html' will be used as the default path. + +HTML_OUTPUT = html + +# The HTML_FILE_EXTENSION tag can be used to specify the file extension for +# each generated HTML page (for example: .htm,.php,.asp). If it is left blank +# doxygen will generate files with .html extension. + +HTML_FILE_EXTENSION = .html + +# The HTML_HEADER tag can be used to specify a personal HTML header for +# each generated HTML page. If it is left blank doxygen will generate a +# standard header. + +HTML_HEADER = + +# The HTML_FOOTER tag can be used to specify a personal HTML footer for +# each generated HTML page. If it is left blank doxygen will generate a +# standard footer. + +HTML_FOOTER = + +# The HTML_STYLESHEET tag can be used to specify a user-defined cascading +# style sheet that is used by each HTML page. It can be used to +# fine-tune the look of the HTML output. If the tag is left blank doxygen +# will generate a default style sheet. Note that doxygen will try to copy +# the style sheet file to the HTML output directory, so don't put your own +# stylesheet in the HTML output directory as well, or it will be erased! + +HTML_STYLESHEET = + +# The HTML_COLORSTYLE_HUE tag controls the color of the HTML output. +# Doxygen will adjust the colors in the stylesheet and background images +# according to this color. Hue is specified as an angle on a colorwheel, +# see http://en.wikipedia.org/wiki/Hue for more information. +# For instance the value 0 represents red, 60 is yellow, 120 is green, +# 180 is cyan, 240 is blue, 300 purple, and 360 is red again. +# The allowed range is 0 to 359. + +HTML_COLORSTYLE_HUE = 220 + +# The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of +# the colors in the HTML output. For a value of 0 the output will use +# grayscales only. A value of 255 will produce the most vivid colors. + +HTML_COLORSTYLE_SAT = 100 + +# The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to +# the luminance component of the colors in the HTML output. Values below +# 100 gradually make the output lighter, whereas values above 100 make +# the output darker. The value divided by 100 is the actual gamma applied, +# so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2, +# and 100 does not change the gamma. + +HTML_COLORSTYLE_GAMMA = 80 + +# If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML +# page will contain the date and time when the page was generated. Setting +# this to NO can help when comparing the output of multiple runs. + +HTML_TIMESTAMP = YES + +# If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes, +# files or namespaces will be aligned in HTML using tables. If set to +# NO a bullet list will be used. + +HTML_ALIGN_MEMBERS = YES + +# If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML +# documentation will contain sections that can be hidden and shown after the +# page has loaded. For this to work a browser that supports +# JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox +# Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari). + +HTML_DYNAMIC_SECTIONS = YES + +# If the GENERATE_DOCSET tag is set to YES, additional index files +# will be generated that can be used as input for Apple's Xcode 3 +# integrated development environment, introduced with OSX 10.5 (Leopard). +# To create a documentation set, doxygen will generate a Makefile in the +# HTML output directory. Running make will produce the docset in that +# directory and running "make install" will install the docset in +# ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find +# it at startup. +# See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html +# for more information. + +GENERATE_DOCSET = NO + +# When GENERATE_DOCSET tag is set to YES, this tag determines the name of the +# feed. A documentation feed provides an umbrella under which multiple +# documentation sets from a single provider (such as a company or product suite) +# can be grouped. + +DOCSET_FEEDNAME = "Doxygen generated docs" + +# When GENERATE_DOCSET tag is set to YES, this tag specifies a string that +# should uniquely identify the documentation set bundle. This should be a +# reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen +# will append .docset to the name. + +DOCSET_BUNDLE_ID = org.doxygen.Project + +# When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify +# the documentation publisher. This should be a reverse domain-name style +# string, e.g. com.mycompany.MyDocSet.documentation. + +DOCSET_PUBLISHER_ID = org.doxygen.Publisher + +# The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher. + +DOCSET_PUBLISHER_NAME = Publisher + +# If the GENERATE_HTMLHELP tag is set to YES, additional index files +# will be generated that can be used as input for tools like the +# Microsoft HTML help workshop to generate a compiled HTML help file (.chm) +# of the generated HTML documentation. + +GENERATE_HTMLHELP = NO + +# If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can +# be used to specify the file name of the resulting .chm file. You +# can add a path in front of the file if the result should not be +# written to the html output directory. + +CHM_FILE = + +# If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can +# be used to specify the location (absolute path including file name) of +# the HTML help compiler (hhc.exe). If non-empty doxygen will try to run +# the HTML help compiler on the generated index.hhp. + +HHC_LOCATION = + +# If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag +# controls if a separate .chi index file is generated (YES) or that +# it should be included in the master .chm file (NO). + +GENERATE_CHI = NO + +# If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING +# is used to encode HtmlHelp index (hhk), content (hhc) and project file +# content. + +CHM_INDEX_ENCODING = + +# If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag +# controls whether a binary table of contents is generated (YES) or a +# normal table of contents (NO) in the .chm file. + +BINARY_TOC = NO + +# The TOC_EXPAND flag can be set to YES to add extra items for group members +# to the contents of the HTML help documentation and to the tree view. + +TOC_EXPAND = NO + +# If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and +# QHP_VIRTUAL_FOLDER are set, an additional index file will be generated +# that can be used as input for Qt's qhelpgenerator to generate a +# Qt Compressed Help (.qch) of the generated HTML documentation. + +GENERATE_QHP = NO + +# If the QHG_LOCATION tag is specified, the QCH_FILE tag can +# be used to specify the file name of the resulting .qch file. +# The path specified is relative to the HTML output folder. + +QCH_FILE = + +# The QHP_NAMESPACE tag specifies the namespace to use when generating +# Qt Help Project output. For more information please see +# http://doc.trolltech.com/qthelpproject.html#namespace + +QHP_NAMESPACE = org.doxygen.Project + +# The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating +# Qt Help Project output. For more information please see +# http://doc.trolltech.com/qthelpproject.html#virtual-folders + +QHP_VIRTUAL_FOLDER = doc + +# If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to +# add. For more information please see +# http://doc.trolltech.com/qthelpproject.html#custom-filters + +QHP_CUST_FILTER_NAME = + +# The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the +# custom filter to add. For more information please see +# +# Qt Help Project / Custom Filters. + +QHP_CUST_FILTER_ATTRS = + +# The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this +# project's +# filter section matches. +# +# Qt Help Project / Filter Attributes. + +QHP_SECT_FILTER_ATTRS = + +# If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can +# be used to specify the location of Qt's qhelpgenerator. +# If non-empty doxygen will try to run qhelpgenerator on the generated +# .qhp file. + +QHG_LOCATION = + +# If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files +# will be generated, which together with the HTML files, form an Eclipse help +# plugin. To install this plugin and make it available under the help contents +# menu in Eclipse, the contents of the directory containing the HTML and XML +# files needs to be copied into the plugins directory of eclipse. The name of +# the directory within the plugins directory should be the same as +# the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before +# the help appears. + +GENERATE_ECLIPSEHELP = NO + +# A unique identifier for the eclipse help plugin. When installing the plugin +# the directory name containing the HTML and XML files should also have +# this name. + +ECLIPSE_DOC_ID = org.doxygen.Project + +# The DISABLE_INDEX tag can be used to turn on/off the condensed index at +# top of each HTML page. The value NO (the default) enables the index and +# the value YES disables it. + +DISABLE_INDEX = NO + +# This tag can be used to set the number of enum values (range [1..20]) +# that doxygen will group on one line in the generated HTML documentation. + +ENUM_VALUES_PER_LINE = 4 + +# The GENERATE_TREEVIEW tag is used to specify whether a tree-like index +# structure should be generated to display hierarchical information. +# If the tag value is set to YES, a side panel will be generated +# containing a tree-like index structure (just like the one that +# is generated for HTML Help). For this to work a browser that supports +# JavaScript, DHTML, CSS and frames is required (i.e. any modern browser). +# Windows users are probably better off using the HTML help feature. + +GENERATE_TREEVIEW = NO + +# By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories, +# and Class Hierarchy pages using a tree view instead of an ordered list. + +USE_INLINE_TREES = NO + +# If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be +# used to set the initial width (in pixels) of the frame in which the tree +# is shown. + +TREEVIEW_WIDTH = 250 + +# When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open +# links to external symbols imported via tag files in a separate window. + +EXT_LINKS_IN_WINDOW = NO + +# Use this tag to change the font size of Latex formulas included +# as images in the HTML documentation. The default is 10. Note that +# when you change the font size after a successful doxygen run you need +# to manually remove any form_*.png images from the HTML output directory +# to force them to be regenerated. + +FORMULA_FONTSIZE = 10 + +# Use the FORMULA_TRANPARENT tag to determine whether or not the images +# generated for formulas are transparent PNGs. Transparent PNGs are +# not supported properly for IE 6.0, but are supported on all modern browsers. +# Note that when changing this option you need to delete any form_*.png files +# in the HTML output before the changes have effect. + +FORMULA_TRANSPARENT = YES + +# When the SEARCHENGINE tag is enabled doxygen will generate a search box +# for the HTML output. The underlying search engine uses javascript +# and DHTML and should work on any modern browser. Note that when using +# HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets +# (GENERATE_DOCSET) there is already a search function so this one should +# typically be disabled. For large projects the javascript based search engine +# can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution. + +SEARCHENGINE = YES + +# When the SERVER_BASED_SEARCH tag is enabled the search engine will be +# implemented using a PHP enabled web server instead of at the web client +# using Javascript. Doxygen will generate the search PHP script and index +# file to put on the web server. The advantage of the server +# based approach is that it scales better to large projects and allows +# full text search. The disadvances is that it is more difficult to setup +# and does not have live searching capabilities. + +SERVER_BASED_SEARCH = NO + +#--------------------------------------------------------------------------- +# configuration options related to the LaTeX output +#--------------------------------------------------------------------------- + +# If the GENERATE_LATEX tag is set to YES (the default) Doxygen will +# generate Latex output. + +GENERATE_LATEX = YES + +# The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `latex' will be used as the default path. + +LATEX_OUTPUT = latex + +# The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be +# invoked. If left blank `latex' will be used as the default command name. +# Note that when enabling USE_PDFLATEX this option is only used for +# generating bitmaps for formulas in the HTML output, but not in the +# Makefile that is written to the output directory. + +LATEX_CMD_NAME = latex + +# The MAKEINDEX_CMD_NAME tag can be used to specify the command name to +# generate index for LaTeX. If left blank `makeindex' will be used as the +# default command name. + +MAKEINDEX_CMD_NAME = makeindex + +# If the COMPACT_LATEX tag is set to YES Doxygen generates more compact +# LaTeX documents. This may be useful for small projects and may help to +# save some trees in general. + +COMPACT_LATEX = YES + +# The PAPER_TYPE tag can be used to set the paper type that is used +# by the printer. Possible values are: a4, a4wide, letter, legal and +# executive. If left blank a4wide will be used. + +PAPER_TYPE = a4wide + +# The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX +# packages that should be included in the LaTeX output. + +EXTRA_PACKAGES = + +# The LATEX_HEADER tag can be used to specify a personal LaTeX header for +# the generated latex document. The header should contain everything until +# the first chapter. If it is left blank doxygen will generate a +# standard header. Notice: only use this tag if you know what you are doing! + +LATEX_HEADER = + +# If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated +# is prepared for conversion to pdf (using ps2pdf). The pdf file will +# contain links (just like the HTML output) instead of page references +# This makes the output suitable for online browsing using a pdf viewer. + +PDF_HYPERLINKS = YES + +# If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of +# plain latex in the generated Makefile. Set this option to YES to get a +# higher quality PDF documentation. + +USE_PDFLATEX = YES + +# If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode. +# command to the generated LaTeX files. This will instruct LaTeX to keep +# running if errors occur, instead of asking the user for help. +# This option is also used when generating formulas in HTML. + +LATEX_BATCHMODE = NO + +# If LATEX_HIDE_INDICES is set to YES then doxygen will not +# include the index chapters (such as File Index, Compound Index, etc.) +# in the output. + +LATEX_HIDE_INDICES = NO + +# If LATEX_SOURCE_CODE is set to YES then doxygen will include +# source code with syntax highlighting in the LaTeX output. +# Note that which sources are shown also depends on other settings +# such as SOURCE_BROWSER. + +LATEX_SOURCE_CODE = NO + +#--------------------------------------------------------------------------- +# configuration options related to the RTF output +#--------------------------------------------------------------------------- + +# If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output +# The RTF output is optimized for Word 97 and may not look very pretty with +# other RTF readers or editors. + +GENERATE_RTF = NO + +# The RTF_OUTPUT tag is used to specify where the RTF docs will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `rtf' will be used as the default path. + +RTF_OUTPUT = rtf + +# If the COMPACT_RTF tag is set to YES Doxygen generates more compact +# RTF documents. This may be useful for small projects and may help to +# save some trees in general. + +COMPACT_RTF = NO + +# If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated +# will contain hyperlink fields. The RTF file will +# contain links (just like the HTML output) instead of page references. +# This makes the output suitable for online browsing using WORD or other +# programs which support those fields. +# Note: wordpad (write) and others do not support links. + +RTF_HYPERLINKS = NO + +# Load stylesheet definitions from file. Syntax is similar to doxygen's +# config file, i.e. a series of assignments. You only have to provide +# replacements, missing definitions are set to their default value. + +RTF_STYLESHEET_FILE = + +# Set optional variables used in the generation of an rtf document. +# Syntax is similar to doxygen's config file. + +RTF_EXTENSIONS_FILE = + +#--------------------------------------------------------------------------- +# configuration options related to the man page output +#--------------------------------------------------------------------------- + +# If the GENERATE_MAN tag is set to YES (the default) Doxygen will +# generate man pages + +GENERATE_MAN = NO + +# The MAN_OUTPUT tag is used to specify where the man pages will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `man' will be used as the default path. + +MAN_OUTPUT = man + +# The MAN_EXTENSION tag determines the extension that is added to +# the generated man pages (default is the subroutine's section .3) + +MAN_EXTENSION = .3 + +# If the MAN_LINKS tag is set to YES and Doxygen generates man output, +# then it will generate one additional man file for each entity +# documented in the real man page(s). These additional files +# only source the real man page, but without them the man command +# would be unable to find the correct page. The default is NO. + +MAN_LINKS = NO + +#--------------------------------------------------------------------------- +# configuration options related to the XML output +#--------------------------------------------------------------------------- + +# If the GENERATE_XML tag is set to YES Doxygen will +# generate an XML file that captures the structure of +# the code including all documentation. + +GENERATE_XML = NO + +# The XML_OUTPUT tag is used to specify where the XML pages will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `xml' will be used as the default path. + +XML_OUTPUT = xml + +# The XML_SCHEMA tag can be used to specify an XML schema, +# which can be used by a validating XML parser to check the +# syntax of the XML files. + +XML_SCHEMA = + +# The XML_DTD tag can be used to specify an XML DTD, +# which can be used by a validating XML parser to check the +# syntax of the XML files. + +XML_DTD = + +# If the XML_PROGRAMLISTING tag is set to YES Doxygen will +# dump the program listings (including syntax highlighting +# and cross-referencing information) to the XML output. Note that +# enabling this will significantly increase the size of the XML output. + +XML_PROGRAMLISTING = YES + +#--------------------------------------------------------------------------- +# configuration options for the AutoGen Definitions output +#--------------------------------------------------------------------------- + +# If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will +# generate an AutoGen Definitions (see autogen.sf.net) file +# that captures the structure of the code including all +# documentation. Note that this feature is still experimental +# and incomplete at the moment. + +GENERATE_AUTOGEN_DEF = NO + +#--------------------------------------------------------------------------- +# configuration options related to the Perl module output +#--------------------------------------------------------------------------- + +# If the GENERATE_PERLMOD tag is set to YES Doxygen will +# generate a Perl module file that captures the structure of +# the code including all documentation. Note that this +# feature is still experimental and incomplete at the +# moment. + +GENERATE_PERLMOD = NO + +# If the PERLMOD_LATEX tag is set to YES Doxygen will generate +# the necessary Makefile rules, Perl scripts and LaTeX code to be able +# to generate PDF and DVI output from the Perl module output. + +PERLMOD_LATEX = NO + +# If the PERLMOD_PRETTY tag is set to YES the Perl module output will be +# nicely formatted so it can be parsed by a human reader. This is useful +# if you want to understand what is going on. On the other hand, if this +# tag is set to NO the size of the Perl module output will be much smaller +# and Perl will parse it just the same. + +PERLMOD_PRETTY = YES + +# The names of the make variables in the generated doxyrules.make file +# are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX. +# This is useful so different doxyrules.make files included by the same +# Makefile don't overwrite each other's variables. + +PERLMOD_MAKEVAR_PREFIX = + +#--------------------------------------------------------------------------- +# Configuration options related to the preprocessor +#--------------------------------------------------------------------------- + +# If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will +# evaluate all C-preprocessor directives found in the sources and include +# files. + +ENABLE_PREPROCESSING = YES + +# If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro +# names in the source code. If set to NO (the default) only conditional +# compilation will be performed. Macro expansion can be done in a controlled +# way by setting EXPAND_ONLY_PREDEF to YES. + +MACRO_EXPANSION = NO + +# If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES +# then the macro expansion is limited to the macros specified with the +# PREDEFINED and EXPAND_AS_DEFINED tags. + +EXPAND_ONLY_PREDEF = NO + +# If the SEARCH_INCLUDES tag is set to YES (the default) the includes files +# in the INCLUDE_PATH (see below) will be search if a #include is found. + +SEARCH_INCLUDES = YES + +# The INCLUDE_PATH tag can be used to specify one or more directories that +# contain include files that are not input files but should be processed by +# the preprocessor. + +INCLUDE_PATH = + +# You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard +# patterns (like *.h and *.hpp) to filter out the header-files in the +# directories. If left blank, the patterns specified with FILE_PATTERNS will +# be used. + +INCLUDE_FILE_PATTERNS = + +# The PREDEFINED tag can be used to specify one or more macro names that +# are defined before the preprocessor is started (similar to the -D option of +# gcc). The argument of the tag is a list of macros of the form: name +# or name=definition (no spaces). If the definition and the = are +# omitted =1 is assumed. To prevent a macro definition from being +# undefined via #undef or recursively expanded use the := operator +# instead of the = operator. + +PREDEFINED = + +# If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then +# this tag can be used to specify a list of macro names that should be expanded. +# The macro definition that is found in the sources will be used. +# Use the PREDEFINED tag if you want to use a different macro definition. + +EXPAND_AS_DEFINED = + +# If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then +# doxygen's preprocessor will remove all function-like macros that are alone +# on a line, have an all uppercase name, and do not end with a semicolon. Such +# function macros are typically used for boiler-plate code, and will confuse +# the parser if not removed. + +SKIP_FUNCTION_MACROS = YES + +#--------------------------------------------------------------------------- +# Configuration::additions related to external references +#--------------------------------------------------------------------------- + +# The TAGFILES option can be used to specify one or more tagfiles. +# Optionally an initial location of the external documentation +# can be added for each tagfile. The format of a tag file without +# this location is as follows: +# TAGFILES = file1 file2 ... +# Adding location for the tag files is done as follows: +# TAGFILES = file1=loc1 "file2 = loc2" ... +# where "loc1" and "loc2" can be relative or absolute paths or +# URLs. If a location is present for each tag, the installdox tool +# does not have to be run to correct the links. +# Note that each tag file must have a unique name +# (where the name does NOT include the path) +# If a tag file is not located in the directory in which doxygen +# is run, you must also specify the path to the tagfile here. + +TAGFILES = + +# When a file name is specified after GENERATE_TAGFILE, doxygen will create +# a tag file that is based on the input files it reads. + +GENERATE_TAGFILE = + +# If the ALLEXTERNALS tag is set to YES all external classes will be listed +# in the class index. If set to NO only the inherited external classes +# will be listed. + +ALLEXTERNALS = NO + +# If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed +# in the modules index. If set to NO, only the current project's groups will +# be listed. + +EXTERNAL_GROUPS = YES + +# The PERL_PATH should be the absolute path and name of the perl script +# interpreter (i.e. the result of `which perl'). + +PERL_PATH = /usr/bin/perl + +#--------------------------------------------------------------------------- +# Configuration options related to the dot tool +#--------------------------------------------------------------------------- + +# If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will +# generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base +# or super classes. Setting the tag to NO turns the diagrams off. Note that +# this option is superseded by the HAVE_DOT option below. This is only a +# fallback. It is recommended to install and use dot, since it yields more +# powerful graphs. + +CLASS_DIAGRAMS = YES + +# You can define message sequence charts within doxygen comments using the \msc +# command. Doxygen will then run the mscgen tool (see +# http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the +# documentation. The MSCGEN_PATH tag allows you to specify the directory where +# the mscgen tool resides. If left empty the tool is assumed to be found in the +# default search path. + +MSCGEN_PATH = + +# If set to YES, the inheritance and collaboration graphs will hide +# inheritance and usage relations if the target is undocumented +# or is not a class. + +HIDE_UNDOC_RELATIONS = YES + +# If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is +# available from the path. This tool is part of Graphviz, a graph visualization +# toolkit from AT&T and Lucent Bell Labs. The other options in this section +# have no effect if this option is set to NO (the default) + +HAVE_DOT = NO + +# The DOT_NUM_THREADS specifies the number of dot invocations doxygen is +# allowed to run in parallel. When set to 0 (the default) doxygen will +# base this on the number of processors available in the system. You can set it +# explicitly to a value larger than 0 to get control over the balance +# between CPU load and processing speed. + +DOT_NUM_THREADS = 0 + +# By default doxygen will write a font called FreeSans.ttf to the output +# directory and reference it in all dot files that doxygen generates. This +# font does not include all possible unicode characters however, so when you need +# these (or just want a differently looking font) you can specify the font name +# using DOT_FONTNAME. You need need to make sure dot is able to find the font, +# which can be done by putting it in a standard location or by setting the +# DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory +# containing the font. + +DOT_FONTNAME = FreeSans.ttf + +# The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs. +# The default size is 10pt. + +DOT_FONTSIZE = 10 + +# By default doxygen will tell dot to use the output directory to look for the +# FreeSans.ttf font (which doxygen will put there itself). If you specify a +# different font using DOT_FONTNAME you can set the path where dot +# can find it using this tag. + +DOT_FONTPATH = + +# If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen +# will generate a graph for each documented class showing the direct and +# indirect inheritance relations. Setting this tag to YES will force the +# the CLASS_DIAGRAMS tag to NO. + +CLASS_GRAPH = YES + +# If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen +# will generate a graph for each documented class showing the direct and +# indirect implementation dependencies (inheritance, containment, and +# class references variables) of the class with other documented classes. + +COLLABORATION_GRAPH = YES + +# If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen +# will generate a graph for groups, showing the direct groups dependencies + +GROUP_GRAPHS = YES + +# If the UML_LOOK tag is set to YES doxygen will generate inheritance and +# collaboration diagrams in a style similar to the OMG's Unified Modeling +# Language. + +UML_LOOK = NO + +# If set to YES, the inheritance and collaboration graphs will show the +# relations between templates and their instances. + +TEMPLATE_RELATIONS = NO + +# If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT +# tags are set to YES then doxygen will generate a graph for each documented +# file showing the direct and indirect include dependencies of the file with +# other documented files. + +INCLUDE_GRAPH = YES + +# If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and +# HAVE_DOT tags are set to YES then doxygen will generate a graph for each +# documented header file showing the documented files that directly or +# indirectly include this file. + +INCLUDED_BY_GRAPH = YES + +# If the CALL_GRAPH and HAVE_DOT options are set to YES then +# doxygen will generate a call dependency graph for every global function +# or class method. Note that enabling this option will significantly increase +# the time of a run. So in most cases it will be better to enable call graphs +# for selected functions only using the \callgraph command. + +CALL_GRAPH = NO + +# If the CALLER_GRAPH and HAVE_DOT tags are set to YES then +# doxygen will generate a caller dependency graph for every global function +# or class method. Note that enabling this option will significantly increase +# the time of a run. So in most cases it will be better to enable caller +# graphs for selected functions only using the \callergraph command. + +CALLER_GRAPH = NO + +# If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen +# will graphical hierarchy of all classes instead of a textual one. + +GRAPHICAL_HIERARCHY = YES + +# If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES +# then doxygen will show the dependencies a directory has on other directories +# in a graphical way. The dependency relations are determined by the #include +# relations between the files in the directories. + +DIRECTORY_GRAPH = YES + +# The DOT_IMAGE_FORMAT tag can be used to set the image format of the images +# generated by dot. Possible values are png, jpg, or gif +# If left blank png will be used. + +DOT_IMAGE_FORMAT = png + +# The tag DOT_PATH can be used to specify the path where the dot tool can be +# found. If left blank, it is assumed the dot tool can be found in the path. + +DOT_PATH = + +# The DOTFILE_DIRS tag can be used to specify one or more directories that +# contain dot files that are included in the documentation (see the +# \dotfile command). + +DOTFILE_DIRS = + +# The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of +# nodes that will be shown in the graph. If the number of nodes in a graph +# becomes larger than this value, doxygen will truncate the graph, which is +# visualized by representing a node as a red box. Note that doxygen if the +# number of direct children of the root node in a graph is already larger than +# DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note +# that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH. + +DOT_GRAPH_MAX_NODES = 50 + +# The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the +# graphs generated by dot. A depth value of 3 means that only nodes reachable +# from the root by following a path via at most 3 edges will be shown. Nodes +# that lay further from the root node will be omitted. Note that setting this +# option to 1 or 2 may greatly reduce the computation time needed for large +# code bases. Also note that the size of a graph can be further restricted by +# DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction. + +MAX_DOT_GRAPH_DEPTH = 0 + +# Set the DOT_TRANSPARENT tag to YES to generate images with a transparent +# background. This is disabled by default, because dot on Windows does not +# seem to support this out of the box. Warning: Depending on the platform used, +# enabling this option may lead to badly anti-aliased labels on the edges of +# a graph (i.e. they become hard to read). + +DOT_TRANSPARENT = NO + +# Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output +# files in one run (i.e. multiple -o and -T options on the command line). This +# makes dot run faster, but since only newer versions of dot (>1.8.10) +# support this, this feature is disabled by default. + +DOT_MULTI_TARGETS = NO + +# If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will +# generate a legend page explaining the meaning of the various boxes and +# arrows in the dot generated graphs. + +GENERATE_LEGEND = YES + +# If the DOT_CLEANUP tag is set to YES (the default) Doxygen will +# remove the intermediate dot files that are used to generate +# the various graphs. + +DOT_CLEANUP = YES diff --git a/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/Makefile b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/Makefile new file mode 100644 --- /dev/null +++ b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/Makefile @@ -0,0 +1,42 @@ +#------------------------------------------------------------------------------ +#-- This file is a part of the LPP VHDL IP LIBRARY +#-- Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS +#-- +#-- This program is free software; you can redistribute it and/or modify +#-- it under the terms of the GNU General Public License as published by +#-- the Free Software Foundation; either version 3 of the License, or +#-- (at your option) any later version. +#-- +#-- This program is distributed in the hope that it will be useful, +#-- but WITHOUT ANY WARRANTY; without even the implied warranty of +#-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +#-- GNU General Public License for more details. +#-- +#-- You should have received a copy of the GNU General Public License +#-- along with this program; if not, write to the Free Software +#-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +#------------------------------------------------------------------------------ + +include ../../../rules.mk +LIBDIR = ../../../lib/ +INCPATH = ../../../includes/ +SCRIPTDIR=../../../scripts/ +LIBS=-lapb_lcd_driver -llpp_apb_functions +INPUTFILE=main.c +EXEC=main.bin +OUTBINDIR=bin/ + + +all:bin + @echo $(EXEC)" file created" + +clean: + rm -f *.{o,a} + + + +help:ruleshelp + @echo " all : makes an executable file called "$(EXEC) + @echo " in "$(OUTBINDIR) + @echo " clean : removes temporary files" + diff --git a/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/bin/Debug/driver_APB_lcd_ctrlr b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/bin/Debug/driver_APB_lcd_ctrlr new file mode 100644 index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..032df9b76fea8a1279985e6135ef45ddb4344f0c GIT binary patch literal 169611 zc%1CL4SZcyl{dWaM{aJCoA%_RX+BzdnxskX7oo&bJ~U?(v|>eOWE5u5xuvL#&p6_Y zjyQ@rysGRAf`ePe?kN-_0}wcA3ds{ zOc4-^MUjXy9e;v(RK8y<6XKU^@sKY(p#0zmKls59e(-}I{NM*a_`wf;@Pi-x;0HhW z!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h z2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22 zAN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59 ze(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a z_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x z;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$ zgCG3h2S5115C8XsjfM~fhFH1bG4ntG@7vZ0@xYZr81~Ay>^986=0Q_1-u=ydXa2Vs zqG`i-7kb~%-SFMo@Qn8x&s6?Q@O1qJsK4ON^8a_gKZrIH2g7c95AnczP`_WM-$VNS zPxO0OzrRht=jrzg^?O9Wzg55I>-Y2YdsM$K*Y5@T{Vn>vP`@wI??w83p?;6)_xbw0 zSie{4_gVUVj((r5-%I%Z|2BR7cmLgg_uu{Z|2y8N^}Bhg`)=6FcT#t}N&dzEC*beD z`|tj{|L(v0@BaJi-|zipDxGJD3vMyCH{}~*^{vJ)*CY%fZZ*@vTb*olRVtTDueL)a zNK2O1tx4AkVTom5WZCKeD^~J9@-!n)hb6=dD!%Fa>c6;6{a^ke{@?KZY;?n@th=iY zb!TGhn`|d8N^LP`Az&D`$jgN5{{8;%SRzwj_wRfjL1}wC@}zR75+WWB0Zzh*3ziGo zB9g$o9rd68sobfjlBKm4-!seBH+k#wKD*^vrVKa`4$A>nxV?0JGvGWzTx-zoOlbWY zT~9%O+4?mz>Xz#q$p0W=&upLjyx}|9s7%BEq+yB6G2f-x`1{ert|sG<#yC|L{XPz3cDx1AQ?0R?ZJ2; zj6B_-4}QYFLlmz}-Ir&}GD6?fI}g0Y+*d%wU^coq8unK9%8-d2ZL|`V>e+O$WI+%8I-+<>B;iz29Wn3 z+YztR0)RKK-B>uQJe3>8dr-IYc?sWG7z3;%Va`?fUY{&IJX)skHNac44#aoPsDG2U ze!%-B>u$1T+83FpM!~x>S+?G&a^aQLEjCiQ7g%lq>o5DI#dyR$(E;9QFTXC0|AlNr zQrE>g0rN)INB-PAYKnHnkHE(io#Y?Mle2!~@+5irM5D9zl}6{vu}0^r*BYIx32P`~ zMggx1@FzO+owW*{h{`c$h*ifMoi#Jdjfiy{*`I@QS%8&k)SXB2skTB71w^w%hOKiVG$ zKUJ88?l@#SiPs=-U>iY)Bi=T;X=lQ_gY6BPVM|0G>^vRkH=<2g(I(n+I&B_(B4N}< zGZ&J0)o9yd&}bWAUYWsj8gFNU?ZR~H zVB2Qmc?|IJTg*1I9$iP3u45zdo>_-|yBUJ4E~N|$wWLxbK`^s%T`m!_imFW0>|SgY zca@p8eTNlW37MI>9ODRd%OGF3u!<5(tpND*HG88KV;bcsWX2@rlaz0@0{m)j!tYxB zyT$W7^S2DYoAmPfQZ+TApLm&ox(`p0-fe zQ!{TmuI|dSt9z>cjjCSvx6n~>Q*|uUd3zCm75+bt_p4^qZ+hS!A>U>q@hEu?swnJaAYm zofu2he}Eaw%(BJah!c3DyvzrgJjQ%IeRes@l(sF7S01i+!XuEq7$c+Ip?F}l-iGeA zN+^qfTYhiYiI&^qI?Aq0{=hMn2AlwgH&(|h znJ1B#%A(BGm3H83&e87Cf(4UZhc4Ln4@$=_otJLhXY1$lpl<>_`TNrC@_orL;!6;}fay;D zz8ePfolBWU83(!i*PVu_p)C-xMKm*aA9%jBg?UxGM6jRqK|J_`c3YmyH?YmD%&K?{ zbv(s73_G%dJWiSb?mHpdvV>7;hw8{9kVRYBH!^=Del^~&A&(BsH4Xbt(?GsBY~mU` zTeWuRBM(KgVZQT!)`$nY&@POZ`Lh3}%Y4XF=FiVihGWc_QRbbVGJkSfnJeQ#yO*>G zR>8Ji&N}VhENK&Z4*W7OyQLMpYLHjamSOU3f_!_I6+mD9WM_WrtBE2rhkmC0assdl z!glChyaz$MR}(RFHuddJWBta~+~h{ks(cs5`Ve95unHG^v>|&8({REq$8_3U z+BP%C(sObw#SQOR3a4@}aV)96oE)6)%V56?4|ds$z1m(%l&!xZ3%D7;0_;)1J_4A5 z?vQ1S5U%5n(crBaj#0E{dRzv6jJ^oUxddPgySU{KoP>QF7*Y5I6n>_L6F2}{^m3YIRL(JKKeZ20hX3~bBHf-_2NkUK;K3wlkPOb;I~ynl1D6;M^?Htq0QV^ z`pehx2*A%J?tcVL-tED^aVAZ&s$WM5llD7dVsdCCO6zVQorX1B(!>LEr3Yr&NdCYe z+hgxY%W;A+I~TtKjDusH!E_)aZBgphVBipB|1k1XKY?dNFg1BiuhPGeS7pVNfktZb zD(Xo~G<*Rvvk-Ps)6Tq>+~9K9QBrm;dXn)D#wW*dCFWR+XP!jZY|0>CqR}pg94px+ zTEEJ%4IVte^pU6)-PUY|Nq0=kPL43|8>B-&9A`Son<&z2B zj5y%@LCCQ%brhaIAZ6MP2V*FF-_HC;t)u5YYEjl9?dUFyCyc43cz#bt#l0K(1Fl?I znVP(mdJlO_q*=`4Kwh>j!&nrSfnNZ>ilflg-Fc}&^iP2!S_h-h&$|8#vI?j30N2*@ zh@DEtihF_Y0m@kL;a0Cqru>vL^G*>!zifhx4WfJyaQcY5vJK*Y1G;^m_#wWU?Ia#( z>k_o}D_TbnB40rz?211GZ@af82f9Z4% zfbBxuWz+``=09wVHV%w|ALep?2m90`zXHxI zsejQ|mMFLrx_7SK(~<++@k9`^%dyIuF(&ODaRI#$0B;=Y3C9C`2d!?Ro?v;{i#6?e zi0>hu;dj9f9BfzfO3d}^t`1Zo|9@u->ZpZ(=v%qoCb8 zX)};F3BT43{+O^~+g$0v_b!gB1BeHHhH78Ins%)lHGTH7KEPi^_?p+!66aLz(NJ7d-Q0~t4G7B$)@2cc{_e+THcC>2)8sfxs-B~ z`qeSD9!X6${Lksu@o#%@xciURt*MELY27;RcwpMf7M*y7_5$#{Ba{DdxQzW*J=K3} z*cTyNJdU)e=Cv$jOu$q3RGX%4lPMc#7TYs|vV?7Kw*s&qBcS0Z`j9fOn);dVX9;hW zn%6uWh8)Z3K8Pwh2QT;^=!2^~^lJWN`+)REKm3Ai)o|bLfqRbbho5-TS9#Jabo%FL zE82IMIq+fw^&@Fmo0@2rI#=?2YAfvStx6Y9tYEx`SL?zHrY0sS?`T7CeVg)J$(fQu z$eCg_55A7^-{m|Q^+9h1U!x2(>>%WPi?r!M)5lnUrWkV|jJIyXTru(ojJch8Ww&=aCfLRHa2jWNdwm^UJYD9KJL+c+;p@u#{CpCR8M?Gv7~%XL~C z`(E0?sqv3X*%c$-LHCJx6Ku|qwmDDHe$`{?N;$5acoW9ST8=5^2amjmd0-!p>G}G_ z)JH2*xp$EN-TB(<*JVzZUnuvNs_!Ka2V8l414BH;Nx$BM`K#2ThQs39$fISbx`W`>XfU~A!mxI z(-HRs?m@j=vykHV4;K zoNzXPe8Gb)sjU%^w(N`beDl2;I)+v&<_8nFLGBpqQJ|T6GEii{17-t(`!+fbLC=Azz z@#w!W^PryCa3k_=w_??{$d@vAsU_Ioj4Mp#CPtW!dJ}9T>g=wwqHH_p9JZ5I40XWv z5z#@=2=?4~nEPAAwMUfI+ksNpjvwzj}5!2A5fSepmYe z#sgLX#^fu6#qU9ihD?|*oJ!3H+=XHSf8QQ^TtBau*zQeJl?2l8gQRkj%oO#DG>i7*|y|J8{T*vfC z>eLln$5Qj4vZLV9GNp4*y%+X-*{)hq4%(G9r%t__?|}O*(nPoSLQi|EbbG%~9dWj8 zL;hd8a^nxP)5NXXu8PX}FnC**llo2eT|eoR^~gBrPYG-A3~~9u|nS4enD zkG%bjb(~oJ$LY9Ne=|+Li6^}}4)X3ts3XGlkwbDG_gW?SN6$mX@cv8iQbfb~i3iTV zDmathWLtFlk3H$%)amzx)cz>bzwb$ZK&MB@w|@YSwq&%O>)Je&`;_^V-_#u=9(fNw zx{UH3cRI5nr;^{zfQl)lm7QQ z{d1%T+VxW@$6zmlXFg5a&=QNzl{(TEpX9rS;nDvS*J&94NEq(=Ie7P4uA%Gv|KQ30 zL7o3m(nGD;W4?@ee5V{|dG~j@YX_;^3v4Usagu8iQa(d&QD(_?Dm(+{1ANack?Sd+ zxZ4rC^qyc7=jSz${h2U%WU{p@Bm(`=X9}S6v!fCEi?A}FL zBS zE()3!bQ+@2-8b)`0L{?>VJd$;j{dmMZFi@g#U5SWvzO5|DTp;ljk`; zBQ5s&{1;#9N$+@*`oD<(*QQ`8dF%LW}_v5G5KLTmF4rzxT>o-IN@+<-_bNlEs6;ZGutN(?0h5-xsip&+M9M`x? zi4%0SixY7nUeIBW6SubIa(%vBug{n46s?2qzWeso@k+Zlep96_qQnRKsJLyJ+;fk5 z_uM&0flR+~ZnkNXm8-zK*maY5*|YVgP6Wd5Va_CojReBbJkIyBdfcf-YDr5$=( zzqE5|UsiTb=t91;PUP)ny?y0}%^5g2z`;OUjFqX}rKAa-qosDBo_kz)4hQXEFWZrA zl6-QJ+*7z>YX9e;+W*Pj#CG8SZ%_x{ZwLIax4#khPaJEy>rUfiw+_M}eAcyV3jPbW+J6$?JpTjOAN0ayIqqZZnPeZ5$A#Y)L1X(;-JT>OlaL#?Ou!a{z}@)#GCCU?vzuB zur+UF@y%Uqhbx=Z{*&<%a4u!rw2xL<2k71@{bpuQ0@qS)XV24X)6d|2l6!K*J6)d^ zsvXh}4sq=awszPfe-05>;te_R6XGh%rY66%J5TPT-Ymz)>3wJ5d1#38n)CzyeP}Ce z;lRk6FO5kbZCez`eoIZZOTP7_fj(7l(sw1EzB{h^Zq7Y!-<8_Iw?dW)x9?7?H%0C8f+S6-G%lJWy{-}jtGuf$|62vTt?aUzSwK6hnLv`^SFRl&O*li zjyRI%J7Mn=N8$_lVKL6$^ek~JO`v}cuTA9=^Wry6t+UOGUw!&ITi|`Ym@F$=2Huul z#x=El$wa+f4E-|?IG+QY1KGuLJ|p?d5)1b!`4$XN|A8id=Hcgibz2jpOCt6w$eQcf z-h9+Mhih(a&DlbGqs4v2YPQqfbXd|M5qmYaYmqhYdB}?+kSTq}I-6tPOM?VyfVmX; zN9&t!XEZJ3T0?{4ecbjG;*{QDiyBv8;~&jr3iVvV3Ac`85%HPPac$PTFSj_ia>j!9e3k z{=Qq1kQ+vJs#%u!FudddzbcP(A$aaHnE^Qz`i-#ybVRyRnb9xVdb`S8KcV-zn z>l=_4^OOvo_zx{Z7gB~&c3L9#?!hp4Ld73t-;qXdAWN%=tJ}8U*DlDZAGxv?JX=qm zWqqKV$-bcN%RY2rLk=EQHgox(du)SWGfwl>ZxvrnY$g4Nv@RV+|C6tPgVd*_KX9K% zzM_9`ALTIeK>w9#y;=boRB{$PSLEe6;P=}hh2L@ye$O+G@F>g3XGUs#lbq=6(!(wRpTCDZ z4tjh@`XvKihgt^?SR(q1&Z)6EaRuuDeo^4|80!HJZ=wB1J8*FE6b@UM=9QBjCif{I zSGG(4mlxQcME;|{qCd~5DRReNc?3Gkj}er5BHKLiv^if8Pli+)5b?eYlCw zeX(4t-IFEGw{y+0Gnk`~(U-aZOPzrDcBKa=TDj&nK);+#S)2Y)T6X=TgrVXtC2Yhs zGmdkh?!5F|%!8sYUTGC0ennsGM5~6o8ghR#Xj596nq0+lr~~tZU$K0>w8wX33&W|& zb2ZEbEISh>@jR}F?2ZhVNo@M!lQ@F4kX zFMaN(eDalV^)cjY&7Jx!>$XJx-+AJmch9~7?na)yarxQqQ|I&O%gr{>x0~^Nw}e

NFVvAkCjXHNU zUx*|5(!Zku@a7zVg#}pqJ6(MMS(IomJ;)nz7taO9Q(;rc5#_rj}N6`NJ$ZMeafbx-Bbqw@F zAJ0es&PIFp-ZLtSU4A$Z>8-h!3X&BIli-B_*E}f0R9gcTq~(bfkVAH$49@|`veg(f z-_`l4Bj2v`7Ad+rt@Wwg%Pf5vd_>y8Z2S5L0bAnt>qmfR0&T5?Fs zPjyFN2T!N%t7#b%A#pM{BmM^=k;}>VKAY?GFOoiOtDJKZQLe|gj&EJ%RAx|jcTv1$ zPb41bE^D=sUOGa2qACvgY~+j0%-1#Rn`!3TaH$rg7~srC8?v&!Xyew4 z<5TTpyWRGUsP=6E-l0S4xf9Pp-PSc|>$^Q|HQlyitX2c(JJ{YtVK%4sD|4S_8lD~S zR0y&mK>3iUWSg|Tf4g0bd_($-g3L!>pRSqt?vQ6r|Gr=P#^y%YUgAVtfKx4SyHfj| zT*W%K0IzPf*7-5=Ed8}m{sYvXiBQWgG+jSjnaX_&be?{<#b3ztC7cteJ=oc-OZt{T zMge9GX{30_tj|n8zr%e-p3sSDUU^r4C?4#d^}rO(LGSbodcTb{CXWJ-e54)wf54kd z04oewm4FpO+8AJ!o?$%1W{!um&siMfl-+25jfWPC- zB4S#0kHxbuk#vIz%PD^)>ly|;zB5l|l^G+gC2iJs7upIMCU-|}9{-@Ubyy9(8OFKyP(__ z^QF%1ljF9iBWP}HTapbz2662>I#O$fkDEM~JAWJD0smS#mcjRTEw+lbAn!o;IF{DCZh(%) zL$o^_Yc5po=Xj?1!Mt3qZFx4>7m1fY0y+-~t87qM^LZA20MC}F`s^;e^Q;;4Vj1Xi zVJ~!KyF9x)>o1Z#lO_Fn#CgzNE&UNQ(8qJQ&^_D>;k=LeM=)1R6j=p5m@CtP_nzjs z(GdfkibMhCe?~H76(vlokT&C%^TA_1*q{5w5w z`%2S@f{tIi*fh4H4VjQNU+-(r+J$G>qvQ4tYyM)`2QM~cjZB`hE#!W+PNSVk8ZaIG zxstrgcI@`xp9Svia!sUiEEy9OJwZ{jx!HteRe6H(BWO=&%&I{9Dz>0qXh%^pC}M02 z+lIDOur1wn7TRL{zP3Eawzzo0M&C&~PqibJ+wN@#((mWHr!8Y_i|6ch{CHz(>_lT~ zeE)*f7{QxEYQ}m{Wa@u+T6483CfX!mFw)yJq4G8wqpkY_g<%pHh6Q1 zO56B!^}^)lp6KQGZoh5qmY(Ppq}gVtdhJ2bZ9mFv_hi0V%c+LYY!@H#~ac-RZE~H5(bqLZx zlV=V!rj7uo_wPa+a4K(G0U22q@AvL$K-OHEsf~vrr!QqY+7_b^YAHL5J|^{p=Cz7c z?&FZ>7Wr)uamgi`j~Y{hV6u%JoHI8v}EqOK6GS2t%|{V zhv&3D!E@)s3cncpc(1&tLXN32&fmgz@FAY{>5gT2je1pT+d36bZvY%f^DIh^)KmS?kmhPuJ$PyGxsC6h1D zU{klw8*Wr%2jiwo%L;kMroeN@O55Aw3m`A&v^B*SaQ;YpiRI{Trq^s&=SV)tE+SiTMAwj&?L z;h;|6*|%EEgWMQ|9;828LBBlLl{%4F4H?CD_GV{=JvKb=df?s<+Wbr+ua#q}IWY&c z)?@wY8qQ&?c@>!Nk$;Fgae|yKBreJacwPTy^o>_0M}|HY4EYKcdP;b$TE53F9EIF!v3b^xsH?Vf)K6 zwCw`>_eRkVee!Hy9{zKE#oTLJ)qD=yfsObd+8arYqn@MPA*&klvYPj&?9Q{RNdxH* zhq2Kyg?G`A#yiUOHKrkceurF}E&30pJtEJH)4xvg9(@PO+28DI$rpXuV7d4HA+;xU zaF{gRA@3mgih^n8DSyJkb6mJdg~KaMCvNrhRYjkpUFT(%E5E}2yljB!D3`}_Xxrm@ z-*Far?+MN$(Y7ZjW4f!s%8n?O_alKnf$w|U75?b6f|prdwY%UrpVKS2SDOt$?_Yh0 za#!+z2vOf3gG@H;;2fU))x7GEPdS!3zLY+NUR1n$xjavk%KgTbEt?@*pgY%4Z&Ggq z$FaM6Zd-fz_KiQF-**OeV;nBwIHdh)_t|qGo1S~Ng>`Ja+|{*^J4LL|9ovY%LfS5= z+>hB7`*!JfD#tn3i!xV8U&{+ixmVaH=0f+3GhX^?YMN$d3AN9+Le4+_o%M%Yc~yDc z)xh%!CuYuOy+&$sC&qh7@nzsn2Yr7?j-9lD@m8>>Hf=cdqKJIj8B7~IYYkmH3i&p? zhkhV;({>3sMDa%mo9 zNhzK`Og{$79zB;lNcp3DcCL$WT^>a% za@X0seIxr(((x^++&4)xd4?DLQjwZ`1hTUUvh%#nJ-4mdf_|he`Yij|slIZ*meq-n z>Q~xDOxxBQeZnores$qjrEva+Np%18^)W|Z;iMkNE# z&OcYORPIkjw2Z0%O|!0ylH;RS&ILb;vHt|~bNsZzEaG_U0q>#Q6Bu{AKcn2yGDwZF zqU8rr9&_~A0A+%r?e%*UjCmM0^~`H`S~aCS&x(2mX~&QU?ZCzAK8wICyF;jS$Wm$R z-p+NefLu>1w*yN_)5D+>_hv{3(x6kVFM~e{DtNyYc9881$27y=@#r(BnL7x4*J=jN_(Cj-7(F8+pc6i&;Fiqq2jBe92d-|W!~>dXNxPt3l8|S#QzLe(Byqa{=i3 z0aq7c%&(!IJDoS9pVGYfjMSMu()T1x+YT@;ejfH8Y3J#S_0zmKc|OzkIHD|=n)oPf z&cv+vY&kz#tZ4nLv=^e{ylbs6d<+Ln~r+RlAN z?MF^r&bm&Q`IF0B-o|(z#hAHO_5H*_J!d_j&hNy5nzOa{PG_~C| zJ>CkwK0V$FUSPlMQRD3`%q#CI=#5_#RCh&Qcv!Y;;uW@w?^?Ij@O^ikh<_r(9Y?Glso0E2cA#uD3_B_7bZKbLX@ zb>R6YiXOSA8K>7d0$ktXnjK{u`&O+V%5%YQ*Z!wdcWSM)MFZDON06s&Y24VnI&MJb zMltVLhPsU`*CN$CsF8QMWgoVTaJ zifa3CG561NM}NFO17#8aPRsqUBZ zyYl8_#N;`a3jMxLzNd2EVcYTl0M}5@#~k>WSO+Xae|^`B>vxh)JZqt873Nwh&(JBK z(aIYL!@Iu7Jw(83z&L9_ITLgKzX1)*kp{EgjpyBxNITM9wr(}j79(xhalk#X%AA#M z;9Y5EnCrLr8TggsS9z?#gg&g~y?}=DL#X^DpIJYi=cqfeD(U-akS^YJaVKI`fyPyB z%S`$z1hy?RgUWv?Y_*5YSP#z{Djg8$Q1F#s2y8mi>Ue!ToUW&TM&uWndU=Oi$!T|? zqHkdbv7MK6MQV?#vv+0)?Lzx%j|?}|j-t=QoxD%2p*9Qnk=9)9A@1Su{>4t|S7R0; z?q4_-x&C@AV#fBUxZQV;nn7vr8e&;{>3U1uEg1^9`?lm~@NQb~=bm)q^?kCBtNe3+ z^#<`Lz5Bl?|5A^-D^#w7kA%(D+Wwck=!9#thzobOwdP`wi^sxdH1k35tFm1x89z_z zVe_fMun>9;Ju2@JOXZH!{y`nzN~$|HUnD%d--Gst^}f@|!Fs`V{6w#tli%j^Y!dHd zByGt*;KNxXa$SG!e@^qn+)?#RUYMIyyfODDNp)Z6cho(6lE0#w$ENs;dl>jN<98{3 zYrOsI$_jZFO2KS*d5m{|zCoUCGxGq4ub!{&<&^u#mIx*>#izB-?~JT>PDiu#G?A;8W=vIbz5_hHNdkD^}8$B#8+ zjl&Ju@?#Cer|^018z5g8umiwD-igDu@GhBz+GluoH|=BPtMXgIBK#wO@f=`jdNgJm zIJXp+k#=me-788ivZak$>E0iU_J3kX;+3Yao^eRw@Fa1NG*J7rb!=yrv;~Yp@UvT9 z)9@P3Lnz}~UR9GV3YpG!lMX2J3TfiP=R5Oz?_UM(2a;;suj(<@ z^^b(((G2WoC)zr`r(RU;iG1p}duH7z_C#)!zJYe%r*hC;g^G5CIjPrChW9k_4r8!p z71vEPZNI~@47}=)_XOh-_4E_sJUJd9JlMJyuq{csSAx3h;^9oF?kUi(O2gQbsjGWR z@0)UdAbtFJzWxyMJ9Vlo^z!U*DtBa0xwJ=DjfizSyX)k7>c0Q z$Kc*xRZlzNIFZ(|Ly&XH!rUZqTh##_-BY%(ek{hh z5c1AOUD2VSGo8Pb_cB0Esyum+lhj49lk@%;+hX@D%vI8FVx?KIb)^~V0=}>fLhZte zZ9P98bl2Dq1%X!xGQ7+R4Aq;lL-l4fTbqrI)S2Nd>f$+L!j<#`4hdu30NX%2i}+-L z3x3fNl*2DZKLY6+aJ%#aE+FnM4cK1LF?tAaW&2T&?w8$Nr996KTK0%yjHMqB*UPgX z7YvE@pS9XIx?b-F+Xe6w|_72`e=;$O~yU%Wh+jl_BHkLZ)W7J;bT z1J`@?w7I+G9j>OK_a+Kx^R?#2LXzi|Y&u2#=-PwI{)-2XL@d&6zN5~8!n>9mHs8PSL=mquhQq2x~3k*q)!2Gm21Gjr7xT=K|hy3Z@-XfkoTKE zE$!cp@xU(SH}c+{(w>qyUc@y@)<+!l9eNYQMeRdy4i_3?{fSzA*MKc<+$kiifW1Q) zQMo2A%6TUS=`k1)^3L=T*inaIzxHsCnEGkED4DrViuxU{ZyW}F@ zlrsLj!x7$PW*N|1`LfL$jQOt37E&oOfof zGH2OND}6Y5=F7PMduo2rTW(8OdTv_8vQF51igjHAS~2ZdMES<757d9~JMLCyt-K4{MBj+pOMzJO*q=E?M{c^}6Q*Q_GY^R6$OC1$sF zVczABvYgj=?6b|VSiUx1dfH!&wYY>2v^+S%(XEy+yVQkJAye0 z<`bj|WIFXqbg0&pcK%>3@5Ky0Lb!$<=)<{UdHPr=9wXe& zS{rk-?Cf$oP(T}T6~-y+;`#~jpfAWt;&5Lm%d_~ay2`f=9OJiD6pkNmyEwbR#c>*z zxSz0w>&zHg z==Ozt|KWYKLz^*Fw=d%RlX(9kV1EBT*rMqBs7piOzK6IWZ3y@W?VfG)MSgVaN+)#B zN=LRA<7sXu=+d^*`8_tVGs7l!X4u5e>uh2P6E-n)RMAk7-=eXiwRT{(vWeraO!E*Eo0!F1H88^Ltb*M80tU8G~$!V zlkK4YeqI$kK;F0FIYv0g^0HClxhpFD`{e!gor^5DZSRo&Ve$@p(J1G@m|HCePTgTqoXOj^ zb0^OyK^OLfq#tm&HzMXF!k^0ZL~rCC4D6MB+ASseOhm;n@z*k!@$YySbKxHgk*_ zsma$cFO8DsnjcS_%aS+UxvZDpIF|*Tt|#B&e>$PgQ|Imr<#Mk4>DIJPKqgQYQ4Woe z?q)oOU$k4(9W;-QNV-q{1kbUVa!lj-V=2!_2k@NTXO)6p@6R-@e~NV0_QqvQ?{2V4 zxzES6?yto2IhXHMYn{lrkuMMJi`qNP+2H3!wv}r&tS1wy8>24cykn%UZjAAWJ0aszxtkGp0(E>bp~pDq z%F}b;$yYPAa$PHZe9^X@nJ{o#EXP4pram6Fzw)UZ#@x3^yJXlZ9|51U4Ya8gy>s75 z$}?TLpRo+s$_Mt)_rFXC?J{KF_nd z=d5_fifLVPFJ8=f-C3(faoSm{vph4DbnY7T4&O@LBo9q<9|x6!$KXr=E0s2){=RGt{;s8-3)9B~1jzhxTFl;J(3)5qO1 zj9X2b2IaZ;r-_Se+tW9cI+JaIJS_vC6()jKA?L_wqe1vx$lo0lh4ywSe|h#X&>n2f z@s8jw-XUDb@A|dKcCoE!SF^+mbLd2AnsPIz%dYU!gz=Ilx~!(jBGMB)a6+aVuot*L z{YTOXFch7(n^Sbcb7*lY_l(4SI^Vd?$9_L0>6yy?C-bQbSzyLH}IpqLm&ELOBCIv`7H_^ zxmK@D{8vJ)$z%RnBz-{jHxcs~e_YAf7rD;st~Y2|CEG_EZ#ZbKrGLjrNCZY8lZNU< zz&mf{8HruS`rK$FZuCat<($hOh7q9dCyG6oj9GJ>ps3m090wI*yBNQ<*))bW6SAs@;+3ERKM6St~*K>Xufo-ntq^jas<5$dhIcQNb z+7LI$^N_(iNWTNXi~Et%A1I>yfm(Buqx1)ASKm6KZwb#6y#V=bXgXA}ZJ;;k7JE1u z;aadM_b|fxJDR))3pP@qT-tWBzS{_Atkx`-bKY9w?i3(@$pGN&iNp&IT_M-FqMgAU zzpuz|7WUJpg=eJg2Shd6JjSzMc&_1{^L%dN-HLdpA1>Yt2O84z&H(2=3FpgloWII) zuD-(XO53+fJ52hQZwAfr-w3s&g&QBbL~z_hkrthbYm#wc#D#TS9(f9JLBs|1Ts;Ea zC*e@G1l4ynP2g1Q@(90sXWt?1pCWlrvf2k(PCbWb?pHRpogarCDD_bz;sfLX5^fERv+I*m5YgX;J_88hhRc}?@;c4g-Vj-$`n-yR!bNF0M}o#?xK zmO0*-Ixyi!A9LbCly|@1$TQc~ z>VAp<@5SI5B8;2LCE!QC?~OR+TOmj2v)qqy$-B%@?ih47>>#@sW!H}7r$%c)Yo5F2 zw-0x3w0LgR{6;07%%!4 z=GlfZ{@mF|>Ylb{dzvlQA*3?X7Xc#p5vQIfDAs*{q^}W}=9if5(~KR_FWzP9qdRLCQY>7qU8k3Esrae0@II{TWBJSQHC zQj{x28Ty))FkY8kDSaTrQ|IOv5>^k-f6gcmb#|QP!NVVv{vOKT5j;J)f$9CqzhVkD z^$6peZ0Xm~jIp_R>(V%Ri#Svr2(^wg9p%5+sn)`u>Q_D>((h_e`gMpXzl%&;o;V?G zMY~b^>A`wuO^>|)Hurs|du{k_Z+G(eO+)I39{Sl~oXBr$^;!YS;URhN62C=YdF1e9 zqi#b~-{G9!8Kg`WM!GgF5_T(Ptd6|JQ z!W^_(o*hGZ=t16H5N3IMyC?*IjBP#NDsXl5i1cw6v*@43vMj^=%!@G>mG#bu2aH!3 zp9r_+xWA%lc@AmoT~DU`91NPqND#cU9&VEP)in=c%)tVQ$)2d+`IJtJ7xdn|l12p{Y{q&FP z5mlhGK^@Ws9U{M{8nt4e%WT5oej9jZ3eGePQ3V(;69(62~)WKg8U{f z_gPCho;I(Jmm|Im@v)EyB5%vl?$z?{e7Qb?v~$Ah&H#ynA@4jCoJSwKd$kokaIq8L zU*p6#IAU>|Bi`bfKPI_n$G*Y5tQ`GP>4aOx4~My5HNo>7B^(Rr58_oA=6s6xnDxqa zWO-+NGSTuf_v}Nn#|81nNA&z$KhR0S}%FmgRWnuEXFtH3gSvr-o4;<5hmU06KF{+cLmE zUlbGeftJ*<{R>jZrrJL(W0LNw&0p~kO$bG#JvzWSsXI8ln!gGO`(^JL-6IN?(m}@f) z(pHq;&B0g;@LOoz!Ha)H+m=2>fOqF0#`CsiW}Z_o`C?wSQTEZ-k_z^*ZqGgoc-oYB z()W%wO2m#P#20>uJa3ivGSo@B7mWZ$7y5!a3VbBjY$Oc8+j2gAxO0ctCa>S8wtfDt z9c4BS$!C~Srk z5vQo9kZa>|Pety?EUDvP9??X{|5-)-!!x2m}|d*7UG{heV^ zG8p3ALKM-zZkRftsAUo~Dsp{>Ab;-YlyX|;>F+AS_zB7TkE&PM;(=lMsoXAY>I%*$ zGZ;HN>oHf$li!dmYA=E=KwW@GA0@*0Hp&;s^-Bfgd(L>etV!xVHeNbq64^&HiG#b z*I$ud(;arA$h)5VA#z?@r{)}drf%f>2;RBQ+b(tDD_W=OL{U@ur%$Pq$Bg>$_IB zuhtBcFJMD(O{XM79#d#N}MwP8* z+4eAWYFRvhe&xCAuon(=D9XNaAz?Ma#*=MpkZtE0d2Fg}soWOyks;gGAlptkQ_i;0 z_XBAdBd6$>Sc><1_}|+X^m8EHyTP{!&WZRjx+To&+hE(YB9lb7B8_vY9Aeqnze_qwL|vvP_*7wLXq zbb7yc<>`K3r2Bo*>HUs$)$faRzb{h#j(GFU__}*hy1E|CrvRBn|Aeb3+wmNxA1v>gp)WSx zx&QQMUA#j;-KBW3tka0gGY78k8}cl7_t)iGr7h|xyXhZ;Jmye?5U$VA0oW~aA74z_ zPUj_-LRYALe6HE9l4q-Xc;9Vqlz4dWxdFUO7}uJ6`EzU^--!c##i2i+;T{R%R&$+< z@~g}ci|B{nnmb7!?qT{s5ih)_HN6iIZ_w~1?H}^*BkFz1{|D_ZAq}K&K(zHFaZM}V zrAO(fzEXatDQ@v>g-&BT!d>b|Pg0jh_>P$NGvTPDI0`sz*?*G;4`no1d6nr*m zD8F9LDKg=@Cf#Qt*=L@;l958WUd*+Yk8o|8_9^?~z!DLYcL!`YbM?@{eAn}*Wr<*` zyzipJvl#DRNI+)sAf!2Nli+kk!gQ^xb##cF&gA1&Aa zf@gp_<=SWDH$&QAqyco2<0WEV$@_!k_o*7pE9JhQ=2h?h67Lx#Z|s%xEBdd?J*=R; zaUFe%r2p;tsLw5bEV6E6rrC@gzsj6tiM*541*{u53=BrJpUau^9`&9(I71tDsy4{I zg?!B2!pffoxCgzMS(vt~ax9q%ARr zdrpkkeS&^K`sFH}?dKi`bZCHibU(^_C}h4ud4E@q_mlz0GVn5NdeiJQuFFYZpAh;O z_AYg>_8Bc`muuY~8~}^?c>auaNV%!)L`z_nBeq`u`+xV|^7`MKl{wqr9|WsX|2NRb zH=Jm6)+Y6yAMb+MX$Y>XiQPQA_C7hkT@D>N|4^~~210|nr~W$QwEOQl*N}H0I00RT zGRcQJ+KypTS?*$d0LM&6Uf^OAB47L4)+C0^|vZ! z_lnj*;`(#q3RtlFU*fq+Jg*4a!6NzyCu*|O-~6k|p7zZ@@ZSQSbL3tdHO zJfXq^cPU`q)TMA*UTX(G%X>W%p`85A^=jbAGDvGG{9-H z&239f?^)yl%&9KV>ibjF`cCl4ElyR@1}D1qF~AuG9PMwi_#t&~CFhr4W?zTx;D6w~ z0{?j~ayIl!QFqX+;5-7dd48fc9pgD?@qd`?=a1%Nmd9y)o{K@%|R1E!2Bs<>0e9yo-zXaKV23Hp>jCyA5E6zWONF zO2`KwcMam&Y;DTW?2u>a8fx+9HmAzjI;xat*lhLFLan zPsP2uRnFnnTK7D(^Lx5IhUcD^d7R6m{5rR-yhkZKeTPdK(&Sk%b+626_q*_Wh##0r z>s9wjbf|kEh|^jZCtc5c#Pu=mFzDSU*T-omzV_vI`E5Ab4T1lq)|LXilNoiNa@zIX zDO((2Zspob<$7zw*9+x+N=3GKuk>G0>rtQJIR*OnK3%=A>uyIZyT=jB7lN01i-i$d zFvfepX#;Xz5H&cTJBSDzYy}Kkl&?C z$~(lx9LSzwwB`Fxx1dC*QJ~2|0e?gh0*MbIkj}_ZM z`oMm8m^9*AE#7zYUIpF0!Y$jQPv~!i$oqavL@1Xmt;^ZBi}E1v-$k6d4{!aIF3k#T zvA#;uvF>U&Zhk5!?_q#l@?o}-@BlYZ26*kI>jBRqym!iVtsQbt^*x-=vfl`c^|<}_ zbj`vp_8IWre6VKy8q%3ECq%g-tn$r{SaFvl&UO0)@+7PKWgYv4eZn&AlY_EvqL)85 zC36~c-NihEsqPX+-(@k^tycYYD#!IYrVYxw^eoQh@`>MnB7TT*J<=C$2j%BzsO|>P zbQ5J0*t(i>g5#`D%ZQ59@7@^3aWUl?@_&JCKp#!s2at#NTkJ;r zA0d5M7v~?`e{=1c+SJ6)+24wvPChx!Pba@O%}*!4GtEyY)9u9YQ$Z!~ zg`l0XUG71=$~x$m069|*nHiOP*uj>ZYyb2DPBNjse?j|*zc9*4+lTe0a<4Jnt(W$* zBmEj+OQmun%;&YG0FUR|eo9>T*Q}3E^-C%@N6&S6Pvt!C*g~KFl6_>iiyD5blXL_< zKfhb~&sFS2+q||JWq4wdU99c8!nPH%{%Tjx&#>c8?xoIWe$biwCY%R^M8l%J#&xYL zQxpHP7yO3$`q^*f5BaT3@W^P*`Wu1o=gBKmb^&Y<+64!v_4eh;2ANpPF`>T!vWfLi z--9yo0PjHo?f#l=*Jr~%=9Lfpet3tv?*}q`jK1}ieR6;F)tD>mF%P~*(hhvw4Oz&2 z2g(Gw#|pW?HSwKg(s%L-jw3DOudhKKS9T-{E#4c*bB#CKJD_9#S>B!dPKlS2V_e5A zN4@JP4_v!^Lr*l#_HgX;8`9`66LN6j)SaHG+W59a9Jb}+dwXuX zg7@{w`vIAk-^~8{?Q2y#C-#g=+bg;THt8DL&-6P09o+O?`WvOcCLKIHZ~^qg8v0P! zcUbK2?WDct1&7a|-5v6Nm%h~Vk<`T1v{T7%F1->a<{PK+-fd4P-W&f0aRT3*aQp0O zC99=f4qSe~bkuVz>!~9D6bZREwUg&4Qj-~VK5atE)2Z(wyy01&@y~hv)jZ!5;6BKW zv~xB%m1*xjy}CyyeoYVWsO3GmYb}w9LFR0f-`1N@_sW>d$*=go6gvK6%!4^r4cBnG z&&e9{jycY^5st((J(O!U)& zT&@?osa<|QAtb*KwsY!Uz!1knO|LpL)7%HVrO$0llI-F$p@7*OB%d7L-|T{{>0&=+ z*T(t1vLNr$!d!96FX}A5Q}M|eymz*I^;ep&*8Kr|b*-1LNTW0HmExh`L;Nmuud?0A zQy<~`&i{Y$(4sT&kg0j-lC$6;{r%?LHom*I822AYt2f~rX9nMF{{#8vvop@SSwoR{ zlfI{7-GT#hg2IYM1_Hp?K({-aD(9ClPJ^1%09EugHBC&QUU}oS5EY`MBO+`HEG}Fdkg|1G@v(>gx-?p;F@x`q&57*H>xD|~wINX!? zQ~Ch8cUCdIHTS}|wJkWzcT40ACnL_)^4kvbek^C|9Pa_tWB1sB#Wv5d1CK&=UZIq} z{&=U~HGMTl0Ef8n%r3_LFEgmSDV2L3u)ii@r*Z?NlZ4UWT*7;q@V~h`;#ge~=N*S+ z`}y5SXEpF{8s_(90K>lhFya09_zLG8fOiOd^yzl}ji1_7E=@Xk+B{3o+sfHbt+}JW zO6t6{n{}U@B#qMz{Jwo|JO1;okuKf-NWa|ol6S*|_>4MVeRF-B``nE05y^cR?!hP@ z>k!u-YR+aqM)@?eOkj;J4;%D%@=W|*#nbXT2AN1|oO_D&Ap@-X4({Ee9M8J7t2@fd z&}T-vj^DGe@pJf%vl{O8gXX;BvW_&sFUGSe+?&NSf8}_tOlv>=bRB>4I~nvHtH#(9 z{6FJ+7kb|*_>HsOcVfAo>F+B2RZ@=K7gnjU;C?Gv?LEH!dm3@?zUGB)nYP98>3cb* z?`)9gEdYCw=8a2}>Rt?vy|*e_#+T`GOQ!3O+ju{Z>sm@q$$OIu@q8&|m)@JqOGCD_ z=3e|$;>TyE>vM(;(r+^ozdF5&=W4_J{v)^~{Irr`F9b9`^u^{KXur_k&;8y)d1q7j zCBB3IhQP;9>hbynaZ`8K#pSnB8|1khx#xI*X~?@+pU3(S<=^?@65vFC=|Q#w?+wJ2 zcf|nTOWpo>{rTiv*BkLP`uVMD44z#-zkWOfm;E8*;SA;L{*dzWdwQx*!fMUngE zG@mp&LK^f+UvS=Ua*5|oh(h|Zb4|h#@hJVBSSS4l^&JiqjPu;#@Dj_q`}#qBuE)i* ziBtJh?pHj&H|1wrDhf6vVC#PUTt50T{AkDhdK zp)GiCiUq8c{tEzrGNm&&t7f+=v4{RH~Udl7GOoB-7EKgcsqwdvvx<>xBbr0-rL)A9(1Y1AhjK_}1|M7~-l zz_Jy9XSb($7V4wKg>^(zxyi0JxyJC(t~&5F(g7bny4 z&F)Od=l9{cn9novyc_XFI?tteF3@@22m> z(q&CNPn-dMHR4a{Jah5<>YLy^3wciJ{6%jR_$Pgtbw54z z)G);l;+N+&%bZ*3o@PbY_otK1j&U9T(WNQan)qGmUuf(EwGd;Rt8I{t^v=i>J>O2> zcK+SL*$G&HYNpNu=DKZmUD%(`Q9T*w@jewh?0sq`-G4>*v1(rncw*Od|BG}_B|W1+ z*P(ujGIjarlb-jL`*-La83$EH-e;@#W4HO1Yb$?m?oax;C9iVoe15Z{@9lW53XgeC zNBtvHPHq3fvIFhA7AVh^V<@-V*s~JGx`))$-9v3hx`%wvbPp{&+CAidu6t=X32(t{Yo?KwWvA-*5MU zy&jqt|M|;4J%-qx1*a@KxWumxE%CRG zE%E!FT;gwkdWnDGktP0+wS)V%r|oBIl!rVX0K3RT(&~(p?$ty^Euhx74$4e%$}DeMhQ1jwbsoB^gBLBi4 zE%N(+yvV=w(MA5iV~hOpUo7$`e!0lM?%x;rH~+^X|E13^@~3{g$lv$-MgD<5F7ofV zdzQa8e$NdriF|1M{pyk-AMqK+6u_9)5x-q|@2O$RGw%mDRXyZ2_bxsJng0*VAzQKk zH{k4H@=tl5k)1KwLrymunW z_xU}$=~?cBB;QX-&7`qEbZVlF&#CcUzMkFmJ_0KH@s3pMVU?Kq$@!_>`m79F1$0H< z%AB*yymyq|lcoI_bPwg>7X5B4eRizfuBOqmghx-&z8?MUPr~*)4$((!*Zd6nAX`U& zUwiezmW+N6F3lf0hTg9hzrDlnrE!hX^DE|_tZqvGvM)6gGADkAPrU*37N_UNu;%fH zTK(i#JK;0mG3Pz~-liefhjOsBHg^|Jr3V++=8m;u%%)A9_fvYe`R)3?@jp=B!Pc?s zxGsIGd)~n*wYlv3{4bsFJusL2m4~OK^t;Wx^d6g24$S=w-#1C^O*9_#@dS;9&ZX>+ z`5DE3s!|7lzrA-x>dm4Duk)!n#CIPKk1f1*T53-1R_*(rIRk5W?cB`FX@1DHx&DDe zCnprAE#9U@iOX_g8>MU<)kUt>4dYeA!<8 z?E}A(y&zv#!}U(8vsC*>CSTtFGRrT1ndMJ0U}d8NB+dR{vtO(T+CWY;38g+P@E5>RM0A!*L(Q885u{Zt@?}t{UYWJ^7UGqUGynB|U3P)F^c0ac&b@TJ9QbRASO6{3~b+}L6_S}6-(r5nd zl+@n){I@-~2mASjLs_RlXn&~kPA0q@mqLoXT|erQs5`<3?& zwcX-V3(#l$YTuB$N0Gfz)6WGP-(pRXPQIt*)-$QEd;Cv6NA?3f^Ly3OgpbC0kGkFW z!9W7KEaCm&W_s6ijn4J{tCkKqBKvOvj0jh<_&9 z$7cI>z&}>|ziIDGpHCh|Ifs{#A3qLrySlAL@}ULxv6pP^t6PTla=8|&cfkMT(Mj(8 z36t6T#=V&2{bge$p3L`W*ykj7_;2cH$!l9}NvHq<0^M%=;`nh|kVC{^bH*b$yB`mm<%a2r8M~*~W_&c{pLxSPvR~9$uz`=>IK4*q zxwqapuQmf^#HTpTdKdCFBHLhJUiwqI_IbO$EkLMo>Gz1dpx4XNeS%-& z&-)_&CW`mwi&=jmll5nF+wz&5KjB{z-!WaOzFeVDLcV`;e`-nAwD*on&cE2dBx@4! zx3N_9r~PX-thE#Iml-TqN@f41bI)~}XiV2lN_=BoDLdV?E9n>KDph|WU(ESKe(6%j zLnePZmus9rpy97)aEm`*^l$4ODCdjS-j)8IbQYnKK77C|ZyEGl_;Z<~N-4r!d9jDsVG^EW?Gu13L zTg_2EQ!KZnpjUp)@=Gp0cX=GY7rp)bizt51C2QWk8NWJeO?WB&jo-m*eD!~=&L1b7 zt^Yht{!IRA{pW4+N4b9Wf5#v8Az#c_cS`*1qvrRl=lS=czx#Zqv?hJleb+AiaO%+4 z&w6<6{LP=)`G>Q(Tw*c*p2WZHTyKoy>XA78u95yO+O#|#k8~{$Qr-`bAoyP@T)}@- z|IAw+`sa@y{?Pue^M7~hkN14OGxXUxe_Z?A=T|Lx&q&)3ulx9?ryrWSH2dY!nl)#C zXJ>4zrq2C`;XCh~QapY`d{fK6pY{9SzI)g9b-xcR3JosU^w<@ZZ=X4C9)H2VA4!$Z_7THXJ~8*iiE+XA=z z5>2lD$^LuZv>WR&bL(&462>o&bIrE!yFPe$NUizO-ThlO9#U(5ap}{kkFHW{7kq8e zjytbQti9lkPygh}-)vr6JNm<^8-9Gl+B=tfzx#`~|8DKCcdXg@=%>GdE?_#U6A=E! z%)rRB1h4zIWnZ6o@x>d5A~(_gM85XR$XP!$@hg5~%3s0d$j5lai$DA;W3T_|6AiMK z^1AFa>(3(~p?=VpP!Ilv!4Kb~>i@jzHU3Mee_M=jG@%afpIrGL9eS2$4|KrbKA7}g@8pZ2>um8RN_xfLxzeYXIe;&w8tp~LY?X}B4x|hQ+hXWiAad?o! zVGd&)j&L~2;dv8&syMW9@Nrnk!OvkShX98-hXjXp95!>fltYR`ABO=BJ2=!hT*F~E zhnqPJaoEFQFNa|c2RIz!@F0i79L6{t;c%40^CtXEacJY<9O4`j9M*Bz%;8cFDGq%c1~}~CP~&h7hus`*<}k!z4~M-RhB+MI zaEQZ$91e3B<8Xw-Q4Y_W@R;Jz#=*y7AqPK)r5pkr;v5nj)^XU(;ZhDM4t*R3IPBn1 z<8Td!-5hS_FvMXG1tS9<8*UIIuer_l|M$OydVDj5W_jjL1)O{p&c6z$U`4mLknFwo zLH$&u`9qOuCn;D-2 zoML=F@BrhtCuqn9Uyz{Bu^GG`c!=>v;Ju7D0UuzDHBb*Seh2Uv;|qb0GQKFmM-AY2 z0?YW{1uWwSU3+Bwe~}<{W$?R!W&G~}mhoQ(EaU%6U>W~k0n7N4z%qXDi$}(v2A1*n z0L%C@z%u?Uu#7*KV0HlR1(vaG0hY0W54|#mJg|)6y};7f)`TGg!UbSyryp4A7J;RF zDWO`W`~ZEUoAKqqQoal< z@_(JsAe+pR2-;z+Xr2MB7^hpAP{}EWqe+F2}Lr%|@^0xv@ z`P+b{{O!O}{*Hv2BjrB}Eag83Eag8BOy$=bnWNe9va4N8WfoZ&XLDaG<0ZgtjNbx0 zlksW5!q?DgzIMi^121I!R^XEuF9Y^7UJksN@e1IjjG+^KLNg)YFyl_(IO7QLnT(?e zdc4D6=uzKV#$CYc7(;&g)-#6u^j*vt^3!)IW5`e6WsISReJRGPfwPR)0QWJbE0hJs zkg-0IOXmSs8AFfzb^w0VtodB3`;3b{Z`G5~f1Z^!7iTT}0SkY{!oPGeeOA!H6${^E z;orEp1zqkT_LlXiZB@~0a7hKqogFwO%n9bf(p*mpIb@r~|$kGIx*q1VEH@8T0I zemo%!Tw=W4O+WFo7-tLPQ8)dhH(1!>W&a!(*Ud}jepsoKKY}tp9$&`BC*KaCJC$V- z$*8H4v)KG-InOc*GVSEATlhCFeqDB%zf-!+`d6L;{yU`4)}@CfowcU)u;e^058b-tLn!msCS^o!d>bm? zW6F202L4M|ZoK6#mK*;HkwN>ya^tkOx#K+Tu9_n^PWzdgetNv-$c@wAS!*gcPTya1 zLks5vn->#YKRD@~G-^}=EjnAD*#mMO9y^ft+bJjuD zb4EAPX&pY!WiG^+&lx|4Sf43B=bwPTTXRHE?6I1&&awY;`?BlC$&la37`j`r4G@pk z`0NWzYx@qyDd0;O6EDA$@wLG3W=uLR$(ZO?`ueSlyNI@VU%D=-@p&4!0L*qm*OkDZ zV*IfhpQnMpRCA`#bpZHw#yWc=iB)xDa<7L3#V!Q_UVaD%v$GP$| zz>i7HUALA0f^@#SVC9d1yPK5x6*l5HBj;ECHeqyx*#E~#DOX=~w*li&GZ(gQH$AID zGH^PVpC#qj8Xj!5>f&6`y##n6eM1^JhPuZq?IY=4!+09kU1+s)qJwq=fF@rC)#-F19j831o z(AD*XyT9t<*LA)5TfE?){?)qplLi}|Yp~Y2=W%-2)uG>BVCm2SONZX&>d^gLkViHE z%ephIah^#sgmvhdBX0WJX#A{0-}atbQyu!YyK9aPeH+PD)^TT%^NDrnSqp1Tb?8~K znxjL{x}fIh(6h*eo<%Z~Wq5*|C{1)_;*6T3D-&nA^Gf{K#b9^7kx%gbG{P`BmG}V=ZN?mY%&5=bH&^oZL zyx@H`M^|2OL(S2Z7m&>7J-vX=p^mOJ>!x*OpTVRDFZg-Q(Q6mr{408GJ=u_~*VfaS zi1pffvU6CkttTE~y|$k09M)^=iPu=Kt^bfa$MxTJ@dj%RH=I^;^x6i}cdXYoknPHP zZ9`wp(Q6xU2AAd9Kyzokwt?&l)@vKcmSerPf%cB|+6FoUvR>Ojb{*@rjSFgyUfa0L z9p^^aY0dQ7#*Z4EMe=InR}zlS+BnR38tW#)B8MGaw${DXj&PfD^&O@AtaR~lfu|im zJn5;WR=Qx)eE}=|Oe`^xkj$PQr` zi!IFZ{2EKn18*F2@#}Kl{7p`_Ys|9Uq#N08u#xQslWe!;WOTj3Bu^%i19Gk`fCo0w zdh)q)Q`{Zrrq5dVSr>0U*}{KaYkIESe3S75%+oi}*?s_~bFn>${>6?WC%Ml`_gU#; zpHNw`Pi*?1tz0@M-61|$(h-+g>4M4b62B|iT{{@lTx86|7dKmV?_f-J;FqoRFI#OM zu+jw+ZysX&2K+q2nD}~(@gn>@W3?mKiD+!kyJPc++`!nJYhKGNjInvdAC6zoVz+(g zTG+|JvQ}6hkNBwH0^EnayES2C7uJ09H?hCdUVQPzBfyUW&jx;4sb(_4TrXQ}VZ;0F z81v>|7OkN5s*Az|%mg`w(01Iv7eDO`$yJf&a+slDdj5~mZ&Q}17UoHSF*B64o za(y8LEY}x0frT${2Knau8ApI?jHAF;GmZlb?{ooQ$M|i)a_#ag;2RkyfQ7%#2EK*y zYTzNpIH!G6_--xm9>(VY3*Vg!EZ1Sr1O5i%b-=@n&j;Sm`0c<47+(N<599T~!lxU6 z?`OObSgz-80tWxSW09ffZyt8(nXoSN*A{+1@Xr}v4Lrv9gTPNS{#)Q9jQe!5He;;X>I7heNTFy0F+eDP1f!WaJx zEPQb%uMr36G;){l#eQJni*Es^82<~f@Wr=*g)a^O3tzw7 z?gJLS_%3jbG3=+igfIRLSoq>Fu<*sBz`_?l1s1;e8L;rh&w+(69tR#``~yxD}Y` z-!m1M>E8nzewgXsGYy#O-!lW4>EAOGnCagG-89Vf?}2U__A`cV8fN{{d>*?X8QN60SFHAj5~5{ymV}!%Y7k$n9aKe-GsL zFw?&WGI@B2F=X;E)4vBYd6?1!ns9^Z_&dd-A|zjNc2)^zSJGGyQu8fSLY1 zmjg5Xdn&+8|DGza(ElK?(ErYa+NT)54_N3Q`e>if{}sSO|5pMF{qF)6`iGv{C-e{9 zv`^?Cx@n)EG4#QH?YwEM}dX@p_}#z{eK)d!T4rip?~P9 zeM0}xQ~QMep{Mo<{X;kH6Z(g4+9&jX8?ey-=YUg;KMySQzXw?8{|mrE|6c+Y`iDN+ zC-e_}v`^?C`e>if|2KeZjG>$Mf&SmI+}H{Gu5s;zeZmWeEeu_-Pxu1ze4p^eFMx$F zo&*-YfNb9Oju>IS@ZuaX!hGSy*h;g!#e?nLLtU4BLBz`N9kNJi>h8g?t`izVO2K9$~)lt^{Vj@OA?; zUw9#_N0=|Xkkun8#<0&vm@mAr$w!zkysLqkFT9Z3Bg_|G*xn<|7hcHl5#|doWcUd4 zg%@_UaVrBuhL5mb@Ir=@CL$;3!{o|}LD)fIn zu+Tr`{HUKXxvICqQ+{o~v*D)bNiWgYRe6_tT>Ig>2pO2rk0Ql?bSCHuEkJ1&x@ zE{&%*?0EOfZYoo*2B?v~Qh#nmE?>zNa$B=22FmYU(UZxn*pkUC@9YS7gwaHxqchr5 z*WmJ=!F(ZWT3eng^fv9_WsYZ{I6zORCoB2>fkLh$qcDU}GE?dw$QN?i4wWxfS5}t> zmIY5=Rn|Y<%R|9%EFABQhU481jCHF_U%IS|hD_1W>D__uUfQ)xt$X? zG+3Mx5JrF5PMsRmviaDN?mFh$STyG?@w>xcxQ+b+=|wyu)7y_ z);DYS9MjoYD=UB8@ZwgXZKZtHq+*rLk4h$qVXOIKa$7oC$X86)A*}8hQet(fR4}Q$ zj?2;9t0Wyv?uu%ioJ|`$ljoN&UsEj?k{9N- zRFWIg0~k?|I3E9F_bS+a2!m}oz06@*=7C%>%L!(k^Sz~VCdWz9uujSpav&6w)D@+q zVyQY<$z=lpPDSH(a!`_^0XsP)$z35kxs#Jap|F)4l(s_=yUU=o9g5ps21Cv)>@I`S zc4x%yG9+zx#_cXc(snp#cNvnl!(qG2khC3+>n{7#m8~nW*x-kB8NZtDgD)lUNW4b6 zQ4Vad+O!u5hA4pKX=6j3$+I`FzU1r+FRtI&sNUHa?QArguBPp6tyI$(V%@%GKpJ(s zTFImfx%yr0W=cA5;NpCLu6#}**I(afT=&_f``nhUqoi^nd+h!Oi?nFR>aT%v1G+O3 zO_g9cOv81EFd!31my_ij%S-j1qs=10mX>Ymj?qStKwGURtI0YT2!)-I zp1*1HrpApR0Y^V1$3o$Jsc|#%rP5%vp2tere7T;*%9k7RvBrnAfl{HK!H|~AZpqcN zSGOWLkTh>&N><%ssmd6U4EPKMCu-%Zf6Ai|qv?Vg-W;4<=j~MYA^e-evxmriH<;&}6wtHM<-FBC0J1%Ya)wSIjAf5VZ|D8zqY{PJLALI69e}g`Uf$Qnikr zofdirilSvxXWx*sszYuysB?>%4YNtYXh+yqjl{s_)O1R=9Rgh-`Y&KI{p zbo}`(?5wOP=W@mBD{VwelFG!byb2$TS#xIuqJFBOg8&Gu~3Ct<2bDn4GlJeM@8F{TC98G2r_p1Gvak1;KG&M;+!<+PZNhN(?= zW#wRo{i>F#eYtW)*Y0dISoNUjv}Vprb&D%x5!O4<)v)yGYPBpSBD#b&mxCdDO#{uB zuQSwS^@IqLNn*$(?VfHwstHq%qb{$UqxX^Kx8=B0$St*g)0zvC7wc5aShv857oM}` zk_#_7|DES>c35YFtdgbPUfocH`JmIJMZ=sL(y6cklNC}WoZ2ZovtqDPUXdmDfWyJn zQmK&XOXrIfmrv_idPPsZNDfNkT=2Wa&bpW-8?)J5FF1F5zF5^g$E{KJ_t0@wMnp4; zXiFlVOxnb(_ad3Zv6I*&LhLsfPA0c!`c~@ZqyQ3nC_gsNaB#F+w0WjKq+(5Sb6cM#6**JJZOv)=>{} z*!HXtnjxMf`QK77e{rO`3 zm?*KYG+3@5l`fi1@2nr0PAwH1Mn|bT*<0ieNhfc2n_$6YO1sG{mUMEylC8+rvtYu+ zO2uSuN4}bbnyBa*63>P}`NE3IH2jWI+^o&i&}B6 zG^vaMUR4v$#5XxY<-v6`nW81-Z4 z`>+v;hC!2+bI)IYj%ih|cOaY`;6}Nza{ctS*AtKU_?W%7jm3}q3fic-j!zD znwX#wl7*gLYm`DXnNn$MKF5b0AsMZ|sd|i8FD}NYP)~AuIbStv!P`T2q?9pw4P27j zN<~7v2_d}+dNsten9LMPW=%uF;bEs8b~7didt@%8$Y?Hu&Wf=YG3l@w`wvlRP%i;_ z4H`}}v~A=TBpN76vFJEoj4q~+iC8-s0eNR=1U-eV)@nurW)#p7m0Z=?FEbM3!U}8G zfu3QU%%r5YPFF6A#>^y*fkJX~Su6me*S2>usfmS1NIM5K&8Xy-f;Pp%#w8F8xl)o> zx-%fORD@k#si!BCLOadl>9X`~8SEU6o5nk}vyiq?Q$uHX+)8SzB#Z^>A1qY44B|Q| zoz3!5&rm5fuBUu4uR#T8@IW$GE*DF6!W0UQM5!L2j2UU#?`IN4z*n=VEr~2ip(Y!o zXs$n3-jXY3cIuM#>Z?8t#m9^)6RH2?>{T6pqhX;~bDrPBR9)zbD%eHMs~vbJY% z;_j)->WZ2^3ej-dnP0fUFK%d#lqD6V!KVvO;d%<~bV_5!klL7Wu9_tiPt(3ox>@l4 zo^sG}Va}}R+=jZ5sMb8)WG_Uu z*yig7n50&HVWZ^DwClZ2UEPjK#EOCl`?V)omy9=-49LP$QQcivh=AT)f?{51YXY*K z^_)o!tTfg|D5`ZENdfGL_RZ+-Siwb@hay3jQzP++u)3DXEP5kx>=h23NH+8uYLJ{p z=tjEYG#l+zbB+;RY!1V6<{J@Ko@;tz=K$o+j(las=5lGviuJitv2(@Qn^z|bnQW4- z65-rY#xbaztWSI;b;-p>F_YPmc@^90QxqXWmdmU9{KSc+vhk^(zAERWB#R}^3M{h| ztJ-MUxiZ+0S{ld|i=erXm5#GmlCE5@>|EBZ`&zSMZIa}RakF!G;lawvP$Z)31p@)Z zB0(pQEvJp_>s@IsRccy_nJc2>@0Mu1B1)F9=zqTcrn`18445mCkyk`v1$K@T z+f?(VBDu^E-(1{Gvk{+vJfFR=esVqOa)aT#qBKxlv3kuKa{{IV@JpPG?c00YJnOui zFOh5QJKQXI@!_d|%&Ar$yCntzG4u)U(Me$%e6^wn=$ z9Hg&)%aitsb}gIGE7~>QE81mz7G1~oigp>VXje0@XxF5?qFvf6+GV_=UCq3rT@7B* zu9xzPcD)u}(XKz!E7~>AE84}!`mQEk(JuCib{*3z+BJb!w9EC1#wP6*?J{1`u8F;( zUB&^>^$NVAUB~i@8V6w4OL#@&+HKj@;1!K)w`F`HuV`HR5aV@TQMo!$?-h+T^@_$^ zuc+g%3=GFtDtj2bZzeJxyt8|GeumI?i<&Z6E;HKzbLO`Yxjr`7WbP zeV5URe3#J%-(}SJE~78yyNtTNOJ}rE7IM+a_%5Rp`YxmOzRT##^<74r`Yxk&zRT!q z;Jb{z4Bw^M*m~z>l%1E+V>mCP?7WOl?7WP!^D@fL%V;y_Wt5$l(TSXw(Q(ep=wzIi zQP+7HJBITz>N+oD6FD!VugrNFW#?t|n9j@C1kTH-ab8C2oR`rC=Vg?gm(j^MFQe?d zj85RZj5atgqptHZHj(o(I!WhcbTZD%Xfx+!w3+iVI%(%+)OB9QUKQtMl%1E+KhJp? zW#?t|n9j@SWSy7M=FZC~J1?V?c3yUkb6!TrIWMCx(|Or7k@GU@Ixl0Bab8AW9p`2A z7|zSs#Lmmu#LmlDbLVB$bza6^(s>zmotLp!>b#5{%Xt}lDd%PESkBAXv7MK(V>>US zFJmv?c^Pf)yo^rhyo|E*GRn@&=&_xb(I(EzsO!8G$r&Bz zynGD~<>E<5LMB#&QFCq(7h#-ik-@qQbN0~IInp~rlJ1;7Oa(V1EFK(PfzL2O?S|t+ zjIQ8jM0G}t&Uoc)o{vJokn0oJPEP>PMzba$Ht-FlnAN3hDwY#F4pP#q*&c%PBo?=djz&6i+t4SbnV(a zRWDoh^?5uBI~wE4mGx5?rrBj$av+0GoSYifGNW5%=`MO_bTJuSrYK=f6NX1rqw~&OLNn{S;;L{Vy7eySczfT_N}>{PNHMWyC?LZxo=*d z)Kz~e>!!w}*q5&To9wllOJ447&_W)j4dj!ziTa}tz4p) zmF5{gRTS{5I_5LY-J9`c6S_C!=b@k;7(9K#7}yp&wZae^8zWo#lRsQ4vqCunq8 zGbd=YsS`A6nIX2nQ*JqZNq=Xw&fnQJ&fO{AF5b_{_&c?)O3%#pcWRFn`=iGDJLSq$ zoxd|u@9&Hlxe$3R{GAc@cgi#a-Fisl9G;Q?=N+DraSqQ&BMBHE;shSg$V48`NK=nz zWFn7eq`~7EF&@vzOL;sa;_eQt$GNyj+)Oq^Zj@Qs?rFyaq1M z$jfkfMw_@iBkb~w9K+=qVV7rQVwY!xU7itkc}AMKJR|J#j7;S6jEr-6MkeF(jEKuq z=wbq&XGDCSmM9qK^o+bRr)Pwno{?iZJ);viJtM{=~=gy4PMX4B)y)I$#^{@&AgtGW?s+8q`jUI@p?M5c%^R72)jKaf1cYj z!fwyVG2Nb#$+|rw&E1|6c6&x9?e>h1b9+X{xjiE<)9o3b$n6;sx2Ll!FX8u$ygGi* z$T9q$(TV+@(TV+@(dK^7i1bEeV-BbeMXM$`;0X4eMZFh zX$jECIOk`bhf?lr80Vo3OyHpmOyr>qOyHpmOyr>qxE@N^qZerI(F-*3=mna2^a4#i zdVwY$y+Cu1UZAN*FED{eFVN`G3%op!UZA;0FVNJZ7nsPS7ijL$3mA`Hyw0N+Xy(xi zH1p^MntAjB%{+R6W*)sjGml=NxkoQxJbKZ3k6xg;M=#LaqZc@qM=vnmqZbg5o-hl! z^a96p=>^!Or(2_&MM*@OyHd|}oOF7^o6lb>ezbSG5M{N0%$TCukCxBA3LdlmbiUY; zv2RVby!>_dCWrnv-<%wJb?#0Mz5LsgLzBHfSza;`vkrD*%Qn6HSif(=bf9SEEnjWG zY_D0UUJ9cjF%{VV5be^Qw*IvxKjm%}16@{K) zWgyd$uJlXYi{H7k(3eA9j$aUrZrVU`b>5maEB#B)+jz<8e%;#ZDX*uzp7MIi>nX2& zN=Id9e>L5MUmR8SZ=WC>3#zJv+&8MDrvjP(-b|UwnbPSVlv5pw{nR4bk zX8b2OD5KJU9<-@e(0i4qTIJ|n!}JZ-7N?wd_7SDtvC3*s+DO#3=S?k9YRdbYl$%@M zUhY=>e}0p4^y_uYZMj3KH`4p8>6>fU^Z01a)9TyX)vi?g_9pF(`%Ca|3ztdo{&K3!(K@3-R9<-0u`_sKVCIQ|Ct{td@Zl5gg4{1o}F4ab+tH)A;7A>Wzd zcv!v#qnhqVOP74}L^=Cm-r264{ct{m%==-!@1dOi7_ryqc6ndCa`t1y-oNk3_c)ZZ zALiQ?%GnR|Edu52$7q`se@4Cup`86N-;q$xewc4PC}%%L4+EZmnO- zUpK^WK>QIaejDNz|FmHJzirWT%L9m8``z*|;x>IfnotKU`fm9j_3_r@5MOJ>=QhM4 zAMRFGJk$_BJE0C*^J`s?{5@9u669O@rWNwyphb_ZdBm;#Yu%2xMSrck5x4lE^^SzP z$C5{_UrDI%X07<$h~IC;u|D6m)}!^u33bSC#UW1*S^PBx>v70h&nejdLl*r^S=kU@ zhq$$0Q{IzM_gnL6)TdK&(3h8TCY3KE-e<+HsMn`cuCLdpQ$CSU4_Nwf3iQtpmRj-e zH}vg=K$5RRQ_zT=VhCH=T=!wU(4fNi}`4sqlE5h@4t?8B>l^3(?roUwLkYEx<^sIMB;pqQAu)pDp}l`aABFgxW>)wUG0{KTi=qw9Yjq-o}LbB$(fAK>{$}())7_6Y$e+ib zcjwmz`SbWuD_%^fE2zEux%?pPBZ{{fdT*;?Jqzx7wqd=$ztHOcGq69&9+dfhzMekY z?gTv^wem+2>H{-f{%Jc1`DB*|eV#}3>f`*!KiG&)2e)2GXPs93t{je*) zreS>_CHXd!$MeU8`qxL?`qQzV{{;KNv)h!P?oX%;^r5GZXz7yrcR{k#R=VdNEOuq*0KVrp)a6UM|ru{!y!;@^ zg9kbOUF^T62QL3_=x>?T-?IsIE!o2|AJ}K#o9W8K8Eq)P)Ga?_3C1t|WBfC4p8H0$kyDUM@3zO>Gzj~Pj^7Ysem*oyuZTK&PEeL}hGH3RbS8>sK$ z^_X!R@@HD(`C>xtdBl|$GyW-|K6Z;sKQrzU`-1yBDE0`)As@d*^tFS_|4i&B&Oef% z&-5zQ&iSyv9^Y(@??sH~kURdF^eu4e?>bX{Ciro|T9?jD(Ay^ z>815!c{%eJ7X4EEzd`_~@`qUSowcKW{bqfjUY^bRTkPi! zm;PscSnNrz4}GwS=$-j%7WnP_!|wjg`WEyX(O-?n^Bw35Oa9D){D0zp=0D1Rre5F8 zo|;fa&_gTJ=WNi+m#}_bUXR%))yw1AZ)vFiHpr(PE`85FPwWAXr$pa#9QyBZYyPu8 ziuT*BIOIz&?FZBM?9X9+vEQw2W_@R4{x{S5uzfju6#PQ+V;#pa|31hA@1-2ad2+1P z-LKg{h5fS7rKj1%Ph-~jV4po9@`n0@eA-L=w3qY$kRX?o(dTGyj;G!}n{z__dd-2n zy0Xu$KL`469>(io`*u!H&TCwM4eCGVj(5(x6Y4tBuS~CVz>o82{4DS16hPmk-&kJG zfxYpGxJ&PIh~E4>Ud(3>ZIxjmsS1pWDraQ>HIFTkFd z`W(mathcx4K;D0a{3T!J{2wJ$nfx0+=Qz&uA2`$H&pA&f)O(4aUf}q%_53pD_i`RG z?fak)K0)iT-<0>k{&?UHmmYnKP~YdSpAYmocAXX90Qo`kfc1gzBFxv4XTJB!`I7sC zeX)`Di|O0XY7gh91!TWuIsaCy_W>&(=gD@mH(4L~uwHveAG3b&?U#HbUwok7 zy+qG!ANcOa{*yf{{m;dD?QizF`!%;ymVG++gW%6~?s(_kg#LZ* zc;|vRzxANizT;dn^f31xoQI#c%0DRQckUnb_2h0>9?l(;^El`KPuOEKUH+fvg}uMf zrO$aYFkX_Ed_I|XTD`oR7pa%u^Uf6if@y!=#r5<%?=QqZ!TD*>+Yzfh=!f%YJ}j^1 zK|bDhi@RR)J_UJ4_6^$u^KjmJ^vmx4%me*Y=zKcH`H*+l!XET6J~NYp9yDubsYBBzlPoTd>`yzk|)gn^N+8$ALqX*p&ms49*?o_=0iW+OY)M>8}paR zdUE`Hu`f6d`glXw<)8VmPoG$5wSNWdm!sBrKtBh5ZpA+(>t*(9{+;#q$$YHOO^A7J z;r8!KsJDaPT3Pgvb#_L*ZwZZTWXKxb^B#l|C&3$ z_8j!tGgf`l2Ya3NU%|IA|EzCezAslU>Fbv-bB8jt^DnwMnM7=DUF@87$#X9}d&4=&)#sdd{zjej_BBraKu3T-@mI}dJA%l}mXa82 zPr8uIR!ikdGCjCMWzvO0vUygi=e@a1wIif@^{qkK!T$c8ro5dn?n9g7-Za_l*+srP zE19h5Cn!}B!H8+maup1hp8M#{??4jWho~+e#BTIch28;u)4r-yvxrJeqrsxa z7|9^EPG3PT&{I+R8=jS16{XCbtmdIIGeI>Fh)^%Rg~3YS%euj+f3RTg#@wFXsr1cZ z$!aN?&2P(Rb83seVT^7WSA6eSp|CUAli#AFbb}aSta~M2+)~I9(vv`iL7h zLrHFlLa8*M8lv*M9uyf>>q8yEm|EZ25$say!yTbc{eMuW#0Z;o!YCQh=@Fe`{_oT& zx>hhq|92@KNiw-TU(A-aCrjAY-a={n_>^R2Th&b+EM^-EI!RnouQ_K{8snx+zI?f% zxw@hqg>+9){~w||Yq?A|U!f0;A=)&NJLL?k2oGieMU%NAm2Q%x`UiT8r3%UF<8Bh%kw>d(t3C8bYpEE$=j~k55<0%)lTuqLLukEXXHUO^Srk ztS9-ELV8;@nllMn@ zLavUVS3fq2WWknTKoeTEoE{(Z?JdSE|W|$8yU%wM^4uvRBpbggh8frkXSi`Ze@} z?J%W(FWT!J(bmwqB5nMS}9V{2+6$aFk#X>}6ik}{_Igiwd zO7dvYV#grq53_ypz66ytL_>QtUa(@@s~Ar_tb*W@v1)}g0rX&^a}&MHkagCu#~$5^RU5A7>GQ>?f@{U|eLMUzTaddkFK$)X5< z`tWG-!gJ13{Epwzn@LwQ zedf7?^58(VDHGJum@`XyR{)JQhf-v!^RDVDSWyW>Ha*nMR zs$i@JG)-JqV!6Zn1nWU>RZ}MA&FjyQjL~dPDFh~{;7&%bml>|TX4rh zM4MVr4LYy+n&}F}Gx{lcnqQ`n&i9)q+hDC0D~T8B@ky0vN8|GF0-XepGcfY)L>wm!eFS0=-0Ys zptLJY$DCkeQK$59swO4OV+#i{Kzeu{xzzsx+Kx6m5VilZwxX z@Yq3*o-|YWOmpt^K|RE2bUahd?08GmRZCS!D=p~;3j=je{y1;Op&96fQ}509_U3by zWHhXd#O39u)psp&96r;O(C>)7CPsN>u%}XM%4G_*RA#1i%{mXewVLGU@+Y*Om3K&t0W_ecQc%5t-b%oR0R5!-Hay4ts1 zvz5G@!HB|M#WC{&0Ge7)C<~oWCt}CYQyn^L%$H{U87ggl60?<#JgnNB)(!u=3~Ze+ zAhC;D6OXUu>KEsjZdAxb@{WGN^_uLHuEOtg6~1#+5=*V=sYkg6g)#in+3ZB3+%#9# zpDPz}z*f#gXE+iKa_)1=Fn<}nsT`BC$+9?xc7;V!9SKv>N?)mvWl|SSmF%Zi*O@^j zv2K-osc0(%XyP0ZwVA?rHO`g~mN8RM9X)1-M(?YnA+%$z&`W4S>~w()SzEk?K&@DP zcQDM+W{HlmGMfL5AneT(!SI9MAkMdMqp!|um9eIf#`&j07O`vdI@UZz%&|u&H&gC> kl4>>*AzdT2qyswl_2ql3B$KgkIYTu#$mqEHCNcH@0WfF9RsaA1 diff --git a/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/bin/Release/driver_APB_lcd_ctrlr b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/bin/Release/driver_APB_lcd_ctrlr new file mode 100644 index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..aacee37144202b53b32b16a05b1089130fea6d35 GIT binary patch literal 126064 zc%1CL4R~Eul{dccM{aJCoAxBRP4m&x(FVOM*Iv&yShjhG9$M@)XRL39G@gg1HrQ^jq-lyXwI^Lt>F&)28$4hm*TgPYV zc&Cof*6|L;|G%cMANS*a+>iV5|2yK-`nzte8#n9=Uq#*V3i+4*p8&rf_v3!tkNa^y z?#EvmzxP+^Oo1UT`GT>nzt9k``J(aE!K5L?7tKuYi%u@OI-SpF*4Uvkyi1iYJea8y z!V=3SSa$mVh2P|V@xm;to~m`He7ev{ok=fwxRAaJ`ba`y&ZYd`7=q82!~Kl(ntuF3)&)*#JnBt zU;K&una5M*rp0)6>H2wxNzH_k?>@I)m#-Wf^ zbjx=XuAtq;PGNduM|q+P7j>()m%=6VmM={&a_t$aEMBXCeP$mR+duDoVx8p|UBs z%u(bWCN9VuL*50JO%m&BoEIaEalrwUH6ZfpMAG^#FYIw+T>iGWIq@p;OXBK z)7cm7hr@-=<*2`#^$j$oPh|_!r_PoCWRtTtBi}ohYaS|>We{)6=bsKp{t{6ye*vZ! z_@8Bl3!SyYpbYEJHl;@~ULvZD8O-W-nLb*W9z9pvsJkY6p|dV?ZWuSZ`A#*td8XjJ zM8mL__2b=%7Ym(rsN+Pk&P^fWf zW*ah}NCdK~t;_pS*YgocpIVd)?iJSjJ;?K7#1i`qF(0t4OBRZ=>diJf;r)e)z>AHR zcqoz!Jl`nkIjCVHtwvskh9jWi$V}SIpvhd8%P4w`dT=e(xL(dQ!nz-@qJA^mln66T z<3;}bg9nxqF9XX7z;fc{`YB|F#vzlUSq{!-IxCO%y*J};8LNH@TQ{);7}pU9JDGt#S)(FD>hF*cQ+2!9*- zLn4$<8rO;afGtx1EswGbP-pB#Pg&F%)@{XSv{j(@!LI-k} z@;ha$Zy5|ryWl3~(P?W3!)6$1|KLeWAdPzDp`o?@8NSVNcn` z)9)~QRqku&fbGd) zA?3?qd%NTzr9ZS>odNq%FI@mjl(vSnG4M-PWB~Q7-`s~D(6(Zi%j;uJ&ebnAIoD8^ z4o1u<^maAip6CQWD7`77(#|r(8t)i!%K^qHZIyvg0)15Y*cR}xr`%1b>ju{kK*f1luBclBY=)|C{l@1@+Ycw`S02Tc;YI%^AebRkvHG+Xiu)3Fj#4 z$FG?Z zJoDFr-{{=MVYsELEZsQL(9;&wl6V_yIT@Q zcX6(Ua|_h*SJ`(ZX2Cvmz{_YejkeRrd*$3_-)Qzq8$2cgT^8h8RbmmJyYajeW68c< zl%md9c9t#nMx4OQRf`4(wL+7XUOI^RE7 ziRasnu^+yubk)N*bQ=w#tE6?}Xe2T3a3s;-6h3^-(5nx8>S)t}FC1<`6G#I5PuJObl+Ulu)pEDA{F5rdnGGF%JbeVU1%KXha%5aPsGs?WtQ|9$&mANVrw0lX5U^V6j%h;yf znv zX!k-gX3nO*-Dzwv+VYbdL96&KjP*g*wZkf^L^}@}Vh(;q*>~(at;cFGJA2>h2Mcn6 z{dbsOK%cB6uO2PjcfzU5%q6^GTP(wW1OMl9&fizDP|jI<4AXGJt)u$gT=4S6Gsn_1 zax5hb?^p_BzQnPl`f_q$x-WzMZhi4|{yFw5>r7UxAI+iOEb2ntBdGg0ZJ_RuWel@k z#~q`=FJ?JLfzR}~4EzLr5tQpmsAI^5t#IGzu85oZ>t9-k612`tZ->EmozDV>Loma z>gN*nzknuh@xb3SlO{RUuOqCJ>qe}zDyr7&r>qKZN|$Pv995Oi#Y2SLt8KtBO*}KqEbQHT5KP z^yeTmi(wbF>?~-_4=jTnC1vNL$C&PLoe1N&DxH6x>CBT1n=M)7OE%eY$g#3rqU|dj z+u*^&d_NquqT5!PVbVRA&YvD;-j{g~{cw`+QO|R%FB{1S=&lpQr7}JFw^BZt(9K8# z%D=X5I zms9U?y#((p=5Zh|+m~W23d_JRfM4Ya=<4o*^Z@#&$PsM=QRrvg{;P8eri)Oot>zIM zT9k|x_X6I-l(FE$tzMZ-`6*@Q9U_2!*#sFIMEM}<=_BmQHb{IFbo&9}LwXJIBpkqX zF>w8|*3kpVS5yVN;?IHG?rqJ3uF*d9CF+h)|KqVpRhA2vps_Kkrb=5ies_SrGa>7smx@dSMlhFmwr)}@IcWYgGTVU^lF>?bix z>R@eKrg_fLBKc>MhWJ;x^Ku8-2(=&V?+&er6i`n0?1S+-;aQp{|P+|4r;hdK9#K zBW(uqChM=WgFj*2ux+mLz zfObSy!wkKj01gGPV+LK88th5)QBQa(L>;R0F zi9Brq@Wkt>1JOoH_QFTjVEkVq*EG-4qmQid=+U58kA~BeEkjfCcKqnHycG|z-tzS1 z63R{LSI5+PBt6;qKc`#AzvY49uD@8frY9z*b?dm}snb@r=*0817Xat&*}{jz++#UZ zGu4064<}@c@8Vrl^V$uNF#%88Q#eiACQ~-fEaEeavaFkHM6e$tpy3GmkTS1^`kC?b ztZ%iN*E}4C9LwuIh$=bwTT4-V1a;{M7S)wdZ}M ze*YQTiuUbh9=zB{{YV>%WPtF-As(?{8UwiI(9jJIyXTt56~jJcf! zWaBpXNdwm^UJYDHKJL+c+;Bjb$rrO$6sk2K1II4yN`I@ zU7_E#v+t!HoF4y>lwC3M9dw^aw7}*JX`Ay5?N>dPu9D-*Nwi>`tmBwse(=a!nFsdq zn4Yg+N`15;L(`Y=@xYQU5+XWE$aNI&}9Xasw19AhfdO;~$GMS~qEhwb>GZUuX3GGU5jv@N$=BtF2oFZz{su$9Wy<`V{Tp^VP-B3*X_`QufE07pZg4HO{xsIjgK@}&!qZLZ$o_QPW_ljM0A`aSBtV*AG3*)Hw&7_IO_Yx1j)f(R) zP)D3EZpi;@S8n`ycAEI2wyUHc2*zchEGPAw?7M!_Dd&-K(4Vrdfpf??)YtCT2b*5& zH*uw`PwA1@y}XVSYyL7F_rhiHKz)KNb z&rdz|{F|z0@*BiOzyFEn{WtXcyVZWGeE$Q_`v>&<2>JHU;L+BswsT#ZhjO1XpYof! zW7s3_!AEbRyvKO@B-@pCNslOnt$jlh@<+}cCBNq{;CKXG=5cIlc(;1s{hX%TYnWfZ zzti*nAN2drkRHJ6XHt&AUIfp4lD45G7F{THq%A(ixUR#a|0g!+I{r87aM#blyVr6J zUFZKtPyTo5{Ev_xYRw+=Wz6F{zUY)O5eG%X|BAoG2i`KH(0 zgp^;vr|)gO(5{e_owGOxr;eKLx5<9?TQZ#C z8pZBiltpqbo&G)I|BNvfh0N&N70v~9{_RROPHtnGhsH&u@iUOQY@;&$!apm!_l0(@ z{kZ$B&~s7Hw5Zb%#qQo2=LV2xF|~*N!UgUgwk6hGW{EegoBq$=QDT7)z8g; zuHU-UxqpAE%=!M--Ebax{)gLN*KCP5U!(r7Gt~3jM)1qM@{f7X_xGluKQI4(_mAzr z(F9Kb&o_J&zqln{-){-)-!0L)?*FXc>khs&&D#4i`J?CgJCAm)&*T5~pvzS%?*2b3 zPs;Or{fu|UOY^^et>=B$E3|Lp{|&0$sUQ04_1AdnYtjEP<}Kv4Mm*)?`u~&O_V4}G zfhu=B;Xy&&at`BO(D7T2Zg z+&$_#lwq2;ear)o$^H1L^^ZVC`7(tb?Keav@+_kNCF}@6I9NAi^}kBrDbxjcMfS>c zUiv{1Cg^GxCc;9vpu@gP*xHxL_4&A7pD)`f+6LTx_wDpe?M>WNWs4}`fj*kuzEtkH z$Gm&)+QxfoIA)PfoX60fS<)BJ1^9ozah-{|dx&ScJ@85&S$k8?f_|w+J7L03nsP}nwMsPI%lIC;x5UMRbr62cDQ+fC#F2QhPf)I$<$(Jip*u=g7ITDKNn_wJOW|cWP3$Ik$7&=QsV+u)0dp!uUb_s(?upab&{73LhEW3*D#leX~dE(0n)Ih6}?A zJM`LqY3J1aQQ0}6OBiRH$lJ?y`{KvU88A42!2mACigf;R(ge@Zay!t#JuWq_U{v7$jdZ+;#*oyr#+yR7oC?z9ZM2#m8~|JGLfPvU#$ zsQ2v{BkAdS*B|!Q%W~Yu*va+EO*zc@1D_@R0pk(!JMo;!^IVJVQL-jNzMtZ0TU2#< z#sT@Bb778wo&U@|8DVf7XnFGojt9^+GUXGKp8UQO7G;z<-m!vyJ;8cmr#-^=(=eL> z!VFk1AB!riC!?D@>2|VlEDPtjUHF^Xy)W_Wlam%7mNv5_Za| zWZ0TF+Y92NrO!zY`fUrp=^13({aHuOIgHcjLRr-?~A?Ic5JCFFpmp(O-~WF@+A7_*t&E+IWKY3)H>U|#5HHHvjyJXi^;O0W#F}$ zrCd`xkV-b#rO-d~0P_WaIgo3X^BKusmRNW|$+uvD`VTbuYY#u)t8q<|F3H%_kTus6 z-$JxIhih)_t8&HmMvME3HN?~2bWGAA8G9kWYmqhYS;&jykSTq}dYfb4O9T3Nb1p^x z(fa0FSxpPM*3hVUA8`Cy(qe~Pvk3OZCiA3=Y!kSW&KURKCXI;yv30fpZ9XvNZ#OUT zCa=F8%0->9oU?-8Ykx-{MV4b4%j)#sNZ&;%%O?(zUz4$CH2#4&X`3xPuu;tg15Lw) z2fomO_Boy)6aInz&PpDMf?*~5=?^8(U_5%M+Izq}Z9m7uW~VB1NUm4p$C-}4UrgTe z=*$aA2TR2N%cC9xx+_x&1SAbr$WpSXp%puTVQvj16MuNAMf z18Wt}TXI|ix4kZIyG2WbC;I_?z_EA# z4=f>lO*ZAsAZZUfO!|1#yJK&1zb=FRs(G$MuH{UAlj9xjm3i8G)1ah>T?RgXD|sCB zc(?RRMtxhjm?QG*#_W?0=7rl4$rO8{-Yf@&^!ghJ$&btlUq#gQ$Vh4 zm;NumB|gc*M}9^5!aYdXLUQjOX~(k2KY;YM{Hf}W@(ue?-&4%5Y~Jx_Un(NA1*Y8Z?9#F!BH?S9g71@rZ@`?2e@yG|J0OGGD1)I7H}SbI zmT$9ra)kLdt~quF^Yk(L68C?p6Oi7a^x#Ar*WC8eFK1KErazRHUH@Cwq0%mA-AG%- zG`VhHkeQ2lQ0lp>tWu;e?~9#k)Ag=_+}{k^l$WO`SF;@2!2IB8mT!>u_>Nq0I6Zlx zu5$s)&a4x8qN~!AReZ;C%u8923|VCZYj4K9y5<8?AM{Fj_agUAK*J`&Zi$M2p5~Xy za`H0js9+sOn+{;kUvcxa+@4%_wD7>^@%~Ebuc^6ZVv&vu_WqfK9K;y~YSrZa8{ah;qS zK>l)_Hffl*Wg0Ug+bG2OuYI0%erKb@{!?vUxEt;8EQ8%AYHMuqnqv`L>;i1mxg&)_ z950mq9hInW&S6+ssOwOts}CTHl8u=t`l3qOZ9Ssa-LK@?N!`Y*{)pgtxCs3ahNEqx z!%KzWz5wkcd%K86cxFAEiDe^lza*S(ggp|TIuB+*FNF!;;&lG!gsH`*?_d5uAA+q- zdSn+tH#EsJT*aK%9&a+kl-(mpBMh{&GL0%ve?+YryJzn91ONNTYoPf)MPo-#wR_k>o5#rgv4e+RUF_o(K#{I*3ES zGg2YXk8d~#S|mNRSbg{FoT%oLA4q!Kmg8LQL8c{xxi+3*1s^Qv4(80Rgvs`STf&h0 z%!bADFXXjS&@Q9%wswP#p1e1E^MV&DPXRW-^)=EW8L?q|d?*iEJ)mh5Lp`&BLr&rg z9JgMYn8J^EyZ8+&{O$qVp`+@#6VE}7>i}?liw9TJ#T8?<1~A`Fe3QkwyxOnKf0FO; z?0~02kPQLKhh!CT()Rvsb}8}=>N5&5AANngX6D1Z0Q1j(=$F2+`C;NqmQ-x=A0*GxUkl~mN&T4&wf<7m^}SW;{5L`8>9{TaR-P~6oIvft&SqQE zw*)c@b=HzbiigaG?DX>++-KwootoyAH}{7U!R}cPOwkyV3s_ zytx>4g;5vJN{8@n40V;CV?4xWj)(K)jdIjqK-z$wvpB{nyMceLhZfB*PZvvo9^ShL zq&}i9nm!k1dd`IjOP%jA<+(7PkCy&$8xscJy|$Zc6B`q>jaDWr%;;dmY_WS}xsqf_ z>(7!A)3SRko_&dA8ckSEg)7+B5b9%`d9tg`80#F4m z%i#GK+qXnPr@jl~QI^&EvDCds#S-)HO~uzg4jI2XClYH1!VA}GIxb6v7p^-RG%=UR zEr{D||37Q+*7w3YIL0tQe4%eCClP1sQ&fmuR0Dqkv%i#Mvo2`<2kasw^ zO>|dSMahsTe%?&jr|Rq&>I-7bJvUspO=N@Hpv$cy!1`>qZX2{+(Z-aqFs1yGMG4m| zvw$yKC&WO*!nGN+nWU`F#7bT(B>ai0=oT2ZdEJAguWvnAhyXvn8rOy$f-k zHG^KP09`KWg^uiyXLo1)ZHi~Iq+gG?2)e7aKVk;@cn%l3hkGHM_c8x4=8DM@tEdNa zWjgTQy((dBiGfZfq6qUpBNehrlBQKmoAI8N;IU#+^wrno4Elq0g+zR4l`W30a)O*! zVt$4BcV^!96{Zmd9lv_1X>0`!*^o6~?`zN6g=g5K9k$nTYS*_#xWA+vtbJdlZK7t~(?GdqdZ+fJ~?v zQ*;GrJ9Y&1-s@D; z247vK-WgBSm{ps5qF3Cz{nmB&^hB>D%{DtV>yChKhfwC0uND7LpEoFc2IV&co?CVm zuVY$0*9XJ2-{d*QN}iSB8GpjjzBCu=u1Jg=s-YtEJ^W)riAx%1| zL+}nXdGcse`Z!>E$1bD+raA4)AtNg`?Dg(xK-OHItxJRxga8njMsC01XAIWdoE6tD|{~_>V6u%JoHGy$+EqRr9w~%-3U2;F-_x%;ujqrRI z>jR$*C>|LXTNc}a+S%hm@=XPK<=+FK<>ScvY4RtYpG+2tioMF$>^S&SK(~Z;K`!nE zZkYz>a-_|L?OEi&4xtXj+}22jp(h=y26AA6eq_T9yhBNpTcUV$FpM%gV4uRydyu|1 z8?DNL28ZXgKEiY7Lkhka`*^Rsr$UaY3eMlccJLvd_34h~c#d`T)+LG1w##^aHZfvv z;<>ND)lXD`zP*x$rOeZ9BrXUfBff= zDcM4K2AjHd-cXYoI~X^nmKE}hO%Z58A4cNc{@TO>$jdqHEr|u3Khj=eIr^LFHQVKS z{0*R<=DRTF$mbZl1B8R;t(gC(Y7CVScEW=(*n;tSCC1(rPvAZJWh~U%!gH7$OJi!^ zC-BB63KfiZIb!+Uj<^tQthozg{9Z>azTXi`*be&hySIGpw#Ny3sV#QXFJQAHcHilU zW!q40JMv*14(Rtg`__ngkQ)QggY;)B>X+xb(x;MZAft$9Z*ErDW5e^V2k!mI`$`Jh zIHp!7=YZCFtUpo9IgB;067xOs4`C-vkkiG4g*YFoO03z8zVXV0LR;LT?>K2EOr+-t zjQx{^@(zH57ze*#JzHPvENH(BbFF&lFw-jIUI^NX4bo4n*Qz9}(EVljRRWI_sX9^9 z(_qzLjGoxN$gYw3dSqW!LGC^&*U;?XWt?LmZ8qM2SKakd!ZU8=D93o5=}242H2U%K z>==0ceT+li8$5gJY!}t#cJK{+r^Z6b1(Zj_yh~=gtiN!-DjU`B`>0Qt26=_KZ_uRw zMluZBUzVY57dW&xihk&mXZs59pX)2;Uel`KbJz}S#Q)IVNO~OYoahc&HISDzygy}k zfn7ryNPjqtjV)7fmkesSqg-F(JEYH7_qLVrF1hmk^2|8>>m={dcOcIGW?xIb=*tD; zp0T0#q>c=craR;v1YcHlngz5O4yz| zwvjIHUks)5KOrvmZPM>lj&rUTWv`UJmY4AEHM>vDh3*+=y7bl5G|kQuYM*bpoPYc~ z+Yh<&sw$BKoClqlIh*Yo>B*fK?;*vPfjb=Z{ZTn~G6u$5(Vn`D;WUU6@@Z!^Ly#XK-r_`l1C_ihy(S*)@6wheR!*hQ*9e;Ak%_e{h^x&^7V1*o1a6* zL(a^G+-=^xeIxr(((zU4{5ME5d4?DLQkkCI582rQ*?H0Co?F-6gMOqf`V{-wsk!Qq zmet9S>Q~xDe7CJPdeAM$es$}wPUoK{&4Kg#*oQgZwU(avKJ9kMvWk=`DoBd~-ere$ z-fN|8g}+g?e{lH;RS&ILb!v44>HIeyw;7ID1wfcH=ibF32HpAmPo z3{qpPWZ7Yq#~eMjZ~D%{>-VTS=3(44Ft6Qd)t2)-E7}>L9YY?p1DC4%ECRFaEkeDA zES0wIZCv*X$n~VS9aut|9s`}YH$ys*2Ayhs8T?UH30YAL9y>ThTzWFpGi!IMaeR>P zlpHCFX`B!8zoLa%jPwZKlNO+FmVM~TVf8*b$@i>}???mChIdVZlWM=VK*&n>;WRH^NF%N#Yg3+UB|P&${8W7tzwo60y8SYf^8kZ(5XKU5%@Gdh z++RpJf;RB{Q$>&b6HL?V909Ixam|jhjeV=u59PVw*J=OLsXMh+*rJi^ro+h7z9eC6 zUXw5&bEBAdEJfQ!j%$%>9@NCU+_DiN_5iM2qm;4BlXCAy(U)tO`c9^5%y%2^&sbt0 zV$R#sXhpSs*v$QNDXY`@)6C0u?b{`671}m`Rlh!`3;doOmTRbD1K-Vr5$}fyAK}#J zSZeyE{I0q=6)|~^rBcV&%Xm8f9paAvhq;Ej67$bdkyvYq(1-7QZvAf3iDxY|t-@SO z+r5Gat{&pHDR1JC5;UYnDgHV8kob4W`mpV@Jz9>VWhj_y36pc8Sj>! zM7^h0o3k>FyerKNbNv=S1HU+aRilk2^kEh61vHc&LeAjG@-ujMt=`W) z?WXHH#dZFW zRklkN)8|P&Y(72^7DBI~N98?Y>HJCBKWO8dDS1ar{yEl%_}#!itoNNx4>Snk@l(BS zPJWxuvq`*L0Q zhk@TJ{4U3Dt+#(&Ss~9tsX9Ac9&_)wK1ZHyGxGq3udMX!BU>Vv!WcX5?jJL~g8TgC z8~E;4$|IDy%i|l+m6E>Q74PSoZ;!gy{0^?sXDf5AJo|+5eacDSr$$@+eWnr58|6J^ zuZMguvwQ5aDqDOUeNmi}_iU063U*1o5y`b+PFKWzJI?Dchc^%}8%BMd!s7b0y5r|| z5jfhI5yOqrpQflkB8+4tC-;c7@BSLdsIL+SwBI6qO3^;yJc_!rk(_}#<9!%&fTN@r z^YPKfoN=r%7awgTJjKs)-vIf-s5<~Spx@jLPUzOjnF4lh>bv%Q* zG(DPfjhtJGH<5P4+3po(ud$_#D(_lXvY_B2gA%R`ef5l^3WmoBgQS7lr>!TRInow& z6oa4L@|uS4;aone@0Y=xsElhRS-?C@zYN_+kjZtbALmx3B00+B3sk&voN>4BD%1Hp zS%x@ctVn+^{NLiRjp_cDcSffcaSfq@YkAczwkYO%;!QfB%=4s)TR-E>@4bH&updsT zalfX=NVE)x6VWW}XD8Y=zNbM{?}>ckcY9{tDE35dl)iy>-zW0WUB!xa#d)dMQHJ+4 z*^U(jYgTjJMAP;={pwEodgMLDv}6PQgg8%51Xv$z-Ajl|O74}Q?fOJG8>(9e`c>;X z_GIhp*6Dpy&JU!IAJ5kxMSiDVm4#lO9Zu(u?})bL1Z-=zKu7mfm@UIWCrmtnV=>BHeqTx3xP4nL z@QXT!zJW2RFHjb9^lI2K(P8e#ZkJ=?=2TEr^Zw=Gx?b*C|FTna*F%dl?`nRh|OKN$Mil$p!z5xY#`l^HublSYZ}zU15g0055EV zP=~N$TURE6?i%~iAm9o?hF4gD!3HySw84z#>TB5q6gb#20jo9!0$pf3&0fWocJA&vVn}5~UbRKN)I} zXFo0(6dOJdx%YQ!4p6X4;U?FLDv4M73NwOuI0|0q7J#iM7svDL?qKfk@Gge<)rgni z-NzB1ZTCFIcp>7SLA>1Vd7AMM;-7~;`KK+@bSfroFb6K8zPkrB%La4dB*p-Fx*2s0 z1|h$KIhlw4aeU7-FC9UTeoe=X{c4>qkOkjtU1G(!&xG_pX2CCB9?V4&y!S`+NneXV zRPKT6y?WZ*-SQ4s)6jbpMYQ?a@?#;%^GY_Ip?-AjL1q6Xg2y8k={Da{=RgYB#xr5* zn=adf4L*^R`-=_IE{#h6656W`!nIfF^GjXRfMU|80IS^n{AYL zn?E7#-;IgDF6B4!ww=>5%w60&^VD5N z{my#HC+O3ghE+WuoEnqhgI!8z|2^x|^|YU(?e}=vzMl1=%wueu^lr;fzI#ylvV7O$ zyAn_~Y&A{(Ajo(umzh2;1;l;08u4kG2&Os!l z_UF|3*Agp`DoI4$ZyssCuGJlJ^D5eidOxb9N7^bytM$B4-ec5Jo){@tIMtkLNUXxV zwMF{9qAc<*k!uJ_S4e+k=Al0b^SN+QH?wWn?I!1m@;>=;^Ll<$2Yg$^a~J&X0>3?= z=bJ;Gd8qfh0KfOlE3eVIEXcXw;JeIO-4XMR$`{a#W1dXEnzwWOaLpnE zmcsNt?LYK}p}FZytq_-WmB6MGn77x;^C5xWL~9;#6LO!n)}kKjFDWB#kmnyMC-}_& zz0zj~`c8f0qO}9hTGuQj4nw@lA7weO@2=n-jF{Wko0oCEIRIEG>&OGhZz_3Gwq*b~ z={jm%|1{dRXnQW(jlx5X zlCOU3%7JrzlL0styR?7l_ZlWH_xi9WBZ(4>k4ns)s^~KcdwyHu*L205HGnayVSI1fucF#8YB0sWqg%i4a zg(LCBc$(V@y0ouw{)A2J%&>`_88)%=5}R1o37Z%?s$?)opHeGYX9s30n>gXx#Kp9U z2ZD*%ptPH|&qNH*$#;7z%L> z$clll-u58U?b}6Bx$-qxlPt-PcLc2>omL2%@ox8+OxtJ`!ETuZjl%BymFpbs;F&Jy zSn$D-4c=ZO87AN55H)#JQp}xXO|4s zIZ@6VkoRId$5_v3K`u%-cSWUtpS-`mbCKoZ_6F%6ChxEpO>z#5xz#ej)EyS3*#f6| zC(kEA7xsjtA8@!gBIYE+pUC$_Z{!{f?3F^=EoJ&l2hTomzE)mii#K1&Z~yg)x!}Re zvxOVhaePYI$+;!x#oH}|Ye=2-3ZAg??G4EJ!JUG3UzeQ26A#dlxD)Sgt|b9yjxi%W z`6A|}QPN!V<5_cA@}@hN_3|6%vY^xTF%e93aiP>v-|6L>DmwQE9)H!6(ub}PJ&XTqwbtMUjguo+B?kI;O8dd%C#D{lMU6~L|w*t$8de!O-x7Hr({|>e>2iPg*HB))MK1; z<>@)_1-!EP) z*LjO=L4TRyV)>nwQrZM5OU^NV-w|@Ir@i)-`gBUIYdImv^nyLJ5_$W}W{7@!`*`;l z&!G}f=%F5$NY?FSMe=)p6D zf%#Z>RXYFNzCt;MkFcEEf1t(es9FyJKUh)Nl%YEAvjr`&1wz>FNpqYvOB)O`Z{BCg zbo#3E9q&h_-z4nz1uT=T$J`S7iqA+7zg%2C>-?y1lxc(oaQ=?(c%}^TkbXbzmSNf& z(ljW~y+1)%T-%<$q12hg1@g24d{&$cTE(0r14o1PcOidwP!!wSrTpdD$3RE0EzdiG zyLg9iF~9BFD)Az&z-yI+3v=jXd4_T`ugfm?(uC=fCc3Pq$s*DdJa9_BH()PtfBG+^ z6Y5ZO+HOwK3D2SCbpA;R`*gl>osa!~M$$8#|4-&|Y1L7j;5`!Lxdrn3p3t=`Fux@| z+ZV&OHLRko%?U%w7K|0b?ZP$lKl@Mj&FnSQ^Y!w+j1X)Y)C0Y!`;ci>%FmXzMyZFt zW46Yl|O^nCrL?wuSb$TJJ2EYvqtp z+nTNFdzL4HcP%x8!@*2U?p*|(xZazS`(N1*=vkMEW$F_KezbS!Ltko%l3O*uMWG|t z>9vXfN~$$^%wJ2S4`{bq&naN~NhM>S<2tXq-k@cb#E&-KP|#dQ|Bm612n<6e4c3c* zcize~61$A+@*|Oi(Hlv`IhQ{MBS76x6niigv*tKKQM-9n0#u0YV*1uqrjZJY()Pus z;lyBz2;NI*OFue&4k8Y5^}Byt0UGt?rsrD`xh5ZP;QTTI+g#mARn4=;&zp%jXi+xO zm@vrmkik1hzr%ow`;pQgD5Cs<+VYbl^atut-#Vgi3C|P#7V_KBbf_k7pf~6idpH&0 zTCgeiFv9v<%De{)Hc}uiZ9CcCt*mFP&Wy`BZyjNGijco-AL`i?NfaHuQm%1DJA?TF z=0%x)`n2$jwEcjn0nTGQ`-SIP-Z{_b7T&FhIQ?)DFW%RfnRkwQ?vwR=NsjXuSkBc~ z7+x9sHfe`R|MJbCIsO}=){Jn|LzfATn<(Bzr_x$vS{P|zomN1eLRt`MK|NQGK=;Xd zC|l^~3H@aPrc#$j_}x4Ec4_~V$a|92KFBiaIXrW}vZ;M#0(PL(M@>i%kf-FCRdtSd zUQ*@FOBilX%;vWoVIQ)t**Y!6bHhmEK1)ca@y^&F?MOba;J0be2IBHASv{so2=B0J zo9oj7p3~vClhLNp&UsKhzb9h`y*#gJUfQAT+`vinIs4mVBWyetp$~~;aIF)4SI9Ca zo6@KDaNVXbeTwUqeE(3`GBjVak3-~Z#ZQxeWP8b=8Q;1*7wpHJSbj5Ef4`Auu4~l& z6an6g!81e{H&u(lkBsk)IPtBJBlKDB$GGHOW+*oboeew4E=AdOV}iknBWXV2`>zuqtN6f)k4)g{^T#fOPe`TI+ z7~@}E<#3!EJ$QF)wIza=mgPlPb z2n*rZg*gg+yPgZJ&*Y|IxhoYDbK5UY7%m*g(9UtRr_N@T{0sB3&1VU3sWn%_6(C%i zZd0%v11xM?eq*-J4j_FWZ4~<2%KK6wk1(c7_MkuZMB2vXT|VmUYa#NSdMJ|T`55~7 zyvX-Vdmggddlr##{4P4`g`i-26h;)x-0jGs;7qon(3N@VlhHhw^s>Pfy;$_x;MhVybTH z5vI4;@_VDJlg9e~txFQ*Ey7TJIMg=I_bC7QPPG>Pc)#)ik$zVL(yv2AGj(!Z>*qb{ z+pNnwjJi(_G&pN}!DH za?i0XKk-BM1!+#X$L}VkK+`Pb8^%er1G0#8&SCCOdE*GHXfNc=mWUa@kM{vVM-0)% zED<%y;!F+a!rj7}efNr-!84%uUz#vFVe=$|nb}zkwBZ%d<*-foy;SIPuEQ9h;qUIJ ze_W5K2AvJ+kS^#D`90OB6$4#nvmWlZfoG=bnXW@rqmDna4%&s;pzV%p?t7h;#{Eor zXA0(8d(J+aNdxKv&c8Cy3wz{QFKJ)=8+|w3zT~`+{+_8~5vXl#5wwSrrf~fQ z`AuBzvzBu_ZC;azBfSFYv5*KNZ|jNfHS+F!xjuq-7lhTF0TKp7-gziEj~=~ijTJq7 zsgpQV>m+V*M02|%UgeoTrnqOvzQMdKj((|f!mZ=S!rZT#;CYTRjs^4w;VKSuKE->? zdgVH@yfZ$PZ2cqm>_fB11>xVi)QYyR03TLZfoh~}sjy(1P^n6#kxXl)L9*l}?|ak6wUh5S`^#DdbWO{dBvQTY+z_GUHOF zC|Mic1DO&MVbN8=yW-{8pU>|rAA^oYJ>N+|?(2P+X6h38jqpY@&U1m7(^JL-lU7@P zh-)*A(pHq;&B0g;@LOoz!ApNk+m=2>sPB#ejOT4j%>t)E^2NMdlkB6frc~Xd-JX3G z@U$u6r0*SVl!zToiqHKBc`lasGSo}DmkgthF7yR;6!=K4*~mIj-#sho!<|1$oVa5?Gz9)W+b8Vi}eH72b^mnh9--jQ26wkNx6lFYnS*t_tM`6(?UMM8e^L4ih0|mF z275B%l=KvHZCviD$h~;*;rsVS+s0rss6Ca{j=QI_NXpq>s|NPn#_k5IhHJC;&2d^f z!=h{;#JPnip?}>FbwEk$BxqFP`V2w-+}Dy&`@6iHx zj`YGOfd8C+HFtc@iL{Po!_sGluvTCk(oX-ymT(*A(+yQ}z1^vx-yY~v+{H7u=m4&x z4P$=C^;f*F?G8Ip7;&!ic1WH0{Fey_`lFfu(Z4^|bGPk# zVXq$z=3+y2x#-b)Ge+2l3e2cO-*?DvH(lC83dXPZhs~(klXohj^lO#&6X2xJ6X9&2 zpOJ!-Yc;8`X=M4WrUvNXuoJ_3$YrM<@9DD=#e3lCU@mJ`;e8zM=iyxy(oHpQpT$^_lS7(OF7qB6?rc;(BkEu1*&U(#Q|y{;+#teWD_ zMY`V?o!#$U1-joC>3&~ycE97j>i0#u-xsNVN4oh+eBHe$Q`3$9=_(L4jB{Vf?Z0k} zThz%ln#+gk)i=o7@-IHD>HKN(jN+BHaeY?e6OKCTjj{6LH}u%}4ckEf(AREGO0Sc1 zo|-K;dDl@9F-{%0*!F3UdK%L)oXWqd1p<9ah9O)iRV#Em3FEnU`f#uo0M-<#hkQCeyI6 z-x5WiP8rItmvf42cwxWpvykjF&tA!Jv0N|aTFd*mHck7KeQ|iPh{?MHwww6|=wQb6 z{Ap=2*e370*kW46NG~0dYv1NFv^AG?F`le7-%EcGxqq@+2wKK&Wf`R!sg zK9rA^>wm#BK%H{!GxD23?Jv>@I?3@8F|XqNLGt@ljpkKy-%s@fS!esKL}Kn*c(Pm+>9HLhx`OjRt~ax9 zq%ARrdrnN(eS&_#`zzFY;?F$}=+FT3=zf&_8BeekZau@7*H4U@%$OvkaAPoiI&*bg5M2)`nP@KOMkCa=6rvDR$cS- z|2X>ihEq+>x|H7Y<6SU24Z(Fav72Yt-Y)02%b+9YA1#&NKxkI?)FR71E7}GK>n{i^>Vn<>2cE0M^YWk_ETNBZvNkvU&A-~*S>ODlpCQjVa<2{Yr51YR z;BGZfsPxpk1a;lirC?fCX9qvcdp(k&y!_7f8o5~TCHWAu|QK|98A_g*8+TOxMwJ>GkbcB=0aaxcQz zrTqI=w=XfhXOZ_|PIX02-=Ct^cY=@I<5ZX2;zZXyih4#+kM=iden{P0$@%4%*wUfp@lGUO9&G_c6AO|L-AvR;BZI@c)eOh4cGh8~L4Zw&n0nN4fSFd{=6dIh!)w z+0@GWu_X*_U*4x8?{cyNXp46bNF2G2D$mvSE?gD21AhcQb=xj8!+QN|sr;TmuNk;c z@t{Gxx+_fg3~>?95X&}}boKouLX0E-IR@s3chZZ#OIRHMnEhG+$(1J7hoyK)}-o-@tyQB^H!aL;NV$h5| z#C55r^vGZ&2U}m0g8ld{mf5H7Hh>-a z!XsQOAs>L;CA^D>>j<1XZ_#6vI-T!$4;<{%Qm%a@Ly)`jdjXdP?+abOGD3eOwa&Eq zJ`?XE)@z)TZzpwEzJomKB<6Iu)*Som#9;NW~9WE7kC(nYZdu7hL--X{p zeCO1=UUi?u7IhBx7r)dYzYRybA@IM{+ERdbGNbJ? zPKUlb= zsW3td#&{1nZQxIl|3YFw#;c^Ciio@SHMw;cVji#ke|fK&wRwB=io2W|xlh6ULdct9 zewQvK?+_PrAbW;@%MYGtO%Jes@bnOMz%5SE6_6=wU73P0I3W2uYQ@n$znf9d`_XhC zy*H)yEWmGLe@i;+=XaA1`uXY(RUOVWxug5 zw&V8S6EJGnXMlV2k=pf=&XhSJ$`xV7H#=haosPKB?Gwn8obH$P>=*V4%dk(5$i9hQ z@#vJyY07t(@(iZBOBj8Z!(6vU_1Bp^*X#IhK;ETiaV}R#`2G{=gG}p@zHmDzKSx3f zM?up~lucmk8p;Wdvpy{&D$|oM>`}gZV;IM!lxN8QIpTmmn&QD~@U-@?AW!pqN4(!+ zH}Kz2`mim|Ke+$q+BJ3QiC?h46+fMRY?_}=e}9^vPJd^bpH62wv`-kG4=CQ6_z~+M zZ|VCf=DB+NT)LcmN88lZ z)AyiEJivQUK)VkUcYQYOgI@W-?}u+u_x(VIkI}cjs!#5Zz7TU|J?6phk+cIJcS9C( z-+?kg?y*8Ha7}z?h4h`glH*9r`0Hzt$CVw)VvF|%@?7K1_73RSf01|RzEQ%ZKtG;kQ?ZV&ibU7T+f?FZZ7Swto6HOOw-hjR9YOoBDVM>vY`(YW z)+>2mAMyP;^YWY7U%PFc!gFHJh_t<;YhjbFrTt951JJ>Jze|6k^w*?=hX-B_{jiok z6!z^F`+GZSuX(}YGiZ0ayx*lS{cI#XaSiQM@|#Pqq>1^)S-f}aLB)IHUnfl9n^SI| zJ)vZ^w95g@5BVPLe39)`lYdHt+?(3Ta}?>xtU8}IA?4}RcM)Fptk3voy#8vQ?+I`p zVW9?>@cy7WIawdU!`I@5x@4ai?9A0Fi zpBCivz0ge^^7{!P`F*gRQ}+UfI38+y)tQ-#`vAA}xs8bmeK+=ebq{Cj0PJh}c&EjEnNs4Atl>8-^jcJ}h@a!$K9jU$Uf#bg{Q`7bE7JK9+0MoU{dTz? z5|DS2hFc%Q@2lJkBn**s{utX=_B7Ps4mGzllcOw%z1I+EA%?itF>?kf4sF4khV>5W~eEI7Any=RX8GLoEm#;{pbMckpq2NROE_AQ5 z-N{q$V|?fTzj$cTIe5s_JapN4@R0s~bAB7+t}Vv>2h!>l_{N#RH{1VAzWMZw^KRB) zB+;VpDXE~oJswG{>I>VL6OVmqi#}(JcNg$pBPVQ?bDpN|kU2%&SoTa~VpXou62}_l z`O0{|^m946jOPe-+1V`1_eq{ZME;)ebrZeZ*ALs(!_kB_yc9Or7t-{npI{pI5a?fa z*1bjYT!OTf|4DvJq0({h{CKPPyruWfD&|Q>+kQ!3X!}n^b_gFrp_gCJn z_EDUe+GBBI*@)Ak*Xu9fnSo*Yx<#B-wDDJ?t5fo9wJp@Qt!#0!xlQKbI=Tn8lHo>& zdlG*|A0YS6D!y;a|Mpwj793*S5(Pu4h;xnnwu8JM%b7aIdl>E5J$9hk<{5UtQLN4@ zl+)KAar#};S92Kk5Eh=<#kl`f7Hzkr^UtF0ugbd9`F*65tfSGnjQ29(|ElhYV|7KG zHyoAt^ShDG8o=E$#P7?X4*RxatnVi$mpgAjeMiAZpX|`z_^C_hGo*tD=UIB*7H2=T zpnS68fO~$ef#`&{O4UGT^j#Lzufndcf*AEj5c3*Wqq9g%#805$$c2^ z!6+Z=5Z4}R&u2eI`82akV683>8}#?`O#EKO)ABn8*+_bvdy4cSLtPD9xOa z?kKB3pBb5Ye$T?j&*3-DYPr`Bn)8m!deQ*D7|*6~Zx+w|#qnH~(SG`wdj8~hGUz*2 zgRv+0f5!JN^uAN@>*u@g#Bx2;-&J~lN{-#%u2y5g{Z_Ktdwl8lG!ou@%}d-e?ahhl zdpV}>Y>?+IQ1>Fu8<(fly%-#O7b{vOmg;hgr`u21h+o8YEhVSqy-CG*zMQg4?@bnD zAY0n<&;1qQ<1^pubB2x5Z!?m(CbOF7YQy~gBe*2|gpy&u4QO~wb+1nNm-_p;-(M*2 zYzqH@aq!O!Su?ARdS} z5?0<719&fY`{SkOlk;6K$J6NNi`5uBzkYt{cnB{2bH>9t%Gdun<>mMERG);^n!#_M zChr2r7c_4?HFJ+m`r`D-eFf?7I~d`44Oz!L?r{u8_+1jo6SMK|YA3RA9eo@TFJYYf zhkGC^PDc1WuHQbUd@@Bf??$D+4)f^x7O8U+Q~d1m>D>RPz3UH(>bm!5f5Eb#Tz&;2 z+1CTO$;Jq-h%c+S*X=8Ykq^0d2xhsps7J<%cQHka;U5k!MYFQ5!Mw zwM-jloK9w338u6YC)Aph_+FaDVuz&7lr%BLiH7(6p8LIcS>Y8slS$tUXXbOyukZPB z&(H7qjqBVv?*EHbzb6v?#`n0;J^A~y2-P8zzh4$_b{*wQ?Wd>zg6@ItxUuey@y7Ba z_PQtJ$Ef}}^>mm%si({P&l3IrV7zAE(Ina+H>S}zromCM7aZ?5*6+uX%^^? zcZ)Ml`Ozgk`aV}NC;jvaVdp@9&<^{P9;;?~#tL-#ng!k72L7qtQ9KJ(gK@zyoTsNh z?QIux3^lz4P_Drq{IY{ztAtDj~eoXWE4NK~OJ2bsWw8V4h4g z<)BU?n)&=J1)4;n_k-Foho8k@ZX=pi;-A|V9OnY$ugEP2_4PUYUk2u%5KT6yul|iV zF9n*v5`8kLugu}61I#}p8Y`$j=sm(Qe-2~%--%|BXKIAhoVlp1xo#@2xX!XzUKh*j z=UiSP-;#{-`V42uRMwJ>{d2fCZLnBzM)MV)VMek=zn9Ve*ns|)rF$^$3=F-^iF=2| z9J^24wT$bo!c5ZlqcAZ)*}j5{Jrpq3cA3W7OX9}bAB+^qIp~KG&h5YhU9{!BMC1R2 zHk*w6+WLc1aQI?D-b<(-1eyM8i9T+{JubUuOQKpfKq z53&{Sj8yP^JHGAw@!(P!I6#&n>_AO!oo2Vde}07SjCf{CY~84JtPA&F;XYO|*8+8- z&Bdp2PbHpFz;&pLKr_o8!o>5w#{M0=BV&*SyNDkU`4Q3Ia<$WYbDxTHOI9}H=2#`e z_jWApB{9q0;C{l9*K>SjG_x&>Sz2R27t#4y1F=$#jhAZ9iBe7aQ>m6SS*r1mN;U6P zsph*@s`+Wmm)$x9@p?_2Yv6qh`PQqmXz^}=I6C3h zM2r3z@fvoB{kSaVfOt6|UJjlU#dvk`+4(S}Lb_^pEprv5ADhz-cwv0^(9w@HPcb%- z$+=H|BNxvBCiE{|#a>vsiuGeYKPBop^4>VF<2(*&0P&I0-0U~dqymj&hNf^%8hkS% zA)Wpi#(YPhKb(mdjOT5FuY^9bo6fnCI>Aui#Tfh>%XnV=Uudf%`{%o&nMN4s zzn+G9;Ry$0SdL%F3O2rn@74W?Wf}W>p+xO3Rr-MJ=6cKz7nNb$y!@l<+>OE{S?=6b~2pQtw9afo5GWdu7$ z@!ZQ1@xJz^L0hl`$AtL*(8u8YYU_^_aVy3vhUZuGJz1sLo}ZAmbzz%xRARSbdBbyK zSO(AAx!BM8+$;KcZ_tt7LGv+U4rS1;rnSMSba1(v7PCW~bw7pOMZDYmh}bv&3EB1&GF{gM5>E;2kjb>CRQ$`ZM??XoU${G*{*d+GWPq{Zv9PSyvI#4 zxw%v4L986-EsZ#w&lCS=xJd(ho5{`XqL=^c*eO~0;zGu9t#=KYEMwhi;L+_ZI1UZjnB+&@`nyTGJ+ND>3ZxUWqnIVXeR&liewk z9qN}G`X{k2tX8lt*sw07(;Ux}_fTz=4^-=Y z8q37FdTk}k6y;`~zI~?cKSR9Yjk;;XN0{e9In*-q4jqO*_tJ7bez<4HWNt-iP%Qu{25h4c~Ble%|bo?=CPd_QFTPkg_Hd{w(o5$|3@{@9H4 zX@@vD@Xk%IY(1jNtWy&F)0);Zd9|iGvlidS)Fh?xV209&ds6YtHJ-&1_i$pnd6cCs zqxvfJ`nl;(fVa0B`Gzzr@Ge37jWN#)clF6JkD^@iD4}tWQak8T!b8x%4+j+WwSdyO z7dpHlk5V@oP$C}%l-{X;qFoCp{SHWnS6N^9RZnok6AoqIRo)lwhx|NV2ub`D%P@F> zy}-XG3fi%rV=JS!j?AdF!>LW{JiN9bzPe4H!aqCBSlBjp{1*$8wqbs6maiSTb7P8Hh+8}P?g|H7+pkZ(m5>$LGZY^Vez4-<$lHCl7H=@}T5r;tqlpF-I@(i5CF-i?tlQ z`>0sI&%y%wl!$B9G??MuvqW5%r#*&=Uf_+;ZO_b{2XnQF^?dLX&mb__`6fd@W9VlR z`iXOHpf~))Hy9G}9a}mxmMZ)Nx6j=0Gx-9H-Lrvb>2}G<5to|0znG_Vrz8KuHb&A5 zCVN~e?sK>Iq^rq5W6UWgneIY1_};b9?^IpHwa+7BT|P+zHJ)cQ)*1BnOxz=KO{ABp z?=#f@hpzs1E^ZB1QW1K4{)I^EWWFc0#w2g|u9 z#8Hs@e|dDvBW9yVYMR5mZby9$FMiAua-pgi&8v4cH8(WzhqiKVc5X7)J&8BpyqV8( zVKNs{`$|oH2=rUZd4T&`JQ#H$Dw`TYyQ;%CS(NL)84QJ*YMPsG*pc~`rtg3mMBIo6 znePlXe|wfkWd4w?>=Lp9skP3HG)Dl7luX2X0-y-wmI zeG$o9gIk5{t>0BwcekmuxS)z!8E)@$umqOKoGb~4&{2;(wp>PHDEV&j>QOPYBB#Lbu-}gmn&qww(QA z2*`&W^*h3k8~Xbub$R0y$>+a!th%8rxbf9}-Y1pepKbh2c}C@PkAJd}=;Y-j-$8OF zxr>pWT|9+ynGxQ@4;A|TYu6R7M!Po~VFWYrx3GrnHA(lU;K8mzIscdFjuU>bSr!jxl{^au7jj!waJ%85e_YfV< zbMBcw_p&}___QUiy+^wPW;3RDp_JzrY9VjODF4gZY++@ev5?u@M`iXqC|mDrSQ!0i z z6TAc;!B3D06@*Gc6+t1?5*i6D1eMT9h!A=S8lj&sKsZH+5{3yQgi%6_FhQ6kOzF79 z2u^}T$RTiom*6A#2{NIAP)VpFD1=%6TAq$4H);wH6x!n&-edbK$?vp=CadwNx+Dg!MK;f z=$GNv8f<&_jffMODDE#SThG1sZ+-M`zNV<8sASP((PYtN(PYu&vo|Sfu5AuCg@fBz zQMjfh%!)$6aF7*kYi?#m->Pn6Mb!;Ech=O0Z>>wf*#K<#6J$mzcF||Dcaoe&wl*2< zEl896uXE64lN#tQ4=~H+6O7q&OmsAZ%I>&9_wKMx$LuCLL!HM=b3Q;fz?j1vuEiwV OZkYaYC45)J)BP`|x{W9R diff --git a/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/bin/Release/load.txt b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/bin/Release/load.txt new file mode 100644 --- /dev/null +++ b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/bin/Release/load.txt @@ -0,0 +1,1 @@ +load driver_APB_lcd_ctrlr diff --git a/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/bin/main.bin b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/bin/main.bin new file mode 100644 index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..26cd6da0aca48be5e0600e12e950639dfabf9e47 GIT binary patch literal 154856 zc%1CL4R~BfbvHiyq18%SSy$59)`uNmOR{AA6~{Kl`5?N00TWC}TM9|}=*9^Ucqs`j zl+XgYUZvn9ZXzp+qR5ck$g*OLld8s~ifOW&C4iv;WYspx5@0bVh|~n(m{^G=?f>_i znJZl@HZlC$_j%vTQh(E`3rz znIa$-ixLrKI{pOnsC>^Z6XLmzcqkMeP=4@(AN=44Kls59e(-}I{NM*a_`wf;@Pi-x z;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$ zgCG3h2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h2S511 z4}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44 zKls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I z{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a_`wf; z@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW z!4H1$gCG3hhyQ!R7DI?4L#*EXME%tPyg#r(hzG9{!mw9=Z;xRHwhfws@$PTtJM+KB z5EpI!R{bq!zMETSyq`Q<`Ln^(_3uXgMX#3szx(}Rw3#><_C?PT54;EU`?LBzq~DL~ z_ppBdseUie?^*pG(eFp}d!c?G((h6I{saA9q~E`%-;4G8xAl98e$VLln0|j;znALw z{rY{Det$&2m+AMteE;7~U;o{I_uu_@|NZ}t_i6oIcffr&>P&=8k=!8p1hX$bKJGadYblZ&oR<@4!vcBmX_ zZ54GJ(se>uV#R!xo&JB}fAT-_tVNy*dk6BQ@~4v`5e@-P(ntuF3)&)* z#JnB#zxKxb>8IK%%rxIK%Qmg_*5!S6%d<=+a3CC(1FUd+#iliYv!A%uqTQL$rVYBD zqW;QF8)noUWW(rd$b=_4mzA!b6dS>1vBorVZlB5)7WLTF!`kr*998T8A088^HjH9Xv$ zx&i-l_u5I?#!$~EJvdYW)-qj(O&l5D zZd|+a5MUwgdN)m#H${i6Uusz-*FDupVF{XXDNG= zE_?Yo%6>?f?N>Yz*skCAoCVev4QuH+VEu*ej|9d|#aYUC>GHMbDE}s1_dmOEMhjC3 zFAtA4IqNZ=L_hL-;@cSS^4+0AXFXtbOFC;FJzM@0P0oh2On2669IUqZ%!SUz^x1LT;^sTq ze^J0y|2Es?$@s|sojev1HS!e|^7$vQX6IHG25>-0?Yc#Kq;{Ba*TP))HA1G4{ z`B$jhvY2(qd?FFZsJhno1J;WXNuOGj3+@xv{JqHYa>Nn?hL{iBHYN+j8E`X=PI!M| zBJgsfB_4_70xvd7dJbvaNUM=^&~OAa9GOX*88n%zd4}{D^|ZBAw{<<^i0gjf3ixKG zDG_FzZr3PqdBKCr@pIsE9Jm}mS3iZ!&^Y9=mW|H(Z!Av4*iWQEa;_E7v^;sK8OraU zdtKgktoguw!Bo8%f%~I3slIy93ZNe%yq-e=!nq*&}L|Y|pXzR~3y=Jzj%Wcog@!F(riY2RWh1Dyd}n_ z;uGO-Ab&`N@=4=5u^;$_h?{J`X?V+{>;k}yz3eFqm|-kL^peM(1D?_8AjaSdE#=2GZ6Q2Puv*ds2e{r9kn@-vx z?vSVKlIb+dwUt~wn}ZH|hBg9Z{u?}aLl=ux+D4&mGN5gfH2#m`{{fU8XjA3BdKTQC z$qFf74%s^-4=Mejr!iK7v%HZmDgdsH0SZYmgT%~PBf*~ zWt&o$;s0X%UkP|M?S-kuX!Dj%HAWVv@pd-YE=;!$wrwV!qkxa!Vz!y}=sK!(9XEC= zoq0wbmT26A-)Hr&*YiB{w-LWC{d|KZny%N+nf0wG){WYak+*BPK)&Ip$BL0*3e+=)RoKe5!$sZ<9 zWg>|v`2qY|tz|^GALD~#D&3d>AI`p2>NGs#7ll3L(Gvp+OUzv@$KISoY%nNlDs<9ED| zV@v0I2sQ!pBnwhGl)1Xf4txc3u|nEj-Ia-fVa~C>sdRxQN|(2>KSAGBcEJQ`IgH;- zx}iN^C(TGZ;t|1oWoQnbZ#&9<_=1wEQ}=7}Sb#Joe1M1xcK=+(ood+d`( znjX95P}5^uGfj`(oNapSv-p1l{@*n8y2t(=^WB8Sg>R?xkeRETfLzWo+7Fi3Kg7ReU$b`Ve95w2J1So`(%F8^5B= zI|okbu^P;j4V-$oAQ#wwr+EwdWHov9Na4V7r!GC0_=at<9RCgcpI;65eU)`La1PL8 zn1&N>9@S}cxvnsCEIlj7Qo`_#r7-3b980P%CkLndGT86Ji>LC>vtJ1_S-I(k9N=aE z3$RB3`xtG6?vQ0<3Dm5OPY9K zuJXXF%oYv|vOV_Bv>YcGvvct)!Z;Z145kCbRq57X;0R>@F!EDBfoDW8HF-_1(!Y>b zm8Fz{Mr!h1)RWND9gvyDZ9#M8u7c+L;Bq09EM0Vz@ebEfFpjHI`4<__Jjt-RGJ|}{ zCOZx}R=!)be2HTlJa~xd*{BtLV2v3j-Giz8sVwuJBOUtT1k(ZMdBV#?(gM2cINLHO zHThRkKAF(Xhy%{=h8zo1N8$M|q)glCU<`%t+g13ub^OA|Ey_Bi9p8=dgfX=g&u`19 zxVIvIz?CbjQj_bc_qd*bG>ds0$jjDc7>mL(@C)EK=QwnAcR^|p{Zr(Kmcc0Wv#$Sj zIfc_jfNN8(C5=tHl#CT$2EK6*4x6@b~GM*Gm0fE}U!$A5u3tP#+jIM_SU zZ+7Sr;*2`~f@^4O7vkPbeeiJMqsB;pZo6Dto_iE?o+#5Wo}e$nkn5({zAO=h zY#KWxtWvv&{Ul~d{foY`MA4nly>soJ<~-nzCxeh(j#aq^W76K45YP(&@WyCQI1%7G zXmvC7#GqUwoL$>qfcPHb8GZ}wz(KVZ$@%Ks6!Bs{gpuTq0H{0$Jv!MfX za(pZmv(ffzNARrL7krw1mIWQatD}SU7U(~58|l!EK4c%~LYVWTpBcwKX5X<7_Za5Q zfc09%e-nEU9|i5+M4N%UN%(bk@P~vA+vX|{zISn49YQ?tGgSMEHneNqsOhth^#T4` z!q>c(mN=*KA0{3yk6|3$kmFprH_vj-$@$KQrLCF3xSj93Roa?(w(pWQX#_B2zd>II z`>5~n4xMsp7_f+Iw&~Fg!%dHFJh~ zp(8P71?&Kfm5DrU0r12dr~^^Q%FKn2ufzDiSg!eQ!`*+fZcR;0OzYNh#{<(=w&=u*v=@Nq z?U}+y!q(!Z(?3mH(Z1cxgBKgAA4$Wy)WjO8b0y!Ww!`k;u5|Il3dU=AbuPSMYGRV| zjy44MKPk_ZoGCAcoGDfF;7yGG7U#jJ4|*&3GG(A)2O;O1rA-f-KEe7krI-U@ymcGq zitHaT=5`gB0XQxJUDG-x+)y*g&3pb_O3G zeN{d_-802cseDq;L5~ye^f<~tqU(6;fB!f-o%Gbd>3qf!#}IJ5o&Bp}e8L0c&vY9; zNxnhaM?Gm*=(JY$y|jZ<;~$o?D@MM9?h}cXusK88<~&XNRga~sn^J70U{y3CpK3+3Lc`d;#Iz?B!wd*<9Q@FDUraqgD3 z>!y*crjI+WIHp*Ze6*_o*fVbeAAb!z8q+%6f=*w5c)_FA zVX4z=Qm#&ioGGPFN8AC%5g*1wcaMUmbEvxq<}Rcy`XP>6%nvF%3Lh=&LA_k#>O?y1 zj1PKjjyHR44z8&<;amXuf`^+^V}lD)V_md!cF=ANbkSZ&FM;h^I55uiY`v2hMxJEh zqugsQ;87Lk^6y z4X|N8-xU;wYr}Z-UzmANPi(jed3RW`8e0@fnY+{y>~F>ur}7h7rlZ~@+lV^5>#Zo; z4myYJHY|4JtFZz&VA9Z zv?D*%7R21F>Cx!%3sa-)576p!oSSiu10C?Y-Gz@@eTAtLYRx6k+yh%z&Ex7XaOZbD z;gORJJz$(I+e37u2o0eGO^y zVffi5jJF+9H~eyUI9<9Mc6sKVkCw@`jnwJeX|uCWjnwHc(&hv0zeFBH{OHhX%Ga0o zQr4>R{_>}$$Moc1Y907n@;mg5#eAIC0oEsJ2cNGlhF7L(<7qH#1t6Y;h{Z_sM?psI`-QJ5m z?XA}B{SI}+`L+%Df91-JKh91QU(j}y^pn82ER^M>ev^IIPdep1G7kDv!Wuk_oCCa8 z7anYSt>46z5}wi{m!Dh5iFJRPj(h3X)AXD8u2;uF-u(b|M7Tb3M9$-0t|I^FdB_;v ze*s>KXgELe!1*@?XYyaz7M=b>Px`;;^n28PqfGyfC;dU49wFcU5j@(Q(RQwD^HAC9(?p>%6p8bPq1ETm-L8I*xENFA%EoDQSy8K0**(}Wgf?-#&^31-+$C} zyM+05`dyy%zticTCOy!uA4@p~dl5YI3EGC1ShPXvNL&03-!%-6{-4;SVf+JOxa;TO z-S=?~UFZLMPyTo7{Ew3!YRw+=Wz6F{sSZTOn*oeV;TfBhw)B zeTw;}*W84ZUue%_uDPKtQ|te*FX|bGv^r^j_sIFnz;4*Zfb+=ikd&RXI0vVWn(nvB ze)d~3oaP$Ep52s1axR_v9p3*5V=M}p(YHIC3+ntklx&=QfN>rg7m>!#LguoLIjNWa zN!h(GwQ}vp-3x`Di-M*_orWlO_s%#sfIN$F9~*7Dz}?5T#Kx;F@#Y(*|H~h2hK(Ql z1HZKTP31q!Z{wb`|Nc;!^ZjkS8?@69Lw zxcvX!Ki2=I%Pg@T?R?`F{8n1x4NqCZ8n#694gY8TE?yxe z{~z<#|K(piR^_fIJZx}{Q2cy9{=>!OStwR z_v7n!slCh6Ly<%{Q=AsvNFR<`rS|P+6f*y}oxvRU>%$$8Oh+0m;e^e=p~gg*>&$CX z`IAQ?7T2Zg+&$_#lwq8=e#`@p%KiAM^^ZVW`TB&O=r_b1|%#&(TkJ>3-un2Xprj&wG3Dl|H2Q)|>_X zQjL1T#GN$n1Whw&LpExaXu6j(9lE!~Z3kfxf6OU9$2PHzY!~|k}f;X+Xmw5;)Z$0kAZK{5_1OJenQ{=h`73aLY>$Tg^hX4g|spFTw#Z<(f#xu z?$7J?FWYZiJI3~d=1=fUJmc|$4$MEseF?R1liz{3Aaq*|_+xQf;p3zGp!;;buk}bB zn(xND;o`8$4!yo#+Bx;Jl${g0nD49;d3#xJU;L;!0|y5<7-);JDwSVPn&3HFVFwzx z$A#x`&<^&p9l4c~PcD&r3Rh0;{~T8PKlz*44*dT$>frlrfFJhuH{$+@V@;R60dQM& z*^i(s#%$dIy@p}#k@nM^_j$&}JIN17+bL~^0?uKteV_6XdF+-bc#JkzXTjw=b{Pxb z-xvRt&HbU>Dt!ya%);Kn$J#Q0aWo1$Dco`xI9yU?2e>yrOZkpmcLpE3br1&Ov##A! z@PA{g{U`CQv%q}^#z<-!?zN*{xGcwgj9px>+?vCjKkymSA2=Q+zq6e)d7f*rJxbO@ z$oEq`ZHuZd&p068b1uv=u zJMD3%PvdL`h%<1#m^kmokGxOWP|%Y7Y1o0Y_QpfEMoi@7-Hu`Uxze97*$Xz#D0 ztxUYxUgAzUl?+?+vWq|0#df%|N$o!wF97EXwoUtJRd#^xozicnY!bLuXghnJUYmXf z?~~kGxDs#3i60SHSvEEK`8@@4AN6x`Y@FG52A+q8 zD6dIB;NOR~!WIr>H++6fp5d`Yk?gnBWV_^BPa5b`{VIJ|?&-S|s_$ms)GBy)H|DNZmnx_#r779`-(Mer@i&4q(d_HQhxU$Yu%e#P%QE zXbaHhgH!%?^Ad0N`rDyg)CtQuEBJk0ls<|q$2gYN@j>akC}sJ?LGo)d_N;DyAWqt5 z3Lo2|=7NEyY~f?Kw4;8GC&+}Y^aob*NEBq1?597JJfrZ$rE2d1^R)dO58Iro^g+2^ zksoI~`hE#{%cCq z$)iIUyRL27Rh-C6`w}GFzB&<->%zMvuddUwbcP(A$aRBmE^Qz`ONS^wVRyRnb9xWI ztOxkcJG%^>^$_I6JS9UX{zJ>qg_NO`otB8bbubK`Q1QpvccjrdWN9^Vb=&rb+66iF z16S69XB)_~tPgZE*%!2Z*@rG{$id^vW{&@*$2RyC<1}CWR`J!ucG7=H>(XKLKlutc zNPSBB1NV94EBg2LQ4S*y^k1dct8*ZO%Fl!6O1wM={C+#6@LTS|?>WX19%UK%%t(!o zuzj*0&<7lQ_kYI{(${2b&J2?Fu*0N}N4-1tCim+y=&#zscDa@_`8AGr)K~7Q@6AJ! z9(FnS{B7iM(BnPQFB$MU)H-m$649S^PL0ipD_IBdivqVNSP##2(f*?yIJkHUhkKdk zm6IJN_bDJ(c1Zu1->^N&!pDC>`NBO&*g|se9&txA$Ulhqmi)<8?G@Lp1iYU!zp{D9 zpM@P5B`qXfLJ8nlbsBbEG36Fy&96G@pbJd7-`S;QLqy`Yatgms5Whil>iuuD{=O43 zxP>wp`fw|s`(pVPyC+ASZ{wO{XE0A6qc3v*mpTFQ?Me?$v~bOBfPOh!b2j~VsY>?_TD<324|v z+$~Z0Pt*J|SwUU~j7q{d()8G8k^Z@9xjnh@Na16jMf#P}#|iz}7aMQcUC@#zt-xC^ z4HTLI$i;X^gB5q>4rF2+{j`Gek8QmqHU4MhLF%nR=q%v(ZsJFM&vTEMpH|eR#vf90 zbKI1+iYoUe$-A&6Q08rl@5e7^oa=wD*8avz+_k?~Und+F-t#UCkq2_QdN6Emd4#_3 zX!l<5Ao*(_eeS1x@|AD(DDt)BPyd#6TcYr9J#o*uXQO#`ue~re;_|cIr_OKFmz!;% zZ#U!lZV7RnoEt#?a-BA5n75@H(<0L-#QCp%=8t*Ww8dfnsX8y*gL-(D!R`~aHMY3q zXv7w~fg5%1NTCqN3Z;L?9Kf4>2o@G#9qe@V0c26KF&#x;R7tz7N7TCel{{Oh>zLIa z5j-yzp&vpv+A^A5CIt5dXeZe_L^Q(l?BR4Q6OsER;Y=g!k?_=cDFb>bO#GIl@;@O? zD{cDz<^S^$*xIB=W)XBllRV>8%z5pxCNoUgJ%TvmKszhlsPgnj)S9t-CT&03e;;`b zG#^kta_dGxKlJf@^lus3yYHS6b(WL)FG4zGs%V>Iwt*J{T=Sp|Q*8~*AuUg?fE=;| zm3R(7mLY%Tw{(8$$jf!!5=D2Xr6HC7Jr!was~?6{fl)u!E=59;r`b>cXvsmd2b{U=&o$BkzSEqAyXlH~p0xc}iBI%*U+Iuc{qMA>>Bk6Hlj&rq#8J7&^T6o42e6XN9m@~T) zChJGr5{BGoHY}chA+ME!c4?irxf^u!I#0jb;;-cS z63z+K9&8!wlD;L7QGi)X8Yvz!8#2?+Z*-rLCvk&^tYY-mfQ( z$)mud5NV_TKX`KqV1)sTXNf~d8w0G0vy6w>%<*uZyio!81*8q=Ig4YQvK#HM_0VGR zx#?mF(8GH+U+N?3qUm#CrsrIku+;e;Q=SXs`C;h~wj1guwVj6^j_;41wi1Bj% zWQ#cKw?xrrslzlb9Cw(fnQ=S^E2RHF*Lci$rqQHsm_z;}Ol2$TGqt)b-cPa|^Gjd) zMw5H1FQD!y%i#Ga>$gNfr@kxU36|CRvDCd|#S-%$X^U^#2pPXNClcww@WKt6j?3G^ z3pXAKnwU%E7Q}5K?{u;I%mBYkoe+Z!3pb=uXS!}7ZQUQ^|5p4z%y{5%FLCHMruCX7uG7CwIZM3n zYWzQj|L-Fmo{uC9(9w8=c86olh06Uj&-^}Ikk7X+&jtG;iTHldc}Q55gTk8Mj(N=h zo-I**$8NmytQquTCFpW-FLY$PJXbsGuiAJfOZxSQi=exj`y*zck7s0|d$iTM@g-|2ZfR+&Z=bo|Ptrm-Du$b_uDN`2MSD79 z)*Q5N&b??C+ELOL6fw4iZ9`k;ur1y77TRL{p|(8Bwzzo0M&Cs`PqibJ-{EZs((mWH zr!8Y_i|1T);zUzw>||4F{NRGr7{WZqzuRvI`5;;cDgBbAX6yMX0R{s zt2KqFT`(?&5SJ{*+`^G-4m;8fLQMO=rUpHJXQdnmgN4r3^h+2jbQ0uYEoZ|0m78*q zGl5JUJajvJ!{d{v4gdVo456BIY2pbxGG_9 z>nXYdv>iJPxL)LnUP;$W>6Q1n-Dmg_R; z$6T^r)HrraewiJ7JOg15UGBS3pKqZW{FNX+YMj&(tNtkkhvz5)5z4N<$hzov1v2|9#lFw^!Mbq%#~(HkN*&OF^XRZ{F=bHc^`R|cbkyL z_HMZ!@w@)Y1k;`;Jn+e&;*oLDvBVD4mW>O^HY<9+HLERLy4&fmgz@DZN%>5k=ij&<$! zrHRl3m+}1UwF!GG&wT~n^>h{J+bd~U$~@i1wd;^Z8$0sAW$3>ZPKZ2r?~bAkoWp5< zWO+90C#V~I{?tz(Q!<6}3^sM^yx}G_b}(+bw5*V4Y>Ge&`Y^KHt*=ikfV`aDx-zkV z^GDiCEJuGcy=J>YkH0~{aqh;LBcEgJ4iXQZw_^SusWDVS+=&my;4X~MD>3%2cpB;G zm$6XuN}j{ySQ=CNK7ltqU8r!p+Yu}7am0nFqyKJ<@h>}K$^DL4%6ibBPj3F|ZBG&R zQd{hyU%)m;?77Pk%O60w9mt1qIH=Qi^{o^0AU6h~2kFmN)GyCf{{}6ZL1UX$yT-fG=Rf+y>=o_z0 zD73|9eFsP@aUwmBW9*+Oly?9ez&Q9R;cS1sv!L}d%(d#F!%VB3dm*SRHbg(MUTY3< zh3+rMZw}gVysb{u^fXvC7^BDcEV654z8=|ERgk;S$Tc)Ocp2vyh$}<-ljckts%%uJ z_felP4)O|f-=InVjbs?Mzbr%BE^u&P6#dXA&-NAIKi5~xeWq2z=dc~vg8!j?k<>Wq zIo=(zY9KFbvTSdGT|*j3e>jYdjw!rLhBV$$uCFl-@$)<6+HA>xFm1m)Gfw|H$$Ru2 zh_k=h*OD*#a>2M~Z0J3y!^5QMPI(8x9SWvdp!^AoFL2?uDI8v8I&o{DuPXW+?Ybzl zT=^CD7vus=N4Wx)L))Iz`;N1~dj~j=MB5Hf#&p+!l^s#ay(jV~@O^u`!XJHB^m~?9 z?JhdO=kyBh)#d`w`&S>K+?6~aLe%%8kjaJ}oXxYpnpYk2DaSI$7v<~WD(FSUyI08b zG^zZrUD>h?vIV+x1NA2LHgFufyXV%8ckkHpJ^FoTP&dZm5{^UKpLU-;8?x!ypEt9P zEmyd@7ILSA^|@mk@$zoQP%8gJw#B|p`kl&g&h?_qmD1PpV%|+=_lddCJ>!g*zM7h* znOQ>Z^R1BckAG+VAy-~iU3)d~JmAF4GS+LPCU;@HhZJ81?sU-iN95Q^8yIgzd+XAM z(;!O7r=7vH!L!!TwIh&k!+YrmayM<4fJ2_tdf~ks3+k>kIUb)Rp8^lcxZ~7A_Jfia zf&<8h@jGu!`gBevAeZJrmQ>*R{q$p??9p?{!<0X41NFo9<%tk|c&pi_+7{SA<^bgC z_uM>?uTN3m`~)%{a;6M&*V(pX3;R*h@inRZzmR6~3@`d+PHJ*LWamoA&WpD7+`8dj z^doK2pR=ExnyU_KS)B~2ex+T+vEu-dura4ze$??%E=Yk)^*gwGh96v2Ei#XnT zzz@r^V*$OZ3WM>qMkw8G2}rz zaH+b_A~4JD5GozARNA_?aosB**OTIQU@2*O6m;U=4Cz1`bgK1b@JG=c$ckd{*nwfT zr6)~2vtgGS#|N0EtLxv;ciG>_b-$tMuq3(+Q7hqycF2LAIZ? zAzs7}a_a>bw+^|_RQyF(R^s`)%6>51O44Lp{|c~C_kw?m{-Zn;;*njc+bgRCCg);_I=xJ zKWT$Jo7v8IYH~Am0G{W$V^58{$Z+>=bPz zPyM7SF~D{5!?mU(dA;Y>t60y^|G>CV@zqg|3+B@@?{}m##@|NRGb>@wT;$pUuL0di zKcqdSi>^$A+`ftDu^>}7WC{}oeVNcE@Ot!@gP?y~ zgGE34rTdD_1)%F+xVi{qegpN~nY(h{|AU;)Ap~ld}?Ka(=W}(fa4oUbF%aT%HI&&{K4ky3=9enx89u!73f_ScY62I%sXv>{THE&GBEt6?jw z<=uh6Q1dywrJ$K zX%=}}mnMvD>kQ2?E%t> zXDu|X!dy$`89L=NTD6%lyz7hHLj=4gjI*YsvB|=me=BHUW*f~0H{RiyV&l4Scja|g zBW*F#mYo3HlWWac=|JvQQr~DAAIEDv)$&oT}24!80%?#ebqEoj7cUe*<&nIW`mU8b?_*l=Ur2>LwS$@|nA>vDh} zY02jw;T{g+qn*;P#wc{dF{A#`dbXJ$H|oL22(AVnut!wNI+M7efJe-_$tG@|BLc3^r*Wy4qsaB*+;fSuP5O3M-pn=Mo^~mN?&KPMW=_tPXE!R}r=0YCYP7}Q zrW^6RMcz~P2FUkvyT>lCvc<>I7sYMz4ovbv!EUKHBDs~A(-m>wj`KRq;SIc(X92HM zSX`f0cl_Kg0!JFtBHJkaX^Q$I!bnDPa*s&+?yrN4`U=~C`d3PyQq)g8j{tTik~07^ z-iI*%L-!G6a-HhOxfiuXa+Jvz==a%t zcl&NmDt{Ntu+11N(%%dJI~>+A-QV&~<+ep!L#SLvzF29CVy3g*qyx&lNSe6t`Of^_ zyHSDrp*A({*Yy~Q{%klA&A@(kqAlZl8$|Wq$c?|mFc1#qqGD?37Xj2&q(qq(|VEL(4ebEu2wj0soL4>+KY z2G|DLS;Qv?T=0u#Q4YTt{RpIQz-`hGxQMvBG+=u{$LJBjmF-78x?lEmRq#AFXxSr5 zF_wNf+#t_>Ts$N;jYICeSIq$m)~L40wW2v}SL-S>g7+l@Mb6IyV_gvVw(_-6Z3E5>~$#Q#16e(~~PE|TEA zKcY|iS_GnU4_xon)8_7$cet8{-kT_*&DWA23rU_=vgtJSqiYW;`!5kZ7O_aT`HngV zQouT%4NKp2Ss!fhiJaVDY>;+oRQi|DUTqMry-J^7>Y4@=lRgE&RjvU8m%ebi9Q|Al zz5Sa^qrCh3X=(p%Nd$H)zmd1^lJ=Cu@e;04vOeOV@6ekdE@~fwbGXnD>rd9{y9R7= z(=H)l1?-)|h{`o_5$By4q{m={a|w%k{m~<^UwgPmO#QS&l+RozMg0!fHxR$IN9`$= zu#If@jCOvM?cB9f`g3npdsVM&GjRVF+pOvMl%(TMd5+>o#MRTLFMHdB^zXAx;Di6z z6*dEB>yPO^lrsLJqY>U^W*N|1g|f|C680+Y2jE%0BW;~6f<0=k0bLOKHQR&sfi}<& zQ@Y5E4#EcNk@P!jo7!YQLQayGhCt(8rd70*dZ9oVg9Wr(3Lj$~No9Xr-6pK~(MIX7 zVGwqXejAvl^e7vzoo)2?mGndEb?pdwf5|-JdXzpOh=&ZDrS#}4`(}-tr$9gGd5NBn zT$Ysckp=by*2B6{ z=5Fqtd0>}QzY{L`1bupQR>Aqu)R+Vx>{dGaZwXJsX+2Bb*Ldo_p72oSyR4h^Zplx+ zXGr<7Jn8XW2`HO#qLAke`oB<@x-xVQ2Tzxv}00kIc(q0h>LDtYDW8Z==S|wx33rNtMs<7 zTdrrB{0=~*P3_OA^RFdVpsgekb-#zC{kqn+$4#!g*697Hk{)TR6s^_sLV1r7<_&`t zs!cT~8xm_UZ(S+&sGC_g>~@FqL_W7wn9uN=GvM1I zp1a_82?j%nEqcB=?3stkH548HJI}oGYOTwHoC^-U+nm)MG2f(o0nIq($@Hsv2geWB ztRm3!t}mM<%DOrVQv=$6=#9g3Q|VeEF6%0RO(!sKua)OR0=1tx@7YIL&g;7?c?Tor_VwmvoNo>SSIRo_ z0P>qko|Hc{h&E{$wXS~}ZClhmmvwUO13GFk*FE%^>a5Chy~K&~7H~H*u1nG;5v1SkWjv+$j0#2d*4&zs=yKJ=#?4(tc(doj+K|dohFi3D>X#o&D5xat~H4CJe&gzBCtN z9o%OkPmhKYF~aSvvoSZzmBsBq5pBe^7^kd@>nFg2z96TF!+oI~&*HD^iaP_qF{*KV zf9s{W1ul-$u*Cg@HC%7Tz;pHZANAr-|2pCg+C+e7IGVy3pdGryjN|$6eIfI`NQ>e9 zU3fouAMMaJutRq&ni6D*u>5Zo7kCQ6FaZ4i6u{I$iF0}%~A+7;gG4R#fA4a@=yC|wqz9#FECHe98pjD*f3PCg8?H-eHTdX43Et8;8 z*qy&}oud^z(*+$1zAEEgXJ_Xd@j1yiwz&OVd}H693vu71JA^jghIv(}c?`UBi1(Id z$V-kDL;a_jMtm{_vK{pQuVOpZUOvPZ@B|KVwvD**oonDM!|$yS-z4U(9T91-gb#uL z@QWrZa)BdtcFAy^6Xm=Cd0&g?7~zZ-W zw^|OIy2GM0Q{e2{#q&wfg*_qZ2ORE=h}p^TjrpGFP27Wly;4ZKrCgusi0+koOH|a@ z;w_i*yMTRSE_iT#rf}0!9G{S#YHrDS@ea%28d7Jy!Y8bJdjoQQaF?Ln*CprhYzOGb zwzJ*cTuVZmImV3CN3tdvh{Uij7Qu_8JEg` z4sra}(`S=oE#@;_l4+R+a!`P2U_bx11zbhY&94lLq3^_JJJoDF-aqoPONtKDhH zd%>!-T~)~QtnN80p0Q$Dm)wh2-)1`FtW}dZU22+Ux3MXI-`s?qDa72U%BtND{!U(K1t8%Bxyivvx7vT9@ zrtwS}-a|Tl+%3bnb);!fo_l|qxVW}GeM6};*%rvtO7K~6GH4ZZj*K=Mgx`hy-9b@o z?~wBM^|Za)gDrX95!}T)gp2vz*Jjx+wiWGKBk{rb`|Egq-X1rM3m*BN1~W z_rW@7f2;M*3b|Ge8TG(otNPv*iQwJK%wRT{j>)}?pcB`7b8`PH69PT!(y?@X!oZLA z4t?lLEld|RzeS-VH|n*C|4OPgdCXr+nKV={0^WHm&q(YxuFH=^5=L(%5$9a~D2xDgKT+&EZ82-M6BM=E)+9iM z*f$x!eT`|f1x0D=64P*Eutfy#CA6gbS>qSYL>#mzA8AY&iGBn5 zZD=}Fvu&U^=oTAli*PO2lzSLq{hejrg9RHY5SO-{tnXIB8LKnna?V>v+?^uiFCPG$ zy^%!Gkt^jISF|&jA7oyX>8DQ%&q&)3iW;`Mi>ME8?AgxOgufXiU#L z3!M8ToG;38{u0Z%`U=AJjKZ35T+UexA@@CU7csc_bS|`t8#GDUtUit9_8=)N^>|eq~eZ>ICdS zsgIfvA0SW3Gpp(x@w}wUo0mA;o+#tD4q+b>R+)|q@!T-txX%*OalA7&NIR0xtN3ji z)PZ+-m#iLBCB!$Y>gM`%fai3;3%^92Ml0t*_57ZU8T9hJrg>?*vU39`(C6%LkBzY9 zXoNl_j={A~^j#s#oM=j&+{<;F!qiEwS2F#Puw`hzW*>*h*NUGef6w}oK{LL6MK0Kn zIkEhva8qi8{>MCXU8C-&2=HDEo*}}xsagVlioGVP_Br;zB%jV~#@KuIEFW*5#&gdAKbm=C-~zVYql4MLow*pE{dW z(#L$P^Eu*MYR%Pn1&EiX+Y~NGfeY)F-(c;t1Bf4>jY3~rd0#5z5yo`MUi8P_NXxjq z%SWAkEkvG^k3{l3A45N%mzmDE7a^;?XA!vv*?osYK3xvGr~2!cJ3(!mzCo{lZqU9d zuamx^cR2J}DQ{QbXRAQD3Y4L*Svli%*;Ud9GCXx|ej#D?@cieD@=#|dSROq5Zt3r# z{2js5lbe~|uly^fU{jAUekE-|=?}bV@%E(&@)mKZJ``#hXFAG%wo|QzKh>{%K&0Q* zp!Dky(R7_$*ZN71`gZAxcBAeSgALAx9(n(5{yR+f+VBrt?iBEwhSU!|^s~b_k>A$p zwE~pGL-O7wev82J$l=K*-G->X!@00CNSQ2*bX{5`?H0<&$<83}FHydWT^Jwx?r;`x z-Mm9^?qZtlrd30EnSn6E9JEHB9YcBOLEc>uW_f#uCFlV$4Nly))tg<3+|N!!3F4uV`9cK-zlOlPNz3gQk%Ug4cTl_qyWjhh#7jZwsM~ zA)b4Kt%?3fik9&suoZ@R=UPuXCf6o*SW(zQFEibc`}U?xi{5{y?7xD%%RR@I{KWUz7o<7m9>1H^2AXCd-!M+1?T|&Ja}INN${R;mMf)Ic zIwEHLKHdie9WhKBvqaP+i_%0ps_CLAx*$ zwB2#deXld(xSuKSOu<}>X=wj#?fU#LX+T}T`BxfxVXr*vCGCrUqwl8Mmz)>U-?Ob) z1ZtaC3feLd=cB{-WlJPZ2mp>>_cVag7|M=W<^_9fe$OKKsDkzDyJ%-6cc3T$6uv5nQ8$jf>Oi}#T7+t7s95tr-0<(xwS51tE_<#^_1 zhEX2lRerkwI&*gGGQeLgN(uW=b87V9g4F0#`#s2|HK0$*Qa#-&VAvNpUIG9@I!qN|d3#mlije;E4pD0DR7e6tO5U+=>#rY@1+&~7y2 z!}9y^f-)wUv|94RT$^c>wxaxQ4#rx5-$LsSUit&tw)80iygLUmo*!6d7B~%(FXrW% zWFLK{O~D@R_UyBOr%j0`eeY8Ja6tPN_+OQ z)`HG+g+QJ#)l0UB*#oY<7w^c;z1SOEt%=-uv0T zTef%n3({XGoZ`2~!^wzK(o@W}ak-}=_u|2af4wi-G6tJL?WwGF+&z^=QqJ~THL< zbT?QvT${abw$tAk7UhE>&Mia<{p*IQ14^1FL8B7aX9)7=_D(6MWuE@75{#day#J_X ztt}oLrk~1f(x#rn`D6xTXIBH}Y6bEek|pgW&;_Uq@aUsN7+**EBDsF4V62uf)V-Vq z-9g0fknz1o3fwu;OE;qZv-{QD@p&iGJeCPdpBds>iE&6f{ihw_7S5*|s^ogRQ%S!) z(51MGXKv8}Tt~}de#iA!q}O(bohb5N$NdmFudP>e4n9*i@;!@puJg7_o%rG%!~^}Y znE%ngKhSfx9s6Lf9|`7S!*#jnk$N*m+=mOys6*d($Zj`Y+CvJ*hx)^2RPD(-l~MY& zO8W_T(&veIHqg&V;mNg{wy2LnpH@TBYhsy zst|9gdHZzxsCW1-+gE3X$rrF8xTaH{A&;pw+H(4Wb%Rgb^=Ph(w&YKb==R?M*s!x+ zD&$xI9X>Dbf>C8_ShhV3om!a)pkI0JI_!l59g4CqUQAdkVdKfRHOjVgjXXBhwp9LJ z^pPRk)+pOfITL5w==*^*jFHnkV_bpvd-&hm7xZ%=-MhiJNzRG*O*P&<1HQ$$uIVC< z5+~T%^gAv|1llXDn&J8!Wze^V(2le9CC~2wZt26|C^)%d!5QM#rZ{nJ$- zYWU85CAa^&F>V2qYc%VJ>(w{NTk-nswu=Uu!*K;5NysjSmT$TJ77?;G+gclX!jTBR-ODZA+(gFNO? zqY$po&>`3@avxtz*-jTFmqJ&leSEIju9au2dwAb%euQ{<@3{fI%NW;^|NW=gKE4wN z`iet;KEpi{#PRIJ^ORqehG?W8eoOvTO?w5u!;*i7c;P**>3xWJgN83?|B!#rs`n}X zAGEuiG?2al(Uw!hHLZM?9;cuBD*2tJgoU4})7Xx1m%7hsf^oVJW1Xfb>a0i?gBffN4u6>)!QP*6;;(M~zd_Vm`jw$5_qcfWHLVLeN&s0)v_k;2Vf9;Z*#4!~6BK}(1O_n^3+?6!gX^E|f! z`}D_*=eLX1_)tDtuKxwk0Cmc>&%)r4_7`aco#c3lm{;-sAo+c&M)NAU@27dyyT8PH z2FV-yv9h(Xm7ceK1I_1b~WmA%a2A9TQY0R*ok+Uvn&xYs0&y(o(~R2w4ck_ z^A>o|9lWv)ktb9e9<~|wbWL=e}ZQpLiRuNa0r@rT06t*4d1h_BrJiY#o4}Hxh*&fMLbpkDTpdY`H zKm6;BzVi2KWzP5aN5T4%{@(#Uy5VG#v$0L@EAqaXU54P=o!G_u<`XU6QM6T_?X=zp~B_-1!LS&xpI9aXWGs9&buL<;Z)g)O}B3IrpTWaqi+c z*Wr6|{La;9=;zS7%;DZch5jB!S+8grB(6Utu7HKP#S1*UiRTqTJ6J+LCb8ISz1ZGso?le$sf({T~^pm`_GzDApCeWgx;5FX6lXj_ZAacLM*sXjB*S0P-z2}_=FmJmer|)P{Ye>QG-s@DCY<8j>p8%W@ zz|lS}iyu*UV{#7rMfP>r4*mz;=ioojUY0?Jm2?NqIh?CN{?AX=rDHrxO+M(VT$pIZ z9PnwtLtCPUYej(fL~NIL*N9U0-Ur?bgSjhp_}{Q@{J)0uS(D1&$^SFHNzQMOZQ-}b zS(n3mBjx&H@ZD`&%`)l@XKOR>;FdVBetBn$yf4ZMpf28TAlt~bT6uQ2cj2129r!)k zQ}@7SW>~MWEtB6Y=rsfPDIPS~uI|c(?m6Wmo>P`}EX{>}G7bMq7e32^|9(%urrPFZ zVpqtDyZJ$zb)W(7j2h#;Rc;xsMLDI^{foK2Q(9#Qeh^yFz~=z?L=XV*` z<$2!|@$Zs$=1Xhk{$|1L8Eobc4`)}NP;~g zr@@NyJTKztTRa!`^(^A}u=1Imr{Z2p%5?&@o<0xld`P#)@Z1G6kLv{}zrk%Q?`jH9 z-xE^_IH#DV?yfoG4jF!P@sg>uUUg?hhq`NmIIVVZ()G+o9Q|dgj)LBOat)rg=*u5( zm*1bGZ4&rjYW*s}d!JGF=`XhH`&sUFgt?vTJ5`&k&0j5+cQ%#S;_Xrgsx_~V@+<^> zh@Y-8yY6(*WX%!UNnuCE&GJTnBg- z;k`+&o$ZwSwr}H{nf*pstjF!Yr)$kF_8IWrcDQ!a2GW@_Cq%g-toSxZthmb&7rK1{ zd6LupvVr}=K4BU5$zjgw26;>0fich3+h^0|iR;1IO^K;~N#$qj`84ms zoaY@|=+j@YkL-3)%kP1bj-cmf_9!2{Is4EyuWd#do?K*?YP+tub%m_I#?|vP?6_0= zsPma0bmra+=Nci=xM-iTsby7a;$QcH-%wva`;Gj;@k1UNsoiuF@cj&VWy&sq4MMx% z@U-5(LfIe_8#yNQcSg3d{^`3`CLZKnE1=y+*miyX>_cApz;Bdys5^xq!^i%WywxZ7 zV8>o?Wj*HF*GSrdkGmlYxwk=?Aop=07r5@et5W)BUdeH!W&HKE$m7b6WU8z>K4yL@v`G|lrb9Q*uEHTuhh99%ecZ)hrik+#8J zLmJW+nv6J7w z-#CN!ZatuQZ~P(R1YY^E+hiIDqWyLeV3HJMRo;3lLz zo%;5|InNV~f7od&TC*`jE##M5j zxl+x~Fpn)s1o=&Qt((J(O!U)&e7+aDsa<}fAtb*+wrlF{!4StoZLd0)^V&Y(E&Y09 zqEg?#Jzw4R**pmQntneGl(*2c^lMrm&rIHBaqp*;ZAjMeI~jVtt5?L&ayOt!S~4&1 z_?Es0x~^5J{D`b)OMsR(P)Zk7vw{A11_B7eqZXdpfD5;(uX@oJov8f>bXzxb^c3yCmqW*9os-h@;cI< zrQGbUlHUcBeU+>_^SfY>HC^nd+{OgIi5BF2U6?CQ`CgsJw=n)@2Jf9OU;VY_t84!V zzIvaRuSlb_@s;AC;3NF@bg#1A$x|QT`>y}DcxcgCc*xW|blG|Ekp4z={sF$bwix#~ zNUK-j8)pXJ?D!-3=2J7y)LBE3#7cb^N+tE}u}ES~U)aW+cjAGR~@Mv`YAq^8u0ZD~I_F8^Ew{J4$#zJh8%gBj6nYAAO=- ze@Cb;l~0ono;J_Y^R_tqsU?5>sWzRLcC+r2Q>1aa(cym44*chRCSAJyk$$OJ|LGauJd%4o+~-k#+##+#)Sl0tkMf&lnZO2J9yaLj{+i=spW-rEX3biR9AEY~yr?WVtOlVkTc^VL{z zzsIchH(&Y9j)Zsb^kTP6>*B=p-5=BULdY{6fF0AkvA#{+y}_~fT1CsmGF@)Tbo~h% z?-y}hOUWsDH&Zd5*Hd=s{m+6lWJ^o_d5IsNnXb<=HcH>nNaE`BTAtkv^Ba@klJL_? zh8-W#_?YVMp777~H+sLlP~Hm_eu3}czaix9*W>j7aZ~r>CFJ*58|B#_xvzPMX~E;~vLQgx@}q zJW+N`SqKA<@YJ7dH*VXf|y6cTcplrO!4y~%@=dI zm(F?riJ-cRlCb&BFP7JEyW8{_^1$6FzYErD&I+gUCs-c&+T6W$;!XaM{`8T$oF0Es zMTh*?6RZ917ul1W3COIx65feHnyvU_JTGiioSX408Sn zqM+i6ZqrOc!MY2Ih*Hcg)!q{f&6) zhe%)Twrb&{)_&*&s}@)tOZQQBEBda2S(rjT;*ChB=TPVj({+!UGZ(w)e95iF>2-sO z-p!(_ygKedym1fZ!KXL@KJE_K-cP+#pJ#f7o-MfXN{h}oOx~di9_Up%8{*;j3QXSN zFVHgqQ{f8yC#@6aU%z{CN1@<>Io_<#Q7tG=zur7ctM5tQaH`I$p?WBf?8)`sg69)n zlv_~n(6z^+zQNr^I&YPrzEB&r!>|2m&z1TfLt@WD@EZB3s~UAJ)pcQPz{k1pR2Qxh zjP}-|y_uibuJO6R(+O9UIUDIyxy&lyDO~1gb#|i6WG){Du9=|DAkwS2%u+q(?Qgix z3(3FYYyH5lPEh{|NdF_3IS%;cKhx(KDD$6Oek$-w6VzFQ^xtzCFYs^o+~%kCxs~Ss zWJ<%D4eny>S9Q#_x^aA^zq7o>*SGlkKg?IG+Z5sJC;VzEb8V_TqesubjRqsN#RZXo zr17`My!)}*zSsO-=Dg90b2j|@pY(jPzQ-QW?{lWVyXrLku3e~heSh-GQ~mlG3hcG( zTzl>56?^U9KH6l>p*p0U)N|9sN4)y2c26O?)r&&oO?2nu9*pYair1dM{;!&d}5ENB?1Th6N8$X}_5; zUt8x~s%IbS9kcsVe{@3WaO*#ibe}{S!Wypk zXN2fI9HXjB-kGb{W0(28*lPYwyFcl(QC?NL=8m}Cdb7+zO zM5^b?)ih5TwQXmltY1@b?E%k%1{&AS`QXc4{@27u*M9@QMUmh7a#>k=e?dh#eXXl3 z)hGQrP@|vuRbw^iR}K1AAp_wU6_MAkMUcS?yehUW}-|@smziI z%h2yqOq#`G#-8ss*uzr4u%EBeekE+=Zaz!Pc#`0ED21`9*`L$n8!P83+C2sNpSz~m zAZ<|nJclnHs!@t~{P|;|ho7h4#QUr|MxG&tULvgD%Qmt+q8?lxsr~lyi0_f*kvZR6 z9`XNRd8F}2%OioGE{}wtS{|{UT^?EetL2fkzg`|$|J&t}$VM^f*KJqc>{EshzZpHfV#@@r^&p$%?2jigk-g|e? zf(%?;E(6e6$3bT{@2)Bw*-dLxkzdx%0@Ds;Zw1NTT+;8eqr2$5_$cZ31(9jg_s14m zwY*PVw%r%qMejU7*^W;(MXC=fYub&oW4rWT8NLd5MZd>6bGv!BDZPtJ-^ZZmG!Itk z_iyRFp=XReumiR_z(};tQRr~3_+VP)x!0h)%YZg}X{TO`@ zWwd&*emi!hqbCj4k5!}3rcRyrB)vcVHvMe+A1QCN`WM&mwe)-7vqozM>-pa2?{&Vn zSWovW57xx=`_sJiuABwM`p@ullT_c)`hzxpPJN+$Dc_IzF~y%$ks|Q$y;CD^7dv>3 zPt7E``$%YP&ec;QGY4jKxQk>SvX%?knZaQ}9zeoG& z?X;6w7U?~=EqYG8eqa6Ruc+;{R&BkidS!>tBD?S1ZGQKd-WBI_U30SWLA-vtZz+9v zY`z|!TvLzyD%Ul~^EI>0`&1%6>1V}t(=i(_xvrba*VVY%s-|lmM;$i=3%={?3Ns&{ zAF00CrzW}W{dQlMpY8!`-RFKs1~>&@VDT>f8vy2BwpahYf?vs6(7mpTzjx9#jr#t` z8!zvFljTo(ljTo*ljY~U$?^?vvb^t&&MB`^3)bj9$)|T$Z1+XfHh9M-FBW#?QQ6xi z{t=Re<_O6`70JRZzQ^;CRTib6ICzWR3r^zuP|fE1(f#y&)53xUyn(RrgRrq_s)6p+ z7Z$4q&6}gx_LA-&VPDaaP3ezLpAQ}u8Wx;*D{Su5Cz<}yGdr$yFQw0itUg!mKM8d- zppLg2U+U?Ge}yk~wI|}?xR2tD=UhEM;-z?{9g^`>+AZ>{t_(!Qwe*(*^ zj+?XDe}r#eE$c>QPNnx1Ry%v1&%fgqYiv;@^7Nue{Fz0Op3y~-{C?Q?1Ir_W4=<1G zyb1wT0J=4_|mge*3lu3$-`-)G^fN4&QBRzaoEQ zP@g?E_Z9~g+2s9Ix12_8?e{sIuS4gPOhJJaSt5e+bsS|4 z>2H!Z`oc=T=it<_nmzCnA4j+@SeQfaR|=YUW_S>vwySRGYe=JK&nDB~<@p)I$&K)h z=-TT`_dHbHcK!E!)JbO$lvVqLqB_T@&M8zU-E%`+>ZIR!m`uNw%jeQ&>N;`lC!9K` zK90TnaqwAo*I83{)eTO)F6f`OdlvaG>hxW+79PI7ZjhdHufBfP;8c{6dx}$B?_1s} zx(#;c;FI*X&)f9h<);*Y>CB}3ox$ic{jQQ%^nAH&pWxT|cwfWkXz}i3I_^)!;{JGI zb266jTmD7ifjXsn5~)-M-;3g=T&zEv%VhoMpLLd>KXsMqx~Rm@D=XzrUlgZwX@6ZJ zm-nZV>4ZOMFN)U@3R3!eE;?r&7u99Y%jEr0|H^Y$xfAiZIFXK{-g8#@m-_<)iw2~< zwb@L(Kb9YtvC0fH8joiaxtx>7>E~oOAq^do{&9M5G`F#=B2K?Jkq;_Ze({WB;Zm#!Nd;%4puKo@FWZJ*EyDyte=ew8ryP|P~T!Q-*Xb!f7THD&g z9nr2>JkhPjrJu24<*GB!lJs-ZrbvL}P5gac^gR9DnC?%dPI0lM4x;H*^jKewnxrPH zS~Ue}Q`IyzUCmH4mCqDQEJ|sHtXy)@y0eyq@qOXD&bg4{XI`}OU2E~Jqpkrr(x-Cx ze*aEi`KP+{;e<2v$1}ml2Q_!)=I_vuIY`>}t#BbHei zJ^i7p8$S{`@YU15ziRf{&usnU>0Hh_iN8#gop-bZKRff)RWIJLe9;HKS^M2&3aUSfAWVr^!Fo=Xi-?z1ok$J{e-;A_`dtKNOWGfzDIo3*P34}W*kxj)#w>T659-+Jm@e^~YWz{;&Z`t*Hh z0&c519^voJ2#ij(c;1I)U4Q=CYu6WAZ=m&Qz3181)4yxtSNz75zkulg&0EcLUK5Dr`F=X>8+`GW`k+I>38 z$I~+73y;hSJ=goQ7qE^~|A%_<*5|FyTc5W+6MQP|IDb6Qnc5C&AKvROKXxyN`#BUj z9N_RMhl3o(I2__|n8Ohho>ClYIruot;o#@c$RWTX%)#QYn!{QS>p4U?^l<3oFu-Au z!%hynIPBq2;BY60dpX?Cp~&F?hetUaUTI;tx{^43wHwh7@r30XT03vEjsY&7GDm$3Scec zGl3(F&jIdZ{4R^SZ1B4+`nfrS*8mq7p9g#|`yMd)|8-S&6kVCKZAqgyfxD;60+GrUX5KaM0J-xtkZ5mk0 zXDn4M<@@M2$Qf?}mhxF(DWB7S5ivLqEam%wrTk`KDZjd&_#c3!{5DIAfW}t>%liH!uv`oMR4a4%VPIL`tAVA?j{r-Z z*H~(b)OoE%KS^NlM=g4bfx#aGmh#YhbyEI1i(ZLj@NQr!|8Za`f4#+ zdTWKjp9Gfj(5KU+{67Ip`5S?y{HK7W{7sgcF6BRM(N8WId^50={|vB{hn}7xHH@J@ed`!QfBM!lhW_+j!Weeg7h${tIL>$_a1Uep>u8EG zbgWPG(%Hay#<1hQ0l@3boY&K}FF4rqPCW?y@hls3aNLFe!G*u*!p}OGem1d$b1uB! zg@5DVs<*lDY8T$*!XI(r&$;kJF8rE2)_Co$r6w4LRvk{YdX+ zyuy`!1=6=LzS))jbEN-+#BO!c|D?yF{scZx+vt>^9&q7n9XzAMg`acq%+p+$IB)^u z&pYY9QylE>gD<|_!JpIEyBAdR{kuu;cmVE3v%zow8t^9!zVCq2uQgRX|FasM`e)rT zs2@Af_(3PVfw;%((qIAq&G`N_5PzbKM}fN-9|Hb4<5vgSk0`(Pm=lpcgE7tFOvYyc z&tiOu>3{XlfKk7vYl3>7K>39RAA2G2BF0JJ#_{Ek#k#B6jIVdbdz@>|=XhQCqYgga zC6C8PfisM^IO%ggi+)xy{ud|x#A999CCmPq4lWy)jQyZe^FM|%KNw%ejpyG6r8|jr z5$UK&l5>*z(t4hC6m;7BuNu4;I&J;~J4#qzJHv%xboLG~hC<$ZIpccZLB_LycQ8H{ zcqilIfUjYUz0zLsqmBo@p79C5dl=6JzKQXPzy-#zUwdz7{5Ie_8NVI)ZpPSy?G>MJ zKJa~v7XaVScp>m!#;}8Xi;Q6h_wHv5JGl1%W7xsH4>N`x+$+8%?BL$V8J`M#kTGoH z-k&grP24-i7&dY5GmM*n4>5*)-1`D!*vGx%qc#J-$QZVA?-9nZm3v=d9J17qVhp=E zBsLv(b4YAD?BvG3Tk42gZmo@Gev`?w$^C4jI0pQ;bi4Oq(c1Yv`{_T*+ z1$^2ekqh{=#{8_(e(aFQ1$@yVkqh{uLn0UOMTbN#;AakrT)@v961jk%IV5rce{!h6 z82;pt$OZh#A(4w)fkiIhPY#J(z@Hovxqv@8By#aZV3CV20T&tH11xfJFR;kPmw`nt zz5*fkiGJ1Qxk? z$Wr?h<8J|rTs#3Ra`8i8k&A=CA{Rdb7P)v5Smffzz#R3asWA9?V3CV|2Nt<_0a)bX*T5ndzXcY#_#LqLz`q9; z{=WzuVf+%X@c$3M!v7<{!vFsREc|~3Sor@cu<-viVCKIEoBe%*jJ?3je-Adi`q3rnExJZ0QWKfJ=m=6WBz-v5!=W7_rNyoD=>y_+Q4J949xuZz+UWQ z{(E3A_A&oGum$^={~qZ7ePfKF|MxNfJ<$34nExK=`F+fP5A^&#=D!EJeIN7R(+o0}0GRpj z`CDM-zsCk<{(B<8%zsZ8F!SFN17`kv;=s&*PY-Z}aT1vM@3|D1`R_>sGygq(z|4Qo zCSc~jCkM>@_vC?v|NX#&jJE;{|1Sd;{=*&(3;(YG7XEJo7XEJs7XHId4GaHan}!RF zVVj19|FB2H!vCv*h5xWk!@~cM0So_k0So`H0~Y?nHVqdUe-c>uzXw?O4?8t1{D++y z7XHId4GaHan}&t|uua3l|675_7=I2}_$b*y^p~H(T7hdcUiYymi=<_1Wg%|p~$a3Lb0L*gXg&r=lTzKJo7g;X6(82&x$v$4X1VY}Zx>lEyzsq?EEitr@FL5F7dm`IF@|3~!gAq-4j*B=;Drt! zVg7re!$+9^-nGEYfA4#Mng3qs_7Ud47rK4K&-lH-%zrO*`v~*j3*A1#{P$i0%>4I4 zw~sLYz0mC=%ztkbnECJR0=5`KpN}yAz1_gffA0oh=D!!ZeT4b%g>D~V{(Cn9GylEN z^CQfEZw5HR7&?DM_z&GaBK+SBEd1XBEc}O_9})gT&yNWIq31`0|IqUzgNz4(h5yj` zBf@{|K}LlC(Cs6_f9yL(g#Xa(Bf@{|HAaO0*8&$9L(h*0|Doqcg#Xa)Yc#?b zwrN!O|0`hOKkU?~@c%!6h5xWqqr!jKsZrrS_8p_bf9yNx7NN$lU!%hRmw|=zX~>Ml=)u;n>EV(uY%1QW&T&e zPK`4Et6--_?^jFny?sk#v3PTPcPP-5Q%y}vOaIb|EvaPJlIEu7mZrc`zzwNPF1Iw- z7tO}(R3ejJn##m7*@WG@Ip1`lRJA@FJ$K;!Z@Q{jHXoo$dNRFKvPRwS6PKiy84r;xT$SPBGo-%18=fFed#`Wa@o!$d;3y} zrkFw(f_5y^+m}ow;!P@<&M(V11{OD;vOKH5I+p~SL+zn(OIs-1S%U4ID%KOt>Y_nY zG)s^ zH*#@VN83(WZspoSomq`L1I?8W^K(7z6Mftjj_XpptLFA`{c1i+g}I(gHeaeEOm#H3 z={mxg%a&k!Tey?{FBKivZinld4qBbI*4CC*6gS063)mc>f?-__xN}K!Fl<(`RHak4 z*;TfgDh;-x%I;Jq%ESqlm=i*-if8(}QVEmQQpyTbmYywleyBX3SeZ%;l*ZGHwataI ziD+t>F0~l63U(%YqZ>Hh5~Kv@LRYV_x)-#RSL<%MU1wviT>0a=7j_leoJqz_DrVVy zDcdH2%_r0L=BS-Y=1kK;%^deoXT&p)rlJ}0|rWj>p-FGy_2+2=<4(4%IOc>Ism%VGJU3^ph9G>2rG z`x5CmCzyFoc4xA&1ShqHbW$vp08va*M;j%jGx`2pA|42EDk^s;H%oF`z?~eF_ zxrLL1!H_GtS?Uh9x|?j4x`SbNlg+`>DBMjpOWiH4?k0m$cT3paWKil3HM^S(O5LH5 zyUC!`9SZ9v=^WBBOg7{pn#H%~ee<7Fc%)uiJ5df|u)@^W+8m?+%G1IITkJE|uDIxo z3)YpdY@1%$c3RoCcr+h%FKaFzMHkD~H3n*wt!ge7O(n`#wUe22cHg>WZz6kUD$!fs zW>~k`q1)UXEn`wP6@UHq`qMONuh(7$a|60DQcbz$P>8x)B4I!V5Y5`z1oS~g+nIEC za>Ji%Jwb~_iY+S3)Y(o8K?-e!9;~Kyb08Qh_4J(c*PdUw5TxMfi}YA9l+08vMlzG> z&zH+sCZ5cei&%D(p^vM7NbAd_$^{H*iTH*@xp;Ld(gU_R&}QeOq}tX|k3f-Jdl2dA ztXsFz0XseRcK6r~3rQWGTIwsYFq(qn)RoNV^d%kcO9Gut*ih(b{h$d7@=CUyVhTk2 zx^k(_bIFkPQ;AD)YTU^;Oa!=cJ)4UIdg7ewnc1D=DxmsXjQv7A|1ZpGin`F(2a9R| z^~CN0_trHA$&y06(}@9$BuEzZRGnyMt1p|_Y?4I<^`PBsBF%|L$}?Niq;Z2}BG`Fg zS*M2df*A))PfECRvpzsuN^5CD8c&XP{-An75G$RQ?#`H+%e4XqK})8yX%r#t3GnPU z>+VxKrADY(Hy6xkT)L?(R9<E)a$+&wE&H|F%DO$m&zJuql-ySG1|7(j~>@5^TLnOG*J>DaEfI+}fwzo|dbPj;xC z_doefJ$838OOo1dRB$`#!+{)Mu*}ecilw5aRI4e~A_SqlbhI}?d2L3C2OS|RYnXdQ z#J$tgzB3ownMh9%Z#-{7#LZf)&T^saHn64ueAI@(| z*v2%rYlU^H9+%8#!Z!A?UF)4wO&Jk6t)|;TRHrkuvN6MYElPk0c=@saxnEGfwpOq4=x`cL@n}hB-4NN?JEx`$9Pe@?fB#<_(p02-56I1u2 zEH9g&_iiLNC%9D5DYfSOmG8FK=~RqZ*T9JvoVoI%3obn8;xjorq_aUQJJa2*D{5sq zXfbJRAx;hIRQP~)j!X%swusCu?ayVG#_9GzNrLm4Oe)qBO{Q~>oR*99(ynBhZcvis zLf&2Ctc$sHV?3VdhU9KZrt`Yxu&bB7U9?@59?^(ewI>m_Z8w?qTG%$Wog^l$B!2xN z+ujoES*EL#0!Zkh{PuB^zmejr7U0SDBy}P9;Rdy0y^kz=&&_<3rYG1S_0}kXH zL)`mTy7!aZKzFj%Au*^uM3#e)(J&z+&eXGwW$Ymd+Y%R|8S>b4Uyf6ZuV6bxSmf;i zsykG%4GXz608udCt9wM#*wRK(JC}%+PbAWMlj-t4QDRS~KU>}_T{IruTHZ6Ann_pm zj#9Vs-6E$;I(dsz1uG^~+DT@$q?41mcutm{6%z)QN!y8mWZs6E$mtQ1%mzX7?zB!q zO8L4scN(WSVD|BLJeRS1qUks`Xu7OU=DhB-Hk`}MY|>s(_a>RMV*}ArYFH*2oJCi3 zFUXk;1p=j|?=VXrmdQ?n@S5gb2}VX>>~=-g1-(AP%ML4y)bWm&s|`hm2A*0&3^ZI%mz9rdB=QfsozD zm2zd-^5JbMCs0YHhBbTOL_@Eq5}bmr>!hykWv1VnOt1)cs;k@8OCe1xli8R|@McFS zqwP0c-p;cZ7H3r0V{geO^JXr1dB~5HGRCe!N)j8XNRSsHs24%chTK`SW2uap)1XLr zs8kQ%X0-deWGrOJXe|Au8RNT%c1g_m{vj^v*Hb`u4Jvjsv}|-+kT{T*Vr}E@#pq&s zn~1rS9?;zx>Oog(qiZ(X0;U(R5xGRZv|gqs<`z~+-#XAE43nE=YwvW~;Wz=kF35}ac?UjTuLB0K{JeNUSCq?6N-s%~aQssKc>v#@w*nHB^rQ51YWj;ke+H7U$wg%(ZpCbAn6>DX3XvYZYf-UE|r z4F&?>V}CY_PTDajqz##@C{yvEBwKG7HE&zmc*@(&1}j*);SEubC*$;|M4={g)uulI zqz#8#!hCs$qo9Ps*+fpJ)ezQ6EZIN4TWI&S=A>Y|X}ue>ZTw1DxB$1}P&KwWpGu@F zsER}_587)KW@0y?>j1a9SGazez4|_drOD~%H}g0Oa6abX*r|0SU%aC zuvz#*Wv*zjwX?JnK<%AovfbrdjgCR+v^PYt@z%B;NG64+fI7soL4Q`%!zAI&g5ieX zTGmM2!*RlN*xGqorah;{VRV*C_jctoTVmx|ARA?EiDAdxRhHG!X4*)#g`%bLg(~ig z8_tojq@vXMXsT4WoS`kH(ikzcHb$Jt$H~Rh+!v;s3GeO7R*s^%rCElN9XF2VmUcam zOSI#t^K<0|LOf+#V%c#+ZEg*OI5VenE3R#A)0U@`{Dn5Hw)yV{7^F6RA!Fptu*>f{ zb##_YB4!jM?A3RYb;)pL$$(5e71hmk1PSQHB`EHN_9md~+0L2Nz%t`q1lzQ2BQ1ax z(f4L_b8O&RS%zAh9Z78sw~DB1oy@AYHH@{wrW47AufYn&V@2Zuy5gqIf z!*k{b5tf~0T9d~t0`J+nwmVjO%%XH}K<^sNUu(?fVQH$XIoB6!ispLd+I1IiP4y&j zEyv&8+;;xC6jx`jT)E8Oc=mZ0o#NNEy_ND-%3CRKrM#8$-<{Hw+uECtcHtZDk^b%x z1bdWMO|)T9Ok zrXoJ6B0jkyURx2LQW39n_q$rX_FH_NRuSJM@5hJ@{8(Jyr!Dma)!{SaqjN$}47lR=Sn7wgE(b5 ztmW(fV5x)j+exVSw!v+^W813t}^r!y%x(lhFfrhf0q<@4da zK+b2YiSunaOU(HhISa+{%j6s=$3HCRW;uR?oQ381ZE_BaD_6Wu&K+{xCuit5eyp6| zSb>g%hBlo)Eb#lH#mDXd_a>b98a}uhw9;2@JrqOzs^AD=D9-}UP(R!Hk2&%Lm zqb~keS(e)Gj$cp_??K!(e^no>i0`)4gX>-KTM>83PZj3>K^LE^9nq~W58ra}vpQH2Kf_W7T;r==gZN@s{365)t~m6?0T&;u zlZd<4uX+pOF8)>TLcHjT-)^aYbLpe%FIwu6L$3IUrM|P)703L1$2A|-KS12Y_iE_V z@3`cv2J`V<*L>Ds{l9Cu;>#-Hs}XmtSIq}3^_XismG-nI0eg9nGpT%*-jVH!Ur}yP zYpyM~r#1hCe63H6zOI4&dHily{9h~D`(Al`lE+fV(fZvxOP8N?qKwCH;!7-b1IgDK z&R-_i8zGsr(o#Pn*jR7!*IDYDbnYd<<)fCmn)o@$@k^1f?J37|mU{LTt`A+F^g-bh zw-0@^{{_yc_${!f)voe)V7z0le8|JYboMH+z{J02skyWsVQ%kW#rU4J)OjS&YdIhO z$!DnkbsUGjNuj7pnfPS*CzlN(-pBFju-CLc5ssf=seh*RJBj1-Ep!G~v8JL8}HF-!eYxys)n`i1NNilsgT z{pnfE*MAe^x!u*?18AT0?d=@@H_TtrnZL=uKzlE`+JnFLcmz@D5B!Tq2A%S?bJ3q# zr$4ptwA9C8|2@oy+JL35!FatVavbvW4O+j`I1WBNA8=id`8k)?pZi}6e*JP6b%pc) z7W^dt<$BJKqko4TKGZ^!9{e4x%%_M zU`d~mKAiHBrM~~TbA283;|JkydRV^eFu&it#L-`MnBOtt$28NQI@rg@*1N99eBKZL z!Ly6Yudq}S_SDnEaq#=wc}Jhrk^DC}^HB%??71VZ_-@%>n*P^)3gttteAvU$F^3Oz zpGW=cUGY)u4~kr#;+U^bKwhg4b3XjDkI?uY<@m3#{={F_?{zPuy~R#@Q)gRh7ujzf z&s6BY@9uK+;nY)6zR^|wZ1i8+NB^f@i1IFZo!X844e2*OU!O;LeP)y6n2#?%?C@ji zHt`n>pQn<2oa1Wm3z$FU%-7VfVf}Euhv#GJe&kPc_2*$r%?UaBYAW>KJ?kBPF%|y7 zO?w?aO+76B2Dkr5@lQ;A+6+rQK>qT8DL<`2{3*_deHf+vUjygAL*Dgk`a2E$dG3HS zo@wv0)W1-B*KqmuknaPIJWY!-ovD7Jhs| zdQ7|5QXhqX;bDC+4f6NxXPo(&2K^@TqvMa2+n;H_l(@;C?h$|1XBz9W5fImfa8-vs`9cW@l~>O9yF59^2N9}s_z+usa* zbcr)R(?5#&-tWx!^aAAjPKO`Uzbfx5<^FxMd_Jca%k|y#16bcz9Qm03i1?d){Zp2@ zh~$;!Z#wkP`A3}foDO~eOXBCC>CX)4`^O)3uAc!uJ@+unC*?Pl+rJs`NAu8!)y&r! zkhd?RelO3*jK3?_*E4!5t{=42*I#k?KI6mUA8`CuvHu*0etFh4{u$VRJlEigKaKUK z^opVp>&~#l zrEz1qsycdBn?xxY5^1LgBI6ZY;Oce<`m z!#>{R@NZ^L_H%swRk;2|SAQXYyGj2`dH8R~(*A?>@60b*>X>PczMA=U_@^#?K9l(7 z=l;@o;Jm!>y-Tx*AM;n8Jds1T>d@aW23`I zANGsS`<(gnfuFy;#ubM@{G`4=!Pmoo`<6?e`RrPe{dJO}IF;+zkA@LbWA{~PEd@^{%@`7mGi(EUrc7rsA9zR@rB;P2Oo&+I?c!#?aL|Csw* zKU?1OWBOZvJp7MZr@s1y^1dI=$9{C~E=NA=A^*?&o&MFYF6Uo8bqa+P~h_U�Vb*}nf8B|I+ ze3&%}{@3MB`B}4Me{b5K1%CZ%m!l77Ex`VYP6_ z|LYOIGk>$-Puxs=W`AK8{H?LOo%NbsZ>g=s=P{E%8~*%tR5kN+_Q~k)iB5lKhoEmC zcg8>aY^yZiI)1LDzIVSXo`Anh^2qvNcBb6oX5F7J2c{=k3#R>T!QEc0d7tD&ykUunSne2S+2CR2X{ z_M>Z|KdSluLj%8qSFaB~4IP$x8~8q#?Q_GKmiimW-%QrO4HrP){lu}C4VP40kNwSg zMMoYQE)#j+{z3nYl7GYdr3U!x9s6D7;je$}ddD6%KtEkh^|AhL_%h~y&^12z8y}wL zx_$)y59wFqpZyo!1@afY`{S}iHlzRE`RAT!(f`wxOJYe}hs$&M{_gIkn6mAa>(0Nx zUUSZc>uejbRb{a=SKDV@aK^c3+AGdH`<(N1(z{lc^81*WPd`?wa&X;jTVfjZr!_`T`muESFU~1?PG7&XB$vpelzHOHoUbqgRDFR~YNb2X zpX+&3k015+r)>QSjxEuxiXJcCYUeX{Jh?d;PpA!cT)S) z@yddwBrd7voHKKkaZ@Il-DEgdRVnarmr*5YNX zqkW`4baFnK-kMLOu_Wf1XZ`FtCMFr6mjYmA>5zb(Ro&5CUX!tmM-zw*{%cnBh6ie+ zLd)m!cEzE!>{hMj%x?>;^2L!yTC;Q|b%VYQrLbb=32&3x-3tQij`ycAFIr>kD$NY# z=rL0X==$OY5W+pTQZIWNij6Tjo;%t~3i{@iJSg<_k(BGGzkpE@$Dvgqk z%u-(yC`HapBE318&7@UNB8uTd4{61r^pQ%G8P^bNLiTU8;?jNvswM%;u=?S3f`6fx zYC`$s#p{ic%Fz-|DFlvkfioCAU#7e6Im6AyMYZGgX6)kE?RanJ|=S*Kf;-U|B z()ePjXtLLw&wxLh&Sk)eiBx%#*%Jq2lO&rZSx^<7A?@m3aVK${hiPqB1JgPCFEb zri9nI1;Zr?)qS!>!ob-CG%D04REcqssc%P9KIoVx9y~mfNBssZu@u?_^<}oSk`8jK zC#9#_ygz1~!Yp^cN~s`oK9|^}#(7)0(o)4X^(UeC68ce1(n&pJ6HCeg-OHhyv0jXw zPTZL-f+ICg!sJKlz0!2|?G>0@S7^U9ix;Ha@SY2TX9IZFemAe0;MkZ2-tPNk^OpyuA z^(9j&qX%-1!lQG#&1OZNF-13P_d(BBra#{Wmjzonij~>BOs-;Iq-X(D79N3C3|hGi z&`G6cWYNDNhOBLobd1y1O3HwuhWkOAqGdn|!Ku?^yG}+yqOyumg zkTM#Xr=Mou@#(RBjZ#8yNi9y`*5~@WdbRi7y(OC3sN^t|b6Gx1DhDM=GdEYbyrgDG zZR(eU(7`3c)uU-Nk@IxM)*J-k6SUZ5?;XulE}PD#0>+p1>I6Dj%xF$r!L`wR&l)XO za`@Qj#BRkgbHtT~))kDyC!jrb$uCq*I%+(diP|$Pop>+jHcE0TYhtb&`F8}^wMT*K zPHRg&{wl}*ls5Xt#2iiE(Kn=C)82L*f*!{qC~dabi7R)2jT)G6E2SJC#JGvkc>HK; z-n5X_?|c&t!H&_Zy>5*c z1c5R8PG?xi%@n=P%xINhbYpjtIK)RD!Pj?frdRiAE3z^b#SSV*PPF4DmwbPUn2nQ8 qp2+a?UTmVC2%C)}lLlz#*puwelbXi5B@An@?a^^ + + + + + diff --git a/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/driver_APB_lcd_ctrlr.depend b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/driver_APB_lcd_ctrlr.depend new file mode 100644 --- /dev/null +++ b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/driver_APB_lcd_ctrlr.depend @@ -0,0 +1,25 @@ +# depslib dependency file v1.0 +1290187550 source:/home/alexis/Prog/Leon3/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/main.c + "stdio.h" + "lpp_apb_functions.h" + "apb_lcd_driver.h" + +1290183144 /home/alexis/Prog/Leon3/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/apb_lcd_driver.h + +1290183058 source:/home/alexis/Prog/Leon3/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/apb_lcd_driver.c + "apb_lcd_driver.h" + "lpp_apb_functions.h" + "lpp_apb_functions.h" + + +1290413299 /home/alexis/Prog/Leon3/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/lpp_apb_functions.h + +1290416373 source:/home/alexis/Prog/Leon3/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/lpp_apb_functions.c + "lpp_apb_functions.h" + + +1291013871 source:/home/alexis/Prog/Leon3/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/main.c + "stdio.h" + "lpp_apb_functions.h" + "apb_lcd_driver.h" + diff --git a/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/driver_APB_lcd_ctrlr.layout b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/driver_APB_lcd_ctrlr.layout new file mode 100644 --- /dev/null +++ b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/driver_APB_lcd_ctrlr.layout @@ -0,0 +1,7 @@ + + + + + + + diff --git a/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/main.c b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/main.c new file mode 100644 --- /dev/null +++ b/LPP_drivers/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/main.c @@ -0,0 +1,59 @@ +#include "stdio.h" +#include "lpp_apb_functions.h" +#include "apb_lcd_driver.h" + + + +int main() +{ + lcd_device* lcd0; + struct apbdevinfo lcd0info; + lcd0 = lcdopen(0); + char message[lcdCharCnt+1]; + if(lcd0!= NULL) + { + apbgetdeviceinfofromid(LPP_LCD_CTRLR,VENDOR_LPP,0,&lcd0info); + printf("find lcd device @ %8x\n",(int)lcd0); + apbprintdeviceinfo(lcd0info); + } + + printf("hello\n"); + lcdclear(lcd0); + int d=0; + while(d!=10) + { + scanf("%d",&d); + switch(d) + { + case 0: + lcdsendcmd(lcd0,CursorOFF&lcd_100us); + printf("cursor OFF \n"); + sprintf(message,"cursor OFF %d",d); + lcdprint(lcd0,0,message); + break; + case 1: + lcdsendcmd(lcd0,CursorON&lcd_100us); + printf("cursor ON \n"); + sprintf(message,"cursor ON %d ",d); + lcdprint(lcd0,0,message); + break; + case 2: + sprintf(message,"Test line 2_%d\nline2",d); + lcdprint(lcd0,0,message); + break; + case 3: + apbprintdeviceslist(); + break; + case 10: + sprintf(message,"QUIT %d ",d); + lcdprint(lcd0,0,message); + return 0; + break; + default: + sprintf(message,"Not a CMD %d ",d); + lcdprint(lcd0,0,message); + break; + } + } + return 0; +} diff --git a/LPP_drivers/includes/apb_lcd_driver.h b/LPP_drivers/includes/apb_lcd_driver.h new file mode 100644 --- /dev/null +++ b/LPP_drivers/includes/apb_lcd_driver.h @@ -0,0 +1,95 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ +#ifndef APB_LCD_DRIVER_H +#define APB_LCD_DRIVER_H + +#define readyFlag 1024 +#define lcdCharCnt 80 + + +/** @todo implemente some shift functions */ + + +/*=================================================== + T Y P E S D E F +====================================================*/ + + + +/** error type used for most of lcd functions */ +typedef int lcd_err; + +/** lcd error ennum for higher abstraction level when error decoding */ + enum lcd_error +{ + lcd_error_no_error, /**< no error append while function execution */ + lcd_error_not_ready, /**< the lcd isn't available*/ + lcd_error_not_openned, /**< the device guiven to the function isn't opened*/ + lcd_error_too_long /**< the string guiven to the lcd is bigger than the lcd frame buffer memory */ +}; + + +/** for each command sended to the lcd driver a time should be guiven according to the lcd datasheet */ + enum lcd_CMD_time +{ + lcd_4us = 0x0FF, + lcd_100us = 0x1FF, + lcd_4ms = 0x2FF, + lcd_20ms = 0x3FF +}; + +/** list of availiable lcd commands use whith an AND mask whith cmd time */ + enum lcd_CMD +{ + CursorON = 0xF0E, + CursorOFF = 0xF0C +}; + +/** structure representing the lcd registers */ +struct lcd_driver +{ + int cfg_reg; /**< Configuration register composed of Ready flag [10], CMD time Value [9:8], CMD to send [7:0]*/ + int Frame_buff[lcdCharCnt]; /**< Frame Buffer space each address corresponds to a char on the lcd screen */ +}; + +typedef struct lcd_driver lcd_device; + +/*=================================================== + F U N C T I O N S +====================================================*/ + +/** says if the lcd is busy */ +int lcdbusy(lcd_device * lcd); + +/** Opens and returns the counth lcd found on APB bus else NULL */ +lcd_device* lcdopen(int count); + +/** Sends a command to the given device, don't forget to guive the time of the cmd */ +lcd_err lcdsendcmd(lcd_device* lcd,int cmd); + +/** Sets a char on the given device at given position */ +lcd_err lcdsetchar(lcd_device* lcd,int position,const char value); + +/** Prints a message on the given device at given position, "\n" is understood but for others use sprintf before */ +lcd_err lcdprint(lcd_device* lcd,int position,const char* value); + +/** Writes space character on each adress of the lcd screen */ +lcd_err lcdclear(lcd_device* lcd); + +#endif diff --git a/LPP_drivers/includes/lpp_apb_functions.h b/LPP_drivers/includes/lpp_apb_functions.h new file mode 100644 --- /dev/null +++ b/LPP_drivers/includes/lpp_apb_functions.h @@ -0,0 +1,65 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ +#ifndef LPP_APB_FUNCTIONS_H +#define LPP_APB_FUNCTIONS_H + +#define APB_TBL_HEAD 0x800FF000 +#define APB_BASE_ADDRS 0x80000000 +#define APB_MAX_DEVICES 256 + +#define VENDOR_LPP 0x19 + +#define ROCKET_TM 0x001 +#define otherCore 0x002 +#define LPP_SIMPLE_DIODE 0x003 +#define LPP_MULTI_DIODE 0x004 +#define LPP_LCD_CTRLR 0x005 + +/** @todo implemente a descriptor structure for any APB device */ + + +/** Structure representing a device descriptor register on Grlib's AHB2APB brige with plug and play feature */ +struct apbPnPreg +{ + int idReg; /**< id register composed of Vendor ID [31:24], Device ID [23:12], CT [11:10], Version [9:5], IRQ [4:0] */ + int bar; /**< Bank Address Register composed of Device's ADDRESS [31:20], MASK [14:4], TYPE [3:0] */ +}; + +struct apbdevinfo +{ + int vendorID; + int productID; + int version; + int irq; + int address; + int mask; +}; + +/** This Function scans APB devices table and returns counth device according to VID and PID */ +int* apbgetdevice(int PID,int VID,int count); +/** This Function scans APB devices table and returns counth device informations according VID and PID */ +void apbgetdeviceinfofromid(int PID,int VID,int count,struct apbdevinfo* devinfo); + +void apbgetdeviceinfofromdevptr(const struct apbPnPreg* dev,struct apbdevinfo* devinfo); + + +void apbprintdeviceinfo(struct apbdevinfo devinfo); + +void apbprintdeviceslist(); +#endif // LPP_APB_FUNCTIONS_H diff --git a/LPP_drivers/lib/libapb_lcd_driver.a b/LPP_drivers/lib/libapb_lcd_driver.a new file mode 100644 index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..29e4e91ff8b96c241b4556aa11122709257aeaf3 GIT binary patch literal 1758 zc$}40!E4iC6n{zEq$>)s46K`jDA;x&X*=uehDc$Z>!Hk(cwE~wxIw#(HVy{^yYAw_ z!w?T%gdF?>hKR^689~tF5KlV|1nHrNs$<|T+xwC)T^cf-kG}W5_kF+jzTbOI+G}@9 zb$R|?C?WPsYHR{Ox5w^`i(=B;aavK#q-|s|1OOcB4==6CmGYCuDxhClRu+kGD2o-j zR!^bfF#HrIN{{ z!;Yu1vs8LKV^@1NUAWJ%4D^Hn*0eqG4Vo@(+BggV_vX9)x*OASEkT4W0hsmA`@!`# z0Pb&+{Y^m(ycEPM-*_#TrNwq*`hmgg2VVGJF)hDio@=?LRs^;QVKc{(-x455&-^47 z^y5Folbk(X%kPO#m)E;qcVq1#BcT;`yg5F%aR)IQ)8jco zIpj%wJnJ0sS^;ZxT6~r)Vua#HExg{v_`zTkZjksdIiYY9c-l*x++pIN z$QagLxh<@9$eHsQ#ys{tWJlg1=7`I}M*&8VTcO26UtJ@r`M3EZa}>?O2X3D^jGFWO zO1Y6)wa=Jg8)w*d&&D;A%Or2dnn%~s>qXjYj_eVx+sGB`i$<*ICwsK7E^_(p;LU7moHJpbqrc3lz{i@~G#Q-o77>e>x9* zt{h)qeKYX8;sBiX8VOtZLtxE4N#pA_Ua(vOHIKSR&&dovp~h)EjoQKGRT|IYI7sg= l%-HqQEQ9<10RfK{wW6#n$jZ6@EQsLu9pow1DvsJ*p8yS8hZq0= diff --git a/LPP_drivers/lib/liblpp_apb_functions.a b/LPP_drivers/lib/liblpp_apb_functions.a new file mode 100644 index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..a6a6ffb7454927ea73e23ece66262d1401f17ddd GIT binary patch literal 2780 zc$}?PL5Le=6n@D}oar>^xJ_w5%Z}ojSV}g##tco6~~y!NWqx`QLlr z``-KC|G$4{^3wT|QNI4RG^~om>4>d+F~~yD&(#mpO%dWXr19NjZa#&|bQeR^uoO8)-FZpU6iL?{@@ea8BWd z+b7DyRy%((+Jb4a2*Ep$hi$P*^nUC6d}OKx$2?zqxz$zy?YPf90F~!1JOcZH`(+5C)F5xAEMEq=j+cG3M#5QFW?(u*by-PmE6g~u#L$G)VmI%Q_9}Cp- zG3gc7*~5F-#kzZBfAox>rTyrlJxq-D>GbH{dc=o1>1P-B`M~#+vqNl`i9I#;dHJ#5 z#|dT&&fX5duL_FS%Ljf!q4mzR`9CA&VeF44D7(a@yo`i zh|N^|G(KK0nV(D~qWBffF!6mlj*+BAZzv7zbH#gqD^-21QK9%EO?~O&#kZ97rT0I2 zO?f?&%iwD=V}4P$N-HQW!;{y2&Cpg$8B1HYAX6z>CCIFpCZf7$l=XUDYgq6UL!oVW zhT6D1{~_b&seFOaafs{QHxEP_PkeEFUS+NWfo}!Y^S=wHY3yOVIjTn)-WJ0XsXPml z-<&*7Qz7}DAFt{1KEUan5FWE88Nw?#?cj5S7~t(!rZ$sL7~o`w)--0 z+(drY-~)CnV3S@Rr{>O-m+xg-|7~h7qG;ihr8f3FdK=}J)V}8DlReSE%;(XSbp4P2 zj3~qZ|KrECtD(Pv$cW-Sh#m3Di~fLmoa#RU92KbkE9E3n-=Q3$cNxwe + + + +int* apbgetdevice(int PID,int VID,int count) +{ + struct apbPnPreg* dev = (struct apbPnPreg*)(APB_TBL_HEAD + sizeof(struct apbPnPreg)); + int id; + id = (PID<<12) | (VID<<24); + while(dev != (struct apbPnPreg*)(APB_TBL_HEAD|0xFFF)) + { + if((dev->idReg & 0xFFFFF000) == id) + { + if(count == 0) + { + return (int*) (APB_BASE_ADDRS | (dev->bar&0xFFF00000)>>12); + } + count-=1; + } + dev += 1; + } + return NULL; +} + + +void apbgetdeviceinfofromdevptr(const struct apbPnPreg* dev,struct apbdevinfo* devinfo) +{ + + devinfo->productID = (dev->idReg>>12) & 0xFFF; + devinfo->vendorID = (dev->idReg>>24) & 0xFF; + devinfo->address = ((dev->bar>>12) & 0xFFF00)|APB_BASE_ADDRS; + devinfo->irq = dev->idReg & 0x1F; + devinfo->mask = (dev->bar>>4)&0xFFF; + devinfo->version = (dev->idReg>>5)&0x1F; +} + +void apbgetdeviceinfofromid(int PID,int VID,int count,struct apbdevinfo* devinfo) +{ + struct apbPnPreg* dev = (struct apbPnPreg*)(APB_TBL_HEAD + sizeof(struct apbPnPreg)); + int id; + id = (PID<<12) | (VID<<24); + while(dev != (struct apbPnPreg*)(APB_TBL_HEAD|0xFFF)) + { + if((dev->idReg & 0xFFFFF000) == id) + { + if(count == 0) + { + devinfo->productID = PID; + devinfo->vendorID = VID; + devinfo->address = ((dev->bar>>12) & 0xFFF00)|APB_BASE_ADDRS; + devinfo->irq = dev->idReg & 0x1F; + devinfo->mask = (dev->bar>>4)&0xFFF; + devinfo->version = (dev->idReg>>5)&0x1F; + return; + } + count-=1; + } + dev += 1; + } +} + + + +void apbprintdeviceinfo(struct apbdevinfo devinfo) +{ + printf("Vendor ID = 0x%x\n",devinfo.vendorID); + printf("Product ID = 0x%x\n",devinfo.productID); + printf("Device address = 0x%x\n",devinfo.address); + printf("Device Irq = %d\n",devinfo.irq); + printf("Device mask = 0x%x\n",devinfo.mask); + printf("Device Version = %d\n",devinfo.version); +} + + +void apbprintdeviceslist() +{ + struct apbdevinfo devinfo; + struct apbPnPreg* dev = (struct apbPnPreg*)(APB_TBL_HEAD );//+ sizeof(struct apbPnPreg)); + int i =0; + int fisrtBAR; + while((dev->idReg == 0) && (ibar; + for(i=i;iidReg != 0 )) + { + apbgetdeviceinfofromdevptr(dev,&devinfo); + printf("\n\n======= new device found========\n"); + apbprintdeviceinfo(devinfo); + } + dev += 1; + if(dev->bar == fisrtBAR) + break; + } +} + diff --git a/LPP_drivers/libsrc/AMBA/lpp_apb_functions.h b/LPP_drivers/libsrc/AMBA/lpp_apb_functions.h new file mode 100644 --- /dev/null +++ b/LPP_drivers/libsrc/AMBA/lpp_apb_functions.h @@ -0,0 +1,65 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ +#ifndef LPP_APB_FUNCTIONS_H +#define LPP_APB_FUNCTIONS_H + +#define APB_TBL_HEAD 0x800FF000 +#define APB_BASE_ADDRS 0x80000000 +#define APB_MAX_DEVICES 256 + +#define VENDOR_LPP 0x19 + +#define ROCKET_TM 0x001 +#define otherCore 0x002 +#define LPP_SIMPLE_DIODE 0x003 +#define LPP_MULTI_DIODE 0x004 +#define LPP_LCD_CTRLR 0x005 + +/** @todo implemente a descriptor structure for any APB device */ + + +/** Structure representing a device descriptor register on Grlib's AHB2APB brige with plug and play feature */ +struct apbPnPreg +{ + int idReg; /**< id register composed of Vendor ID [31:24], Device ID [23:12], CT [11:10], Version [9:5], IRQ [4:0] */ + int bar; /**< Bank Address Register composed of Device's ADDRESS [31:20], MASK [14:4], TYPE [3:0] */ +}; + +struct apbdevinfo +{ + int vendorID; + int productID; + int version; + int irq; + int address; + int mask; +}; + +/** This Function scans APB devices table and returns counth device according to VID and PID */ +int* apbgetdevice(int PID,int VID,int count); +/** This Function scans APB devices table and returns counth device informations according VID and PID */ +void apbgetdeviceinfofromid(int PID,int VID,int count,struct apbdevinfo* devinfo); + +void apbgetdeviceinfofromdevptr(const struct apbPnPreg* dev,struct apbdevinfo* devinfo); + + +void apbprintdeviceinfo(struct apbdevinfo devinfo); + +void apbprintdeviceslist(); +#endif // LPP_APB_FUNCTIONS_H diff --git a/LPP_drivers/libsrc/LCD/Makefile b/LPP_drivers/libsrc/LCD/Makefile new file mode 100644 --- /dev/null +++ b/LPP_drivers/libsrc/LCD/Makefile @@ -0,0 +1,25 @@ +#------------------------------------------------------------------------------ +#-- This file is a part of the LPP VHDL IP LIBRARY +#-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +#-- +#-- This program is free software; you can redistribute it and/or modify +#-- it under the terms of the GNU General Public License as published by +#-- the Free Software Foundation; either version 3 of the License, or +#-- (at your option) any later version. +#-- +#-- This program is distributed in the hope that it will be useful, +#-- but WITHOUT ANY WARRANTY; without even the implied warranty of +#-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +#-- GNU General Public License for more details. +#-- +#-- You should have received a copy of the GNU General Public License +#-- along with this program; if not, write to the Free Software +#-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +#------------------------------------------------------------------------------ +FILE = apb_lcd_driver +LIB = liblpp_lcd_driver.a + +include ../../rules.mk + +all: $(FILE).a + @echo $(FILE)".a created" diff --git a/LPP_drivers/libsrc/LCD/apb_lcd_driver.c b/LPP_drivers/libsrc/LCD/apb_lcd_driver.c new file mode 100644 --- /dev/null +++ b/LPP_drivers/libsrc/LCD/apb_lcd_driver.c @@ -0,0 +1,115 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ +#include "apb_lcd_driver.h" +#include "lpp_apb_functions.h" +#include "lpp_apb_functions.h" +#include + +int lcdbusy(lcd_device* lcd) +{ + return (!(lcd->cfg_reg&readyFlag)==readyFlag); +} + + +lcd_device* lcdopen(int count) +{ + lcd_device* dev; + dev = (lcd_device*) apbgetdevice(LPP_LCD_CTRLR,VENDOR_LPP,count); + return dev; + //* scan APB bus an return the count(th) lcd controler */ + +} + + + +lcd_err lcdsendcmd(lcd_device* lcd,int cmd) +{ + lcd_err err; + err = lcd_error_no_error; + if (lcd!=NULL) + { + while(lcdbusy(lcd)); + lcd->cfg_reg = cmd; + return err; + } + else + { + err = lcd_error_not_openned ; + return err; + } +} + + + +lcd_err lcdsetchar(lcd_device* lcd,int position,const char value) +{ + lcd_err err; + err = lcd_error_no_error; + return err; +} + + + +lcd_err lcdprint(lcd_device* lcd,int position,const char* value) +{ + lcd_err err; + err = lcd_error_no_error; + if (lcd!=NULL) + { + int i = position; + int n = 0; + while(value[n]!= '\0' && iFrame_buff[i++] = value[n++]; + } + return err; + } + else + { + err = lcd_error_not_openned ; + return err; + } +} + + + +lcd_err lcdclear(lcd_device* lcd) +{ + lcd_err err; + err = lcd_error_no_error; + if (lcd!=NULL) + { + int i=0; + for(i=0;iFrame_buff[i] = ' '; + } + return err; + } + err = lcd_error_not_openned ; + return err; +} + + + + diff --git a/LPP_drivers/libsrc/LCD/apb_lcd_driver.h b/LPP_drivers/libsrc/LCD/apb_lcd_driver.h new file mode 100644 --- /dev/null +++ b/LPP_drivers/libsrc/LCD/apb_lcd_driver.h @@ -0,0 +1,95 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ +#ifndef APB_LCD_DRIVER_H +#define APB_LCD_DRIVER_H + +#define readyFlag 1024 +#define lcdCharCnt 80 + + +/** @todo implemente some shift functions */ + + +/*=================================================== + T Y P E S D E F +====================================================*/ + + + +/** error type used for most of lcd functions */ +typedef int lcd_err; + +/** lcd error ennum for higher abstraction level when error decoding */ + enum lcd_error +{ + lcd_error_no_error, /**< no error append while function execution */ + lcd_error_not_ready, /**< the lcd isn't available*/ + lcd_error_not_openned, /**< the device guiven to the function isn't opened*/ + lcd_error_too_long /**< the string guiven to the lcd is bigger than the lcd frame buffer memory */ +}; + + +/** for each command sended to the lcd driver a time should be guiven according to the lcd datasheet */ + enum lcd_CMD_time +{ + lcd_4us = 0x0FF, + lcd_100us = 0x1FF, + lcd_4ms = 0x2FF, + lcd_20ms = 0x3FF +}; + +/** list of availiable lcd commands use whith an AND mask whith cmd time */ + enum lcd_CMD +{ + CursorON = 0xF0E, + CursorOFF = 0xF0C +}; + +/** structure representing the lcd registers */ +struct lcd_driver +{ + int cfg_reg; /**< Configuration register composed of Ready flag [10], CMD time Value [9:8], CMD to send [7:0]*/ + int Frame_buff[lcdCharCnt]; /**< Frame Buffer space each address corresponds to a char on the lcd screen */ +}; + +typedef struct lcd_driver lcd_device; + +/*=================================================== + F U N C T I O N S +====================================================*/ + +/** says if the lcd is busy */ +int lcdbusy(lcd_device * lcd); + +/** Opens and returns the counth lcd found on APB bus else NULL */ +lcd_device* lcdopen(int count); + +/** Sends a command to the given device, don't forget to guive the time of the cmd */ +lcd_err lcdsendcmd(lcd_device* lcd,int cmd); + +/** Sets a char on the given device at given position */ +lcd_err lcdsetchar(lcd_device* lcd,int position,const char value); + +/** Prints a message on the given device at given position, "\n" is understood but for others use sprintf before */ +lcd_err lcdprint(lcd_device* lcd,int position,const char* value); + +/** Writes space character on each adress of the lcd screen */ +lcd_err lcdclear(lcd_device* lcd); + +#endif diff --git a/LPP_drivers/libsrc/Makefile b/LPP_drivers/libsrc/Makefile new file mode 100644 --- /dev/null +++ b/LPP_drivers/libsrc/Makefile @@ -0,0 +1,30 @@ +#------------------------------------------------------------------------------ +#-- This file is a part of the LPP VHDL IP LIBRARY +#-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +#-- +#-- This program is free software; you can redistribute it and/or modify +#-- it under the terms of the GNU General Public License as published by +#-- the Free Software Foundation; either version 3 of the License, or +#-- (at your option) any later version. +#-- +#-- This program is distributed in the hope that it will be useful, +#-- but WITHOUT ANY WARRANTY; without even the implied warranty of +#-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +#-- GNU General Public License for more details. +#-- +#-- You should have received a copy of the GNU General Public License +#-- along with this program; if not, write to the Free Software +#-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +#------------------------------------------------------------------------------ + +include ../rules.mk + + + +all: + make all -C AMBA + make all -C LCD + +cleanall: + make clean -C AMBA + make clean -C LCD diff --git a/LPP_drivers/libsrc/Makefile.inc b/LPP_drivers/libsrc/Makefile.inc new file mode 100644 --- /dev/null +++ b/LPP_drivers/libsrc/Makefile.inc @@ -0,0 +1,44 @@ +#------------------------------------------------------------------------------ +#-- This file is a part of the LPP VHDL IP LIBRARY +#-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +#-- +#-- This program is free software; you can redistribute it and/or modify +#-- it under the terms of the GNU General Public License as published by +#-- the Free Software Foundation; either version 3 of the License, or +#-- (at your option) any later version. +#-- +#-- This program is distributed in the hope that it will be useful, +#-- but WITHOUT ANY WARRANTY; without even the implied warranty of +#-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +#-- GNU General Public License for more details. +#-- +#-- You should have received a copy of the GNU General Public License +#-- along with this program; if not, write to the Free Software +#-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +#------------------------------------------------------------------------------ + +CC = sparc-elf-gcc +AR = sparc-elf-ar +LIBDIR = ../../lib/ +INCPATH = ../../includes/ + + +$(FILE): $(FILE).a + @echo "library ""lib"$(FILE)" created" + + +$(FILE).o: + mkdir tmp + $(CC) -c $(FILE).c -I $(INCPATH) -o tmp/$(FILE).o + +$(FILE).a: $(FILE).o + $(AR) rs $(LIBDIR)"lib"$(FILE).a tmp/$(FILE).o + cp *.h $(INCPATH) + rm -R tmp + +clean: + rm -f -R tmp + rm -f *.{o,a} + rm -f $(INCPATH)*.h + rm -f $(LIBDIR)*.{o,a} + diff --git a/LPP_drivers/lpp_apb_functions.c b/LPP_drivers/lpp_apb_functions.c deleted file mode 100644 --- a/LPP_drivers/lpp_apb_functions.c +++ /dev/null @@ -1,101 +0,0 @@ -#include "lpp_apb_functions.h" -#include - - - -int* apbgetdevice(int PID,int VID,int count) -{ - struct apbPnPreg* dev = (struct apbPnPreg*)(APB_TBL_HEAD + sizeof(struct apbPnPreg)); - int id; - id = (PID<<12) | (VID<<24); - while(dev != (struct apbPnPreg*)(APB_TBL_HEAD|0xFFF)) - { - if((dev->idReg & 0xFFFFF000) == id) - { - if(count == 0) - { - return (int*) (APB_BASE_ADDRS | (dev->bar&0xFFF00000)>>12); - } - count-=1; - } - dev += 1; - } - return NULL; -} - - -void apbgetdeviceinfofromdevptr(const struct apbPnPreg* dev,struct apbdevinfo* devinfo) -{ - - devinfo->productID = (dev->idReg>>12) & 0xFFF; - devinfo->vendorID = (dev->idReg>>24) & 0xFF; - devinfo->address = ((dev->bar>>12) & 0xFFF00)|APB_BASE_ADDRS; - devinfo->irq = dev->idReg & 0x1F; - devinfo->mask = (dev->bar>>4)&0xFFF; - devinfo->version = (dev->idReg>>5)&0x1F; -} - -void apbgetdeviceinfofromid(int PID,int VID,int count,struct apbdevinfo* devinfo) -{ - struct apbPnPreg* dev = (struct apbPnPreg*)(APB_TBL_HEAD + sizeof(struct apbPnPreg)); - int id; - id = (PID<<12) | (VID<<24); - while(dev != (struct apbPnPreg*)(APB_TBL_HEAD|0xFFF)) - { - if((dev->idReg & 0xFFFFF000) == id) - { - if(count == 0) - { - devinfo->productID = PID; - devinfo->vendorID = VID; - devinfo->address = ((dev->bar>>12) & 0xFFF00)|APB_BASE_ADDRS; - devinfo->irq = dev->idReg & 0x1F; - devinfo->mask = (dev->bar>>4)&0xFFF; - devinfo->version = (dev->idReg>>5)&0x1F; - return; - } - count-=1; - } - dev += 1; - } -} - - - -void apbprintdeviceinfo(struct apbdevinfo devinfo) -{ - printf("Vendor ID = 0x%x\n",devinfo.vendorID); - printf("Product ID = 0x%x\n",devinfo.productID); - printf("Device address = 0x%x\n",devinfo.address); - printf("Device Irq = %d\n",devinfo.irq); - printf("Device mask = 0x%x\n",devinfo.mask); - printf("Device Version = %d\n",devinfo.version); -} - - -void apbprintdeviceslist() -{ - struct apbdevinfo devinfo; - struct apbPnPreg* dev = (struct apbPnPreg*)(APB_TBL_HEAD );//+ sizeof(struct apbPnPreg)); - int i =0; - int fisrtBAR; - while((dev->idReg == 0) && (ibar; - for(i=i;iidReg != 0 )) - { - apbgetdeviceinfofromdevptr(dev,&devinfo); - printf("\n\n======= new device found========\n"); - apbprintdeviceinfo(devinfo); - } - dev += 1; - if(dev->bar == fisrtBAR) - break; - } -} - diff --git a/LPP_drivers/lpp_apb_functions.h b/LPP_drivers/lpp_apb_functions.h deleted file mode 100644 --- a/LPP_drivers/lpp_apb_functions.h +++ /dev/null @@ -1,47 +0,0 @@ -#ifndef LPP_APB_FUNCTIONS_H -#define LPP_APB_FUNCTIONS_H - -#define APB_TBL_HEAD 0x800FF000 -#define APB_BASE_ADDRS 0x80000000 -#define APB_MAX_DEVICES 256 - -#define VENDOR_LPP 0x19 - -#define ROCKET_TM 0x001 -#define otherCore 0x002 -#define LPP_SIMPLE_DIODE 0x003 -#define LPP_MULTI_DIODE 0x004 -#define LPP_LCD_CTRLR 0x005 - -/** @todo implemente a descriptor structure for any APB device */ - - -/** Structure representing a device descriptor register on Grlib's AHB2APB brige with plug and play feature */ -struct apbPnPreg -{ - int idReg; /**< id register composed of Vendor ID [31:24], Device ID [23:12], CT [11:10], Version [9:5], IRQ [4:0] */ - int bar; /**< Bank Address Register composed of Device's ADDRESS [31:20], MASK [14:4], TYPE [3:0] */ -}; - -struct apbdevinfo -{ - int vendorID; - int productID; - int version; - int irq; - int address; - int mask; -}; - -/** This Function scans APB devices table and returns counth device according to VID and PID */ -int* apbgetdevice(int PID,int VID,int count); -/** This Function scans APB devices table and returns counth device informations according VID and PID */ -void apbgetdeviceinfofromid(int PID,int VID,int count,struct apbdevinfo* devinfo); - -void apbgetdeviceinfofromdevptr(const struct apbPnPreg* dev,struct apbdevinfo* devinfo); - - -void apbprintdeviceinfo(struct apbdevinfo devinfo); - -void apbprintdeviceslist(); -#endif // LPP_APB_FUNCTIONS_H diff --git a/LPP_drivers/main.c b/LPP_drivers/main.c deleted file mode 100644 --- a/LPP_drivers/main.c +++ /dev/null @@ -1,58 +0,0 @@ -#include "stdio.h" -#include "lpp_apb_functions.h" -#include "apb_lcd_driver.h" - - -int main() -{ - lcd_device* lcd0; - struct apbdevinfo lcd0info; - lcd0 = lcdopen(0); - char message[lcdCharCnt+1]; - if(lcd0!= NULL) - { - apbgetdeviceinfofromid(LPP_LCD_CTRLR,VENDOR_LPP,0,&lcd0info); - printf("find lcd device @ %8x\n",(int)lcd0); - apbprintdeviceinfo(lcd0info); - } - - printf("hello\n"); - lcdclear(lcd0); - int d=0; - while(d!=10) - { - scanf("%d",&d); - switch(d) - { - case 0: - lcdsendcmd(lcd0,CursorOFF&lcd_100us); - printf("cursor OFF \n"); - sprintf(message,"cursor OFF %d",d); - lcdprint(lcd0,0,message); - break; - case 1: - lcdsendcmd(lcd0,CursorON&lcd_100us); - printf("cursor ON \n"); - sprintf(message,"cursor ON %d ",d); - lcdprint(lcd0,0,message); - break; - case 2: - sprintf(message,"Test line 2_%d\nline2",d); - lcdprint(lcd0,0,message); - break; - case 3: - apbprintdeviceslist(); - break; - case 10: - sprintf(message,"QUIT %d ",d); - lcdprint(lcd0,0,message); - return 0; - break; - default: - sprintf(message,"Not a CMD %d ",d); - lcdprint(lcd0,0,message); - break; - } - } - return 0; -} diff --git a/LPP_drivers/rules.mk b/LPP_drivers/rules.mk new file mode 100644 --- /dev/null +++ b/LPP_drivers/rules.mk @@ -0,0 +1,63 @@ +#------------------------------------------------------------------------------ +#-- This file is a part of the LPP VHDL IP LIBRARY +#-- Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS +#-- +#-- This program is free software; you can redistribute it and/or modify +#-- it under the terms of the GNU General Public License as published by +#-- the Free Software Foundation; either version 3 of the License, or +#-- (at your option) any later version. +#-- +#-- This program is distributed in the hope that it will be useful, +#-- but WITHOUT ANY WARRANTY; without even the implied warranty of +#-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +#-- GNU General Public License for more details. +#-- +#-- You should have received a copy of the GNU General Public License +#-- along with this program; if not, write to the Free Software +#-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +#------------------------------------------------------------------------------ + +CC = sparc-elf-gcc +AR = sparc-elf-ar +LIBDIR = ../../lib/ +INCPATH = ../../includes/ +SCRIPTDIR=../../scripts/ +OUTBINDIR=bin/ +EXEC=exec.bin +INPUTFILE=main.c + +$(FILE): $(FILE).a + @echo "library ""lib"$(FILE)" created" + + +$(FILE).o: + mkdir tmp + $(CC) -c $(FILE).c -I $(INCPATH) -o tmp/$(FILE).o + +$(FILE).a: $(FILE).o + $(AR) rs $(LIBDIR)"lib"$(FILE).a tmp/$(FILE).o + cp *.h $(INCPATH) + rm -R tmp + +load: all + @echo "load "$(OUTBINDIR)$(EXEC)>$(SCRIPTDIR)load.txt + grmon-eval -uart $(PORT) -u -c $(SCRIPTDIR)load.txt + +bin: + mkdir -p $(OUTBINDIR) + $(CC) $(INPUTFILE) -o $(OUTBINDIR)/$(EXEC) -I $(INCPATH) -L $(LIBDIR) -static $(LIBS) + +clean: + rm -f -R tmp + rm -f *.{o,a} + rm -f $(INCPATH)*.h + rm -f $(LIBDIR)*.{o,a} + +ruleshelp: + @echo "" + @echo "" + @echo "" + @echo " load : call grmon-eval and loads "$(EXEC)" in the leon" + @echo " usage: make PORT=/dev/ttyUSBx load" + + diff --git a/LPP_drivers/scripts/load.txt b/LPP_drivers/scripts/load.txt new file mode 100644 --- /dev/null +++ b/LPP_drivers/scripts/load.txt @@ -0,0 +1,1 @@ +load bin/main.bin diff --git a/Makefile b/Makefile --- a/Makefile +++ b/Makefile @@ -1,50 +1,54 @@ -SCRIPTSDIR=scripts/ -LIBDIR=lib/ -BOARDSDIR=boards/ -DESIGNSDIR=designs/ - - - - - - -all: help - -help: - @echo - @echo " batch targets:" - @echo - @echo " make Patch-GRLIB : install library into GRLIB at : $(GRLIB)" - @echo " make dist : create a tar file for using into an other computer" - @echo " make Patched-dist : create a tar file for with a patched grlib for using into an other computer" - @echo " make allGPL : add a GPL HEADER in all vhdl Files" - @echo " make init : add a GPL HEADER in all vhdl Files, init all files" - @echo " make doc : make documentation for VHDL IPs" - @echo " make pdf : make pdf documentation for VHDL IPs" - @echo - -allGPL: - sh $(SCRIPTSDIR)/GPL_Patcher.sh -R - -init: allGPL - sh $(SCRIPTSDIR)/vhdlsynPatcher.sh - sh $(SCRIPTSDIR)/makeDirs.sh lib/lpp - - -Patch-GRLIB: init doc - sh $(SCRIPTSDIR)/patch.sh $(GRLIB) - - -dist: init - tar -cvzf ./../lpp-lib.tgz ./../VHD_Lib/* - -Patched-dist: Patch-GRLIB - tar -cvzf ./../lpp-patched-GRLIB.tgz $(GRLIB)/* - - -doc: - doxygen lib/lpp/Doxyfile - -pdf: - make lib/lpp/doc/latex - cp lib/lpp/doc/latex/refman.pdf lib/lpp/doc/VHD_lib.pdf +SCRIPTSDIR=scripts/ +LIBDIR=lib/ +BOARDSDIR=boards/ +DESIGNSDIR=designs/ + + + + + + +all: help + +help: + @echo + @echo " batch targets:" + @echo + @echo " make Patch-GRLIB : install library into GRLIB at : $(GRLIB)" + @echo " make dist : create a tar file for using into an other computer" + @echo " make Patched-dist : create a tar file for with a patched grlib for using into an other computer" + @echo " make allGPL : add a GPL HEADER in all vhdl Files" + @echo " make init : add a GPL HEADER in all vhdl Files, init all files" + @echo " make doc : make documentation for VHDL IPs" + @echo " make pdf : make pdf documentation for VHDL IPs" + @echo + +allGPL: + @echo "Scanning VHDL files ..." + sh $(SCRIPTSDIR)/GPL_Patcher.sh -R vhd lib + @echo "Scanning C files ..." + sh $(SCRIPTSDIR)/GPL_Patcher.sh -R c LPP_drivers + @echo "Scanning H files ..." + sh $(SCRIPTSDIR)/GPL_Patcher.sh -R h LPP_drivers + +init: + sh $(SCRIPTSDIR)/vhdlsynPatcher.sh + sh $(SCRIPTSDIR)/makeDirs.sh lib/lpp + + +Patch-GRLIB: init doc + sh $(SCRIPTSDIR)/patch.sh $(GRLIB) + + +dist: init + tar -cvzf ./../lpp-lib.tgz ./../VHD_Lib/* + +Patched-dist: Patch-GRLIB + tar -cvzf ./../lpp-patched-GRLIB.tgz $(GRLIB)/* + + +doc: + doxygen lib/lpp/Doxyfile + +pdf: doc + sh $(SCRIPTSDIR)/doc.sh diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/AD7688_drvr.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/AD7688_drvr.prj new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/AD7688_drvr.prj @@ -0,0 +1,5 @@ +vhdl lpp "../../lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd" +vhdl lpp "../../lib/lpp/general_purpose/general_purpose.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd" +vhdl lpp "../../lib/lpp/general_purpose/Clk_divider.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/cdb/env.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/cdb/env.tcl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/cdb/env.tcl +++ /dev/null @@ -1,214 +0,0 @@ -# GRLIB Makefile generated settings -set design leon3mp -set pnc -set device -set package -set top_hdl - -### Project Settings -# -# The parameters in this section are for documentation purposes mostly -# and can be changed by the user without affecting synthesis results -# Multi-word strings (e.g. eASIC Corp) must be enwrapped in double -# quotes, so "eASIC Corp." - -# project: string; Project name -set project "leon3" - -# company: string; Company name -set company "gaisler" - -# designer: string; Designer name -set designer "" - -# email: string; Designer's email address -set email "${designer}@${company}.com" - -# email_notification: enumerated [on,off] -# When 'on' CDB sends an email to the designer's email address -# with the status of the last run and the log file attached -set email_notification off - - -### Design -# -# The parameters in this section define the eASIC Structured ASIC -# the design will be implemented on - -# pnc: number; Part Number Code, unique project identifier -# provided by eASIC -#set pnc 50123 - -# design: string; Top Level name -#set design leon3mp - -# device: enumerated [NX750,NX1500,NX2500,NX4000,NX5000] -# Device selects the eASIC Structured ASIC platform -#set device NX1500 - -# package: string; package for selected device -# -#set package FC480 - -# device_type: enumerated [sl,vl] -# sl: SRAM configured Lookup table device -# vl: Via configured Lookup table device -set device_type sl - -# technology; enumerated [std,hp] -# std: 1.2V standard device -# hp : 1.3V high performance device -set technology std - - -### Flow -# -# The parameters in this section provide various options -# to guide the synthesis flow - -# fsm_optimization: enumerated [on,off] -# fsm_encoding : enumerated [auto,binary,gray,one_hot] -# These parameters turn on/off Finite State Machine recoding with the -# method defined by 'fsm_encoding'. -# Turning on this option can result in smaller and/or faster FSM -# implementations, but may lead to formal verification errors -set fsm_optimization off -set fsm_encoding auto - -# boolean_mapper: enumerated [on,off] -# Turn on/off Magma boolean mapper technology -# Turning on this option generally yields a smaller and faster design -set boolean_mapper on - -# use_rtbuf: enumerated [on,off] -# Turn on/off long net buffering using high-drive buffers (rtbuf) -# Setting use_rtbuf to 'off' disables 'fix fj90 rtbuf' -set use_rtbuf on - -# effort: enumerated [low,medium,high]; (area) synthesis effort -set effort medium - -# timing_effort: enumerated [low,medium,high]; timing effort -set timing_effort medium - -# timing_slack: real; initial positive timing slack target -set timing_slack 1n - -# clock_effort: enumerated [low,high] -# Should be set to 'low' for 2008 Magma releases, can be set to 'high' for older releases -set clock_effort low - -# utilization: real; area utilization -# Maximum area utilization during placement. Typical values range -# from 0.7 to 1.0. Lower values may improve timing or relax placement -# effort, but lead to less area efficient implementations. -set utilization 0.8 - -# clone_ff: enumerated [on,off] -# Turn on/off replication of flipflops to drive large loads. -# It is recommended to set this parameter to 'on'. -# Set it to 'off' if encountering formal verification issues. -set clone_ff on - -# fanout_limit: integer; -# fanout_strict: enumerated [strict,noworse] -# Sets the maximum fanout per cell (fanout_limit) and how the -# synthesis tool resolves the fanout; always buffer if the load is -# higher than the fanout (strict), or only buffer if the load is -# higher than the fanout AND buffering doesn't affect timing (noworse) -set fanout_limit 10 -set fanout_strict strict - -# timing_paths: integer -# Sets the number of timing paths reported during the various timing -# analysis reports -set timing_paths 10 - - -### Directories -# -# The parameters in this section set multiple directories. -# There should be no need to change any of the following parameters - -# proj_rootdir: string -# Sets the path to the project root, as seen from the 'run' directory -set proj_rootdir ../../.. - -# srcdir: string -# Sets the directory containing user files (e.g. design and constraints) -# This typically points to 'src' -set srcdir $proj_rootdir/src - -# rtldir: string -# Sets the directory containing RTL files -# This typically points to 'src/rtl' -set rtldir $srcdir/rtl - -# constraintsdir: string -# Sets the directory containing design constraints (.sdc, .pad) files -# This typically points to 'src/constraints' -set constraintsdir $srcdir/constraints - -# snap: enumerated [on|off] -# Enables or disabled Magma synthesis snap-shot generation. -# snap must be on if the CDB 'start_at' option is to be used. -set snap on - -# volcano_compression: enumerated [none,min,med,max] -# Sets the Magma library volcano compression level -set volcano_compression none - - -### Constraints -# -# The parameters in this section set/point to synthesis constraints - -# pad_file: string -# Points to an eWizard generated file containing pad and macro placement commands -# Typically points to 'src/constraints/.pad -set pad_file $constraintsdir/${design}.pad - -# sdc_file: string -# Points to a user generated file containing timing constraints in -# Synopsys Design Constraints (sdc) format. -# Typically points to 'src/constraints/.sdc -set sdc_file $constraintsdir/${design}.sdc - -# verilog2k: enumerated [on|off] -# Enables/disabled Verilog2001 support -set verilog2k on - -# undriven: enumerated [0,1,X,U,reset] -# Sets the physical synthesis tool's behaviour with regards to undriven -# pins. By default this is set to 'U', meaning leave undriven pins -# floating so they can be detected and fixed in RTL. -set undriven U - -# topfile: string -# The name of the file containing the top level RTL module -#set topfile $rtldir/ -#if {[regexp {\.v$} $topfile]} {set top_hdl verilog} else {set top_hdl vhdl} - - -### Design files -# -set includeList {} -set defineList {} -set netlistList {} -set vhdllibList {} -set read_netlist {} -set read_rtl {} -set read_plan {} - -# GRLIB Makefile generated HDL list -set vhdlList { -{grlib ../../../../../../lib/grlib/stdlib/version.vhd ../../../../../../lib/grlib/stdlib/config.vhd ../../../../../../lib/grlib/stdlib/stdlib.vhd ../../../../../../lib/grlib/sparc/sparc.vhd ../../../../../../lib/grlib/sparc/sparc_disas.vhd ../../../../../../lib/grlib/sparc/cpu_disas.vhd ../../../../../../lib/grlib/modgen/multlib.vhd ../../../../../../lib/grlib/modgen/leaves.vhd ../../../../../../lib/grlib/amba/amba.vhd ../../../../../../lib/grlib/amba/devices.vhd ../../../../../../lib/grlib/amba/defmst.vhd ../../../../../../lib/grlib/amba/apbctrl.vhd ../../../../../../lib/grlib/amba/ahbctrl.vhd ../../../../../../lib/grlib/amba/dma2ahb_pkg.vhd ../../../../../../lib/grlib/amba/dma2ahb.vhd} -{techmap ../../../../../../lib/techmap/gencomp/gencomp.vhd ../../../../../../lib/techmap/gencomp/netcomp.vhd ../../../../../../lib/techmap/inferred/memory_inferred.vhd ../../../../../../lib/techmap/inferred/ddr_inferred.vhd ../../../../../../lib/techmap/inferred/mul_inferred.vhd ../../../../../../lib/techmap/inferred/ddr_phy_inferred.vhd ../../../../../../lib/techmap/dw02/mul_dw_gen.vhd ../../../../../../lib/techmap/maps/allclkgen.vhd ../../../../../../lib/techmap/maps/allddr.vhd ../../../../../../lib/techmap/maps/allmem.vhd ../../../../../../lib/techmap/maps/allpads.vhd ../../../../../../lib/techmap/maps/alltap.vhd ../../../../../../lib/techmap/maps/clkgen.vhd ../../../../../../lib/techmap/maps/clkmux.vhd ../../../../../../lib/techmap/maps/clkand.vhd ../../../../../../lib/techmap/maps/ddr_ireg.vhd ../../../../../../lib/techmap/maps/ddr_oreg.vhd ../../../../../../lib/techmap/maps/ddrphy.vhd ../../../../../../lib/techmap/maps/syncram.vhd ../../../../../../lib/techmap/maps/syncram64.vhd ../../../../../../lib/techmap/maps/syncram_2p.vhd ../../../../../../lib/techmap/maps/syncram_dp.vhd ../../../../../../lib/techmap/maps/syncfifo.vhd ../../../../../../lib/techmap/maps/regfile_3p.vhd ../../../../../../lib/techmap/maps/tap.vhd ../../../../../../lib/techmap/maps/techbuf.vhd ../../../../../../lib/techmap/maps/nandtree.vhd ../../../../../../lib/techmap/maps/clkpad.vhd ../../../../../../lib/techmap/maps/clkpad_ds.vhd ../../../../../../lib/techmap/maps/inpad.vhd ../../../../../../lib/techmap/maps/inpad_ds.vhd ../../../../../../lib/techmap/maps/iodpad.vhd ../../../../../../lib/techmap/maps/iopad.vhd ../../../../../../lib/techmap/maps/iopad_ds.vhd ../../../../../../lib/techmap/maps/lvds_combo.vhd ../../../../../../lib/techmap/maps/odpad.vhd ../../../../../../lib/techmap/maps/outpad.vhd ../../../../../../lib/techmap/maps/outpad_ds.vhd ../../../../../../lib/techmap/maps/toutpad.vhd ../../../../../../lib/techmap/maps/skew_outpad.vhd ../../../../../../lib/techmap/maps/grspwc_net.vhd ../../../../../../lib/techmap/maps/grspwc2_net.vhd ../../../../../../lib/techmap/maps/grlfpw_net.vhd ../../../../../../lib/techmap/maps/grfpw_net.vhd ../../../../../../lib/techmap/maps/mul_61x61.vhd ../../../../../../lib/techmap/maps/cpu_disas_net.vhd ../../../../../../lib/techmap/maps/ringosc.vhd ../../../../../../lib/techmap/maps/system_monitor.vhd ../../../../../../lib/techmap/maps/grgates.vhd ../../../../../../lib/techmap/maps/inpad_ddr.vhd ../../../../../../lib/techmap/maps/outpad_ddr.vhd ../../../../../../lib/techmap/maps/iopad_ddr.vhd ../../../../../../lib/techmap/maps/syncram128bw.vhd ../../../../../../lib/techmap/maps/syncram128.vhd ../../../../../../lib/techmap/maps/syncram156bw.vhd} -{eth ../../../../../../lib/eth/comp/ethcomp.vhd ../../../../../../lib/eth/core/greth_pkg.vhd ../../../../../../lib/eth/core/eth_rstgen.vhd ../../../../../../lib/eth/core/eth_ahb_mst.vhd ../../../../../../lib/eth/core/greth_tx.vhd ../../../../../../lib/eth/core/greth_rx.vhd ../../../../../../lib/eth/core/grethc.vhd ../../../../../../lib/eth/wrapper/greth_gen.vhd ../../../../../../lib/eth/wrapper/greth_gbit_gen.vhd} -{gaisler ../../../../../../lib/gaisler/arith/arith.vhd ../../../../../../lib/gaisler/arith/mul32.vhd ../../../../../../lib/gaisler/arith/div32.vhd ../../../../../../lib/gaisler/memctrl/memctrl.vhd ../../../../../../lib/gaisler/memctrl/sdctrl.vhd ../../../../../../lib/gaisler/memctrl/sdctrl64.vhd ../../../../../../lib/gaisler/memctrl/sdmctrl.vhd ../../../../../../lib/gaisler/memctrl/srctrl.vhd ../../../../../../lib/gaisler/memctrl/spimctrl.vhd ../../../../../../lib/gaisler/leon3/leon3.vhd ../../../../../../lib/gaisler/leon3/mmuconfig.vhd ../../../../../../lib/gaisler/leon3/mmuiface.vhd ../../../../../../lib/gaisler/leon3/libmmu.vhd ../../../../../../lib/gaisler/leon3/libiu.vhd ../../../../../../lib/gaisler/leon3/libcache.vhd ../../../../../../lib/gaisler/leon3/libproc3.vhd ../../../../../../lib/gaisler/leon3/cachemem.vhd ../../../../../../lib/gaisler/leon3/mmu_icache.vhd ../../../../../../lib/gaisler/leon3/mmu_dcache.vhd ../../../../../../lib/gaisler/leon3/mmu_acache.vhd ../../../../../../lib/gaisler/leon3/mmutlbcam.vhd ../../../../../../lib/gaisler/leon3/mmulrue.vhd ../../../../../../lib/gaisler/leon3/mmulru.vhd ../../../../../../lib/gaisler/leon3/mmutlb.vhd ../../../../../../lib/gaisler/leon3/mmutw.vhd ../../../../../../lib/gaisler/leon3/mmu.vhd ../../../../../../lib/gaisler/leon3/mmu_cache.vhd ../../../../../../lib/gaisler/leon3/cpu_disasx.vhd ../../../../../../lib/gaisler/leon3/iu3.vhd ../../../../../../lib/gaisler/leon3/grfpwx.vhd ../../../../../../lib/gaisler/leon3/mfpwx.vhd ../../../../../../lib/gaisler/leon3/grlfpwx.vhd ../../../../../../lib/gaisler/leon3/tbufmem.vhd ../../../../../../lib/gaisler/leon3/dsu3x.vhd ../../../../../../lib/gaisler/leon3/dsu3.vhd ../../../../../../lib/gaisler/leon3/proc3.vhd ../../../../../../lib/gaisler/leon3/leon3s.vhd ../../../../../../lib/gaisler/leon3/leon3cg.vhd ../../../../../../lib/gaisler/leon3/irqmp.vhd ../../../../../../lib/gaisler/leon3/grfpwxsh.vhd ../../../../../../lib/gaisler/leon3/grfpushwx.vhd ../../../../../../lib/gaisler/leon3/leon3sh.vhd ../../../../../../lib/gaisler/misc/misc.vhd ../../../../../../lib/gaisler/misc/rstgen.vhd ../../../../../../lib/gaisler/misc/gptimer.vhd ../../../../../../lib/gaisler/misc/ahbram.vhd ../../../../../../lib/gaisler/misc/ahbdpram.vhd ../../../../../../lib/gaisler/misc/ahbtrace.vhd ../../../../../../lib/gaisler/misc/ahbtrace_mb.vhd ../../../../../../lib/gaisler/misc/ahbmst.vhd ../../../../../../lib/gaisler/misc/grgpio.vhd ../../../../../../lib/gaisler/misc/ahbstat.vhd ../../../../../../lib/gaisler/misc/logan.vhd ../../../../../../lib/gaisler/misc/apbps2.vhd ../../../../../../lib/gaisler/misc/charrom_package.vhd ../../../../../../lib/gaisler/misc/charrom.vhd ../../../../../../lib/gaisler/misc/apbvga.vhd ../../../../../../lib/gaisler/misc/svgactrl.vhd ../../../../../../lib/gaisler/misc/i2cmst_gen.vhd ../../../../../../lib/gaisler/misc/spictrl.vhd ../../../../../../lib/gaisler/misc/i2cslv.vhd ../../../../../../lib/gaisler/misc/wild.vhd ../../../../../../lib/gaisler/misc/wild2ahb.vhd ../../../../../../lib/gaisler/misc/grsysmon.vhd ../../../../../../lib/gaisler/misc/gracectrl.vhd ../../../../../../lib/gaisler/misc/grgpreg.vhd ../../../../../../lib/gaisler/misc/ahbmst2.vhd ../../../../../../lib/gaisler/misc/ahb_mst_iface.vhd ../../../../../../lib/gaisler/net/net.vhd ../../../../../../lib/gaisler/uart/uart.vhd ../../../../../../lib/gaisler/uart/libdcom.vhd ../../../../../../lib/gaisler/uart/apbuart.vhd ../../../../../../lib/gaisler/uart/dcom.vhd ../../../../../../lib/gaisler/uart/dcom_uart.vhd ../../../../../../lib/gaisler/uart/ahbuart.vhd ../../../../../../lib/gaisler/jtag/jtag.vhd ../../../../../../lib/gaisler/jtag/libjtagcom.vhd ../../../../../../lib/gaisler/jtag/jtagcom.vhd ../../../../../../lib/gaisler/jtag/ahbjtag.vhd ../../../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd ../../../../../../lib/gaisler/greth/ethernet_mac.vhd ../../../../../../lib/gaisler/greth/greth.vhd ../../../../../../lib/gaisler/greth/greth_gbit.vhd ../../../../../../lib/gaisler/greth/grethm.vhd ../../../../../../lib/gaisler/ddr/ddr_phy.vhd ../../../../../../lib/gaisler/ddr/ddrsp16a.vhd ../../../../../../lib/gaisler/ddr/ddrsp32a.vhd ../../../../../../lib/gaisler/ddr/ddrsp64a.vhd ../../../../../../lib/gaisler/ddr/ddrspa.vhd ../../../../../../lib/gaisler/ddr/ddr2spa.vhd ../../../../../../lib/gaisler/ddr/ddr2buf.vhd ../../../../../../lib/gaisler/ddr/ddr2spax.vhd ../../../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd ../../../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd} -{esa ../../../../../../lib/esa/memoryctrl/memoryctrl.vhd ../../../../../../lib/esa/memoryctrl/mctrl.vhd} -{lpp ../../../../../../lib/lpp/./general_purpose/Adder.vhd ../../../../../../lib/lpp/./general_purpose/ADDRcntr.vhd ../../../../../../lib/lpp/./general_purpose/ALU.vhd ../../../../../../lib/lpp/./general_purpose/general_purpose.vhd ../../../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd ../../../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd ../../../../../../lib/lpp/./general_purpose/MAC_MUX.vhd ../../../../../../lib/lpp/./general_purpose/MAC_REG.vhd ../../../../../../lib/lpp/./general_purpose/MAC.vhd ../../../../../../lib/lpp/./general_purpose/Multiplier.vhd ../../../../../../lib/lpp/./general_purpose/MUX2.vhd ../../../../../../lib/lpp/./general_purpose/REG.vhd ../../../../../../lib/lpp/./general_purpose/Shifter.vhd ../../../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd ../../../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd ../../../../../../lib/lpp/./lpp_amba/lpp_amba.vhd ../../../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd ../../../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd ../../../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd ../../../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd ../../../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd ../../../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd ../../../../../../lib/lpp/./dsp/iir_filter/RAM.vhd ../../../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd} -{work ../../../../config.vhd ../../../../ahbrom.vhd ../../../../leon3mp.vhd} -} -set verilogList { -} diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/cds.lib b/designs/leon3-APB_LCD-digilent-xc3s1600e/cds.lib deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/cds.lib +++ /dev/null @@ -1,17 +0,0 @@ -include $CDS_INST_DIR/tools/inca/files/cds.lib -DEFINE grlib xncsim/grlib -DEFINE unisim xncsim/unisim -DEFINE dw02 xncsim/dw02 -DEFINE synplify xncsim/synplify -DEFINE techmap xncsim/techmap -DEFINE eth xncsim/eth -DEFINE gaisler xncsim/gaisler -DEFINE esa xncsim/esa -DEFINE fmf xncsim/fmf -DEFINE spansion xncsim/spansion -DEFINE gsi xncsim/gsi -DEFINE lpp xncsim/lpp -DEFINE cypress xncsim/cypress -DEFINE hynix xncsim/hynix -DEFINE micron xncsim/micron -DEFINE work xncsim/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.asim b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.asim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.asim +++ /dev/null @@ -1,854 +0,0 @@ - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.dc b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.dc deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.dc +++ /dev/null @@ -1,241 +0,0 @@ -sh mkdir synopsys -sh mkdir synopsys/grlib -define_design_lib grlib -path synopsys/grlib -analyze -f VHDL -library grlib ../../lib/grlib/stdlib/version.vhd -analyze -f VHDL -library grlib ../../lib/grlib/stdlib/config.vhd -analyze -f VHDL -library grlib ../../lib/grlib/stdlib/stdlib.vhd -analyze -f VHDL -library grlib ../../lib/grlib/sparc/sparc.vhd -analyze -f VHDL -library grlib ../../lib/grlib/modgen/multlib.vhd -analyze -f VHDL -library grlib ../../lib/grlib/modgen/leaves.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/amba.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/devices.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/defmst.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/apbctrl.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/ahbctrl.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/dma2ahb.vhd -sh mkdir synopsys/unisim -define_design_lib unisim -path synopsys/unisim -sh mkdir synopsys/synplify -define_design_lib synplify -path synopsys/synplify -sh mkdir synopsys/techmap -define_design_lib techmap -path synopsys/techmap -analyze -f VHDL -library techmap ../../lib/techmap/gencomp/gencomp.vhd -analyze -f VHDL -library techmap ../../lib/techmap/gencomp/netcomp.vhd -analyze -f VHDL -library techmap ../../lib/techmap/inferred/memory_inferred.vhd -analyze -f VHDL -library techmap ../../lib/techmap/inferred/ddr_inferred.vhd -analyze -f VHDL -library techmap ../../lib/techmap/inferred/mul_inferred.vhd -analyze -f VHDL -library techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd -analyze -f VHDL -library techmap ../../lib/techmap/dw02/mul_dw_gen.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/allclkgen.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/allddr.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/allmem.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/allpads.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/alltap.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/clkgen.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/clkmux.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/clkand.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/ddr_ireg.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/ddr_oreg.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/ddrphy.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram64.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram_2p.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram_dp.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncfifo.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/regfile_3p.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/tap.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/techbuf.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/nandtree.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/clkpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/clkpad_ds.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/inpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/inpad_ds.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/iodpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/iopad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/iopad_ds.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/lvds_combo.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/odpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/outpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/outpad_ds.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/toutpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/skew_outpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/grspwc_net.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/grspwc2_net.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/grlfpw_net.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/grfpw_net.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/mul_61x61.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/cpu_disas_net.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/ringosc.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/system_monitor.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/grgates.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/inpad_ddr.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/outpad_ddr.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/iopad_ddr.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram128bw.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram128.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram156bw.vhd -sh mkdir synopsys/eth -define_design_lib eth -path synopsys/eth -analyze -f VHDL -library eth ../../lib/eth/comp/ethcomp.vhd -analyze -f VHDL -library eth ../../lib/eth/core/greth_pkg.vhd -analyze -f VHDL -library eth ../../lib/eth/core/eth_rstgen.vhd -analyze -f VHDL -library eth ../../lib/eth/core/eth_ahb_mst.vhd -analyze -f VHDL -library eth ../../lib/eth/core/greth_tx.vhd -analyze -f VHDL -library eth ../../lib/eth/core/greth_rx.vhd -analyze -f VHDL -library eth ../../lib/eth/core/grethc.vhd -analyze -f VHDL -library eth ../../lib/eth/wrapper/greth_gen.vhd -analyze -f VHDL -library eth ../../lib/eth/wrapper/greth_gbit_gen.vhd -sh mkdir synopsys/gaisler -define_design_lib gaisler -path synopsys/gaisler -analyze -f VHDL -library gaisler ../../lib/gaisler/arith/arith.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/arith/mul32.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/arith/div32.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/memctrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/sdctrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/srctrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/spimctrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmuconfig.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmuiface.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libmmu.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libiu.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libcache.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libproc3.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/cachemem.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_icache.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_acache.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmulrue.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmulru.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmutlb.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmutw.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_cache.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/iu3.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grfpwx.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mfpwx.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grlfpwx.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/tbufmem.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/dsu3x.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/dsu3.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/proc3.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3s.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3cg.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/irqmp.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grfpushwx.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3sh.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/misc.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/rstgen.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/gptimer.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbram.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbdpram.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbtrace.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbmst.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/grgpio.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbstat.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/logan.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/apbps2.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/charrom_package.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/charrom.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/apbvga.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/svgactrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/spictrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/i2cslv.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/wild.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/wild2ahb.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/grsysmon.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/gracectrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/grgpreg.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbmst2.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/net/net.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/uart.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/libdcom.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/apbuart.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/dcom.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/dcom_uart.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/ahbuart.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/jtag.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/libjtagcom.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/jtagcom.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/ahbjtag.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/greth/ethernet_mac.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/greth/greth.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/greth/greth_gbit.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/greth/grethm.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr_phy.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrspa.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spa.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2buf.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spax.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -sh mkdir synopsys/esa -define_design_lib esa -path synopsys/esa -analyze -f VHDL -library esa ../../lib/esa/memoryctrl/memoryctrl.vhd -analyze -f VHDL -library esa ../../lib/esa/memoryctrl/mctrl.vhd -sh mkdir synopsys/fmf -define_design_lib fmf -path synopsys/fmf -sh mkdir synopsys/spansion -define_design_lib spansion -path synopsys/spansion -sh mkdir synopsys/gsi -define_design_lib gsi -path synopsys/gsi -sh mkdir synopsys/lpp -define_design_lib lpp -path synopsys/lpp -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/Adder.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/ALU.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/general_purpose.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/Multiplier.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MUX2.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/REG.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/Shifter.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd -sh mkdir synopsys/cypress -define_design_lib cypress -path synopsys/cypress -sh mkdir synopsys/hynix -define_design_lib hynix -path synopsys/hynix -sh mkdir synopsys/micron -define_design_lib micron -path synopsys/micron -sh mkdir synopsys/work -define_design_lib work -path synopsys/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ghdl b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ghdl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ghdl +++ /dev/null @@ -1,298 +0,0 @@ - mkdir gnu - mkdir gnu/grlib - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/version.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/config.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdio.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/testlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/util/util.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc_disas.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/cpu_disas.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/multlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/leaves.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/devices.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/defmst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/apbctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/ahbctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba_tp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_util.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahbs.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - mkdir gnu/unisim - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - mkdir gnu/dw02 - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/dw02 --work=dw02 -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - mkdir gnu/synplify - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synplify.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synattr.vhd - mkdir gnu/techmap - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/gencomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/netcomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/memory_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/mul_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/memory_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/buffer_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/pads_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/tap_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/mul_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allclkgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allmem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allpads.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/alltap.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkmux.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkand.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_ireg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_oreg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddrphy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram64.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_2p.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_dp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncfifo.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/regfile_3p.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/tap.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/techbuf.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/nandtree.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iodpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/lvds_combo.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/odpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/toutpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/skew_outpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc2_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grlfpw_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grfpw_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/mul_61x61.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/cpu_disas_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ringosc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/system_monitor.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grgates.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128bw.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram156bw.vhd - mkdir gnu/eth - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/comp/ethcomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_rstgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_ahb_mst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_tx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_rx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/grethc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - mkdir gnu/gaisler - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/arith.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/mul32.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/div32.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/memctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/srctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuiface.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libmmu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libiu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libcache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libproc3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cachemem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulrue.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulru.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutw.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/iu3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/tbufmem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3x.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/proc3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3s.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3cg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/irqmp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3sh.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/misc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/rstgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gptimer.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbdpram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpio.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbstat.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/logan.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbps2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom_package.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbvga.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/svgactrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/spictrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cslv.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild2ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grsysmon.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gracectrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpreg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/net/net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/uart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/libdcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/apbuart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom_uart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/ahbuart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ata_device.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram16.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/phy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ahbrep.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/delay_wire.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/spi_flash.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/pwm_check.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/usbsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtag.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagtst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth_gbit.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/grethm.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrspa.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - mkdir gnu/esa - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/memoryctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/mctrl.vhd - mkdir gnu/fmf - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/conversions.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/gen_utils.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/flash.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/s25fl064a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/m25p80.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/fifo/idt7202.vhd - mkdir gnu/spansion - mkdir gnu/gsi - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/functions.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/core_burst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/g880e18bt.vhd - mkdir gnu/lpp - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Adder.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ALU.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MUX2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/REG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Shifter.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - mkdir gnu/cypress - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/components.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/package_utility.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1354b.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1380d.vhd - mkdir gnu/hynix - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/components.vhd - mkdir gnu/micron - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/components.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/ddr/mt46v16m16.vhd - mkdir gnu/work - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/debug.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/grtestmod.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ncsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ncsim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ncsim +++ /dev/null @@ -1,302 +0,0 @@ - mkdir xncsim - mkdir xncsim/grlib - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/version.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/config.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdio.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/testlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/util/util.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/multlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/leaves.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/devices.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/defmst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/apbctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/ahbctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba_tp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_util.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - mkdir xncsim/unisim - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - mkdir xncsim/dw02 - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - mkdir xncsim/synplify - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synplify.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synattr.vhd - mkdir xncsim/techmap - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/gencomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/netcomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allclkgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allmem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allpads.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/alltap.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkmux.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkand.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddrphy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram64.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_2p.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_dp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncfifo.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/regfile_3p.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/tap.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/techbuf.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/nandtree.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iodpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/lvds_combo.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/odpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/toutpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/skew_outpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grfpw_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/mul_61x61.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ringosc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/system_monitor.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grgates.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128bw.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram156bw.vhd - mkdir xncsim/eth - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/comp/ethcomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_rstgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_ahb_mst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_tx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_rx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/grethc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - mkdir xncsim/gaisler - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/arith.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/mul32.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/div32.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libiu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libcache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/iu3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/proc3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/misc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/rstgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gptimer.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpio.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/logan.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbps2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbvga.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/spictrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/net/net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/uart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/libdcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/apbuart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - ncvlog -nowarn DLCPTH -nocopyright -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ata_device.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram16.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/phy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/usbsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtag.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/grethm.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - mkdir xncsim/esa - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/mctrl.vhd - mkdir xncsim/fmf - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/conversions.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/gen_utils.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/flash.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/s25fl064a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/m25p80.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/fifo/idt7202.vhd - mkdir xncsim/spansion - mkdir xncsim/gsi - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/functions.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/core_burst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - mkdir xncsim/lpp - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/REG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - mkdir xncsim/cypress - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/components.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/package_utility.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - mkdir xncsim/hynix - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/components.vhd - mkdir xncsim/micron - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/sdram/mobile_sdr.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/components.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/ddr2.v - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/mobile_ddr.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/ddr/mt46v16m16.vhd - mkdir xncsim/work - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/debug.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/grtestmod.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.rc b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.rc deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.rc +++ /dev/null @@ -1,211 +0,0 @@ -set_attribute input_pragma_keyword "cadence synopsys get2chip g2c fast ambit pragma" -read_hdl -vhdl -lib grlib ../../lib/grlib/stdlib/version.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/stdlib/config.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/stdlib/stdlib.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/sparc/sparc.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/modgen/multlib.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/modgen/leaves.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/amba.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/devices.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/defmst.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/apbctrl.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/ahbctrl.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/gencomp/gencomp.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/gencomp/netcomp.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/memory_inferred.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/ddr_inferred.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/mul_inferred.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/dw02/mul_dw_gen.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allclkgen.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allddr.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allmem.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allpads.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/alltap.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkgen.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkmux.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkand.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ddr_ireg.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ddr_oreg.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ddrphy.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram64.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram_2p.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram_dp.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncfifo.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/regfile_3p.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/tap.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/techbuf.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/nandtree.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkpad_ds.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/inpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/inpad_ds.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iodpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iopad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iopad_ds.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/lvds_combo.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/odpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/outpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/outpad_ds.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/toutpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/skew_outpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grspwc_net.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grspwc2_net.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grlfpw_net.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grfpw_net.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/mul_61x61.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/cpu_disas_net.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ringosc.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/system_monitor.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grgates.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/inpad_ddr.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/outpad_ddr.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iopad_ddr.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram128bw.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram128.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram156bw.vhd -read_hdl -vhdl -lib eth ../../lib/eth/comp/ethcomp.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/greth_pkg.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/eth_rstgen.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/eth_ahb_mst.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/greth_tx.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/greth_rx.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/grethc.vhd -read_hdl -vhdl -lib eth ../../lib/eth/wrapper/greth_gen.vhd -read_hdl -vhdl -lib eth ../../lib/eth/wrapper/greth_gbit_gen.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/arith/arith.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/arith/mul32.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/arith/div32.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/memctrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/srctrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/spimctrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuconfig.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuiface.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libmmu.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libiu.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libcache.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libproc3.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/cachemem.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_icache.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_acache.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulrue.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulru.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlb.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutw.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_cache.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/iu3.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwx.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mfpwx.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grlfpwx.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/tbufmem.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3x.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/proc3.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3s.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3cg.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/irqmp.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpushwx.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3sh.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/misc.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/rstgen.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/gptimer.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbram.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbdpram.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/grgpio.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbstat.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/logan.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/apbps2.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/charrom_package.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/charrom.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/apbvga.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/svgactrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/spictrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/i2cslv.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/wild.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/wild2ahb.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/grsysmon.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/gracectrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/grgpreg.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst2.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/net/net.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/uart.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/libdcom.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/apbuart.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/dcom.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/dcom_uart.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/ahbuart.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/jtag.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/libjtagcom.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/jtagcom.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/ethernet_mac.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/greth.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/greth_gbit.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/grethm.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr_phy.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrspa.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spa.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2buf.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -read_hdl -vhdl -lib esa ../../lib/esa/memoryctrl/memoryctrl.vhd -read_hdl -vhdl -lib esa ../../lib/esa/memoryctrl/mctrl.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/Adder.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/ALU.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/general_purpose.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/Multiplier.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MUX2.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/REG.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/Shifter.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.son b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.son deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.son +++ /dev/null @@ -1,285 +0,0 @@ - vhdlp -s -work grlib ../../lib/grlib/stdlib/version.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/config.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/stdlib.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/stdio.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/testlib.vhd - vhdlp -s -work grlib ../../lib/grlib/util/util.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/sparc.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - vhdlp -s -work grlib ../../lib/grlib/modgen/multlib.vhd - vhdlp -s -work grlib ../../lib/grlib/modgen/leaves.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/amba.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/devices.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/defmst.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/apbctrl.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/ahbctrl.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/amba_tp.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_util.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - vhdlp -s -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - vhdlp -s -work synplify ../../lib/synplify/sim/synplify.vhd - vhdlp -s -work synplify ../../lib/synplify/sim/synattr.vhd - vhdlp -s -work techmap ../../lib/techmap/gencomp/gencomp.vhd - vhdlp -s -work techmap ../../lib/techmap/gencomp/netcomp.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allclkgen.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allmem.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allpads.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/alltap.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkgen.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkmux.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkand.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddrphy.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram64.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram_2p.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram_dp.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncfifo.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/regfile_3p.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/tap.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/techbuf.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/nandtree.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iodpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/lvds_combo.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/odpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/toutpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/skew_outpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grspwc_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grfpw_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/mul_61x61.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ringosc.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/system_monitor.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grgates.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram128bw.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram128.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram156bw.vhd - vhdlp -s -work eth ../../lib/eth/comp/ethcomp.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_pkg.vhd - vhdlp -s -work eth ../../lib/eth/core/eth_rstgen.vhd - vhdlp -s -work eth ../../lib/eth/core/eth_ahb_mst.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_tx.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_rx.vhd - vhdlp -s -work eth ../../lib/eth/core/grethc.vhd - vhdlp -s -work eth ../../lib/eth/wrapper/greth_gen.vhd - vhdlp -s -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/arith.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/mul32.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/div32.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libiu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libcache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/iu3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/proc3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/misc.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/rstgen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/gptimer.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpio.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/logan.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/apbps2.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/apbvga.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/spictrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/wild.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - vhdlp -s -work gaisler ../../lib/gaisler/net/net.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/uart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/libdcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/apbuart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/ata_device.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sram16.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/phy.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/usbsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtag.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/greth.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/grethm.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - vhdlp -s -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - vhdlp -s -work esa ../../lib/esa/memoryctrl/mctrl.vhd - vhdlp -s -work fmf ../../lib/fmf/utilities/conversions.vhd - vhdlp -s -work fmf ../../lib/fmf/utilities/gen_utils.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/flash.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/s25fl064a.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/m25p80.vhd - vhdlp -s -work fmf ../../lib/fmf/fifo/idt7202.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/functions.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/core_burst.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/REG.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/components.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/package_utility.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/components.vhd - vhdlp -s -work micron ../../lib/micron/sdram/components.vhd - vhdlp -s -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - vhdlp -s -work micron ../../lib/micron/ddr/mt46v16m16.vhd - vhdlp -s -work sonata ../../lib/work/debug/debug.vhd - vhdlp -s -work sonata ../../lib/work/debug/grtestmod.vhd - vhdlp -s -work sonata ../../lib/work/debug/cpu_disas.vhd - vhdlp -s -work sonata config.vhd - vhdlp -s -work sonata ahbrom.vhd - vhdlp -s -work sonata leon3mp.vhd - vhdlp -s -work sonata testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.synp b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.synp deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.synp +++ /dev/null @@ -1,222 +0,0 @@ -add_file -vhdl -lib grlib ../../lib/grlib/stdlib/version.vhd -add_file -vhdl -lib grlib ../../lib/grlib/stdlib/config.vhd -add_file -vhdl -lib grlib ../../lib/grlib/stdlib/stdlib.vhd -add_file -vhdl -lib grlib ../../lib/grlib/sparc/sparc.vhd -add_file -vhdl -lib grlib ../../lib/grlib/modgen/multlib.vhd -add_file -vhdl -lib grlib ../../lib/grlib/modgen/leaves.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/amba.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/devices.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/defmst.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/apbctrl.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/ahbctrl.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb.vhd -add_file -vhdl -lib techmap ../../lib/techmap/gencomp/gencomp.vhd -add_file -vhdl -lib techmap ../../lib/techmap/gencomp/netcomp.vhd -add_file -vhdl -lib techmap ../../lib/techmap/inferred/memory_inferred.vhd -add_file -vhdl -lib techmap ../../lib/techmap/inferred/ddr_inferred.vhd -add_file -vhdl -lib techmap ../../lib/techmap/inferred/mul_inferred.vhd -add_file -vhdl -lib techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/memory_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/buffer_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/pads_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/clkgen_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/tap_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/ddr_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/grspwc_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/sysmon_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/mul_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/allclkgen.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/allddr.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/allmem.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/allpads.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/alltap.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/clkgen.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/clkmux.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/clkand.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/ddr_ireg.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/ddr_oreg.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/ddrphy.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram64.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram_2p.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram_dp.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncfifo.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/regfile_3p.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/tap.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/techbuf.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/nandtree.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/clkpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/clkpad_ds.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/inpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/inpad_ds.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/iodpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/iopad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/iopad_ds.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/lvds_combo.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/odpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/outpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/outpad_ds.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/toutpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/skew_outpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/grspwc_net.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/grspwc2_net.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/grlfpw_net.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/grfpw_net.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/mul_61x61.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/cpu_disas_net.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/ringosc.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/system_monitor.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/grgates.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/inpad_ddr.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/outpad_ddr.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/iopad_ddr.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram128bw.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram128.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram156bw.vhd -add_file -vhdl -lib eth ../../lib/eth/comp/ethcomp.vhd -add_file -vhdl -lib eth ../../lib/eth/core/greth_pkg.vhd -add_file -vhdl -lib eth ../../lib/eth/core/eth_rstgen.vhd -add_file -vhdl -lib eth ../../lib/eth/core/eth_ahb_mst.vhd -add_file -vhdl -lib eth ../../lib/eth/core/greth_tx.vhd -add_file -vhdl -lib eth ../../lib/eth/core/greth_rx.vhd -add_file -vhdl -lib eth ../../lib/eth/core/grethc.vhd -add_file -vhdl -lib eth ../../lib/eth/wrapper/greth_gen.vhd -add_file -vhdl -lib eth ../../lib/eth/wrapper/greth_gbit_gen.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/arith/arith.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/arith/mul32.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/arith/div32.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/memctrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/srctrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/spimctrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuconfig.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuiface.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libmmu.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libiu.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libcache.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libproc3.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/cachemem.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_icache.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_acache.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulrue.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulru.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlb.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutw.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_cache.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/iu3.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwx.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mfpwx.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grlfpwx.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/tbufmem.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3x.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/proc3.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3s.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3cg.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/irqmp.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpushwx.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3sh.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/misc.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/rstgen.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/gptimer.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbram.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbdpram.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/grgpio.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbstat.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/logan.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/apbps2.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/charrom_package.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/charrom.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/apbvga.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/svgactrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/spictrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/i2cslv.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/wild.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/wild2ahb.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/grsysmon.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/gracectrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/grgpreg.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst2.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/net/net.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/uart.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/libdcom.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/apbuart.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/dcom.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/dcom_uart.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/ahbuart.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/jtag.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/libjtagcom.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/jtagcom.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/greth/ethernet_mac.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/greth/greth.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/greth/greth_gbit.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/greth/grethm.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr_phy.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrspa.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spa.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2buf.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -add_file -vhdl -lib esa ../../lib/esa/memoryctrl/memoryctrl.vhd -add_file -vhdl -lib esa ../../lib/esa/memoryctrl/mctrl.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/Adder.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/ALU.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/general_purpose.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/Multiplier.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MUX2.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/REG.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/Shifter.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.vsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.vsim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.vsim +++ /dev/null @@ -1,285 +0,0 @@ - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/version.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/config.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdio.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/testlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/util/util.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/modgen/multlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/modgen/leaves.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/devices.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/defmst.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/apbctrl.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/ahbctrl.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba_tp.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_util.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - vcom -quiet -93 -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - vcom -quiet -93 -work synplify ../../lib/synplify/sim/synplify.vhd - vcom -quiet -93 -work synplify ../../lib/synplify/sim/synattr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/gencomp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/netcomp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allclkgen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allmem.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allpads.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/alltap.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkgen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkmux.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkand.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddrphy.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram64.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_2p.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_dp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncfifo.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/regfile_3p.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/tap.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/techbuf.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/nandtree.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iodpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/lvds_combo.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/odpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/toutpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/skew_outpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grfpw_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/mul_61x61.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ringosc.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/system_monitor.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grgates.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128bw.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram156bw.vhd - vcom -quiet -93 -work eth ../../lib/eth/comp/ethcomp.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_pkg.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/eth_rstgen.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/eth_ahb_mst.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_tx.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_rx.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/grethc.vhd - vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gen.vhd - vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/arith.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/mul32.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/div32.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libiu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libcache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/iu3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/proc3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/misc.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/rstgen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gptimer.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpio.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/logan.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbps2.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbvga.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/spictrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/net/net.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/uart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/libdcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/apbuart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - vlog -quiet -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ata_device.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram16.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/phy.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/usbsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtag.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/grethm.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/mctrl.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/utilities/conversions.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/utilities/gen_utils.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/flash.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/s25fl064a.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/m25p80.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/fifo/idt7202.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/functions.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/core_burst.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/REG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/components.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/package_utility.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/components.vhd - vlog -quiet -work micron ../../lib/micron/sdram/mobile_sdr.v - vcom -quiet -93 -work micron ../../lib/micron/sdram/components.vhd - vcom -quiet -93 -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - vlog -quiet -work micron ../../lib/micron/ddr/ddr2.v - vlog -quiet -work micron ../../lib/micron/ddr/mobile_ddr.v - vcom -quiet -93 -work micron ../../lib/micron/ddr/mt46v16m16.vhd - vcom -quiet -93 -work work ../../lib/work/debug/debug.vhd - vcom -quiet -93 -work work ../../lib/work/debug/grtestmod.vhd - vcom -quiet -93 -work work ../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.xst b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.xst deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.xst +++ /dev/null @@ -1,222 +0,0 @@ -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/stdlib/version.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/stdlib/config.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/stdlib/stdlib.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/sparc/sparc.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/modgen/multlib.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/modgen/leaves.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/amba.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/devices.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/defmst.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/apbctrl.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/ahbctrl.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/dma2ahb_pkg.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/dma2ahb.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/gencomp/gencomp.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/gencomp/netcomp.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/memory_inferred.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/ddr_inferred.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/mul_inferred.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/ddr_phy_inferred.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/memory_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/buffer_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/pads_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/clkgen_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/tap_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/ddr_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/ddr_phy_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/grspwc_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/grspwc2_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/grusbhc_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/ssrctrl_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/sysmon_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/mul_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allclkgen.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allddr.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allmem.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allpads.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/alltap.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkgen.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkmux.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkand.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ddr_ireg.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ddr_oreg.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ddrphy.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram64.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram_2p.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram_dp.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncfifo.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/regfile_3p.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/tap.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/techbuf.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/nandtree.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkpad_ds.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/inpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/inpad_ds.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iodpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iopad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iopad_ds.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/lvds_combo.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/odpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/outpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/outpad_ds.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/toutpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/skew_outpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grspwc_net.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grspwc2_net.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grlfpw_net.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grfpw_net.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/mul_61x61.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/cpu_disas_net.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ringosc.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/system_monitor.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grgates.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/inpad_ddr.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/outpad_ddr.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iopad_ddr.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram128bw.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram128.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram156bw.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/comp/ethcomp.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/greth_pkg.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/eth_rstgen.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/eth_ahb_mst.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/greth_tx.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/greth_rx.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/grethc.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/wrapper/greth_gen.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/wrapper/greth_gbit_gen.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/arith/arith.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/arith/mul32.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/arith/div32.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/memctrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/sdctrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/sdctrl64.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/sdmctrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/srctrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/spimctrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmuconfig.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmuiface.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libmmu.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libiu.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libcache.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libproc3.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/cachemem.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_icache.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_dcache.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_acache.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmutlbcam.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmulrue.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmulru.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmutlb.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmutw.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_cache.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/cpu_disasx.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/iu3.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grfpwx.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mfpwx.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grlfpwx.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/tbufmem.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/dsu3x.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/dsu3.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/proc3.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3s.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3cg.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/irqmp.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grfpwxsh.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grfpushwx.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3sh.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/misc.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/rstgen.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/gptimer.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbram.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbdpram.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbtrace.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbtrace_mb.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbmst.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/grgpio.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbstat.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/logan.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/apbps2.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/charrom_package.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/charrom.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/apbvga.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/svgactrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/i2cmst_gen.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/spictrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/i2cslv.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/wild.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/wild2ahb.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/grsysmon.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/gracectrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/grgpreg.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbmst2.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahb_mst_iface.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/net/net.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/uart.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/libdcom.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/apbuart.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/dcom.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/dcom_uart.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/ahbuart.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/jtag.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/libjtagcom.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/jtagcom.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/ahbjtag.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/ethernet_mac.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/greth.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/greth_gbit.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/grethm.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr_phy.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrsp16a.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrsp32a.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrsp64a.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrspa.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spa.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2buf.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spax.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -elaborate -ifmt vhdl -work_lib esa -ifn ../../lib/esa/memoryctrl/memoryctrl.vhd -elaborate -ifmt vhdl -work_lib esa -ifn ../../lib/esa/memoryctrl/mctrl.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/Adder.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/ADDRcntr.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/ALU.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/general_purpose.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_MUX.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_REG.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/Multiplier.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MUX2.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/REG.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/Shifter.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_amba/lpp_amba.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/RAM.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/config.h b/designs/leon3-APB_LCD-digilent-xc3s1600e/config.h deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/config.h +++ /dev/null @@ -1,272 +0,0 @@ -/* - * Automatically generated C config: don't edit - */ -#define AUTOCONF_INCLUDED -/* - * Synthesis - */ -#undef CONFIG_SYN_INFERRED -#undef CONFIG_SYN_STRATIX -#undef CONFIG_SYN_STRATIXII -#undef CONFIG_SYN_STRATIXIII -#undef CONFIG_SYN_CYCLONEIII -#undef CONFIG_SYN_ALTERA -#undef CONFIG_SYN_AXCEL -#undef CONFIG_SYN_AXDSP -#undef CONFIG_SYN_PROASIC -#undef CONFIG_SYN_PROASICPLUS -#undef CONFIG_SYN_PROASIC3 -#undef CONFIG_SYN_PROASIC3E -#undef CONFIG_SYN_PROASIC3L -#undef CONFIG_SYN_IGLOO -#undef CONFIG_SYN_FUSION -#undef CONFIG_SYN_UT025CRH -#undef CONFIG_SYN_ATC18 -#undef CONFIG_SYN_ATC18RHA -#undef CONFIG_SYN_CMOS9SF -#undef CONFIG_SYN_CUSTOM1 -#undef CONFIG_SYN_EASIC90 -#undef CONFIG_SYN_IHP25 -#undef CONFIG_SYN_IHP25RH -#undef CONFIG_SYN_LATTICE -#undef CONFIG_SYN_ECLIPSE -#undef CONFIG_SYN_PEREGRINE -#undef CONFIG_SYN_RH_LIB18T -#undef CONFIG_SYN_RHUMC -#undef CONFIG_SYN_SMIC13 -#undef CONFIG_SYN_TM65GPLUS -#undef CONFIG_SYN_TSMC90 -#undef CONFIG_SYN_UMC -#undef CONFIG_SYN_SPARTAN2 -#undef CONFIG_SYN_SPARTAN3 -#define CONFIG_SYN_SPARTAN3E 1 -#undef CONFIG_SYN_SPARTAN6 -#undef CONFIG_SYN_VIRTEX -#undef CONFIG_SYN_VIRTEXE -#undef CONFIG_SYN_VIRTEX2 -#undef CONFIG_SYN_VIRTEX4 -#undef CONFIG_SYN_VIRTEX5 -#undef CONFIG_SYN_VIRTEX6 -#undef CONFIG_SYN_INFER_RAM -#undef CONFIG_SYN_INFER_PADS -#undef CONFIG_SYN_NO_ASYNC -#undef CONFIG_SYN_SCAN -/* - * Clock generation - */ -#undef CONFIG_CLK_INFERRED -#undef CONFIG_CLK_HCLKBUF -#undef CONFIG_CLK_ALTDLL -#undef CONFIG_CLK_LATDLL -#undef CONFIG_CLK_PRO3PLL -#undef CONFIG_CLK_PRO3EPLL -#undef CONFIG_CLK_PRO3LPLL -#undef CONFIG_CLK_FUSPLL -#undef CONFIG_CLK_LIB18T -#undef CONFIG_CLK_RHUMC -#undef CONFIG_CLK_CLKDLL -#define CONFIG_CLK_DCM 1 -#define CONFIG_CLK_MUL (4) -#define CONFIG_CLK_DIV (5) -#undef CONFIG_PCI_CLKDLL -#undef CONFIG_CLK_NOFB -#undef CONFIG_PCI_SYSCLK -#define CONFIG_LEON3 1 -#define CONFIG_PROC_NUM (1) -/* - * Processor - */ -/* - * Integer unit - */ -#define CONFIG_IU_NWINDOWS (8) -#define CONFIG_IU_V8MULDIV 1 -#undef CONFIG_IU_MUL_LATENCY_2 -#undef CONFIG_IU_MUL_LATENCY_4 -#define CONFIG_IU_MUL_LATENCY_5 1 -#undef CONFIG_IU_MUL_MAC -#define CONFIG_IU_BP 1 -#define CONFIG_IU_SVT 1 -#define CONFIG_NOTAG 1 -#define CONFIG_IU_LDELAY (1) -#define CONFIG_IU_WATCHPOINTS (2) -#define CONFIG_PWD 1 -#define CONFIG_IU_RSTADDR 00000 -/* - * Floating-point unit - */ -#undef CONFIG_FPU_ENABLE -/* - * Cache system - */ -#define CONFIG_ICACHE_ENABLE 1 -#undef CONFIG_ICACHE_ASSO1 -#define CONFIG_ICACHE_ASSO2 1 -#undef CONFIG_ICACHE_ASSO3 -#undef CONFIG_ICACHE_ASSO4 -#undef CONFIG_ICACHE_SZ1 -#undef CONFIG_ICACHE_SZ2 -#define CONFIG_ICACHE_SZ4 1 -#undef CONFIG_ICACHE_SZ8 -#undef CONFIG_ICACHE_SZ16 -#undef CONFIG_ICACHE_SZ32 -#undef CONFIG_ICACHE_SZ64 -#undef CONFIG_ICACHE_SZ128 -#undef CONFIG_ICACHE_SZ256 -#undef CONFIG_ICACHE_LZ16 -#define CONFIG_ICACHE_LZ32 1 -#define CONFIG_ICACHE_ALGORND 1 -#undef CONFIG_ICACHE_ALGOLRR -#undef CONFIG_ICACHE_ALGOLRU -#undef CONFIG_ICACHE_LOCK -#define CONFIG_DCACHE_ENABLE 1 -#undef CONFIG_DCACHE_ASSO1 -#define CONFIG_DCACHE_ASSO2 1 -#undef CONFIG_DCACHE_ASSO3 -#undef CONFIG_DCACHE_ASSO4 -#undef CONFIG_DCACHE_SZ1 -#undef CONFIG_DCACHE_SZ2 -#define CONFIG_DCACHE_SZ4 1 -#undef CONFIG_DCACHE_SZ8 -#undef CONFIG_DCACHE_SZ16 -#undef CONFIG_DCACHE_SZ32 -#undef CONFIG_DCACHE_SZ64 -#undef CONFIG_DCACHE_SZ128 -#undef CONFIG_DCACHE_SZ256 -#define CONFIG_DCACHE_LZ16 1 -#undef CONFIG_DCACHE_LZ32 -#define CONFIG_DCACHE_ALGORND 1 -#undef CONFIG_DCACHE_ALGOLRR -#undef CONFIG_DCACHE_ALGOLRU -#undef CONFIG_DCACHE_LOCK -#define CONFIG_DCACHE_SNOOP 1 -#undef CONFIG_DCACHE_SNOOP_FAST -#undef CONFIG_DCACHE_SNOOP_SEPTAG -#define CONFIG_CACHE_FIXED 0 -/* - * MMU - */ -#define CONFIG_MMU_ENABLE 1 -#undef CONFIG_MMU_COMBINED -#define CONFIG_MMU_SPLIT 1 -#define CONFIG_MMU_REPARRAY 1 -#undef CONFIG_MMU_REPINCREMENT -#undef CONFIG_MMU_I2 -#undef CONFIG_MMU_I4 -#define CONFIG_MMU_I8 1 -#undef CONFIG_MMU_I16 -#undef CONFIG_MMU_I32 -#undef CONFIG_MMU_D2 -#undef CONFIG_MMU_D4 -#define CONFIG_MMU_D8 1 -#undef CONFIG_MMU_D16 -#undef CONFIG_MMU_D32 -#define CONFIG_MMU_FASTWB 1 -#define CONFIG_MMU_PAGE_4K 1 -#undef CONFIG_MMU_PAGE_8K -#undef CONFIG_MMU_PAGE_16K -#undef CONFIG_MMU_PAGE_32K -#undef CONFIG_MMU_PAGE_PROG -/* - * Debug Support Unit - */ -#define CONFIG_DSU_ENABLE 1 -#define CONFIG_DSU_ITRACE 1 -#undef CONFIG_DSU_ITRACESZ1 -#undef CONFIG_DSU_ITRACESZ2 -#define CONFIG_DSU_ITRACESZ4 1 -#undef CONFIG_DSU_ITRACESZ8 -#undef CONFIG_DSU_ITRACESZ16 -#define CONFIG_DSU_ATRACE 1 -#undef CONFIG_DSU_ATRACESZ1 -#undef CONFIG_DSU_ATRACESZ2 -#define CONFIG_DSU_ATRACESZ4 1 -#undef CONFIG_DSU_ATRACESZ8 -#undef CONFIG_DSU_ATRACESZ16 -/* - * Fault-tolerance - */ -/* - * VHDL debug settings - */ -#undef CONFIG_IU_DISAS -#undef CONFIG_DEBUG_PC32 -/* - * AMBA configuration - */ -#define CONFIG_AHB_DEFMST (0) -#define CONFIG_AHB_RROBIN 1 -#undef CONFIG_AHB_SPLIT -#define CONFIG_AHB_IOADDR FFF -#define CONFIG_APB_HADDR 800 -#undef CONFIG_AHB_MON -#undef CONFIG_AHB_DTRACE -/* - * Debug Link - */ -#define CONFIG_DSU_UART 1 -#undef CONFIG_DSU_JTAG -/* - * Peripherals - */ -/* - * Memory controllers - */ -/* - * Leon2 memory controller - */ -#define CONFIG_MCTRL_LEON2 1 -#undef CONFIG_MCTRL_8BIT -#undef CONFIG_MCTRL_16BIT -#undef CONFIG_MCTRL_5CS -#undef CONFIG_MCTRL_SDRAM -/* - * DDR266 SDRAM controller - */ -#define CONFIG_DDRSP 1 -#define CONFIG_DDRSP_INIT 1 -#define CONFIG_DDRSP_FREQ (90) -#define CONFIG_DDRSP_COL (10) -#define CONFIG_DDRSP_MBYTE (64) -#define CONFIG_DDRSP_RSKEW (40) -/* - * On-chip RAM/ROM - */ -#undef CONFIG_AHBROM_ENABLE -#undef CONFIG_AHBRAM_ENABLE -/* - * Ethernet - */ -#undef CONFIG_GRETH_ENABLE -/* - * UARTs, timers and irq control - */ -#define CONFIG_UART1_ENABLE 1 -#undef CONFIG_UA1_FIFO1 -#undef CONFIG_UA1_FIFO2 -#undef CONFIG_UA1_FIFO4 -#define CONFIG_UA1_FIFO8 1 -#undef CONFIG_UA1_FIFO16 -#undef CONFIG_UA1_FIFO32 -#define CONFIG_IRQ3_ENABLE 1 -#undef CONFIG_IRQ3_SEC -#define CONFIG_GPT_ENABLE 1 -#define CONFIG_GPT_NTIM (2) -#define CONFIG_GPT_SW (8) -#define CONFIG_GPT_TW (32) -#define CONFIG_GPT_IRQ (8) -#define CONFIG_GPT_SEPIRQ 1 -#undef CONFIG_GPT_WDOGEN -#define CONFIG_GRGPIO_ENABLE 1 -#define CONFIG_GRGPIO_WIDTH (8) -#define CONFIG_GRGPIO_IMASK 0000 -/* - * Keybord and VGA interface - */ -#undef CONFIG_KBD_ENABLE -#undef CONFIG_VGA_ENABLE -#undef CONFIG_SVGA_ENABLE -/* - * VHDL Debugging - */ -#undef CONFIG_DEBUG_UART diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/config.vhd b/designs/leon3-APB_LCD-digilent-xc3s1600e/config.vhd --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/config.vhd +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/config.vhd @@ -1,6 +1,3 @@ - - - ----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/ghdl.path b/designs/leon3-APB_LCD-digilent-xc3s1600e/ghdl.path deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/ghdl.path +++ /dev/null @@ -1,1 +0,0 @@ --Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/hdl.var b/designs/leon3-APB_LCD-digilent-xc3s1600e/hdl.var deleted file mode 100644 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr @@ -8,6 +8,47 @@ 2 /Unassigned User Library Modules + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/LCD0 - apb_lcd_ctrlr - Behavioral + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/addr_pad - outpadv - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ahbjtag0 - ahbjtag - struct + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ahbram0 - ahbram - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/clk_pad - clkpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/clkgen0 - clkgen - struct + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/dcom0 - ahbuart - struct + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ddrc - ddrspa - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/dsu0 - dsu3 - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/dsubre_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/dsurx_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/dsutx_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/e1 - grethm - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/emdc_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/emdio_pad - iopad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/error_pad - odpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxc_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxco_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxcr_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxd_pad - inpadv - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxdv_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxer_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/etxc_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/etxd_pad - outpadv - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/etxen_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/etxer_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/horiz_sync_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/kbdata_pad - iopad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/kbdclk_pad - iopad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/oen_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/roms_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/serrx_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/sertx_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/sr1 - mctrl - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/svga0 - svgactrl - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/u0 - leon3s - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/vert_sync_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/video_out_b_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/video_out_g_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/video_out_r_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/wri_pad - outpad - rtl /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-digilent-xc3s1600e|leon3mp.vhd/LCD0 - apb_lcd_ctrlr - Behavioral /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-digilent-xc3s1600e|leon3mp.vhd/addr_pad - outpadv - rtl /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-digilent-xc3s1600e|leon3mp.vhd/ahbjtag0 - ahbjtag - struct @@ -52,18 +93,20 @@ /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-digilent-xc3s1600e|leon3mp.vhd/wri_pad - outpad - rtl - leon3mp - rtl (/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-digilent-xc3s1600e/leon3mp.vhd) + clkdivider - Clk_divider - ar_Clk_divider (/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/Clk_divider.vhd) - 33 + 0 0 - 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000278000000020000000000000000000000000000000064ffffffff000000810000000000000002000002780000000100000000000000000000000100000000 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000002be000000020000000000000000000000000000000064ffffffff000000810000000000000002000002be0000000100000000000000000000000100000000 false - leon3mp - rtl (/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-digilent-xc3s1600e/leon3mp.vhd) + clkdivider - Clk_divider - ar_Clk_divider (/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/Clk_divider.vhd) 1 + Configure Target Device Design Utilities + Implement Design Implement Design/Map Implement Design/Place & Route Implement Design/Translate @@ -71,24 +114,26 @@ User Constraints - Configure Target Device + - 7 + 0 0 - 000000ff00000000000000010000000100000000000000000000000000000000000000000000000177000000010000000100000000000000000000000064ffffffff000000810000000000000001000001770000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000163000000010000000100000000000000000000000064ffffffff000000810000000000000001000001630000000100000000 false - Configure Target Device + 1 - - 0 + + ../../lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd + + 178 0 000000ff00000000000000010000000000000000010000000000000000000000000000000000000598000000040101000100000000000000000000000064ffffffff000000810000000000000004000001970000000100000000000000d60000000100000000000000840000000100000000000002a70000000100000000 false - ../../lib/cypress/ssram/components.vhd + ../../lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd @@ -107,13 +152,13 @@ unisim - ../../lib/lpp/lpp_amba/lpp_amba.vhd + ../../lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd - 43 + 66 0 - 000000ff00000000000000010000000000000000010000000000000000000000000000000000000177000000010001000100000000000000000000000064ffffffff000000810000000000000001000001770000000100000000 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000119000000010001000100000000000000000000000064ffffffff000000810000000000000001000001190000000100000000 false - ../../lib/lpp/lpp_amba/lpp_amba.vhd + ../../lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd 000000ff0000000000000002000001f4000000b001000000060100000002 Implementation @@ -127,7 +172,7 @@ 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000fd000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fd0000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000138000000010000000100000000000000000000000064ffffffff000000810000000000000001000001380000000100000000 false diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport @@ -1,11 +1,11 @@

- 2010-11-22T09:58:07 + 2010-12-02T07:02:18 leon3mp Unknown - /opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-digilent-xc3s1600e/iseconfig/leon3mp.xreport - /opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-digilent-xc3s1600e/ + /opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport + /opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/ 2010-11-19T08:25:19 false
diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qpf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qpf deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qpf +++ /dev/null @@ -1,8 +0,0 @@ -#QUARTUS_VERSION = "4.1" -#DATE = "17:39:37 December 03, 2004" - - -# Revisions - - -PROJECT_REVISION = leon3mp diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qsf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qsf deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qsf +++ /dev/null @@ -1,223 +0,0 @@ -# Project-Wide Assignments -# ======================== -#set_global_assignment -name ORIGINAL_QUARTUS_VERSION "4.1 SP2" -#set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:13:08 DECEMBER 01, 2004" - -# Explicitly disable TimeQuest since the GRLIB flow invokes the classical -# timing analyzer and USE_TIMEQUEST_TIMING_ANALYZER defaults to "ON" -# set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER "OFF" - -set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/version.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/config.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/stdlib.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/sparc/sparc.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/modgen/multlib.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/modgen/leaves.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/amba.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/devices.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/defmst.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/apbctrl.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/ahbctrl.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/dma2ahb_pkg.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/dma2ahb.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/techmap/gencomp/gencomp.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/gencomp/netcomp.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/memory_inferred.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/ddr_inferred.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/mul_inferred.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/ddr_phy_inferred.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allclkgen.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allddr.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allmem.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allpads.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/alltap.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkgen.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkmux.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkand.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddr_ireg.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddr_oreg.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddrphy.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram64.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram_2p.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram_dp.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncfifo.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/regfile_3p.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/tap.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/techbuf.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/nandtree.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkpad_ds.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad_ds.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iodpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad_ds.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/lvds_combo.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/odpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad_ds.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/toutpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/skew_outpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grspwc_net.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grspwc2_net.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grlfpw_net.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grfpw_net.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/mul_61x61.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/cpu_disas_net.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ringosc.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/system_monitor.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grgates.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad_ddr.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad_ddr.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad_ddr.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram128bw.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram128.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram156bw.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/eth/comp/ethcomp.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_pkg.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/eth_rstgen.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/eth_ahb_mst.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_tx.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_rx.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/grethc.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/wrapper/greth_gen.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/wrapper/greth_gbit_gen.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/arith.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/mul32.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/div32.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/memctrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdctrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdctrl64.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdmctrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/srctrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/spimctrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmuconfig.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmuiface.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libmmu.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libiu.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libcache.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libproc3.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/cachemem.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_icache.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_dcache.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_acache.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutlbcam.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmulrue.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmulru.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutlb.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutw.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_cache.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/cpu_disasx.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/iu3.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpwx.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mfpwx.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grlfpwx.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/tbufmem.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/dsu3x.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/dsu3.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/proc3.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3s.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3cg.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/irqmp.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpwxsh.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpushwx.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3sh.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/misc.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/rstgen.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/gptimer.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbram.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbdpram.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbtrace.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbtrace_mb.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbmst.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/grgpio.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbstat.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/logan.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/apbps2.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/charrom_package.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/charrom.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/apbvga.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/svgactrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/i2cmst_gen.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/spictrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/i2cslv.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/wild.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/wild2ahb.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/grsysmon.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/gracectrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/grgpreg.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbmst2.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahb_mst_iface.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/net/net.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/uart.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/libdcom.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/apbuart.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/dcom.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/dcom_uart.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/ahbuart.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/jtag.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/libjtagcom.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/jtagcom.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/ahbjtag.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/ethernet_mac.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/greth.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/greth_gbit.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/grethm.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr_phy.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrsp16a.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrsp32a.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrsp64a.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrspa.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spa.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2buf.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spax.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/esa/memoryctrl/memoryctrl.vhd -library esa -set_global_assignment -name VHDL_FILE ../../lib/esa/memoryctrl/mctrl.vhd -library esa -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/Adder.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/ADDRcntr.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/ALU.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/general_purpose.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_MUX.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_REG.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/Multiplier.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MUX2.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/REG.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/Shifter.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_amba/lpp_amba.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/RAM.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd -library lpp -set_global_assignment -name VHDL_FILE config.vhd -set_global_assignment -name VHDL_FILE ahbrom.vhd -set_global_assignment -name VHDL_FILE leon3mp.vhd - -set_global_assignment -name TOP_LEVEL_ENTITY "leon3mp" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.rc b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.rc deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.rc +++ /dev/null @@ -1,7 +0,0 @@ -set_attribute input_pragma_keyword "cadence synopsys get2chip g2c fast ambit pragma" -include compile.rc - -read_hdl -vhdl -lib work config.vhd -read_hdl -vhdl -lib work ahbrom.vhd -read_hdl -vhdl -lib work leon3mp.vhd -elaborate leon3mp diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf @@ -239,4 +239,17 @@ NET "LCD_E" LOC = "M18" | IOSTANDARD = L NET "LCD_RET" LOC = "E3" | IOSTANDARD = SSTL2_I ; NET "LCD_CS1" LOC = "P3" | IOSTANDARD = SSTL2_I ; NET "LCD_CS2" LOC = "P4" | IOSTANDARD = SSTL2_I ; +NET "ADC_SCK" LOC = "P13" | IOSTANDARD = LVTTL ; +NET "ADC_CNV" LOC = "T14" | IOSTANDARD = LVTTL ; +NET "ADC_SDI" LOC = "R13" | IOSTANDARD = LVTTL ; + + + + + + + + + + diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd @@ -43,6 +43,8 @@ use work.config.all; library lpp; use lpp.amba_lcd_16x2_ctrlr.all; use lpp.LCD_16x2_CFG.all; +use lpp.lpp_ad_conv.all; + entity leon3mp is generic ( @@ -133,7 +135,10 @@ entity leon3mp is LCD_CS2 : out STD_LOGIC; SF_CE0 : out std_logic; BTN_NORTH : in std_ulogic; - BTN_WEST : in std_ulogic + BTN_WEST : in std_ulogic; + ADC_SCK : out std_logic; + ADC_CNV : out std_logic; + ADC_SDI : in std_logic ); end; @@ -204,6 +209,9 @@ architecture rtl of leon3mp is signal ddr_csb : std_logic_vector(1 downto 0); signal ddr_adl : std_logic_vector(13 downto 0); -- ddr address + signal AD_in : AD7688_in(0 downto 0); + signal AD_out : AD7688_out; + attribute keep : boolean; attribute syn_keep : boolean; attribute syn_preserve : boolean; @@ -478,6 +486,17 @@ LCD0 : apb_lcd_ctrlr Port map( rstn,clkm,apbi, apbo(8),data(15 downto 8),LCD_RS,LCD_RW,LCD_E,LCD_RET,LCD_CS1,LCD_CS2,SF_CE0); ----------------------------------------------------------------------- +--- ADS7886 ---------------------------------------------------- +----------------------------------------------------------------------- + +ADC0 : lpp_apb_ad_conv + generic map(9,9,16#fff#,0,8,1,50000,100,ADS7886) + Port map(clkm,rstn,apbi, apbo(9),AD_in,AD_out); + +AD_in(0).SDI <= ADC_SDI; +ADC_CNV <= AD_out.CNV; +ADC_SCK <= AD_out.SCK; +----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.xst b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.xst deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.xst +++ /dev/null @@ -1,56 +0,0 @@ -set -tmpdir "xst/projnav.tmp" -set -xsthdpdir "xst" -run --ifn leon3mp.prj --uc leon3mp.xcf --ifmt mixed --ofn leon3mp --ofmt NGC --p xc3s1600e-4-fg320 --top leon3mp --opt_mode Speed --opt_level 1 --iuc NO --keep_hierarchy No --netlist_hierarchy As_Optimized --rtlview Yes --glob_opt AllClockNets --read_cores YES --write_timing_constraints NO --cross_clock_analysis NO --hierarchy_separator / --bus_delimiter () --case Maintain --slice_utilization_ratio 100 --bram_utilization_ratio 100 --verilog2001 YES --fsm_extract NO --fsm_style LUT --ram_extract Yes --ram_style Auto --rom_extract Yes --mux_style Auto --decoder_extract YES --priority_extract Yes --shreg_extract YES --shift_extract YES --xor_collapse YES --rom_style Auto --auto_bram_packing NO --mux_extract Yes --resource_sharing YES --async_to_sync NO --mult_style Auto --iobuf YES --max_fanout 500 --bufg 24 --register_duplication YES --register_balancing No --slice_packing YES --optimize_primitives NO --use_clock_enable Yes --use_sync_set Yes --use_sync_reset Yes --iob True --equivalent_register_removal YES --slice_utilization_ratio_maxmargin 5 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer.tcl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer.tcl +++ /dev/null @@ -1,33 +0,0 @@ -new_design -name "leon3mp" -family "Spartan3E" -set_device -die "xc3s1600e" -package " " -speed "-4" -voltage "1.5" -iostd "LVTTL" -jtag "yes" -probe "yes" -trst "yes" -temprange "" -voltrange "" -if {[file exist leon3mp.pdc]} { -import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -format "pdc" -abort_on_error "no" {leon3mp.pdc} -} else { -import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -} -compile -combine_register 1 -if {[file exist ]} { - import_aux -format "pdc" -abort_on_error "no" {} - pin_commit -} else { - puts "WARNING: No PDC file imported." -} -if {[file exist ]} { - import_aux -format "sdc" -merge_timing "no" {} -} else { - puts "WARNING: No SDC file imported." -} -save_design {leon3mp.adb} -report -type status {./actel/report_status_pre.log} -layout -timing_driven -incremental "OFF" -save_design {leon3mp.adb} -backannotate -dir {./actel} -name "leon3mp" -format "SDF" -language "VHDL93" -netlist -report -type "timer" -analysis "max" -print_summary "yes" -use_slack_threshold "no" -print_paths "yes" -max_paths 100 -max_expanded_paths 5 -include_user_sets "yes" -include_pin_to_pin "yes" -select_clock_domains "no" {./actel/report_timer_max.txt} -report -type "timer" -analysis "min" -print_summary "yes" -use_slack_threshold "no" -print_paths "yes" -max_paths 100 -max_expanded_paths 5 -include_user_sets "yes" -include_pin_to_pin "yes" -select_clock_domains "no" {./actel/report_timer_min.txt} -report -type "pin" -listby "name" {./actel/report_pin_name.log} -report -type "pin" -listby "number" {./actel/report_pin_number.log} -report -type "datasheet" {./actel/report_datasheet.txt} -export -format "pdb" -feature "prog_fpga" -io_state "Tri-State" {./actel/leon3mp.pdb} -export -format log -diagnostic {./actel/report_log.log} -report -type status {./actel/report_status_post.log} -save_design {leon3mp.adb} diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer_act.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer_act.tcl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer_act.tcl +++ /dev/null @@ -1,8 +0,0 @@ -new_design -name "leon3mp" -family "Spartan3E" -set_device -die "xc3s1600e" -package " " -speed "-4" -voltage "1.5" -iostd "LVTTL" -jtag "yes" -probe "yes" -trst "yes" -temprange "" -voltrange "" -if {[file exist leon3mp.pdc]} { -import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -format "pdc" -abort_on_error "no" {leon3mp.pdc} -} else { -import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -} -save_design {leon3mp.adb} diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_ise.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_ise.tcl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_ise.tcl +++ /dev/null @@ -1,485 +0,0 @@ -project new leon3mp.ise -project set family "Spartan3E" -project set device xc3s1600e -project set speed -4 -project set package fg320 -puts "Adding files to project" -lib_vhdl new grlib -xfile add "../../lib/grlib/stdlib/version.vhd" -lib_vhdl grlib -puts "../../lib/grlib/stdlib/version.vhd" -xfile add "../../lib/grlib/stdlib/config.vhd" -lib_vhdl grlib -puts "../../lib/grlib/stdlib/config.vhd" -xfile add "../../lib/grlib/stdlib/stdlib.vhd" -lib_vhdl grlib -puts "../../lib/grlib/stdlib/stdlib.vhd" -xfile add "../../lib/grlib/sparc/sparc.vhd" -lib_vhdl grlib -puts "../../lib/grlib/sparc/sparc.vhd" -xfile add "../../lib/grlib/modgen/multlib.vhd" -lib_vhdl grlib -puts "../../lib/grlib/modgen/multlib.vhd" -xfile add "../../lib/grlib/modgen/leaves.vhd" -lib_vhdl grlib -puts "../../lib/grlib/modgen/leaves.vhd" -xfile add "../../lib/grlib/amba/amba.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/amba.vhd" -xfile add "../../lib/grlib/amba/devices.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/devices.vhd" -xfile add "../../lib/grlib/amba/defmst.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/defmst.vhd" -xfile add "../../lib/grlib/amba/apbctrl.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/apbctrl.vhd" -xfile add "../../lib/grlib/amba/ahbctrl.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/ahbctrl.vhd" -xfile add "../../lib/grlib/amba/dma2ahb_pkg.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/dma2ahb_pkg.vhd" -xfile add "../../lib/grlib/amba/dma2ahb.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/dma2ahb.vhd" -lib_vhdl new unisim -lib_vhdl new synplify -lib_vhdl new techmap -xfile add "../../lib/techmap/gencomp/gencomp.vhd" -lib_vhdl techmap -puts "../../lib/techmap/gencomp/gencomp.vhd" -xfile add "../../lib/techmap/gencomp/netcomp.vhd" -lib_vhdl techmap -puts "../../lib/techmap/gencomp/netcomp.vhd" -xfile add "../../lib/techmap/inferred/memory_inferred.vhd" -lib_vhdl techmap -puts "../../lib/techmap/inferred/memory_inferred.vhd" -xfile add "../../lib/techmap/inferred/ddr_inferred.vhd" -lib_vhdl techmap -puts "../../lib/techmap/inferred/ddr_inferred.vhd" -xfile add "../../lib/techmap/inferred/mul_inferred.vhd" -lib_vhdl techmap -puts "../../lib/techmap/inferred/mul_inferred.vhd" -xfile add "../../lib/techmap/inferred/ddr_phy_inferred.vhd" -lib_vhdl techmap -puts "../../lib/techmap/inferred/ddr_phy_inferred.vhd" -xfile add "../../lib/techmap/unisim/memory_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/memory_unisim.vhd" -xfile add "../../lib/techmap/unisim/buffer_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/buffer_unisim.vhd" -xfile add "../../lib/techmap/unisim/pads_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/pads_unisim.vhd" -xfile add "../../lib/techmap/unisim/clkgen_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/clkgen_unisim.vhd" -xfile add "../../lib/techmap/unisim/tap_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/tap_unisim.vhd" -xfile add "../../lib/techmap/unisim/ddr_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/ddr_unisim.vhd" -xfile add "../../lib/techmap/unisim/ddr_phy_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/ddr_phy_unisim.vhd" -xfile add "../../lib/techmap/unisim/grspwc_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/grspwc_unisim.vhd" -xfile add "../../lib/techmap/unisim/grspwc2_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/grspwc2_unisim.vhd" -xfile add "../../lib/techmap/unisim/grusbhc_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/grusbhc_unisim.vhd" -xfile add "../../lib/techmap/unisim/ssrctrl_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/ssrctrl_unisim.vhd" -xfile add "../../lib/techmap/unisim/sysmon_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/sysmon_unisim.vhd" -xfile add "../../lib/techmap/unisim/mul_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/mul_unisim.vhd" -xfile add "../../lib/techmap/maps/allclkgen.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/allclkgen.vhd" -xfile add "../../lib/techmap/maps/allddr.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/allddr.vhd" -xfile add "../../lib/techmap/maps/allmem.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/allmem.vhd" -xfile add "../../lib/techmap/maps/allpads.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/allpads.vhd" -xfile add "../../lib/techmap/maps/alltap.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/alltap.vhd" -xfile add "../../lib/techmap/maps/clkgen.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/clkgen.vhd" -xfile add "../../lib/techmap/maps/clkmux.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/clkmux.vhd" -xfile add "../../lib/techmap/maps/clkand.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/clkand.vhd" -xfile add "../../lib/techmap/maps/ddr_ireg.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/ddr_ireg.vhd" -xfile add "../../lib/techmap/maps/ddr_oreg.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/ddr_oreg.vhd" -xfile add "../../lib/techmap/maps/ddrphy.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/ddrphy.vhd" -xfile add "../../lib/techmap/maps/syncram.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram.vhd" -xfile add "../../lib/techmap/maps/syncram64.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram64.vhd" -xfile add "../../lib/techmap/maps/syncram_2p.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram_2p.vhd" -xfile add "../../lib/techmap/maps/syncram_dp.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram_dp.vhd" -xfile add "../../lib/techmap/maps/syncfifo.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncfifo.vhd" -xfile add "../../lib/techmap/maps/regfile_3p.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/regfile_3p.vhd" -xfile add "../../lib/techmap/maps/tap.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/tap.vhd" -xfile add "../../lib/techmap/maps/techbuf.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/techbuf.vhd" -xfile add "../../lib/techmap/maps/nandtree.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/nandtree.vhd" -xfile add "../../lib/techmap/maps/clkpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/clkpad.vhd" -xfile add "../../lib/techmap/maps/clkpad_ds.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/clkpad_ds.vhd" -xfile add "../../lib/techmap/maps/inpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/inpad.vhd" -xfile add "../../lib/techmap/maps/inpad_ds.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/inpad_ds.vhd" -xfile add "../../lib/techmap/maps/iodpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/iodpad.vhd" -xfile add "../../lib/techmap/maps/iopad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/iopad.vhd" -xfile add "../../lib/techmap/maps/iopad_ds.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/iopad_ds.vhd" -xfile add "../../lib/techmap/maps/lvds_combo.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/lvds_combo.vhd" -xfile add "../../lib/techmap/maps/odpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/odpad.vhd" -xfile add "../../lib/techmap/maps/outpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/outpad.vhd" -xfile add "../../lib/techmap/maps/outpad_ds.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/outpad_ds.vhd" -xfile add "../../lib/techmap/maps/toutpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/toutpad.vhd" -xfile add "../../lib/techmap/maps/skew_outpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/skew_outpad.vhd" -xfile add "../../lib/techmap/maps/grspwc_net.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/grspwc_net.vhd" -xfile add "../../lib/techmap/maps/grspwc2_net.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/grspwc2_net.vhd" -xfile add "../../lib/techmap/maps/grlfpw_net.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/grlfpw_net.vhd" -xfile add "../../lib/techmap/maps/grfpw_net.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/grfpw_net.vhd" -xfile add "../../lib/techmap/maps/mul_61x61.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/mul_61x61.vhd" -xfile add "../../lib/techmap/maps/cpu_disas_net.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/cpu_disas_net.vhd" -xfile add "../../lib/techmap/maps/ringosc.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/ringosc.vhd" -xfile add "../../lib/techmap/maps/system_monitor.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/system_monitor.vhd" -xfile add "../../lib/techmap/maps/grgates.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/grgates.vhd" -xfile add "../../lib/techmap/maps/inpad_ddr.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/inpad_ddr.vhd" -xfile add "../../lib/techmap/maps/outpad_ddr.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/outpad_ddr.vhd" -xfile add "../../lib/techmap/maps/iopad_ddr.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/iopad_ddr.vhd" -xfile add "../../lib/techmap/maps/syncram128bw.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram128bw.vhd" -xfile add "../../lib/techmap/maps/syncram128.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram128.vhd" -xfile add "../../lib/techmap/maps/syncram156bw.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram156bw.vhd" -lib_vhdl new eth -xfile add "../../lib/eth/comp/ethcomp.vhd" -lib_vhdl eth -puts "../../lib/eth/comp/ethcomp.vhd" -xfile add "../../lib/eth/core/greth_pkg.vhd" -lib_vhdl eth -puts "../../lib/eth/core/greth_pkg.vhd" -xfile add "../../lib/eth/core/eth_rstgen.vhd" -lib_vhdl eth -puts "../../lib/eth/core/eth_rstgen.vhd" -xfile add "../../lib/eth/core/eth_ahb_mst.vhd" -lib_vhdl eth -puts "../../lib/eth/core/eth_ahb_mst.vhd" -xfile add "../../lib/eth/core/greth_tx.vhd" -lib_vhdl eth -puts "../../lib/eth/core/greth_tx.vhd" -xfile add "../../lib/eth/core/greth_rx.vhd" -lib_vhdl eth -puts "../../lib/eth/core/greth_rx.vhd" -xfile add "../../lib/eth/core/grethc.vhd" -lib_vhdl eth -puts "../../lib/eth/core/grethc.vhd" -xfile add "../../lib/eth/wrapper/greth_gen.vhd" -lib_vhdl eth -puts "../../lib/eth/wrapper/greth_gen.vhd" -xfile add "../../lib/eth/wrapper/greth_gbit_gen.vhd" -lib_vhdl eth -puts "../../lib/eth/wrapper/greth_gbit_gen.vhd" -lib_vhdl new gaisler -xfile add "../../lib/gaisler/arith/arith.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/arith/arith.vhd" -xfile add "../../lib/gaisler/arith/mul32.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/arith/mul32.vhd" -xfile add "../../lib/gaisler/arith/div32.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/arith/div32.vhd" -xfile add "../../lib/gaisler/memctrl/memctrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/memctrl.vhd" -xfile add "../../lib/gaisler/memctrl/sdctrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/sdctrl.vhd" -xfile add "../../lib/gaisler/memctrl/sdctrl64.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/sdctrl64.vhd" -xfile add "../../lib/gaisler/memctrl/sdmctrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/sdmctrl.vhd" -xfile add "../../lib/gaisler/memctrl/srctrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/srctrl.vhd" -xfile add "../../lib/gaisler/memctrl/spimctrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/spimctrl.vhd" -xfile add "../../lib/gaisler/leon3/leon3.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/leon3.vhd" -xfile add "../../lib/gaisler/leon3/mmuconfig.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmuconfig.vhd" -xfile add "../../lib/gaisler/leon3/mmuiface.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmuiface.vhd" -xfile add "../../lib/gaisler/leon3/libmmu.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/libmmu.vhd" -xfile add "../../lib/gaisler/leon3/libiu.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/libiu.vhd" -xfile add "../../lib/gaisler/leon3/libcache.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/libcache.vhd" -xfile add "../../lib/gaisler/leon3/libproc3.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/libproc3.vhd" -xfile add "../../lib/gaisler/leon3/cachemem.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/cachemem.vhd" -xfile add "../../lib/gaisler/leon3/mmu_icache.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmu_icache.vhd" -xfile add "../../lib/gaisler/leon3/mmu_dcache.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmu_dcache.vhd" -xfile add "../../lib/gaisler/leon3/mmu_acache.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmu_acache.vhd" -xfile add "../../lib/gaisler/leon3/mmutlbcam.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmutlbcam.vhd" -xfile add "../../lib/gaisler/leon3/mmulrue.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmulrue.vhd" -xfile add "../../lib/gaisler/leon3/mmulru.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmulru.vhd" -xfile add "../../lib/gaisler/leon3/mmutlb.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmutlb.vhd" -xfile add "../../lib/gaisler/leon3/mmutw.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmutw.vhd" -xfile add "../../lib/gaisler/leon3/mmu.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmu.vhd" -xfile add "../../lib/gaisler/leon3/mmu_cache.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmu_cache.vhd" -xfile add "../../lib/gaisler/leon3/cpu_disasx.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/cpu_disasx.vhd" -xfile add "../../lib/gaisler/leon3/iu3.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/iu3.vhd" -xfile add "../../lib/gaisler/leon3/grfpwx.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/grfpwx.vhd" -xfile add "../../lib/gaisler/leon3/mfpwx.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mfpwx.vhd" -xfile add "../../lib/gaisler/leon3/grlfpwx.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/grlfpwx.vhd" -xfile add "../../lib/gaisler/leon3/tbufmem.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/tbufmem.vhd" -xfile add "../../lib/gaisler/leon3/dsu3x.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/dsu3x.vhd" -xfile add "../../lib/gaisler/leon3/dsu3.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/dsu3.vhd" -xfile add "../../lib/gaisler/leon3/proc3.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/proc3.vhd" -xfile add "../../lib/gaisler/leon3/leon3s.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/leon3s.vhd" -xfile add "../../lib/gaisler/leon3/leon3cg.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/leon3cg.vhd" -xfile add "../../lib/gaisler/leon3/irqmp.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/irqmp.vhd" -xfile add "../../lib/gaisler/leon3/grfpwxsh.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/grfpwxsh.vhd" -xfile add "../../lib/gaisler/leon3/grfpushwx.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/grfpushwx.vhd" -xfile add "../../lib/gaisler/leon3/leon3sh.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/leon3sh.vhd" -xfile add "../../lib/gaisler/misc/misc.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/misc.vhd" -xfile add "../../lib/gaisler/misc/rstgen.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/rstgen.vhd" -xfile add "../../lib/gaisler/misc/gptimer.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/gptimer.vhd" -xfile add "../../lib/gaisler/misc/ahbram.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbram.vhd" -xfile add "../../lib/gaisler/misc/ahbdpram.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbdpram.vhd" -xfile add "../../lib/gaisler/misc/ahbtrace.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbtrace.vhd" -xfile add "../../lib/gaisler/misc/ahbtrace_mb.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbtrace_mb.vhd" -xfile add "../../lib/gaisler/misc/ahbmst.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbmst.vhd" -xfile add "../../lib/gaisler/misc/grgpio.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/grgpio.vhd" -xfile add "../../lib/gaisler/misc/ahbstat.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbstat.vhd" -xfile add "../../lib/gaisler/misc/logan.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/logan.vhd" -xfile add "../../lib/gaisler/misc/apbps2.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/apbps2.vhd" -xfile add "../../lib/gaisler/misc/charrom_package.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/charrom_package.vhd" -xfile add "../../lib/gaisler/misc/charrom.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/charrom.vhd" -xfile add "../../lib/gaisler/misc/apbvga.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/apbvga.vhd" -xfile add "../../lib/gaisler/misc/svgactrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/svgactrl.vhd" -xfile add "../../lib/gaisler/misc/i2cmst_gen.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/i2cmst_gen.vhd" -xfile add "../../lib/gaisler/misc/spictrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/spictrl.vhd" -xfile add "../../lib/gaisler/misc/i2cslv.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/i2cslv.vhd" -xfile add "../../lib/gaisler/misc/wild.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/wild.vhd" -xfile add "../../lib/gaisler/misc/wild2ahb.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/wild2ahb.vhd" -xfile add "../../lib/gaisler/misc/grsysmon.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/grsysmon.vhd" -xfile add "../../lib/gaisler/misc/gracectrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/gracectrl.vhd" -xfile add "../../lib/gaisler/misc/grgpreg.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/grgpreg.vhd" -xfile add "../../lib/gaisler/misc/ahbmst2.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbmst2.vhd" -xfile add "../../lib/gaisler/misc/ahb_mst_iface.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahb_mst_iface.vhd" -xfile add "../../lib/gaisler/net/net.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/net/net.vhd" -xfile add "../../lib/gaisler/uart/uart.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/uart.vhd" -xfile add "../../lib/gaisler/uart/libdcom.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/libdcom.vhd" -xfile add "../../lib/gaisler/uart/apbuart.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/apbuart.vhd" -xfile add "../../lib/gaisler/uart/dcom.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/dcom.vhd" -xfile add "../../lib/gaisler/uart/dcom_uart.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/dcom_uart.vhd" -xfile add "../../lib/gaisler/uart/ahbuart.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/ahbuart.vhd" -xfile add "../../lib/gaisler/jtag/jtag.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/jtag/jtag.vhd" -xfile add "../../lib/gaisler/jtag/libjtagcom.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/jtag/libjtagcom.vhd" -xfile add "../../lib/gaisler/jtag/jtagcom.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/jtag/jtagcom.vhd" -xfile add "../../lib/gaisler/jtag/ahbjtag.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/jtag/ahbjtag.vhd" -xfile add "../../lib/gaisler/jtag/ahbjtag_bsd.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/jtag/ahbjtag_bsd.vhd" -xfile add "../../lib/gaisler/greth/ethernet_mac.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/greth/ethernet_mac.vhd" -xfile add "../../lib/gaisler/greth/greth.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/greth/greth.vhd" -xfile add "../../lib/gaisler/greth/greth_gbit.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/greth/greth_gbit.vhd" -xfile add "../../lib/gaisler/greth/grethm.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/greth/grethm.vhd" -xfile add "../../lib/gaisler/ddr/ddr_phy.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr_phy.vhd" -xfile add "../../lib/gaisler/ddr/ddrsp16a.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddrsp16a.vhd" -xfile add "../../lib/gaisler/ddr/ddrsp32a.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddrsp32a.vhd" -xfile add "../../lib/gaisler/ddr/ddrsp64a.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddrsp64a.vhd" -xfile add "../../lib/gaisler/ddr/ddrspa.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddrspa.vhd" -xfile add "../../lib/gaisler/ddr/ddr2spa.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr2spa.vhd" -xfile add "../../lib/gaisler/ddr/ddr2buf.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr2buf.vhd" -xfile add "../../lib/gaisler/ddr/ddr2spax.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr2spax.vhd" -xfile add "../../lib/gaisler/ddr/ddr2spax_ahb.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr2spax_ahb.vhd" -xfile add "../../lib/gaisler/ddr/ddr2spax_ddr.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr2spax_ddr.vhd" -lib_vhdl new esa -xfile add "../../lib/esa/memoryctrl/memoryctrl.vhd" -lib_vhdl esa -puts "../../lib/esa/memoryctrl/memoryctrl.vhd" -xfile add "../../lib/esa/memoryctrl/mctrl.vhd" -lib_vhdl esa -puts "../../lib/esa/memoryctrl/mctrl.vhd" -lib_vhdl new fmf -lib_vhdl new spansion -lib_vhdl new gsi -lib_vhdl new lpp -xfile add "../../lib/lpp/./general_purpose/Adder.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/Adder.vhd" -xfile add "../../lib/lpp/./general_purpose/ADDRcntr.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/ADDRcntr.vhd" -xfile add "../../lib/lpp/./general_purpose/ALU.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/ALU.vhd" -xfile add "../../lib/lpp/./general_purpose/general_purpose.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/general_purpose.vhd" -xfile add "../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd" -xfile add "../../lib/lpp/./general_purpose/MAC_MUX2.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MAC_MUX2.vhd" -xfile add "../../lib/lpp/./general_purpose/MAC_MUX.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MAC_MUX.vhd" -xfile add "../../lib/lpp/./general_purpose/MAC_REG.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MAC_REG.vhd" -xfile add "../../lib/lpp/./general_purpose/MAC.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MAC.vhd" -xfile add "../../lib/lpp/./general_purpose/Multiplier.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/Multiplier.vhd" -xfile add "../../lib/lpp/./general_purpose/MUX2.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MUX2.vhd" -xfile add "../../lib/lpp/./general_purpose/REG.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/REG.vhd" -xfile add "../../lib/lpp/./general_purpose/Shifter.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/Shifter.vhd" -xfile add "../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd" -xfile add "../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd" -xfile add "../../lib/lpp/./lpp_amba/lpp_amba.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./lpp_amba/lpp_amba.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/FILTER.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/FILTER.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/iir_filter.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/iir_filter.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/RAM.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/RAM.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd" -lib_vhdl new work -xfile add "leon3mp.ucf" -xfile add "config.vhd" -lib_vhdl work -puts "config.vhd" -xfile add "ahbrom.vhd" -lib_vhdl work -puts "ahbrom.vhd" -xfile add "leon3mp.vhd" -lib_vhdl work -puts "leon3mp.vhd" -project set top "rtl" "leon3mp" -project set "Bus Delimiter" () -project set "FSM Encoding Algorithm" None -project set "Pack I/O Registers into IOBs" yes -project set "Verilog Macros" "" -project set "Other XST Command Line Options" "-uc leon3mp.xcf" -process "Synthesize - XST" -project set "Allow Unmatched LOC Constraints" true -process "Translate" -project set "Macro Search Path" "../../netlists/xilinx/Spartan3" -process "Translate" -project set "Pack I/O Registers/Latches into IOBs" {For Inputs and Outputs} -project set "Other MAP Command Line Options" "-timing" -process Map -project set "Drive Done Pin High" true -process "Generate Programming File" -project set "Create ReadBack Data Files" true -process "Generate Programming File" -project set "Create Mask File" true -process "Generate Programming File" -project set "Run Design Rules Checker (DRC)" false -process "Generate Programming File" -project close -exit diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.npl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.npl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.npl +++ /dev/null @@ -1,20 +0,0 @@ -JDF G -PROJECT leon3mp -DESIGN leon3mp -DEVFAM Spartan3E -DEVICE xc3s1600e -DEVSPEED -4 -DEVPKG fg320 -DEVTOPLEVELMODULETYPE EDIF -DEVSIMULATOR Modelsim -DEVGENERATEDSIMULATIONMODEL VHDL -SOURCE synplify/leon3mp.edf -DEPASSOC leon3mp leon3mp.ucf -[Normal] -xilxMapAllowLogicOpt=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True -xilxMapCoverMode=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Speed -xilxNgdbld_AUL=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True -xilxPAReffortLevel=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Medium -xilxNgdbldMacro=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1105378344, ../../netlists/xilinx/Spartan3 -[STRATEGY-LIST] -Normal=True diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.prj deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.prj +++ /dev/null @@ -1,39 +0,0 @@ -source compile.synp -add_file -vhdl -lib work config.vhd -add_file -vhdl -lib work ahbrom.vhd -add_file -vhdl -lib work leon3mp.vhd -add_file -edif ../../netlists/xilinx/Spartan3/grfpw_0_unisim.edf -add_file -edif ../../netlists/xilinx/Spartan3/grfpw4_0_unisim.edf -add_file -edif ../../netlists/xilinx/Spartan3/grlfpw_0_unisim.edf -add_file -edif ../../netlists/xilinx/Spartan3/grlfpw4_0_unisim.edf -add_file -constraint default.sdc - -#implementation: "synplify" -impl -add synplify - -#device options -set_option -technology Spartan3E -set_option -part xc3s1600e -set_option -speed_grade -4 - -#compilation/mapping options -set_option -symbolic_fsm_compiler 0 -set_option -resource_sharing 0 -set_option -use_fsm_explorer 0 -set_option -write_vhdl 1 -#set_option -disable_io_insertion 0 - -#map options -set_option -frequency 70 - -set_option -top_module leon3mp - -#set result format/file last -project -result_file "synplify/leon3mp.edf" - -#implementation attributes -set_option -vlog_std v95 -set_option -compiler_compatible 0 -set_option -package fg320 -set_option -pipe 1; set_option -retiming 1; set_option -write_apr_constraint 0 -impl -active "synplify" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qpf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qpf deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qpf +++ /dev/null @@ -1,8 +0,0 @@ -#QUARTUS_VERSION = "4.1" -#DATE = "17:39:37 December 03, 2004" - - -# Revisions - - -PROJECT_REVISION = leon3mp_synplify diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qsf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qsf deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qsf +++ /dev/null @@ -1,12 +0,0 @@ -# Project-Wide Assignments -# ======================== -#set_global_assignment -name ORIGINAL_QUARTUS_VERSION "4.1 SP2" -#set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:13:08 DECEMBER 01, 2004" - -# Explicitly disable TimeQuest since the GRLIB flow invokes the classical -# timing analyzer and USE_TIMEQUEST_TIMING_ANALYZER defaults to "ON" -# set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER "OFF" - -set_global_assignment -name VQM_FILE synplify/leon3mp.edf - -set_global_assignment -name TOP_LEVEL_ENTITY "leon3mp" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify_win32.npl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify_win32.npl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify_win32.npl +++ /dev/null @@ -1,18 +0,0 @@ -JDF G -PROJECT leon3mp -DESIGN leon3mp -DEVFAM Spartan3E -DEVICE xc3s1600e -DEVSPEED -4 -DEVPKG fg320 -DEVTOPLEVELMODULETYPE EDIF -DEVSIMULATOR Modelsim -DEVGENERATEDSIMULATIONMODEL VHDL -SOURCE synplify\leon3mp.edf -DEPASSOC leon3mp leon3mp.ucf -[Normal] -xilxMapAllowLogicOpt=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True -xilxMapCoverMode=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Speed -xilxNgdbld_AUL=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True -xilxPAReffortLevel=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Medium -xilxNgdbldMacro=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1105378344, ..\..\netlists\xilinx\Spartan3 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_win32.npl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_win32.npl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_win32.npl +++ /dev/null @@ -1,257 +0,0 @@ -JDF G -PROJECT leon3mp -DESIGN leon3mp -DEVFAM Spartan3E -DEVICE xc3s1600e -DEVSPEED -4 -DEVPKG fg320 -DEVTOPLEVELMODULETYPE HDL -DEVSIMULATOR Modelsim -DEVGENERATEDSIMULATIONMODEL VHDL -SOURCE config.vhd -SOURCE ahbrom.vhd -SOURCE leon3mp.vhd -SUBLIB grlib VhdlLibrary vhdl -LIBFILE ..\..\lib\grlib\stdlib\version.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\stdlib\config.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\stdlib\stdlib.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\sparc\sparc.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\modgen\multlib.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\modgen\leaves.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\amba.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\devices.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\defmst.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\apbctrl.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\ahbctrl.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\dma2ahb_pkg.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\dma2ahb.vhd grlib vhdl -SUBLIB unisim VhdlLibrary vhdl -SUBLIB synplify VhdlLibrary vhdl -SUBLIB techmap VhdlLibrary vhdl -LIBFILE ..\..\lib\techmap\gencomp\gencomp.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\gencomp\netcomp.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\inferred\memory_inferred.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\inferred\ddr_inferred.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\inferred\mul_inferred.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\inferred\ddr_phy_inferred.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\memory_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\buffer_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\pads_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\clkgen_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\tap_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\ddr_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\ddr_phy_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\grspwc_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\grspwc2_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\grusbhc_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\ssrctrl_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\sysmon_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\mul_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\allclkgen.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\allddr.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\allmem.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\allpads.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\alltap.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\clkgen.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\clkmux.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\clkand.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\ddr_ireg.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\ddr_oreg.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\ddrphy.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram64.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram_2p.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram_dp.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncfifo.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\regfile_3p.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\tap.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\techbuf.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\nandtree.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\clkpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\clkpad_ds.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\inpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\inpad_ds.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\iodpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\iopad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\iopad_ds.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\lvds_combo.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\odpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\outpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\outpad_ds.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\toutpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\skew_outpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\grspwc_net.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\grspwc2_net.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\grlfpw_net.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\grfpw_net.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\mul_61x61.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\cpu_disas_net.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\ringosc.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\system_monitor.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\grgates.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\inpad_ddr.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\outpad_ddr.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\iopad_ddr.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram128bw.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram128.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram156bw.vhd techmap vhdl -SUBLIB eth VhdlLibrary vhdl -LIBFILE ..\..\lib\eth\comp\ethcomp.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\greth_pkg.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\eth_rstgen.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\eth_ahb_mst.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\greth_tx.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\greth_rx.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\grethc.vhd eth vhdl -LIBFILE ..\..\lib\eth\wrapper\greth_gen.vhd eth vhdl -LIBFILE ..\..\lib\eth\wrapper\greth_gbit_gen.vhd eth vhdl -SUBLIB gaisler VhdlLibrary vhdl -LIBFILE ..\..\lib\gaisler\arith\arith.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\arith\mul32.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\arith\div32.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\memctrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\sdctrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\sdctrl64.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\sdmctrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\srctrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\spimctrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\leon3.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmuconfig.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmuiface.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\libmmu.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\libiu.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\libcache.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\libproc3.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\cachemem.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmu_icache.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmu_dcache.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmu_acache.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmutlbcam.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmulrue.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmulru.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmutlb.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmutw.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmu.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmu_cache.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\cpu_disasx.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\iu3.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\grfpwx.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mfpwx.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\grlfpwx.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\tbufmem.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\dsu3x.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\dsu3.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\proc3.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\leon3s.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\leon3cg.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\irqmp.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\grfpwxsh.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\grfpushwx.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\leon3sh.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\misc.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\rstgen.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\gptimer.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbram.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbdpram.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbtrace.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbtrace_mb.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbmst.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\grgpio.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbstat.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\logan.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\apbps2.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\charrom_package.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\charrom.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\apbvga.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\svgactrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\i2cmst_gen.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\spictrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\i2cslv.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\wild.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\wild2ahb.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\grsysmon.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\gracectrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\grgpreg.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbmst2.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahb_mst_iface.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\net\net.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\uart.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\libdcom.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\apbuart.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\dcom.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\dcom_uart.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\ahbuart.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\jtag\jtag.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\jtag\libjtagcom.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\jtag\jtagcom.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\jtag\ahbjtag.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\jtag\ahbjtag_bsd.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\greth\ethernet_mac.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\greth\greth.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\greth\greth_gbit.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\greth\grethm.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr_phy.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddrsp16a.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddrsp32a.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddrsp64a.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddrspa.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr2spa.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr2buf.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr2spax.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr2spax_ahb.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr2spax_ddr.vhd gaisler vhdl -SUBLIB esa VhdlLibrary vhdl -LIBFILE ..\..\lib\esa\memoryctrl\memoryctrl.vhd esa vhdl -LIBFILE ..\..\lib\esa\memoryctrl\mctrl.vhd esa vhdl -SUBLIB fmf VhdlLibrary vhdl -SUBLIB spansion VhdlLibrary vhdl -SUBLIB gsi VhdlLibrary vhdl -SUBLIB lpp VhdlLibrary vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\Adder.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\ADDRcntr.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\ALU.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\general_purpose.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_MUX2.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_MUX.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_REG.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MAC.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\Multiplier.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MUX2.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\REG.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\Shifter.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\lpp_amba\APB_MULTI_DIODE.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\lpp_amba\APB_SIMPLE_DIODE.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\lpp_amba\lpp_amba.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\APB_IIR_CEL.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FilterCTRLR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FILTER_RAM_CTRLR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FILTER.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\IIR_CEL_FILTER.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\iir_filter.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\RAM_CTRLR2.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\RAM.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\Top_Filtre_IIR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\amba_lcd_16x2_ctrlr.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\apb_lcd_ctrlr.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\FRAME_CLK.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_16x2_CFG.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_16x2_DRVR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_16x2_ENGINE.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_2x16_DRIVER.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_CLK_GENERATOR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\Top_LCD.vhd lpp vhdl -SUBLIB work VhdlLibrary vhdl -DEPASSOC leon3mp leon3mp.ucf -[Normal] -_SynthFsmEncode=xstvhd, Spartan3E, VHDL.t_synthesize, 1102507235, None -p_xstBusDelimiter=xstvhd, Spartan3E, VHDL.t_synthesize, 1102507235, () -xilxMapAllowLogicOpt=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, True -xilxMapCoverMode=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, Speed -xilxMapTimingDrivenPacking=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, True -xilxNgdbld_AUL=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, True -xilxNgdbldMacro=xstvhd, Spartan3E, VHDL.t_ngdbuild, 1105377047, ..\..\netlists\xilinx\Spartan3 -xilxPAReffortLevel=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, Medium diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.do b/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.do deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.do +++ /dev/null @@ -1,17 +0,0 @@ -vlib modelsim -vlib modelsim/grlib -vlib modelsim/unisim -vlib modelsim/dw02 -vlib modelsim/synplify -vlib modelsim/techmap -vlib modelsim/eth -vlib modelsim/gaisler -vlib modelsim/esa -vlib modelsim/fmf -vlib modelsim/spansion -vlib modelsim/gsi -vlib modelsim/lpp -vlib modelsim/cypress -vlib modelsim/hynix -vlib modelsim/micron -vlib modelsim/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.txt b/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.txt deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.txt +++ /dev/null @@ -1,1 +0,0 @@ -grlib unisim dw02 synplify techmap eth gaisler esa fmf spansion gsi lpp cypress hynix micron work \ No newline at end of file diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv.prj new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv.prj @@ -0,0 +1,12 @@ +vhdl grlib "../../lib/grlib/stdlib/version.vhd" +vhdl grlib "../../lib/grlib/stdlib/stdlib.vhd" +vhdl grlib "../../lib/grlib/stdlib/config.vhd" +vhdl grlib "../../lib/grlib/amba/amba.vhd" +vhdl grlib "../../lib/grlib/amba/devices.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd" +vhdl lpp "../../lib/lpp/general_purpose/general_purpose.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd" +vhdl lpp "../../lib/lpp/general_purpose/Clk_divider.vhd" +vhdl lpp "../../lib/lpp/lpp_amba/lpp_amba.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv_vhdl.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv_vhdl.prj new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv_vhdl.prj @@ -0,0 +1,12 @@ +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/stdlib/version.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/stdlib/stdlib.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/stdlib/config.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/amba/amba.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/amba/devices.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/general_purpose.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/Clk_divider.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/lpp_amba/lpp_amba.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim +++ /dev/null @@ -1,1719 +0,0 @@ - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work work ../../config.vhd - acom -quiet -accept87 -work work ../../ahbrom.vhd - acom -quiet -accept87 -work work ../../leon3mp.vhd - acom -quiet -accept87 -work work ../../testbench.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work work ../../config.vhd - acom -quiet -accept87 -work work ../../ahbrom.vhd - acom -quiet -accept87 -work work ../../leon3mp.vhd - acom -quiet -accept87 -work work ../../testbench.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work work ../../config.vhd - acom -quiet -accept87 -work work ../../ahbrom.vhd - acom -quiet -accept87 -work work ../../leon3mp.vhd - acom -quiet -accept87 -work work ../../testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim-addfile b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim-addfile deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim-addfile +++ /dev/null @@ -1,5 +0,0 @@ - -addfile -vhdl ../../config.vhd -addfile -vhdl ../../ahbrom.vhd -addfile -vhdl ../../leon3mp.vhd -addfile -vhdl ../../testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.ncsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.ncsim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.ncsim +++ /dev/null @@ -1,308 +0,0 @@ -ncsim: - mkdir xncsim - mkdir xncsim/grlib - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/version.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/config.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdio.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/testlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/util/util.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/multlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/leaves.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/devices.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/defmst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/apbctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/ahbctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba_tp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_util.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - mkdir xncsim/unisim - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - mkdir xncsim/dw02 - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - mkdir xncsim/synplify - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synplify.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synattr.vhd - mkdir xncsim/techmap - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/gencomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/netcomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allclkgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allmem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allpads.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/alltap.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkmux.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkand.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddrphy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram64.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_2p.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_dp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncfifo.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/regfile_3p.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/tap.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/techbuf.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/nandtree.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iodpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/lvds_combo.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/odpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/toutpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/skew_outpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grfpw_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/mul_61x61.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ringosc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/system_monitor.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grgates.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128bw.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram156bw.vhd - mkdir xncsim/eth - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/comp/ethcomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_rstgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_ahb_mst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_tx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_rx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/grethc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - mkdir xncsim/gaisler - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/arith.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/mul32.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/div32.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libiu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libcache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/iu3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/proc3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/misc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/rstgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gptimer.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpio.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/logan.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbps2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbvga.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/spictrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/net/net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/uart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/libdcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/apbuart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - ncvlog -nowarn DLCPTH -nocopyright -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ata_device.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram16.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/phy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/usbsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtag.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/grethm.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - mkdir xncsim/esa - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/mctrl.vhd - mkdir xncsim/fmf - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/conversions.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/gen_utils.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/flash.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/s25fl064a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/m25p80.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/fifo/idt7202.vhd - mkdir xncsim/spansion - mkdir xncsim/gsi - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/functions.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/core_burst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - mkdir xncsim/lpp - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/REG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - mkdir xncsim/cypress - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/components.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/package_utility.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - mkdir xncsim/hynix - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/components.vhd - mkdir xncsim/micron - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/sdram/mobile_sdr.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/components.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/ddr2.v - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/mobile_ddr.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/ddr/mt46v16m16.vhd - mkdir xncsim/work - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/debug.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/grtestmod.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/cpu_disas.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work config.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ahbrom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work leon3mp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work testbench.vhd - ncelab -timescale 10ps/10ps testbench:behav diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.son b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.son deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.son +++ /dev/null @@ -1,286 +0,0 @@ -sonata-compile: - vhdlp -s -work grlib ../../lib/grlib/stdlib/version.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/config.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/stdlib.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/stdio.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/testlib.vhd - vhdlp -s -work grlib ../../lib/grlib/util/util.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/sparc.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - vhdlp -s -work grlib ../../lib/grlib/modgen/multlib.vhd - vhdlp -s -work grlib ../../lib/grlib/modgen/leaves.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/amba.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/devices.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/defmst.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/apbctrl.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/ahbctrl.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/amba_tp.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_util.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - vhdlp -s -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - vhdlp -s -work synplify ../../lib/synplify/sim/synplify.vhd - vhdlp -s -work synplify ../../lib/synplify/sim/synattr.vhd - vhdlp -s -work techmap ../../lib/techmap/gencomp/gencomp.vhd - vhdlp -s -work techmap ../../lib/techmap/gencomp/netcomp.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allclkgen.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allmem.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allpads.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/alltap.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkgen.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkmux.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkand.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddrphy.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram64.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram_2p.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram_dp.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncfifo.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/regfile_3p.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/tap.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/techbuf.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/nandtree.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iodpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/lvds_combo.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/odpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/toutpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/skew_outpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grspwc_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grfpw_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/mul_61x61.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ringosc.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/system_monitor.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grgates.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram128bw.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram128.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram156bw.vhd - vhdlp -s -work eth ../../lib/eth/comp/ethcomp.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_pkg.vhd - vhdlp -s -work eth ../../lib/eth/core/eth_rstgen.vhd - vhdlp -s -work eth ../../lib/eth/core/eth_ahb_mst.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_tx.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_rx.vhd - vhdlp -s -work eth ../../lib/eth/core/grethc.vhd - vhdlp -s -work eth ../../lib/eth/wrapper/greth_gen.vhd - vhdlp -s -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/arith.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/mul32.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/div32.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libiu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libcache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/iu3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/proc3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/misc.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/rstgen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/gptimer.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpio.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/logan.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/apbps2.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/apbvga.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/spictrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/wild.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - vhdlp -s -work gaisler ../../lib/gaisler/net/net.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/uart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/libdcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/apbuart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/ata_device.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sram16.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/phy.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/usbsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtag.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/greth.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/grethm.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - vhdlp -s -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - vhdlp -s -work esa ../../lib/esa/memoryctrl/mctrl.vhd - vhdlp -s -work fmf ../../lib/fmf/utilities/conversions.vhd - vhdlp -s -work fmf ../../lib/fmf/utilities/gen_utils.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/flash.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/s25fl064a.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/m25p80.vhd - vhdlp -s -work fmf ../../lib/fmf/fifo/idt7202.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/functions.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/core_burst.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/REG.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/components.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/package_utility.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/components.vhd - vhdlp -s -work micron ../../lib/micron/sdram/components.vhd - vhdlp -s -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - vhdlp -s -work micron ../../lib/micron/ddr/mt46v16m16.vhd - vhdlp -s -work sonata ../../lib/work/debug/debug.vhd - vhdlp -s -work sonata ../../lib/work/debug/grtestmod.vhd - vhdlp -s -work sonata ../../lib/work/debug/cpu_disas.vhd - vhdlp -s -work sonata config.vhd - vhdlp -s -work sonata ahbrom.vhd - vhdlp -s -work sonata leon3mp.vhd - vhdlp -s -work sonata testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.vsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.vsim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.vsim +++ /dev/null @@ -1,290 +0,0 @@ -vsim: - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/version.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/config.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdio.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/testlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/util/util.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/modgen/multlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/modgen/leaves.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/devices.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/defmst.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/apbctrl.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/ahbctrl.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba_tp.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_util.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - vcom -quiet -93 -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - vcom -quiet -93 -work synplify ../../lib/synplify/sim/synplify.vhd - vcom -quiet -93 -work synplify ../../lib/synplify/sim/synattr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/gencomp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/netcomp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allclkgen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allmem.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allpads.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/alltap.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkgen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkmux.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkand.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddrphy.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram64.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_2p.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_dp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncfifo.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/regfile_3p.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/tap.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/techbuf.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/nandtree.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iodpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/lvds_combo.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/odpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/toutpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/skew_outpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grfpw_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/mul_61x61.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ringosc.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/system_monitor.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grgates.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128bw.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram156bw.vhd - vcom -quiet -93 -work eth ../../lib/eth/comp/ethcomp.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_pkg.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/eth_rstgen.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/eth_ahb_mst.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_tx.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_rx.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/grethc.vhd - vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gen.vhd - vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/arith.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/mul32.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/div32.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libiu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libcache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/iu3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/proc3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/misc.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/rstgen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gptimer.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpio.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/logan.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbps2.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbvga.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/spictrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/net/net.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/uart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/libdcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/apbuart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - vlog -quiet -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ata_device.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram16.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/phy.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/usbsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtag.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/grethm.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/mctrl.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/utilities/conversions.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/utilities/gen_utils.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/flash.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/s25fl064a.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/m25p80.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/fifo/idt7202.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/functions.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/core_burst.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/REG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/components.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/package_utility.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/components.vhd - vlog -quiet -work micron ../../lib/micron/sdram/mobile_sdr.v - vcom -quiet -93 -work micron ../../lib/micron/sdram/components.vhd - vcom -quiet -93 -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - vlog -quiet -work micron ../../lib/micron/ddr/ddr2.v - vlog -quiet -work micron ../../lib/micron/ddr/mobile_ddr.v - vcom -quiet -93 -work micron ../../lib/micron/ddr/mt46v16m16.vhd - vcom -quiet -93 -work work ../../lib/work/debug/debug.vhd - vcom -quiet -93 -work work ../../lib/work/debug/grtestmod.vhd - vcom -quiet -93 -work work ../../lib/work/debug/cpu_disas.vhd - vcom -quiet -93 -work work config.vhd - vcom -quiet -93 -work work ahbrom.vhd - vcom -quiet -93 -work work leon3mp.vhd - vcom -quiet -93 -work work testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/modelsim.ini b/designs/leon3-APB_LCD-digilent-xc3s1600e/modelsim.ini deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/modelsim.ini +++ /dev/null @@ -1,227 +0,0 @@ -[Library] -grlib = modelsim/grlib -unisim = modelsim/unisim -dw02 = modelsim/dw02 -synplify = modelsim/synplify -techmap = modelsim/techmap -eth = modelsim/eth -gaisler = modelsim/gaisler -esa = modelsim/esa -fmf = modelsim/fmf -spansion = modelsim/spansion -gsi = modelsim/gsi -lpp = modelsim/lpp -cypress = modelsim/cypress -hynix = modelsim/hynix -micron = modelsim/micron -work = modelsim/work -std = $MODEL_TECH/../std -ieee = $MODEL_TECH/../ieee -vital2000 = $MODEL_TECH/../vital2000 -verilog = $MODEL_TECH/../verilog -arithmetic = $MODEL_TECH/../arithmetic -mgc_portable = $MODEL_TECH/../mgc_portable -std_developerskit = $MODEL_TECH/../std_developerskit -synopsys = $MODEL_TECH/../synopsys - -[vcom] -; Turn on VHDL-1993 as the default. Normally is off. -VHDL93 = 1 - -; Show source line containing error. Default is off. -Show_source = 1 - -; Turn off unbound-component warnings. Default is on. -Show_Warning1 = 0 - -; Turn off process-without-a-wait-statement warnings. Default is on. -; Show_Warning2 = 0 - -; Turn off null-range warnings. Default is on. -; Show_Warning3 = 0 - -; Turn off no-space-in-time-literal warnings. Default is on. -; Show_Warning4 = 0 - -; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. -Show_Warning5 = 0 - -; Turn off optimization for IEEE std_logic_1164 package. Default is on. -; Optimize_1164 = 0 - -; Turn on resolving of ambiguous function overloading in favor of the -; "explicit" function declaration (not the one automatically created by -; the compiler for each type declaration). Default is off. -Explicit = 1 - -; Turn off VITAL compliance checking. Default is checking on. -; NoVitalCheck = 1 - -; Ignore VITAL compliance checking errors. Default is to not ignore. -; IgnoreVitalErrors = 1 - -; Turn off VITAL compliance checking warnings. Default is to show warnings. -; Show_VitalChecksWarnings = false - -; Turn off acceleration of the VITAL packages. Default is to accelerate. -; NoVital = 1 - -; Turn off inclusion of debugging info within design units. Default is to include. -; NoDebug = 1 - -; Turn off "loading..." messages. Default is messages on. -Quiet = 1 - -; Turn on some limited synthesis rule compliance checking. Checks only: -; -- signals used (read) by a process must be in the sensitivity list -; CheckSynthesis = 1 - -[vlog] - -; Turn off inclusion of debugging info within design units. Default is to include. -; NoDebug = 1 - -; Turn off "loading..." messages. Default is messages on. -Quiet = 1 - -; Turn on Verilog hazard checking (order-dependent accessing of global vars). -; Default is off. -; Hazard = 1 - -; Turn on converting regular Verilog identifiers to uppercase. Allows case -; insensitivity for module names. Default is no conversion. -; UpCase = 1 - -[vsim] - -; vopt flow -; Set to turn on automatic optimization of a design. -; Default is off (pre-6.0 flow without vopt). -VoptFlow = 0 - -; Simulator resolution -; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. -Resolution = 1ps - -; User time unit for run commands -; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the -; unit specified for Resolution. For example, if Resolution is 100ps, -; then UserTimeUnit defaults to ps. -UserTimeUnit = ns - -; Default run length -RunLength = 100 - -; Maximum iterations that can be run without advancing simulation time -IterationLimit = 5000 - -; Directive to license manager: -; vhdl Immediately reserve a VHDL license -; vlog Immediately reserve a Verilog license -; plus Immediately reserve a VHDL and Verilog license -; nomgc Do not look for Mentor Graphics Licenses -; nomti Do not look for Model Technology Licenses -; noqueue Do not wait in the license queue when a license isn't available -; License = plus - -; Stop the simulator after an assertion message -; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal -BreakOnAssertion = 3 - -; Assertion Message Format -; %S - Severity Level -; %R - Report Message -; %T - Time of assertion -; %D - Delta -; %I - Instance or Region pathname (if available) -; %% - print '%' character -; AssertionFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" - -; Default radix for all windows and commands... -; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned -DefaultRadix = symbolic - -; VSIM Startup command -; Startup = do startup.do - -; File for saving command transcript -TranscriptFile = transcript - -; Specify whether paths in simulator commands should be described -; in VHDL or Verilog format. For VHDL, PathSeparator = / -; for Verilog, PathSeparator = . -PathSeparator = / - -; Disable assertion messages -; IgnoreNote = 1 -; IgnoreWarning = 1 -; IgnoreError = 1 -; IgnoreFailure = 1 - -; Default force kind. May be freeze, drive, or deposit -; or in other terms, fixed, wired or charged. -; DefaultForceKind = freeze - -; If zero, open files when elaborated -; else open files on first read or write -; DelayFileOpen = 0 - -; Control VHDL files opened for write -; 0 = Buffered, 1 = Unbuffered -UnbufferedOutput = 0 - -; This controls the number of characters of a signal name -; shown in the waveform window and the postscript plot. -; The default value or a value of zero tells VSIM to display -; the full name. -; WaveSignalNameWidth = 10 - -; Turn off warnings from the std_logic_arith, std_logic_unsigned -; and std_logic_signed packages. -; StdArithNoWarnings = 1 - -; Turn off warnings from the IEEE numeric_std and numeric_bit -; packages. -; NumericStdNoWarnings = 1 - -; Control the format of a generate statement label. Don't quote it. -; GenerateFormat = %s__%d - -; Specify whether checkpoint files should be compressed. -; The default is to be compressed. -; CheckpointCompressMode = 0 - -; List of dynamically loaded objects for Verilog PLI applications -; Veriuser = veriuser.sl - -[lmc] -; ModelSim's interface to Logic Modeling's SmartModel SWIFT software -libsm = $MODEL_TECH/libsm.sl -; ModelSim's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) -; libsm = $MODEL_TECH/libsm.dll -; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) -; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl -; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) -; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o -; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) -; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so -; Logic Modeling's SmartModel SWIFT software (Sun4 SunOS) -; do setenv LD_LIBRARY_PATH $LMC_HOME/lib/sun4SunOS.lib -; and run "vsim.swift". -; Logic Modeling's SmartModel SWIFT software (Windows NT) -; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll - -; ModelSim's interface to Logic Modeling's hardware modeler SFI software -libhm = $MODEL_TECH/libhm.sl -; ModelSim's interface to Logic Modeling's hardware modeler SFI software (Windows NT) -; libhm = $MODEL_TECH/libhm.dll -; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) -; libsfi = /lib/hp700/libsfi.sl -; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) -; libsfi = /lib/rs6000/libsfi.a -; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) -; libsfi = /lib/sun4.solaris/libsfi.so -; Logic Modeling's hardware modeler SFI software (Sun4 SunOS) -; libsfi = /lib/sun4.sunos/libsfi.so -; Logic Modeling's hardware modeler SFI software (Window NT) -; libsfi = /lib/pcnt/lm_sfi.dll diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/test.sch b/designs/leon3-APB_LCD-digilent-xc3s1600e/test.sch new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/test.sch @@ -0,0 +1,12 @@ + + + + + + + + + + + + \ No newline at end of file diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/tmpmake.ghdl b/designs/leon3-APB_LCD-digilent-xc3s1600e/tmpmake.ghdl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/tmpmake.ghdl +++ /dev/null @@ -1,303 +0,0 @@ -ghdl: - mkdir gnu - mkdir gnu/grlib - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/version.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/config.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdio.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/testlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/util/util.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc_disas.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/cpu_disas.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/multlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/leaves.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/devices.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/defmst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/apbctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/ahbctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba_tp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_util.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahbs.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - mkdir gnu/unisim - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - mkdir gnu/dw02 - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/dw02 --work=dw02 -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - mkdir gnu/synplify - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synplify.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synattr.vhd - mkdir gnu/techmap - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/gencomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/netcomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/memory_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/mul_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/memory_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/buffer_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/pads_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/tap_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/mul_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allclkgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allmem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allpads.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/alltap.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkmux.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkand.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_ireg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_oreg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddrphy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram64.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_2p.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_dp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncfifo.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/regfile_3p.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/tap.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/techbuf.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/nandtree.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iodpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/lvds_combo.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/odpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/toutpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/skew_outpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc2_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grlfpw_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grfpw_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/mul_61x61.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/cpu_disas_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ringosc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/system_monitor.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grgates.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128bw.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram156bw.vhd - mkdir gnu/eth - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/comp/ethcomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_rstgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_ahb_mst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_tx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_rx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/grethc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - mkdir gnu/gaisler - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/arith.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/mul32.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/div32.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/memctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/srctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuiface.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libmmu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libiu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libcache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libproc3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cachemem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulrue.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulru.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutw.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/iu3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/tbufmem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3x.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/proc3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3s.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3cg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/irqmp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3sh.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/misc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/rstgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gptimer.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbdpram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpio.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbstat.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/logan.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbps2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom_package.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbvga.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/svgactrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/spictrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cslv.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild2ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grsysmon.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gracectrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpreg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/net/net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/uart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/libdcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/apbuart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom_uart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/ahbuart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ata_device.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram16.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/phy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ahbrep.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/delay_wire.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/spi_flash.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/pwm_check.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/usbsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtag.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagtst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth_gbit.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/grethm.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrspa.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - mkdir gnu/esa - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/memoryctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/mctrl.vhd - mkdir gnu/fmf - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/conversions.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/gen_utils.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/flash.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/s25fl064a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/m25p80.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/fifo/idt7202.vhd - mkdir gnu/spansion - mkdir gnu/gsi - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/functions.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/core_burst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/g880e18bt.vhd - mkdir gnu/lpp - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Adder.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ALU.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MUX2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/REG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Shifter.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - mkdir gnu/cypress - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/components.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/package_utility.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1354b.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1380d.vhd - mkdir gnu/hynix - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/components.vhd - mkdir gnu/micron - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/components.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/ddr/mt46v16m16.vhd - mkdir gnu/work - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/debug.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/grtestmod.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/cpu_disas.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work config.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ahbrom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work leon3mp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml b/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml @@ -3,12 +3,12 @@ - +
- - - - + + + +
@@ -23,9 +23,9 @@ This means code written to parse this fi - - - + + + @@ -40,6 +40,7 @@ This means code written to parse this fi + @@ -48,7 +49,7 @@ This means code written to parse this fi - +
diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,25 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 09:21:03 10/19/2010 --- Design Name: --- Module Name: FRAME_CLK_GEN - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,12 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- Package File Template --- --- Purpose: This package defines supplemental types, subtypes, --- constants, and functions - - library IEEE; use IEEE.STD_LOGIC_1164.all; library lpp; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd @@ -1,10 +1,10 @@ - ------------------------------------------------------------------------------ +------------------------------------------------------------------------------ -- This file is a part of the LPP VHDL IP LIBRARY -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,25 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 10:09:57 10/13/2010 --- Design Name: --- Module Name: LCD_2x16_DRIVER - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- ---TDODO => Clean Enable pulse FSM library IEEE; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,25 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 08:32:21 10/19/2010 --- Design Name: --- Module Name: LCD_16x2_ENGINE - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,25 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 10:09:57 10/13/2010 --- Design Name: --- Module Name: LCD_2x16_DRIVER - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.all; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,25 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 08:52:25 10/18/2010 --- Design Name: --- Module Name: LCD_CLK_GENERATOR - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd @@ -16,25 +16,7 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 08:44:41 10/14/2010 --- Design Name: --- Module Name: Top_LCD - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- +------------------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,12 +16,11 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- - library ieee; use ieee.std_logic_1164.all; -library grlib; -use grlib.amba.all; -use grlib.stdlib.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; use grlib.devices.all; @@ -143,17 +142,17 @@ end component; component apb_lcd_ctrlr is - generic ( - pindex : integer := 0; - paddr : integer := 0; - pmask : integer := 16#fff#; - pirq : integer := 0; - abits : integer := 8); - port ( - rst : in std_ulogic; - clk : in std_ulogic; - apbi : in apb_slv_in_type; - apbo : out apb_slv_out_type; + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8); + port ( + rst : in std_ulogic; + clk : in std_ulogic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; LCD_data : out STD_LOGIC_VECTOR (7 downto 0); LCD_RS : out STD_LOGIC; LCD_RW : out STD_LOGIC; @@ -161,7 +160,7 @@ component apb_lcd_ctrlr is LCD_RET : out STD_LOGIC; LCD_CS1 : out STD_LOGIC; LCD_CS2 : out STD_LOGIC; - SF_CE0 : out std_logic + SF_CE0 : out std_logic ); end component; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,31 +16,12 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 08:44:41 10/14/2010 --- Design Name: --- Module Name: Top_LCD - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; -library grlib; -use grlib.amba.all; -use grlib.stdlib.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; use grlib.devices.all; library lpp; use lpp.amba_lcd_16x2_ctrlr.all; @@ -48,17 +29,17 @@ use lpp.LCD_16x2_CFG.all; use lpp.lpp_amba.all; entity apb_lcd_ctrlr is - generic ( - pindex : integer := 0; - paddr : integer := 0; - pmask : integer := 16#fff#; - pirq : integer := 0; - abits : integer := 8); - port ( - rst : in std_ulogic; - clk : in std_ulogic; - apbi : in apb_slv_in_type; - apbo : out apb_slv_out_type; + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8); + port ( + rst : in std_ulogic; + clk : in std_ulogic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; LCD_data : out STD_LOGIC_VECTOR (7 downto 0); LCD_RS : out STD_LOGIC; LCD_RW : out STD_LOGIC; @@ -66,7 +47,7 @@ entity apb_lcd_ctrlr is LCD_RET : out STD_LOGIC; LCD_CS1 : out STD_LOGIC; LCD_CS2 : out STD_LOGIC; - SF_CE0 : out std_logic + SF_CE0 : out std_logic ); end apb_lcd_ctrlr; @@ -80,27 +61,27 @@ signal LCD_CTRL : LCD_DRVR_CTRL_BUSS; -constant REVISION : integer := 1; - -constant pconfig : apb_config_type := ( - 0 => ahb_device_reg (VENDOR_LPP, LPP_LCD_CTRLR, 0, REVISION, 0), - 1 => apb_iobar(paddr, pmask)); - - +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, LPP_LCD_CTRLR, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); + + --type FRM_Buff_El is std_logic_vector(31 downto 0); type FRM_Buff_Reg is array(lcd_space_size-1 downto 0) of std_logic_vector(31 downto 0); - -type LCD_ctrlr_Reg is record - CTRL_Reg : std_logic_vector(31 downto 0); - FRAME_BUFF : FRM_Buff_Reg; -end record; - -signal r : LCD_ctrlr_Reg; + +type LCD_ctrlr_Reg is record + CTRL_Reg : std_logic_vector(31 downto 0); + FRAME_BUFF : FRM_Buff_Reg; +end record; -signal Rdata : std_logic_vector(31 downto 0); - -begin +signal r : LCD_ctrlr_Reg; + +signal Rdata : std_logic_vector(31 downto 0); + +begin LCD_data <= LCD_CTRL.LCD_DATA; LCD_RS <= LCD_CTRL.LCD_RS; @@ -121,54 +102,54 @@ r.CTRL_Reg(10) <= Ready; Driver0 : LCD_16x2_ENGINE generic map(50000) - Port map(clk,rst,FramBUFF,CMD,Exec,Ready,LCD_CTRL); + Port map(clk,rst,FramBUFF,CMD,Exec,Ready,LCD_CTRL); FRM_BF : for i in 0 to lcd_space_size-1 generate FramBUFF(i) <= r.FRAME_BUFF(i)(7 downto 0); -end generate; +end generate; + - -process(rst,clk) -begin - if rst = '0' then +process(rst,clk) +begin + if rst = '0' then r.CTRL_Reg(9 downto 0) <= (others => '0'); - Exec <= '0'; - elsif clk'event and clk = '1' then - ---APB Write OP - if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then - case apbi.paddr(7 downto 2) is - when "000000" => + Exec <= '0'; + elsif clk'event and clk = '1' then + +--APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(7 downto 2) is + when "000000" => r.CTRL_Reg(9 downto 0) <= apbi.pwdata(9 downto 0); - Exec <= '1'; - when others => + Exec <= '1'; + when others => writeC: for i in 1 to lcd_space_size loop if TO_INTEGER(unsigned(apbi.paddr(abits-1 downto 2))) =i then r.FRAME_BUFF(i-1) <= apbi.pwdata; end if; Exec <= '0'; - end loop; + end loop; end case; else - Exec <= '0'; - end if; - ---APB READ OP - if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then - case apbi.paddr(7 downto 2) is - when "000000" => - Rdata <= r.CTRL_Reg; - when others => + Exec <= '0'; + end if; + +--APB READ OP + if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then + case apbi.paddr(7 downto 2) is + when "000000" => + Rdata <= r.CTRL_Reg; + when others => readC: for i in 1 to lcd_space_size loop if TO_INTEGER(unsigned(apbi.paddr(abits-1 downto 2))) =i then Rdata(7 downto 0) <= r.FRAME_BUFF(i-1)(7 downto 0); end if; - end loop; - end case; - end if; - - end if; - apbo.pconfig <= pconfig; + end loop; + end case; + end if; + + end if; + apbo.pconfig <= pconfig; end process; apbo.prdata <= Rdata when apbi.penable = '1' ; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt b/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt --- a/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt +++ b/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt @@ -1,3 +1,5 @@ +amba_lcd_16x2_ctrlr.vhd +apb_lcd_ctrlr.vhd FRAME_CLK.vhd LCD_16x2_CFG.vhd LCD_16x2_DRVR.vhd @@ -5,5 +7,3 @@ LCD_16x2_ENGINE.vhd LCD_2x16_DRIVER.vhd LCD_CLK_GENERATOR.vhd Top_LCD.vhd -amba_lcd_16x2_ctrlr.vhd -apb_lcd_ctrlr.vhd diff --git a/lib/lpp/dirs.txt b/lib/lpp/dirs.txt --- a/lib/lpp/dirs.txt +++ b/lib/lpp/dirs.txt @@ -1,6 +1,7 @@ -./amba_lcd_16x2_ctrlr +./general_purpose +./lpp_ad_Conv +./lpp_CNA_amba +./lpp_uart +./lpp_amba ./dsp/iir_filter -./general_purpose -./lpp_amba -./lpp_cna -./lpp_uart +./amba_lcd_16x2_ctrlr diff --git a/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd b/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd --- a/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd +++ b/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- APB_IIR_CEL.vhd library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/lib/lpp/dsp/iir_filter/FILTER.vhd b/lib/lpp/dsp/iir_filter/FILTER.vhd --- a/lib/lpp/dsp/iir_filter/FILTER.vhd +++ b/lib/lpp/dsp/iir_filter/FILTER.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,87 +16,86 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- FILTER.vhd -library IEEE; -use IEEE.numeric_std.all; -use IEEE.std_logic_1164.all; -library lpp; -use lpp.iir_filter.all; -use lpp.FILTERcfg.all; -use lpp.general_purpose.all; ---Maximum filter speed(smps/s) = Fclk/(Nchanels*Ncoefs) ---exemple 26MHz sys clock and 6 chanels @ 110ksmps/s ---Ncoefs = 26 000 000 /(6 * 110 000) = 39 coefs - -entity FILTER is -port( - - reset : in std_logic; - clk : in std_logic; - sample_clk : in std_logic; - Sample_IN : in std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0); - Sample_OUT : out std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0) -); -end entity; - - - - - -architecture ar_FILTER of FILTER is - - - - -signal ALU_ctrl : std_logic_vector(3 downto 0); -signal Sample : std_logic_vector(Smpl_SZ-1 downto 0); -signal Coef : std_logic_vector(Coef_SZ-1 downto 0); -signal ALU_OUT : std_logic_vector(Smpl_SZ+Coef_SZ-1 downto 0); - -begin - ---============================================================== ---=========================A L U================================ ---============================================================== -ALU1 : entity ALU -generic map( - Arith_en => 1, - Logic_en => 0, - Input_SZ_1 => Smpl_SZ, - Input_SZ_2 => Coef_SZ - -) -port map( - clk => clk, - reset => reset, - ctrl => ALU_ctrl, - OP1 => Sample, - OP2 => Coef, - RES => ALU_OUT -); ---============================================================== - ---============================================================== ---===============F I L T E R C O N T R O L E R================ ---============================================================== -filterctrlr1 : FilterCTRLR -port map( - reset => reset, - clk => clk, - sample_clk => sample_clk, - ALU_Ctrl => ALU_ctrl, - sample_in => sample_Tbl, - coef => Coef, - sample => Sample -); ---============================================================== - -chanelCut : for i in 0 to ChanelsCNT-1 generate - sample_Tbl(i) <= Sample_IN((i+1)*Smpl_SZ-1 downto i*Smpl_SZ); -end generate; - - - - -end ar_FILTER; - +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.iir_filter.all; +use lpp.FILTERcfg.all; +use lpp.general_purpose.all; +--Maximum filter speed(smps/s) = Fclk/(Nchanels*Ncoefs) +--exemple 26MHz sys clock and 6 chanels @ 110ksmps/s +--Ncoefs = 26 000 000 /(6 * 110 000) = 39 coefs + +entity FILTER is +port( + + reset : in std_logic; + clk : in std_logic; + sample_clk : in std_logic; + Sample_IN : in std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0); + Sample_OUT : out std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0) +); +end entity; + + + + + +architecture ar_FILTER of FILTER is + + + + +signal ALU_ctrl : std_logic_vector(3 downto 0); +signal Sample : std_logic_vector(Smpl_SZ-1 downto 0); +signal Coef : std_logic_vector(Coef_SZ-1 downto 0); +signal ALU_OUT : std_logic_vector(Smpl_SZ+Coef_SZ-1 downto 0); + +begin + +--============================================================== +--=========================A L U================================ +--============================================================== +ALU1 : entity ALU +generic map( + Arith_en => 1, + Logic_en => 0, + Input_SZ_1 => Smpl_SZ, + Input_SZ_2 => Coef_SZ + +) +port map( + clk => clk, + reset => reset, + ctrl => ALU_ctrl, + OP1 => Sample, + OP2 => Coef, + RES => ALU_OUT +); +--============================================================== + +--============================================================== +--===============F I L T E R C O N T R O L E R================ +--============================================================== +filterctrlr1 : FilterCTRLR +port map( + reset => reset, + clk => clk, + sample_clk => sample_clk, + ALU_Ctrl => ALU_ctrl, + sample_in => sample_Tbl, + coef => Coef, + sample => Sample +); +--============================================================== + +chanelCut : for i in 0 to ChanelsCNT-1 generate + sample_Tbl(i) <= Sample_IN((i+1)*Smpl_SZ-1 downto i*Smpl_SZ); +end generate; + + + + +end ar_FILTER; + diff --git a/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd b/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd --- a/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd +++ b/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- FILTER_RAM_CTRLR.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/FILTERcfg.vhd b/lib/lpp/dsp/iir_filter/FILTERcfg.vhd --- a/lib/lpp/dsp/iir_filter/FILTERcfg.vhd +++ b/lib/lpp/dsp/iir_filter/FILTERcfg.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- FILTERcfg.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd b/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd --- a/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd +++ b/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- FilterCTRLR.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/GPL_HEADER b/lib/lpp/dsp/iir_filter/GPL_HEADER deleted file mode 100644 --- a/lib/lpp/dsp/iir_filter/GPL_HEADER +++ /dev/null @@ -1,18 +0,0 @@ ------------------------------------------------------------------------------- --- This file is a part of the LPP VHDL IP LIBRARY --- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------- diff --git a/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd b/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd --- a/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd +++ b/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,10 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- IIR_CEL_CTRLR.vhd +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd b/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd --- a/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd +++ b/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,75 +16,73 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- IIR_CEL_FILTER.vhd - -library IEEE; -use IEEE.numeric_std.all; -use IEEE.std_logic_1164.all; -library lpp; -use lpp.iir_filter.all; -use lpp.FILTERcfg.all; -use lpp.general_purpose.all; - ---TODO améliorer la gestion de la RAM et de la flexibilité du filtre - -entity IIR_CEL_FILTER is -generic(Sample_SZ : integer := 16); -port( - reset : in std_logic; - clk : in std_logic; - sample_clk : in std_logic; - regs_in : in in_IIR_CEL_reg; - regs_out : in out_IIR_CEL_reg; - sample_in : in samplT; - sample_out : out samplT - -); -end IIR_CEL_FILTER; - - - - -architecture ar_IIR_CEL_FILTER of IIR_CEL_FILTER is - -signal virg_pos : integer; -begin - -virg_pos <= to_integer(unsigned(regs_in.virgPos)); - - -CTRLR : IIR_CEL_CTRLR -generic map (Sample_SZ => Sample_SZ) -port map( - reset => reset, - clk => clk, - sample_clk => sample_clk, - sample_in => sample_in, - sample_out => sample_out, - virg_pos => virg_pos, - coefs => regs_in.coefsTB -); - - - - - -end ar_IIR_CEL_FILTER; - - - - - - - - - - - - - - - - - - +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.iir_filter.all; +use lpp.FILTERcfg.all; +use lpp.general_purpose.all; + +--TODO améliorer la gestion de la RAM et de la flexibilité du filtre + +entity IIR_CEL_FILTER is +generic(Sample_SZ : integer := 16); +port( + reset : in std_logic; + clk : in std_logic; + sample_clk : in std_logic; + regs_in : in in_IIR_CEL_reg; + regs_out : in out_IIR_CEL_reg; + sample_in : in samplT; + sample_out : out samplT + +); +end IIR_CEL_FILTER; + + + + +architecture ar_IIR_CEL_FILTER of IIR_CEL_FILTER is + +signal virg_pos : integer; +begin + +virg_pos <= to_integer(unsigned(regs_in.virgPos)); + + +CTRLR : IIR_CEL_CTRLR +generic map (Sample_SZ => Sample_SZ) +port map( + reset => reset, + clk => clk, + sample_clk => sample_clk, + sample_in => sample_in, + sample_out => sample_out, + virg_pos => virg_pos, + coefs => regs_in.coefsTB +); + + + + + +end ar_IIR_CEL_FILTER; + + + + + + + + + + + + + + + + + + diff --git a/lib/lpp/dsp/iir_filter/RAM.vhd b/lib/lpp/dsp/iir_filter/RAM.vhd --- a/lib/lpp/dsp/iir_filter/RAM.vhd +++ b/lib/lpp/dsp/iir_filter/RAM.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- RAM.vhd library ieee; use ieee.std_logic_1164.all; use IEEE.numeric_std.all; diff --git a/lib/lpp/dsp/iir_filter/RAM_CEL.vhd b/lib/lpp/dsp/iir_filter/RAM_CEL.vhd --- a/lib/lpp/dsp/iir_filter/RAM_CEL.vhd +++ b/lib/lpp/dsp/iir_filter/RAM_CEL.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- RAM_CEL.vhd library ieee; use ieee.std_logic_1164.all; use IEEE.numeric_std.all; diff --git a/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd b/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd --- a/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd +++ b/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- RAM_CTRLR2.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd b/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd --- a/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd +++ b/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,99 +16,98 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- TestbenshMAC.vhd library IEEE; use IEEE.numeric_std.all; -use IEEE.std_logic_1164.all; - - - -entity TestbenshMAC is -end TestbenshMAC; - - - - -architecture ar_TestbenshMAC of TestbenshMAC is - - - -constant OP1sz : integer := 16; -constant OP2sz : integer := 12; ---IDLE =00 MAC =01 MULT =10 ADD =11 -constant IDLE : std_logic_vector(1 downto 0) := "00"; -constant MAC : std_logic_vector(1 downto 0) := "01"; -constant MULT : std_logic_vector(1 downto 0) := "10"; -constant ADD : std_logic_vector(1 downto 0) := "11"; - -signal clk : std_logic:='0'; -signal reset : std_logic:='0'; -signal clrMAC : std_logic:='0'; -signal MAC_MUL_ADD : std_logic_vector(1 downto 0):=IDLE; -signal Operand1 : std_logic_vector(OP1sz-1 downto 0):=(others => '0'); -signal Operand2 : std_logic_vector(OP2sz-1 downto 0):=(others => '0'); -signal Resultat : std_logic_vector(OP1sz+OP2sz-1 downto 0); - - - - -begin - - -MAC1 : entity LPP_IIR_FILTER.MAC -generic map( - Input_SZ_A => OP1sz, - Input_SZ_B => OP2sz - -) -port map( - clk => clk, - reset => reset, - clr_MAC => clrMAC, - MAC_MUL_ADD => MAC_MUL_ADD, - OP1 => Operand1, - OP2 => Operand2, - RES => Resultat -); - -clk <= not clk after 25 ns; - -process -begin -wait for 40 ns; -reset <= '1'; -wait for 11 ns; -Operand1 <= X"0001"; -Operand2 <= X"001"; -MAC_MUL_ADD <= ADD; -wait for 50 ns; -Operand1 <= X"0001"; -Operand2 <= X"100"; -wait for 50 ns; -Operand1 <= X"0001"; -Operand2 <= X"001"; -MAC_MUL_ADD <= MULT; -wait for 50 ns; -Operand1 <= X"0002"; -Operand2 <= X"002"; -wait for 50 ns; -clrMAC <= '1'; -wait for 50 ns; -clrMAC <= '0'; -Operand1 <= X"0001"; -Operand2 <= X"003"; -MAC_MUL_ADD <= MAC; -wait; -end process; -end ar_TestbenshMAC; - - - - - - - - - - - +use IEEE.std_logic_1164.all; + + + +entity TestbenshMAC is +end TestbenshMAC; + + + + +architecture ar_TestbenshMAC of TestbenshMAC is + + + +constant OP1sz : integer := 16; +constant OP2sz : integer := 12; +--IDLE =00 MAC =01 MULT =10 ADD =11 +constant IDLE : std_logic_vector(1 downto 0) := "00"; +constant MAC : std_logic_vector(1 downto 0) := "01"; +constant MULT : std_logic_vector(1 downto 0) := "10"; +constant ADD : std_logic_vector(1 downto 0) := "11"; + +signal clk : std_logic:='0'; +signal reset : std_logic:='0'; +signal clrMAC : std_logic:='0'; +signal MAC_MUL_ADD : std_logic_vector(1 downto 0):=IDLE; +signal Operand1 : std_logic_vector(OP1sz-1 downto 0):=(others => '0'); +signal Operand2 : std_logic_vector(OP2sz-1 downto 0):=(others => '0'); +signal Resultat : std_logic_vector(OP1sz+OP2sz-1 downto 0); + + + + +begin + + +MAC1 : entity LPP_IIR_FILTER.MAC +generic map( + Input_SZ_A => OP1sz, + Input_SZ_B => OP2sz + +) +port map( + clk => clk, + reset => reset, + clr_MAC => clrMAC, + MAC_MUL_ADD => MAC_MUL_ADD, + OP1 => Operand1, + OP2 => Operand2, + RES => Resultat +); + +clk <= not clk after 25 ns; + +process +begin +wait for 40 ns; +reset <= '1'; +wait for 11 ns; +Operand1 <= X"0001"; +Operand2 <= X"001"; +MAC_MUL_ADD <= ADD; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"100"; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"001"; +MAC_MUL_ADD <= MULT; +wait for 50 ns; +Operand1 <= X"0002"; +Operand2 <= X"002"; +wait for 50 ns; +clrMAC <= '1'; +wait for 50 ns; +clrMAC <= '0'; +Operand1 <= X"0001"; +Operand2 <= X"003"; +MAC_MUL_ADD <= MAC; +wait; +end process; +end ar_TestbenshMAC; + + + + + + + + + + + diff --git a/lib/lpp/dsp/iir_filter/Top_Filtre_IIR.vhd b/lib/lpp/dsp/iir_filter/Top_Filtre_IIR.vhd --- a/lib/lpp/dsp/iir_filter/Top_Filtre_IIR.vhd +++ b/lib/lpp/dsp/iir_filter/Top_Filtre_IIR.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,4 +16,3 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- Top_Filtre_IIR.vhd \ No newline at end of file diff --git a/lib/lpp/dsp/iir_filter/iir_filter.vhd b/lib/lpp/dsp/iir_filter/iir_filter.vhd --- a/lib/lpp/dsp/iir_filter/iir_filter.vhd +++ b/lib/lpp/dsp/iir_filter/iir_filter.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,6 +16,7 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; diff --git a/lib/lpp/dsp/iir_filter/vhdlsyn.txt b/lib/lpp/dsp/iir_filter/vhdlsyn.txt --- a/lib/lpp/dsp/iir_filter/vhdlsyn.txt +++ b/lib/lpp/dsp/iir_filter/vhdlsyn.txt @@ -1,12 +1,12 @@ APB_IIR_CEL.vhd -FILTER.vhd -FILTER_RAM_CTRLR.vhd FILTERcfg.vhd FilterCTRLR.vhd +FILTER_RAM_CTRLR.vhd +FILTER.vhd IIR_CEL_CTRLR.vhd IIR_CEL_FILTER.vhd -RAM.vhd +iir_filter.vhd RAM_CEL.vhd RAM_CTRLR2.vhd +RAM.vhd Top_Filtre_IIR.vhd -iir_filter.vhd diff --git a/lib/lpp/general_purpose/ADDRcntr.vhd b/lib/lpp/general_purpose/ADDRcntr.vhd --- a/lib/lpp/general_purpose/ADDRcntr.vhd +++ b/lib/lpp/general_purpose/ADDRcntr.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- ADDRcntr.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/ALU.vhd b/lib/lpp/general_purpose/ALU.vhd --- a/lib/lpp/general_purpose/ALU.vhd +++ b/lib/lpp/general_purpose/ALU.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- ALU.vhd +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/Adder.vhd b/lib/lpp/general_purpose/Adder.vhd --- a/lib/lpp/general_purpose/Adder.vhd +++ b/lib/lpp/general_purpose/Adder.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- Adder.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/Clk_divider.vhd b/lib/lpp/general_purpose/Clk_divider.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/Clk_divider.vhd @@ -0,0 +1,64 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + + +entity Clk_divider is + generic(OSC_freqHz : integer := 50000000; + TargetFreq_Hz : integer := 50000); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + clk_divided : out STD_LOGIC); +end Clk_divider; + +architecture ar_Clk_divider of Clk_divider is + +Constant clk_TRIGER : integer := (OSC_freqHz/(2*TargetFreq_Hz))+1; + + +signal cpt1 : integer; + +signal clk_int : std_logic := '0'; + + +begin + +clk_divided <= clk_int; + + +process(reset,clk) +begin + if reset = '0' then + cpt1 <= 0; + clk_int <= '0'; + elsif clk'event and clk = '1' then + if cpt1 = clk_TRIGER then + clk_int <= not clk_int; + cpt1 <= 0; + else + cpt1 <= cpt1 + 1; + end if; + end if; +end process; + + +end ar_Clk_divider; + + diff --git a/lib/lpp/general_purpose/MAC.vhd b/lib/lpp/general_purpose/MAC.vhd --- a/lib/lpp/general_purpose/MAC.vhd +++ b/lib/lpp/general_purpose/MAC.vhd @@ -3,20 +3,6 @@ -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS -- -- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------- --- MAC.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/MAC_CONTROLER.vhd b/lib/lpp/general_purpose/MAC_CONTROLER.vhd --- a/lib/lpp/general_purpose/MAC_CONTROLER.vhd +++ b/lib/lpp/general_purpose/MAC_CONTROLER.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,7 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- MAC_CONTROLER.vhd +------------------------------------------------------------------------------ library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/MAC_MUX.vhd b/lib/lpp/general_purpose/MAC_MUX.vhd --- a/lib/lpp/general_purpose/MAC_MUX.vhd +++ b/lib/lpp/general_purpose/MAC_MUX.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- MAC_MUX.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/MAC_MUX2.vhd b/lib/lpp/general_purpose/MAC_MUX2.vhd --- a/lib/lpp/general_purpose/MAC_MUX2.vhd +++ b/lib/lpp/general_purpose/MAC_MUX2.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- MAC_MUX2.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/MAC_REG.vhd b/lib/lpp/general_purpose/MAC_REG.vhd --- a/lib/lpp/general_purpose/MAC_REG.vhd +++ b/lib/lpp/general_purpose/MAC_REG.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- MAC_REG.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/MUX2.vhd b/lib/lpp/general_purpose/MUX2.vhd --- a/lib/lpp/general_purpose/MUX2.vhd +++ b/lib/lpp/general_purpose/MUX2.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- MUX2.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/Multiplier.vhd b/lib/lpp/general_purpose/Multiplier.vhd --- a/lib/lpp/general_purpose/Multiplier.vhd +++ b/lib/lpp/general_purpose/Multiplier.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- Multiplier.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/REG.vhd b/lib/lpp/general_purpose/REG.vhd --- a/lib/lpp/general_purpose/REG.vhd +++ b/lib/lpp/general_purpose/REG.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- REG.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/Shifter.vhd b/lib/lpp/general_purpose/Shifter.vhd --- a/lib/lpp/general_purpose/Shifter.vhd +++ b/lib/lpp/general_purpose/Shifter.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- Shifter.vhd library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/TestbenshALU.vhd b/lib/lpp/general_purpose/TestbenshALU.vhd --- a/lib/lpp/general_purpose/TestbenshALU.vhd +++ b/lib/lpp/general_purpose/TestbenshALU.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,121 +16,120 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- TestbenshALU.vhd library IEEE; use IEEE.numeric_std.all; -use IEEE.std_logic_1164.all; - - - -entity TestbenshALU is -end TestbenshALU; - - - - -architecture ar_TestbenshALU of TestbenshALU is - - - -constant OP1sz : integer := 16; -constant OP2sz : integer := 12; ---IDLE =00 MAC =01 MULT =10 ADD =11 -constant IDLE : std_logic_vector(3 downto 0) := "0000"; -constant MAC : std_logic_vector(3 downto 0) := "0001"; -constant MULT : std_logic_vector(3 downto 0) := "0010"; -constant ADD : std_logic_vector(3 downto 0) := "0011"; -constant clr_mac : std_logic_vector(3 downto 0) := "0100"; - -signal clk : std_logic:='0'; -signal reset : std_logic:='0'; -signal ctrl : std_logic_vector(3 downto 0):=IDLE; -signal Operand1 : std_logic_vector(OP1sz-1 downto 0):=(others => '0'); -signal Operand2 : std_logic_vector(OP2sz-1 downto 0):=(others => '0'); -signal Resultat : std_logic_vector(OP1sz+OP2sz-1 downto 0); - - - - -begin - -ALU1 : entity LPP_IIR_FILTER.ALU -generic map( - Arith_en => 1, - Logic_en => 0, - Input_SZ_1 => OP1sz, - Input_SZ_2 => OP2sz - -) -port map( - clk => clk, - reset => reset, - ctrl => ctrl, - OP1 => Operand1, - OP2 => Operand2, - RES => Resultat -); - - - - -clk <= not clk after 25 ns; - -process -begin -wait for 40 ns; -reset <= '1'; -wait for 11 ns; -Operand1 <= X"0001"; -Operand2 <= X"001"; -ctrl <= ADD; -wait for 50 ns; -Operand1 <= X"0001"; -Operand2 <= X"100"; -wait for 50 ns; -Operand1 <= X"0001"; -Operand2 <= X"001"; -ctrl <= MULT; -wait for 50 ns; -Operand1 <= X"0002"; -Operand2 <= X"002"; -wait for 50 ns; -ctrl <= clr_mac; -wait for 50 ns; -Operand1 <= X"0001"; -Operand2 <= X"003"; -ctrl <= MAC; -wait for 50 ns; -Operand1 <= X"0001"; -Operand2 <= X"001"; -wait for 50 ns; -Operand1 <= X"0011"; -Operand2 <= X"003"; -wait for 50 ns; -Operand1 <= X"1001"; -Operand2 <= X"003"; -wait for 50 ns; -Operand1 <= X"0001"; -Operand2 <= X"000"; -wait for 50 ns; -Operand1 <= X"0001"; -Operand2 <= X"003"; -wait for 50 ns; -Operand1 <= X"0101"; -Operand2 <= X"053"; -wait for 50 ns; -ctrl <= clr_mac; -wait; -end process; -end ar_TestbenshALU; - - - - - - - - - - - +use IEEE.std_logic_1164.all; + + + +entity TestbenshALU is +end TestbenshALU; + + + + +architecture ar_TestbenshALU of TestbenshALU is + + + +constant OP1sz : integer := 16; +constant OP2sz : integer := 12; +--IDLE =00 MAC =01 MULT =10 ADD =11 +constant IDLE : std_logic_vector(3 downto 0) := "0000"; +constant MAC : std_logic_vector(3 downto 0) := "0001"; +constant MULT : std_logic_vector(3 downto 0) := "0010"; +constant ADD : std_logic_vector(3 downto 0) := "0011"; +constant clr_mac : std_logic_vector(3 downto 0) := "0100"; + +signal clk : std_logic:='0'; +signal reset : std_logic:='0'; +signal ctrl : std_logic_vector(3 downto 0):=IDLE; +signal Operand1 : std_logic_vector(OP1sz-1 downto 0):=(others => '0'); +signal Operand2 : std_logic_vector(OP2sz-1 downto 0):=(others => '0'); +signal Resultat : std_logic_vector(OP1sz+OP2sz-1 downto 0); + + + + +begin + +ALU1 : entity LPP_IIR_FILTER.ALU +generic map( + Arith_en => 1, + Logic_en => 0, + Input_SZ_1 => OP1sz, + Input_SZ_2 => OP2sz + +) +port map( + clk => clk, + reset => reset, + ctrl => ctrl, + OP1 => Operand1, + OP2 => Operand2, + RES => Resultat +); + + + + +clk <= not clk after 25 ns; + +process +begin +wait for 40 ns; +reset <= '1'; +wait for 11 ns; +Operand1 <= X"0001"; +Operand2 <= X"001"; +ctrl <= ADD; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"100"; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"001"; +ctrl <= MULT; +wait for 50 ns; +Operand1 <= X"0002"; +Operand2 <= X"002"; +wait for 50 ns; +ctrl <= clr_mac; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"003"; +ctrl <= MAC; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"001"; +wait for 50 ns; +Operand1 <= X"0011"; +Operand2 <= X"003"; +wait for 50 ns; +Operand1 <= X"1001"; +Operand2 <= X"003"; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"000"; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"003"; +wait for 50 ns; +Operand1 <= X"0101"; +Operand2 <= X"053"; +wait for 50 ns; +ctrl <= clr_mac; +wait; +end process; +end ar_TestbenshALU; + + + + + + + + + + + diff --git a/lib/lpp/general_purpose/general_purpose.vhd b/lib/lpp/general_purpose/general_purpose.vhd --- a/lib/lpp/general_purpose/general_purpose.vhd +++ b/lib/lpp/general_purpose/general_purpose.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- - library ieee; use ieee.std_logic_1164.all; @@ -24,6 +23,20 @@ use ieee.std_logic_1164.all; package general_purpose is + + +component Clk_divider is + generic(OSC_freqHz : integer := 50000000; + TargetFreq_Hz : integer := 50000); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + clk_divided : out STD_LOGIC); +end component; + + + + + component Adder is generic( Input_SZ_A : integer := 16; diff --git a/lib/lpp/general_purpose/vhdlsyn.txt b/lib/lpp/general_purpose/vhdlsyn.txt --- a/lib/lpp/general_purpose/vhdlsyn.txt +++ b/lib/lpp/general_purpose/vhdlsyn.txt @@ -1,13 +1,14 @@ +Adder.vhd ADDRcntr.vhd ALU.vhd -Adder.vhd -MAC.vhd +Clk_divider.vhd +general_purpose.vhd MAC_CONTROLER.vhd +MAC_MUX2.vhd MAC_MUX.vhd -MAC_MUX2.vhd MAC_REG.vhd +MAC.vhd +Multiplier.vhd MUX2.vhd -Multiplier.vhd REG.vhd Shifter.vhd -general_purpose.vhd diff --git a/lib/lpp/lpp_CNA_amba/APB_CNA.vhd b/lib/lpp/lpp_CNA_amba/APB_CNA.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_CNA_amba/APB_CNA.vhd @@ -0,0 +1,118 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +library ieee; +use ieee.std_logic_1164.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; +library lpp; +use lpp.lpp_CNA_amba.all; + + +entity APB_CNA is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8); + port ( + clk : in std_logic; + rst : in std_logic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + SYNC : out std_logic; + SCLK : out std_logic; + DATA : out std_logic + ); +end APB_CNA; + + +architecture ar_APB_CNA of APB_CNA is + +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, LPP_CNA, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); + +signal flag_nw : std_logic; +signal bp : std_logic; +signal Rz : std_logic; +signal flag_sd : std_logic; +signal Rdata : std_logic_vector(31 downto 0); + +type CNA_ctrlr_Reg is record + CNA_Cfg : std_logic_vector(3 downto 0); + CNA_Data : std_logic_vector(15 downto 0); +end record; + +signal Rec : CNA_ctrlr_Reg; +--signal ConfigREG : std_logic_vector(3 downto 0); +--signal DataREG : std_logic_vector(15 downto 0); + +begin + +bp <= Rec.CNA_Cfg(0); +flag_nw <= Rec.CNA_Cfg(1); +Rec.CNA_Cfg(2) <= flag_sd; +Rec.CNA_Cfg(3) <= Rz; + + + + CONVERTER : entity Work.CNA_TabloC + port map(clk,rst,flag_nw,bp,Rec.CNA_Data,SYNC,SCLK,Rz,flag_sd,Data); + + + + process(rst,clk) + begin + if(rst='0')then + Rec.CNA_Data <= (others => '0'); + + elsif(clk'event and clk='1')then + + + --APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + Rec.CNA_Cfg(1 downto 0) <= apbi.pwdata(1 downto 0); + when "000001" => + Rec.CNA_Data <= apbi.pwdata(15 downto 0); + when others => + null; + end case; + end if; + + --APB READ OP + if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + Rdata(31 downto 4) <= X"ABCDEF5"; + Rdata(3 downto 0) <= Rec.CNA_Cfg; + when "000001" => + Rdata(31 downto 16) <= X"FD18"; + Rdata(15 downto 0) <= Rec.CNA_Data; + when others => + Rdata <= (others => '0'); + end case; + end if; + + end if; + apbo.pconfig <= pconfig; + end process; + +apbo.prdata <= Rdata when apbi.penable = '1'; +end ar_APB_CNA; diff --git a/lib/lpp/lpp_CNA_amba/CNA_TabloC.vhd b/lib/lpp/lpp_CNA_amba/CNA_TabloC.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_CNA_amba/CNA_TabloC.vhd @@ -0,0 +1,88 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; +use work.Convertisseur_config.all; + +entity CNA_TabloC is + port( + clock : in std_logic; + rst : in std_logic; + flag_nw : in std_logic; + bp : in std_logic; + Data_C : in std_logic_vector(15 downto 0); + SYNC : out std_logic; + SCLK : out std_logic; + Rz : out std_logic; + flag_sd : out std_logic; + Data : out std_logic + ); +end CNA_TabloC; + + +architecture ar_CNA_TabloC of CNA_TabloC is + +component CLKINT +port( A : in std_logic := 'U'; + Y : out std_logic); +end component; + +signal clk : std_logic; +--signal reset : std_logic; + +signal raz : std_logic; +signal sys_clk : std_logic; +signal Data_int : std_logic_vector(15 downto 0); +signal OKAI_send : std_logic; + +begin + + +CLKINT_0 : CLKINT + port map(A => clock, Y => clk); + +CLKINT_1 : CLKINT + port map(A => rst, Y => raz); + + +SystemCLK : entity work.Clock_Serie + generic map (nb_serial) + port map (clk,raz,sys_clk); + + +Signal_sync : entity work.GeneSYNC_flag + port map (clk,raz,flag_nw,sys_clk,OKAI_send,SYNC); + + +Serial : entity work.serialize + port map (clk,raz,sys_clk,Data_int,OKAI_send,flag_sd,Data); + + +--raz <= not reset; +Rz <= raz; +SCLK <= not sys_clk; +--Data_Cvec <= std_logic_vector(to_unsigned(Data_C,12)); +--Data_TOT <= "0001" & Data_Cvec; + +with bp select + Data_int <= X"9555" when '1', + Data_C when others; + +end ar_CNA_TabloC; diff --git a/lib/lpp/lpp_CNA_amba/Convertisseur_config.vhd b/lib/lpp/lpp_CNA_amba/Convertisseur_config.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_CNA_amba/Convertisseur_config.vhd @@ -0,0 +1,41 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +Package Convertisseur_config is + + +--===========================================================| +--================= Valeurs Sinus 1Khz ======================| +--===========================================================| +type Tbl is array(natural range <>) of std_logic_vector(11 downto 0); +constant Tablo : Tbl (0 to 49):= (X"800",X"901",X"9FD",X"AF2",X"BDB",X"CB4",X"D7A",X"E2A",X"EC1",X"F3D",X"F9C",X"FDC",X"FFC",X"FFC",X"FDC",X"F9C",X"F3D",X"EC1",X"E2A",X"D7A",X"CB4",X"BDB",X"AF2",X"9FD",X"901",X"800",X"6FF",X"603",X"50E",X"425",X"34C",X"286",X"1D6",X"13F",X"0C3",X"064",X"024",X"004",X"004",X"024",X"064",X"0C3",X"13F",X"1D6",X"286",X"34C",X"425",X"50E",X"603",X"6FF"); + +--constant Tablo : Tbl (0 to 49):= (X"C00",X"C80",X"CFF",X"D79",X"DED",X"E5A",X"EBD",X"F15",X"F61",X"F9F",X"FCE",X"FEE",X"FFE",X"FFE",X"FEE",X"FCE",X"F9F",X"F61",X"F15",X"EBD",X"E5A",X"DED",X"D79",X"CFF",X"C80",X"C00",X"B80",X"B01",X"A87",X"A13",X"9A6",X"943",X"8EB",X"89F",X"861",X"832",X"812",X"802",X"802",X"812",X"832",X"861",X"89F",X"8EB",X"943",X"9A6",X"A13",X"A87",X"B01",X"B80"); + + +--===========================================================| +--============= Fréquence de sérialisation ==================| +--===========================================================| +constant Freq_serial : integer := 1_000_000; +constant nb_serial : integer := 40_000_000 / Freq_serial; + +end; diff --git a/lib/lpp/lpp_CNA_amba/GeneSYNC_flag.vhd b/lib/lpp/lpp_CNA_amba/GeneSYNC_flag.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_CNA_amba/GeneSYNC_flag.vhd @@ -0,0 +1,111 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity GeneSYNC_flag is + +port( + clk,raz : in std_logic; + flag_nw : in std_logic; + Sysclk : in std_logic; + OKAI_send : out std_logic; + SYNC : out std_logic +); + +end GeneSYNC_flag; + + +architecture ar_GeneSYNC_flag of GeneSYNC_flag is + +signal Sysclk_reg : std_logic; +signal flag_nw_reg : std_logic; +signal count : integer; + +type etat is (e0,e1,e2,eX); +signal ect : etat; + +begin + process (clk,raz) + begin + if(raz='0')then + SYNC <= '0'; + Sysclk_reg <= '0'; + flag_nw_reg <= '0'; + count <= 14; + OKAI_send <= '0'; + ect <= e0; + + elsif(clk' event and clk='1')then + Sysclk_reg <= Sysclk; + flag_nw_reg <= flag_nw; + + case ect is + when e0 => + if(flag_nw_reg='0' and flag_nw='1')then + ect <= e1; + else + count <= 14; + ect <= e0; + end if; + + + when e1 => + if(Sysclk_reg='1' and Sysclk='0')then + if(count=15)then + SYNC <= '1'; + count <= count+1; + ect <= e2; + elsif(count=16)then + count <= 0; + OKAI_send <= '1'; + ect <= eX; + else + count <= count+1; + OKAI_send <= '0'; + ect <= e1; + end if; + end if; + + + when e2 => + if(Sysclk_reg='0' and Sysclk='1')then + if(count=16)then + SYNC <= '0'; + ect <= e1; + end if; + end if; + + when eX => + if(Sysclk_reg='0' and Sysclk='1')then + if(count=15)then + OKAI_send <= '0'; + ect <= e0; + else + count <= count+1; + ect <= eX; + end if; + end if; + + end case; + end if; + + end process; +end ar_GeneSYNC_flag; diff --git a/lib/lpp/lpp_CNA_amba/Serialize.vhd b/lib/lpp/lpp_CNA_amba/Serialize.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_CNA_amba/Serialize.vhd @@ -0,0 +1,103 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; + +entity Serialize is + +port( + clk,raz : in std_logic; + sclk : in std_logic; + vectin : in std_logic_vector(15 downto 0); + send : in std_logic; + sended : out std_logic; + Data : out std_logic); + +end Serialize; + + +architecture ar_Serialize of Serialize is + +type etat is (attente,serialize); +signal ect : etat; + +signal vector_int : std_logic_vector(16 downto 0); +signal vectin_reg : std_logic_vector(15 downto 0); +signal load : std_logic; +signal N : integer range 0 to 16; +signal CPT_ended : std_logic:='0'; + +begin + process(clk,raz) + begin + if(raz='0')then + ect <= attente; + vectin_reg <= (others=> '0'); + load <= '0'; + sended <= '1'; + + elsif(clk'event and clk='1')then + vectin_reg <= vectin; + + case ect is + when attente => + if (send='1') then + sended <= '0'; + load <= '1'; + ect <= serialize; + else + ect <= attente; + end if; + + when serialize => + load <= '0'; + if(CPT_ended='1')then + ect <= attente; + sended <= '1'; + end if; + + end case; + end if; + end process; + + process(sclk,load,raz) + begin + if (raz='0')then + vector_int <= (others=> '0'); + N <= 16; + elsif(load='1')then + vector_int <= vectin & '0'; + N <= 0; + elsif(sclk'event and sclk='0')then + if (CPT_ended='0') then + vector_int <= vector_int(15 downto 0) & '0'; + N <= N+1; + end if; + end if; + end process; + +CPT_ended <= '1' when N = 16 else '0'; + +with ect select + Data <= vector_int(16) when serialize, + '0' when others; + +end ar_Serialize; + diff --git a/lib/lpp/lpp_CNA_amba/clock.vhd b/lib/lpp/lpp_CNA_amba/clock.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_CNA_amba/clock.vhd @@ -0,0 +1,58 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + + +entity Clock_Serie is + +generic(N :integer := 695); + +port( + clk, raz : in std_logic ; + clock : out std_logic); + +end Clock_Serie; + + +architecture ar_Clock_Serie of Clock_Serie is + +signal clockint : std_logic; +signal countint : integer range 0 to N/2-1; + +begin + process (clk,raz) + begin + if(raz = '0') then + countint <= 0; + clockint <= '0'; + elsif (clk' event and clk='1') then + if (countint = N/2-1) then + countint <= 0; + clockint <= not clockint; + else + countint <= countint+1; + end if; + end if; + end process; + +clock <= clockint; + +end ar_Clock_Serie; diff --git a/lib/lpp/lpp_CNA_amba/lpp_CNA_amba.vhd b/lib/lpp/lpp_CNA_amba/lpp_CNA_amba.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_CNA_amba/lpp_CNA_amba.vhd @@ -0,0 +1,51 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +library grlib; +use grlib.amba.all; +-- pragma translate_off +use std.textio.all; +-- pragma translate_on +library lpp; +use lpp.lpp_amba.all; + + +package lpp_CNA_amba is + + +component APB_CNA is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8); + port ( + clk : in std_logic; + rst : in std_logic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + SYNC : out std_logic; + SCLK : out std_logic; + DATA : out std_logic + ); +end component; + +end; diff --git a/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd b/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd @@ -0,0 +1,98 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library lpp; +use lpp.lpp_ad_conv.all; +use lpp.general_purpose.Clk_divider; + +entity AD7688_drvr is + generic(ChanelCount : integer; + clkkHz : integer); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + smplClk: in STD_LOGIC; + DataReady : out std_logic; + smpout : out Samples_out(ChanelCount-1 downto 0); + AD_in : in AD7688_in(ChanelCount-1 downto 0); + AD_out : out AD7688_out); +end AD7688_drvr; + +architecture ar_AD7688_drvr of AD7688_drvr is + +constant convTrigger : integer:= clkkHz*16/10000; --tconv = 1.6µs + +signal i : integer range 0 to convTrigger :=0; +signal clk_int : std_logic; +signal smplClk_reg : std_logic; +signal cnv_int : std_logic; + +begin + +clkdiv: if clkkHz>=66000 generate + clkdivider: Clk_divider + generic map(clkkHz*1000,60000000) + Port map( clk ,reset,clk_int); +end generate; + +clknodiv: if clkkHz<66000 generate +nodiv: clk_int <= clk; +end generate; + +AD_out.CNV <= cnv_int; +AD_out.SCK <= clk_int; + + +sckgen: process(clk,reset) +begin + if reset = '0' then + i <= 0; + cnv_int <= '0'; + smplClk_reg <= '0'; + elsif clk'event and clk = '1' then + if smplClk = '1' and smplClk_reg = '0' then + if i = convTrigger then + smplClk_reg <= '1'; + i <= 0; + cnv_int <= '0'; + else + i <= i+1; + cnv_int <= '1'; + end if; + elsif smplClk = '0' and smplClk_reg = '1' then + smplClk_reg <= '0'; + end if; + end if; +end process; + + + +spidrvr: AD7688_spi_if + generic map(ChanelCount) + Port map(clk_int,reset,cnv_int,DataReady,AD_in,smpout); + + + +end ar_AD7688_drvr; + + + + + + diff --git a/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd b/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd @@ -0,0 +1,75 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library lpp; +use lpp.lpp_ad_conv.all; +use lpp.general_purpose.Clk_divider; + +entity AD7688_spi_if is + generic(ChanelCount : integer); + Port( clk : in STD_LOGIC; + reset : in STD_LOGIC; + cnv : in STD_LOGIC; + DataReady: out std_logic; + sdi : in AD7688_in(ChanelCount-1 downto 0); + smpout : out Samples_out(ChanelCount-1 downto 0) + ); +end AD7688_spi_if; + +architecture ar_AD7688_spi_if of AD7688_spi_if is + +signal shift_reg : Samples_out(ChanelCount-1 downto 0); +signal i : integer range 0 to 15 :=0; +signal cnv_reg : std_logic := '0'; + +begin + + + +process(clk,reset) +begin + if reset = '0' then + for l in 0 to ChanelCount-1 loop + shift_reg(l) <= (others => '0'); + end loop; + i <= 0; + cnv_reg <= '0'; + elsif clk'event and clk = '1' then + if cnv = '0' and cnv_reg = '0' then + if i = 15 then + i <= 0; + cnv_reg <= '1'; + else + DataReady <= '0'; + i <= i+1; + for l in 0 to ChanelCount-1 loop + shift_reg(l)(0) <= sdi(l).SDI; + shift_reg(l)(15 downto 1) <= shift_reg(l)(14 downto 0); + end loop; + end if; + else + cnv_reg <= not cnv; + smpout <= shift_reg; + DataReady <= '1'; + end if; + end if; +end process; + +end ar_AD7688_spi_if; diff --git a/lib/lpp/lpp_ad_Conv/ADS7886_drvr.vhd b/lib/lpp/lpp_ad_Conv/ADS7886_drvr.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_ad_Conv/ADS7886_drvr.vhd @@ -0,0 +1,102 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library lpp; +use lpp.lpp_ad_conv.all; +use lpp.general_purpose.Clk_divider; + +entity ADS7886_drvr is + generic(ChanelCount : integer; + clkkHz : integer); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + smplClk: in STD_LOGIC; + DataReady : out std_logic; + smpout : out Samples_out(ChanelCount-1 downto 0); + AD_in : in AD7688_in(ChanelCount-1 downto 0); + AD_out : out AD7688_out); +end ADS7886_drvr; + +architecture ar_ADS7886_drvr of ADS7886_drvr is + +constant convTrigger : integer:= clkkHz*1/1000; --tconv = 1.6µs + +signal i : integer range 0 to convTrigger :=0; +signal clk_int : std_logic; +signal smplClk_reg : std_logic; +signal cnv_int : std_logic; +signal smpout_int : Samples_out(ChanelCount-1 downto 0); + + +begin + + +clkdiv: if clkkHz>=20000 generate + clkdivider: Clk_divider + generic map(clkkHz*1000,19000000) + Port map( clk ,reset,clk_int); +end generate; + + +clknodiv: if clkkHz<20000 generate +nodiv: clk_int <= clk; +end generate; + +AD_out.CNV <= cnv_int; +AD_out.SCK <= clk_int; + + +sckgen: process(clk,reset) +begin + if reset = '0' then + i <= 0; + cnv_int <= '0'; + smplClk_reg <= '0'; + elsif clk'event and clk = '1' then + if smplClk = '1' and smplClk_reg = '0' then + if i = convTrigger then + smplClk_reg <= '1'; + i <= 0; + cnv_int <= '0'; + else + i <= i+1; + cnv_int <= '1'; + end if; + elsif smplClk = '0' and smplClk_reg = '1' then + smplClk_reg <= '0'; + end if; + end if; +end process; + + +NDMSK: for i in 0 to ChanelCount-1 +generate + smpout(i) <= smpout_int(i) and X"0FFF"; +end generate; + + +spidrvr: AD7688_spi_if + generic map(ChanelCount) + Port map(clk_int,reset,cnv_int,DataReady,AD_in,smpout_int); + + + +end ar_ADS7886_drvr; + diff --git a/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd b/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd @@ -0,0 +1,112 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; + + +package lpp_ad_conv is + + + constant AD7688 : integer := 0; + constant ADS7886 : integer := 1; + + + type AD7688_out is + record + CNV : std_logic; + SCK : std_logic; + end record; + + type AD7688_in_element is + record + SDI : std_logic; + end record; + + type AD7688_in is array(natural range <>) of AD7688_in_element; + + type Samples_out is array(natural range <>) of std_logic_vector(15 downto 0); + + component AD7688_drvr is + generic(ChanelCount : integer; + clkkHz : integer); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + smplClk: in STD_LOGIC; + DataReady : out std_logic; + smpout : out Samples_out(ChanelCount-1 downto 0); + AD_in : in AD7688_in(ChanelCount-1 downto 0); + AD_out : out AD7688_out); + end component; + + +component AD7688_spi_if is + generic(ChanelCount : integer); + Port( clk : in STD_LOGIC; + reset : in STD_LOGIC; + cnv : in STD_LOGIC; + DataReady: out std_logic; + sdi : in AD7688_in(ChanelCount-1 downto 0); + smpout : out Samples_out(ChanelCount-1 downto 0) + ); +end component; + + +component lpp_apb_ad_conv + generic( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8; + ChanelCount : integer := 1; + clkkHz : integer := 50000; + smpClkHz : integer := 100; + ADCref : integer := AD7688); + Port ( + clk : in STD_LOGIC; + reset : in STD_LOGIC; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + AD_in : in AD7688_in(ChanelCount-1 downto 0); + AD_out : out AD7688_out); +end component; + +component ADS7886_drvr is + generic(ChanelCount : integer; + clkkHz : integer); + Port ( + clk : in STD_LOGIC; + reset : in STD_LOGIC; + smplClk : in STD_LOGIC; + DataReady : out std_logic; + smpout : out Samples_out(ChanelCount-1 downto 0); + AD_in : in AD7688_in(ChanelCount-1 downto 0); + AD_out : out AD7688_out + ); +end component; + + +end lpp_ad_conv; + + diff --git a/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd b/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd @@ -0,0 +1,142 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use ieee.numeric_std.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; +library lpp; +use lpp.lpp_ad_conv.all; +use lpp.lpp_amba.all; +use lpp.general_purpose.Clk_divider; + +entity lpp_apb_ad_conv is + generic( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8; + ChanelCount : integer := 1; + clkkHz : integer := 50000; + smpClkHz : integer := 100; + ADCref : integer := AD7688); + Port ( + clk : in STD_LOGIC; + reset : in STD_LOGIC; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + AD_in : in AD7688_in(ChanelCount-1 downto 0); + AD_out : out AD7688_out); +end lpp_apb_ad_conv; + + +architecture ar_lpp_apb_ad_conv of lpp_apb_ad_conv is +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, LPP_ADC_7688, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); + +signal Rdata : std_logic_vector(31 downto 0); +signal smpout : Samples_out(ChanelCount-1 downto 0); +signal smplClk : STD_LOGIC; +signal DataReady : STD_LOGIC; + +type lpp_apb_ad_conv_Reg is record + CTRL_Reg : std_logic_vector(31 downto 0); + sample : Samples_out(ChanelCount-1 downto 0); +end record; + +signal r : lpp_apb_ad_conv_Reg; + +begin + + +caseAD7688: if ADCref = AD7688 generate +AD7688: AD7688_drvr + generic map(ChanelCount,clkkHz) + Port map(clk,reset,smplClk,DataReady,smpout,AD_in,AD_out); +end generate; + +caseADS786: if ADCref = ADS7886 generate +ADS7886: ADS7886_drvr + generic map(ChanelCount,clkkHz) + Port map(clk,reset,smplClk,DataReady,smpout,AD_in,AD_out); +end generate; + + +clkdivider: Clk_divider + generic map(clkkHz*1000,smpClkHz) + Port map( clk ,reset,smplClk); + + + +r.CTRL_Reg(0) <= DataReady; + +r.sample <= smpout; + + +process(reset,clk) +begin + if reset = '0' then + --r.CTRL_Reg(9 downto 0) <= (others => '0'); + elsif clk'event and clk = '1' then + +--APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(7 downto 2) is + when "000000" => + --r.CTRL_Reg(9 downto 0) <= apbi.pwdata(9 downto 0); + when others => + end case; + end if; + +--APB READ OP + if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then + case apbi.paddr(7 downto 2) is + when "000000" => + Rdata <= r.CTRL_Reg; + when others => + readC: for i in 1 to ChanelCount loop + if TO_INTEGER(unsigned(apbi.paddr(abits-1 downto 2))) =i then + Rdata(15 downto 0) <= r.sample(i-1)(15 downto 0); + end if; + end loop; + end case; + end if; + end if; + apbo.pconfig <= pconfig; +end process; + +apbo.prdata <= Rdata when apbi.penable = '1' ; + + +end ar_lpp_apb_ad_conv; + + + + + + + + + diff --git a/lib/lpp/lpp_amba/APB_MULTI_DIODE.vhd b/lib/lpp/lpp_amba/APB_MULTI_DIODE.vhd --- a/lib/lpp/lpp_amba/APB_MULTI_DIODE.vhd +++ b/lib/lpp/lpp_amba/APB_MULTI_DIODE.vhd @@ -1,106 +1,104 @@ ------------------------------------------------------------------------------- --- This file is a part of the LPP VHDL IP LIBRARY --- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------- --- APB_MULTI_DIODE.vhd - -library ieee; -use ieee.std_logic_1164.all; ---use ieee.numeric_std.all; -library grlib; -use grlib.amba.all; -use grlib.stdlib.all; -use grlib.devices.all; -library lpp; -use lpp.lpp_amba.all; - - -entity APB_MULTI_DIODE is - generic ( - pindex : integer := 0; - paddr : integer := 0; - pmask : integer := 16#fff#; - pirq : integer := 0; - abits : integer := 8); - port ( - rst : in std_ulogic; - clk : in std_ulogic; - apbi : in apb_slv_in_type; - apbo : out apb_slv_out_type; - LED : out std_logic_vector(2 downto 0) - ); -end; - - -architecture AR_APB_MULTI_DIODE of APB_MULTI_DIODE is - -constant REVISION : integer := 1; - -constant pconfig : apb_config_type := ( - 0 => ahb_device_reg (VENDOR_LPP, LPP_MULTI_DIODE, 0, REVISION, 0), - 1 => apb_iobar(paddr, pmask)); - - - -type LEDregs is record - DATAin : std_logic_vector(31 downto 0); - DATAout : std_logic_vector(31 downto 0); -end record; - -signal r : LEDregs; -signal Rdata : std_logic_vector(31 downto 0); - - -begin - -r.DATAout <= r.DATAin xor X"FFFFFFFF"; - -process(rst,clk) -begin - if rst = '0' then - LED <= "000"; - r.DATAin <= (others => '0'); - elsif clk'event and clk = '1' then - - LED <= r.DATAin(2 downto 0); - ---APB Write OP - if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then - case apbi.paddr(abits-1 downto 2) is - when "000000" => - r.DATAin <= apbi.pwdata; - when others => - null; - end case; - end if; - ---APB READ OP - if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then - case apbi.paddr(abits-1 downto 2) is - when "000000" => - Rdata <= r.DATAin; - when others => - Rdata <= r.DATAout; - end case; - end if; - - end if; - apbo.pconfig <= pconfig; -end process; - -apbo.prdata <= Rdata when apbi.penable = '1'; -end ar_APB_MULTI_DIODE; \ No newline at end of file +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +--use ieee.numeric_std.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; +library lpp; +use lpp.lpp_amba.all; + + +entity APB_MULTI_DIODE is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8); + port ( + rst : in std_ulogic; + clk : in std_ulogic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + LED : out std_logic_vector(2 downto 0) + ); +end; + + +architecture AR_APB_MULTI_DIODE of APB_MULTI_DIODE is + +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, LPP_MULTI_DIODE, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); + + + +type LEDregs is record + DATAin : std_logic_vector(31 downto 0); + DATAout : std_logic_vector(31 downto 0); +end record; + +signal r : LEDregs; + + +begin + +r.DATAout <= r.DATAin xor X"FFFFFFFF"; + +process(rst,clk) +begin + if rst = '0' then + LED <= "000"; + r.DATAin <= (others => '0'); + apbo.prdata <= (others => '0'); + elsif clk'event and clk = '1' then + + LED <= r.DATAin(2 downto 0); + +--APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + r.DATAin <= apbi.pwdata; + when others => + null; + end case; + end if; + +--APB READ OP + if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + apbo.prdata <= r.DATAin; + when others => + apbo.prdata <= r.DATAout; + end case; + end if; + + end if; + apbo.pconfig <= pconfig; +end process; + + +end ar_APB_MULTI_DIODE; diff --git a/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd b/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd --- a/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd +++ b/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd @@ -1,129 +1,127 @@ ------------------------------------------------------------------------------- --- This file is a part of the LPP VHDL IP LIBRARY --- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------- --- APB_SIMPLE_DIODE.vhd - -library ieee; -use ieee.std_logic_1164.all; ---use ieee.numeric_std.all; -library grlib; -use grlib.amba.all; -use grlib.stdlib.all; -use grlib.devices.all; -library lpp; -use lpp.lpp_amba.all; - - -entity APB_SIMPLE_DIODE is - generic ( - pindex : integer := 0; - paddr : integer := 0; - pmask : integer := 16#fff#; - pirq : integer := 0; - abits : integer := 8); - port ( - rst : in std_ulogic; - clk : in std_ulogic; - apbi : in apb_slv_in_type; - apbo : out apb_slv_out_type; - LED : out std_ulogic - ); -end; - - -architecture AR_APB_SIMPLE_DIODE of APB_SIMPLE_DIODE is - -constant REVISION : integer := 1; - -constant pconfig : apb_config_type := ( - 0 => ahb_device_reg (VENDOR_LPP, LPP_SIMPLE_DIODE, 0, REVISION, 0), - 1 => apb_iobar(paddr, pmask)); - - - -type LEDregs is record - DATAin : std_logic_vector(31 downto 0); - DATAout : std_logic_vector(31 downto 0); -end record; - -signal r : LEDregs; -signal Rdata : std_logic_vector(31 downto 0); - - -begin - -r.DATAout <= r.DATAin xor X"FFFFFFFF"; - -process(rst,clk) -begin - if rst = '0' then - LED <= '0'; - r.DATAin <= (others => '0'); - elsif clk'event and clk = '1' then - - LED <= r.DATAin(0); - ---APB Write OP - if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then - case apbi.paddr(abits-1 downto 2) is - when "000000" => - r.DATAin <= apbi.pwdata; - when others => - null; - end case; - end if; - ---APB READ OP - if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then - case apbi.paddr(abits-1 downto 2) is - when "000000" => - Rdata <= r.DATAin; - when others => - Rdata <= r.DATAout; - end case; - end if; - - end if; - apbo.pconfig <= pconfig; -end process; - -apbo.prdata <= Rdata when apbi.penable = '1'; - --- pragma translate_off --- bootmsg : report_version --- generic map ("apbuart" & tost(pindex) & --- ": Generic UART rev " & tost(REVISION) & ", fifo " & tost(fifosize) & --- ", irq " & tost(pirq)); --- pragma translate_on - - - -end ar_APB_SIMPLE_DIODE; - - - - - - - - - - - - - +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +--use ieee.numeric_std.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; +library lpp; +use lpp.lpp_amba.all; + + +entity APB_SIMPLE_DIODE is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8); + port ( + rst : in std_ulogic; + clk : in std_ulogic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + LED : out std_ulogic + ); +end; + + +architecture AR_APB_SIMPLE_DIODE of APB_SIMPLE_DIODE is + +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, LPP_SIMPLE_DIODE, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); + + + +type LEDregs is record + DATAin : std_logic_vector(31 downto 0); + DATAout : std_logic_vector(31 downto 0); +end record; + +signal r : LEDregs; + + +begin + +r.DATAout <= r.DATAin xor X"FFFFFFFF"; + +process(rst,clk) +begin + if rst = '0' then + LED <= '0'; + r.DATAin <= (others => '0'); + apbo.prdata <= (others => '0'); + elsif clk'event and clk = '1' then + + LED <= r.DATAin(0); + +--APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + r.DATAin <= apbi.pwdata; + when others => + null; + end case; + end if; + +--APB READ OP + if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + apbo.prdata <= r.DATAin; + when others => + apbo.prdata <= r.DATAout; + end case; + end if; + + end if; + apbo.pconfig <= pconfig; +end process; + + + +-- pragma translate_off +-- bootmsg : report_version +-- generic map ("apbuart" & tost(pindex) & +-- ": Generic UART rev " & tost(REVISION) & ", fifo " & tost(fifosize) & +-- ", irq " & tost(pirq)); +-- pragma translate_on + + + +end ar_APB_SIMPLE_DIODE; + + + + + + + + + + + + + diff --git a/lib/lpp/lpp_amba/lpp_amba.vhd b/lib/lpp/lpp_amba/lpp_amba.vhd --- a/lib/lpp/lpp_amba/lpp_amba.vhd +++ b/lib/lpp/lpp_amba/lpp_amba.vhd @@ -4,7 +4,7 @@ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, @@ -16,7 +16,6 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- - library ieee; use ieee.std_logic_1164.all; library grlib; @@ -40,7 +39,7 @@ constant LPP_MULTI_DIODE : amba_ constant LPP_LCD_CTRLR : amba_device_type := 16#005#; constant LPP_UART : amba_device_type := 16#006#; constant LPP_CNA : amba_device_type := 16#007#; - +constant LPP_ADC_7688 : amba_device_type := 16#008#; component APB_SIMPLE_DIODE is generic ( diff --git a/lib/lpp/lpp_cna/clock.vhd b/lib/lpp/lpp_cna/clock.vhd deleted file mode 100644 --- a/lib/lpp/lpp_cna/clock.vhd +++ /dev/null @@ -1,41 +0,0 @@ --- clock.vhd -library IEEE; -use IEEE.std_logic_1164.all; -use IEEE.numeric_std.all; - - -entity Clock_Serie is - -generic(N :integer := 695); - -port( - clk, raz : in std_logic ; - clock : out std_logic); - -end Clock_Serie; - - -architecture ar_Clock_Serie of Clock_Serie is - -signal clockint : std_logic; -signal countint : integer range 0 to N/2-1; - -begin - process (clk,raz) - begin - if(raz = '0') then - countint <= 0; - clockint <= '0'; - elsif (clk' event and clk='1') then - if (countint = N/2-1) then - countint <= 0; - clockint <= not clockint; - else - countint <= countint+1; - end if; - end if; - end process; - -clock <= clockint; - -end ar_Clock_Serie; \ No newline at end of file diff --git a/lib/lpp/lpp_uart/APB_UART.vhd b/lib/lpp/lpp_uart/APB_UART.vhd --- a/lib/lpp/lpp_uart/APB_UART.vhd +++ b/lib/lpp/lpp_uart/APB_UART.vhd @@ -1,129 +1,126 @@ ------------------------------------------------------------------------------- --- This file is a part of the LPP VHDL IP LIBRARY --- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------- --- APB_UART.vhd - -library ieee; -use ieee.std_logic_1164.all; -library grlib; -use grlib.amba.all; -use grlib.stdlib.all; -use grlib.devices.all; -library lpp; -use lpp.lpp_amba.all; -use lpp.lpp_uart.all; - -entity APB_UART is - generic ( - pindex : integer := 0; - paddr : integer := 0; - pmask : integer := 16#fff#; - pirq : integer := 0; - abits : integer := 8; - Data_sz : integer := 8); - port ( - clk : in std_logic; - rst : in std_logic; - apbi : in apb_slv_in_type; - apbo : out apb_slv_out_type; - TXD : out std_logic; - RXD : in std_logic - ); -end APB_UART; - - -architecture ar_APB_UART of APB_UART is - -constant REVISION : integer := 1; - -constant pconfig : apb_config_type := ( - 0 => ahb_device_reg (VENDOR_LPP, LPP_UART, 0, REVISION, 0), - 1 => apb_iobar(paddr, pmask)); - -signal NwData : std_logic; -signal ACK : std_logic; -signal Capture : std_logic; -signal Send : std_logic; -signal Sended : std_logic; - -type UART_ctrlr_Reg is record - UART_Cfg : std_logic_vector(4 downto 0); - UART_Wdata : std_logic_vector(7 downto 0); - UART_Rdata : std_logic_vector(7 downto 0); - UART_BTrig : std_logic_vector(11 downto 0); -end record; - -signal Rec : UART_ctrlr_Reg; -signal Rdata : std_logic_vector(31 downto 0); - -begin - -Capture <= Rec.UART_Cfg(0); -ACK <= Rec.UART_Cfg(1); -Send <= Rec.UART_Cfg(2); -Rec.UART_Cfg(3) <= Sended; -Rec.UART_Cfg(4) <= NwData; - - - COM0 : entity work.UART - generic map (Data_sz) - port map (clk,rst,TXD,RXD,Capture,NwData,ACK,Send,Sended,Rec.UART_BTrig,Rec.UART_Rdata,Rec.UART_Wdata); - - - process(rst,clk) - begin - if(rst='0')then - Rec.UART_Wdata <= (others => '0'); - - elsif(clk'event and clk='1')then - - - --APB Write OP - if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then - case apbi.paddr(abits-1 downto 2) is - when "000000" => - Rec.UART_Cfg(2 downto 0) <= apbi.pwdata(2 downto 0); - when "000001" => - Rec.UART_Wdata <= apbi.pwdata(7 downto 0); - when others => - null; - end case; - end if; - - --APB READ OP - if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then - case apbi.paddr(abits-1 downto 2) is - when "000000" => - Rdata(31 downto 27) <= Rec.UART_Cfg; - Rdata(26 downto 12) <= (others => '0'); - Rdata(11 downto 0) <= Rec.UART_BTrig; - when "000001" => - Rdata(7 downto 0) <= Rec.UART_Wdata; - when "000010" => - Rdata(7 downto 0) <= Rec.UART_Rdata; - when others => - Rdata <= (others => '0'); - end case; - end if; - - end if; - apbo.pconfig <= pconfig; - end process; - -apbo.prdata <= Rdata when apbi.penable = '1'; -end ar_APB_UART; \ No newline at end of file +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; +library lpp; +use lpp.lpp_amba.all; +use lpp.lpp_uart.all; + +entity APB_UART is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8; + Data_sz : integer := 8); + port ( + clk : in std_logic; + rst : in std_logic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + TXD : out std_logic; + RXD : in std_logic + ); +end APB_UART; + + +architecture ar_APB_UART of APB_UART is + +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, LPP_UART, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); + +signal NwData : std_logic; +signal ACK : std_logic; +signal Capture : std_logic; +signal Send : std_logic; +signal Sended : std_logic; + +type UART_ctrlr_Reg is record + UART_Cfg : std_logic_vector(4 downto 0); + UART_Wdata : std_logic_vector(7 downto 0); + UART_Rdata : std_logic_vector(7 downto 0); + UART_BTrig : std_logic_vector(11 downto 0); +end record; + +signal Rec : UART_ctrlr_Reg; + +begin + +Capture <= Rec.UART_Cfg(0); +ACK <= Rec.UART_Cfg(1); +Send <= Rec.UART_Cfg(2); +Rec.UART_Cfg(3) <= Sended; +Rec.UART_Cfg(4) <= NwData; + + + COM0 : entity work.UART + generic map (Data_sz) + port map (clk,rst,TXD,RXD,Capture,NwData,ACK,Send,Sended,Rec.UART_BTrig,Rec.UART_Rdata,Rec.UART_Wdata); + + + process(rst,clk) + begin + if(rst='0')then + Rec.UART_Wdata <= (others => '0'); + apbo.prdata <= (others => '0'); + + elsif(clk'event and clk='1')then + + + --APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + Rec.UART_Cfg(2 downto 0) <= apbi.pwdata(2 downto 0); + when "000001" => + Rec.UART_Wdata <= apbi.pwdata(7 downto 0); + when others => + null; + end case; + end if; + + --APB READ OP + if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + apbo.prdata(31 downto 27) <= Rec.UART_Cfg; + apbo.prdata(26 downto 12) <= (others => '0'); + apbo.prdata(11 downto 0) <= Rec.UART_BTrig; + when "000001" => + apbo.prdata(7 downto 0) <= Rec.UART_Wdata; + when "000010" => + apbo.prdata(7 downto 0) <= Rec.UART_Rdata; + when others => + apbo.prdata <= (others => '0'); + end case; + end if; + + end if; + apbo.pconfig <= pconfig; + end process; + +end ar_APB_UART; diff --git a/lib/lpp/lpp_uart/BaudGen.vhd b/lib/lpp/lpp_uart/BaudGen.vhd --- a/lib/lpp/lpp_uart/BaudGen.vhd +++ b/lib/lpp/lpp_uart/BaudGen.vhd @@ -1,82 +1,99 @@ --- BaudGen.vhd -library IEEE; -use IEEE.numeric_std.all; -use IEEE.std_logic_1164.all; - ---! Generateur de Bauds - -entity BaudGen is - -port( - clk : in std_logic; - reset : in std_logic; - Capture : in std_logic; - Bclk : out std_logic; - RXD : in std_logic; - BTrigger : out std_logic_vector(11 downto 0) -); -end BaudGen; - - -architecture ar_BaudGen of BaudGen is -signal cpt : std_logic_vector(11 downto 0) := (others => '0'); -signal errorFlag : std_logic; -signal triger : std_logic_vector(11 downto 0) := (others => '0'); -signal RX_reg : std_logic:='1'; - -begin - - -BTrigger <= triger; - - -BaudGeneration: -process(clk,reset) -begin - if reset = '0' then - cpt <= (others => '0'); - triger <= (others => '1'); - errorFlag <= '0'; - elsif clk'event and clk = '1'then - RX_reg <= RXD; - if capture = '1' then - cpt <= (others => '0'); - triger <= (others => '1'); - errorFlag <= '0'; - else - if RX_reg /= RXD then - cpt <= (others => '0'); - if cpt = std_logic_vector(TO_UNSIGNED(0,12)) then - errorFlag <= '1'; - elsif errorFlag = '1' then - triger <= cpt; - errorFlag <= '0'; - else - errorFlag <= '1'; - end if; - else - if cpt = triger then - cpt <= (others => '0'); - errorFlag <= '0'; - else - cpt <= std_logic_vector(unsigned(cpt) + 1); - end if; - end if; - end if; - end if; -end process; - - -process(clk) -begin - if clk'event and clk = '1' then - if cpt = std_logic_vector(TO_UNSIGNED(0,12)) then - Bclk <= '0'; - elsif cpt = '0' & triger(11 downto 1) then - Bclk <= '1'; - end if; - end if; -end process; - - -end ar_BaudGen; \ No newline at end of file +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; + +--! Generateur de Bauds + +entity BaudGen is + +port( + clk : in std_logic; + reset : in std_logic; + Capture : in std_logic; + Bclk : out std_logic; + RXD : in std_logic; + BTrigger : out std_logic_vector(11 downto 0) +); +end BaudGen; + + +architecture ar_BaudGen of BaudGen is +signal cpt : std_logic_vector(11 downto 0) := (others => '0'); +signal errorFlag : std_logic; +signal triger : std_logic_vector(11 downto 0) := (others => '0'); +signal RX_reg : std_logic:='1'; + +begin + + +BTrigger <= triger; + + +BaudGeneration: +process(clk,reset) +begin + if reset = '0' then + cpt <= (others => '0'); + triger <= (others => '1'); + errorFlag <= '0'; + elsif clk'event and clk = '1'then + RX_reg <= RXD; + if capture = '1' then + cpt <= (others => '0'); + triger <= (others => '1'); + errorFlag <= '0'; + else + if RX_reg /= RXD then + cpt <= (others => '0'); + if cpt = std_logic_vector(TO_UNSIGNED(0,12)) then + errorFlag <= '1'; + elsif errorFlag = '1' then + triger <= cpt; + errorFlag <= '0'; + else + errorFlag <= '1'; + end if; + else + if cpt = triger then + cpt <= (others => '0'); + errorFlag <= '0'; + else + cpt <= std_logic_vector(unsigned(cpt) + 1); + end if; + end if; + end if; + end if; +end process; + + +process(clk) +begin + if clk'event and clk = '1' then + if cpt = std_logic_vector(TO_UNSIGNED(0,12)) then + Bclk <= '0'; + elsif cpt = '0' & triger(11 downto 1) then + Bclk <= '1'; + end if; + end if; +end process; + + +end ar_BaudGen; diff --git a/lib/lpp/lpp_uart/Shift_REG.vhd b/lib/lpp/lpp_uart/Shift_REG.vhd --- a/lib/lpp/lpp_uart/Shift_REG.vhd +++ b/lib/lpp/lpp_uart/Shift_REG.vhd @@ -1,94 +1,111 @@ --- Shift_REG.vhd -library IEEE; -use IEEE.numeric_std.all; -use IEEE.std_logic_1164.all; - ---! Gestion Reception/Transmission - -entity Shift_REG is -generic(Data_sz : integer := 10); -port( - clk : in std_logic; - Sclk : in std_logic; - reset : in std_logic; - SIN : in std_logic; - SOUT : out std_logic; - Serialize : in std_logic; - Serialized : out std_logic; - D : in std_logic_vector(Data_sz-1 downto 0); - Q : out std_logic_vector(Data_sz-1 downto 0) - -); -end entity; - - -architecture ar_Shift_REG of Shift_REG is - -signal REG : std_logic_vector(Data_sz-1 downto 0); -signal Serialized_int : std_logic; -signal Serialize_reg : std_logic; -signal CptBits : std_logic_vector(Data_sz-1 downto 0); -constant CptBits_trig : std_logic_vector(Data_sz-1 downto 0) := (others => '1'); -signal CptBits_flag : std_logic; -signal CptBits_flag_reg : std_logic; - -begin - -Serialized <= Serialized_int; -CptBits_flag <= '1' when CptBits = CptBits_trig else '0'; - -process(reset,clk) -begin - if reset = '0' then - Serialized_int <= '1'; - CptBits_flag_reg <= '0'; - Q <= (others => '0'); - elsif clk'event and clk = '1' then - CptBits_flag_reg <= CptBits_flag; - - if CptBits_flag = '1' and CptBits_flag_reg = '0' then - Serialized_int <= '1'; - Q <= REG; - elsif Serialize = '1' then - Serialized_int <= '0'; - end if; - end if; -end process; - - -process(reset,Sclk) -begin - if reset = '0' then - CptBits <= (others => '0'); - REG <= (others => '0'); - SOUT <= '1'; - Serialize_reg <= '0'; - elsif Sclk'event and Sclk = '1' then - Serialize_reg <= Serialized_int; - if (Serialized_int = '0' and Serialize_reg ='1') then - REG <= SIN & D(Data_sz-1 downto 1); - SOUT <= D(0); - elsif CptBits_flag ='1' then - REG <= SIN & D(Data_sz-1 downto 1); - SOUT <= D(0); - elsif Serialized_int = '0' then - REG <= SIN & REG(Data_sz-1 downto 1); - SOUT <= REG(0); - else - SOUT <= '1'; - end if; - if Serialized_int = '0' then - if CptBits_flag = '1' then - CptBits <= (others => '0'); - else - CptBits <= '1' & CptBits(Data_sz-1 downto 1); - end if; - - else - CptBits <= (others => '0'); - end if; - - end if; -end process; - -end ar_Shift_REG; \ No newline at end of file +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; + +--! Gestion Reception/Transmission + +entity Shift_REG is +generic(Data_sz : integer := 10); +port( + clk : in std_logic; + Sclk : in std_logic; + reset : in std_logic; + SIN : in std_logic; + SOUT : out std_logic; + Serialize : in std_logic; + Serialized : out std_logic; + D : in std_logic_vector(Data_sz-1 downto 0); + Q : out std_logic_vector(Data_sz-1 downto 0) + +); +end entity; + + +architecture ar_Shift_REG of Shift_REG is + +signal REG : std_logic_vector(Data_sz-1 downto 0); +signal Serialized_int : std_logic; +signal Serialize_reg : std_logic; +signal CptBits : std_logic_vector(Data_sz-1 downto 0); +constant CptBits_trig : std_logic_vector(Data_sz-1 downto 0) := (others => '1'); +signal CptBits_flag : std_logic; +signal CptBits_flag_reg : std_logic; + +begin + +Serialized <= Serialized_int; +CptBits_flag <= '1' when CptBits = CptBits_trig else '0'; + +process(reset,clk) +begin + if reset = '0' then + Serialized_int <= '1'; + CptBits_flag_reg <= '0'; + Q <= (others => '0'); + elsif clk'event and clk = '1' then + CptBits_flag_reg <= CptBits_flag; + + if CptBits_flag = '1' and CptBits_flag_reg = '0' then + Serialized_int <= '1'; + Q <= REG; + elsif Serialize = '1' then + Serialized_int <= '0'; + end if; + end if; +end process; + + +process(reset,Sclk) +begin + if reset = '0' then + CptBits <= (others => '0'); + REG <= (others => '0'); + SOUT <= '1'; + Serialize_reg <= '0'; + elsif Sclk'event and Sclk = '1' then + Serialize_reg <= Serialized_int; + if (Serialized_int = '0' and Serialize_reg ='1') then + REG <= SIN & D(Data_sz-1 downto 1); + SOUT <= D(0); + elsif CptBits_flag ='1' then + REG <= SIN & D(Data_sz-1 downto 1); + SOUT <= D(0); + elsif Serialized_int = '0' then + REG <= SIN & REG(Data_sz-1 downto 1); + SOUT <= REG(0); + else + SOUT <= '1'; + end if; + if Serialized_int = '0' then + if CptBits_flag = '1' then + CptBits <= (others => '0'); + else + CptBits <= '1' & CptBits(Data_sz-1 downto 1); + end if; + + else + CptBits <= (others => '0'); + end if; + + end if; +end process; + +end ar_Shift_REG; diff --git a/lib/lpp/lpp_uart/UART.vhd b/lib/lpp/lpp_uart/UART.vhd --- a/lib/lpp/lpp_uart/UART.vhd +++ b/lib/lpp/lpp_uart/UART.vhd @@ -1,81 +1,98 @@ --- UART.vhd -library IEEE; -use IEEE.numeric_std.all; -use IEEE.std_logic_1164.all; -library lpp; -use lpp.lpp_uart.all; - ---! Programme qui va gerer toute la communication entre le PC et le FPGA - -entity UART is -generic(Data_sz : integer := 8); --! Constante de taille pour un mot de donnee -port( - clk : in std_logic; --! Horloge a 25Mhz du systeme - reset : in std_logic; --! Reset du systeme - TXD : out std_logic; --! Transmission, cote PC - RXD : in std_logic; --! Reception, cote PC - Capture : in std_logic; --! "Reset" cible pour le generateur de bauds, ici indissocie du reset global - NwDat : out std_logic; --! Flag, Nouvelle donnee presente - ACK : in std_logic; --! Flag, Reponse au flag precedent - Send : in std_logic; --! Flag, Demande d'envoi sur le bus - Sended : out std_logic; --! Flag, Envoi termine - BTrigger : out std_logic_vector(11 downto 0); --! Registre contenant la valeur du diviseur de frequence pour la transmission - RDATA : out std_logic_vector(Data_sz-1 downto 0); --! Mot de donnee en provenance de l'utilisateur - WDATA : in std_logic_vector(Data_sz-1 downto 0) --! Mot de donnee a transmettre a l'utilisateur -); -end entity; - - ---! @details Gestion de la Reception/Transmission donc de la Vectorisation/Serialisation ---! ainsi que la detection et le reglage de le frequence de transmission optimale sur le bus (Generateur de Bauds) -architecture ar_UART of UART is -signal Bclk : std_logic; - -signal RDATA_int : std_logic_vector(Data_sz+1 downto 0); -signal WDATA_int : std_logic_vector(Data_sz+1 downto 0); - -signal TXD_Dummy : std_logic; -signal NwDat_int : std_logic; -signal NwDat_int_reg : std_logic; -signal receive : std_logic; - -begin - - -RDATA <= RDATA_int(8 downto 1); -WDATA_int <= '1' & WDATA & '0'; - -BaudGenerator : BaudGen - port map(clk,reset,Capture,Bclk,RXD,BTrigger); - - -RX_REG : Shift_REG - generic map(Data_sz+2) - port map(clk,Bclk,reset,RXD,TXD_Dummy,receive,NwDat_int,(others => '0'),RDATA_int); - -TX_REG : Shift_REG - generic map(Data_sz+2) - port map(clk,Bclk,reset,'1',TXD,Send,Sended,WDATA_int); - - - -process(clk,reset) -begin - if reset = '0' then - NwDat <= '0'; - elsif clk'event and clk = '1' then - NwDat_int_reg <= NwDat_int; - if RXD = '1' and NwDat_int = '1' then - receive <= '0'; - elsif RXD = '0' then - receive <= '1'; - end if; - if NwDat_int_reg = '0' and NwDat_int = '1' then - NwDat <= '1'; - elsif ack = '1' then - NwDat <= '0'; - end if; - end if; -end process; - -end ar_UART; \ No newline at end of file +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.lpp_uart.all; + +--! Programme qui va gerer toute la communication entre le PC et le FPGA + +entity UART is +generic(Data_sz : integer := 8); --! Constante de taille pour un mot de donnee +port( + clk : in std_logic; --! Horloge a 25Mhz du systeme + reset : in std_logic; --! Reset du systeme + TXD : out std_logic; --! Transmission, cote PC + RXD : in std_logic; --! Reception, cote PC + Capture : in std_logic; --! "Reset" cible pour le generateur de bauds, ici indissocie du reset global + NwDat : out std_logic; --! Flag, Nouvelle donnee presente + ACK : in std_logic; --! Flag, Reponse au flag precedent + Send : in std_logic; --! Flag, Demande d'envoi sur le bus + Sended : out std_logic; --! Flag, Envoi termine + BTrigger : out std_logic_vector(11 downto 0); --! Registre contenant la valeur du diviseur de frequence pour la transmission + RDATA : out std_logic_vector(Data_sz-1 downto 0); --! Mot de donnee en provenance de l'utilisateur + WDATA : in std_logic_vector(Data_sz-1 downto 0) --! Mot de donnee a transmettre a l'utilisateur +); +end entity; + + +--! @details Gestion de la Reception/Transmission donc de la Vectorisation/Serialisation +--! ainsi que la detection et le reglage de le frequence de transmission optimale sur le bus (Generateur de Bauds) +architecture ar_UART of UART is +signal Bclk : std_logic; + +signal RDATA_int : std_logic_vector(Data_sz+1 downto 0); +signal WDATA_int : std_logic_vector(Data_sz+1 downto 0); + +signal TXD_Dummy : std_logic; +signal NwDat_int : std_logic; +signal NwDat_int_reg : std_logic; +signal receive : std_logic; + +begin + + +RDATA <= RDATA_int(8 downto 1); +WDATA_int <= '1' & WDATA & '0'; + +BaudGenerator : BaudGen + port map(clk,reset,Capture,Bclk,RXD,BTrigger); + + +RX_REG : Shift_REG + generic map(Data_sz+2) + port map(clk,Bclk,reset,RXD,TXD_Dummy,receive,NwDat_int,(others => '0'),RDATA_int); + +TX_REG : Shift_REG + generic map(Data_sz+2) + port map(clk,Bclk,reset,'1',TXD,Send,Sended,WDATA_int); + + + +process(clk,reset) +begin + if reset = '0' then + NwDat <= '0'; + elsif clk'event and clk = '1' then + NwDat_int_reg <= NwDat_int; + if RXD = '1' and NwDat_int = '1' then + receive <= '0'; + elsif RXD = '0' then + receive <= '1'; + end if; + if NwDat_int_reg = '0' and NwDat_int = '1' then + NwDat <= '1'; + elsif ack = '1' then + NwDat <= '0'; + end if; + end if; +end process; + +end ar_UART; diff --git a/lib/lpp/lpp_uart/lpp_uart.vhd b/lib/lpp/lpp_uart/lpp_uart.vhd --- a/lib/lpp/lpp_uart/lpp_uart.vhd +++ b/lib/lpp/lpp_uart/lpp_uart.vhd @@ -1,81 +1,97 @@ -library ieee; -use ieee.std_logic_1164.all; -library grlib; -use grlib.amba.all; --- pragma translate_off -use std.textio.all; --- pragma translate_on -library lpp; -use lpp.lpp_amba.all; - -package lpp_uart is - - -component APB_UART is - generic ( - pindex : integer := 0; - paddr : integer := 0; - pmask : integer := 16#fff#; - pirq : integer := 0; - abits : integer := 8; - Data_sz : integer := 8); - port ( - clk : in std_logic; - rst : in std_logic; - apbi : in apb_slv_in_type; - apbo : out apb_slv_out_type; - TXD : out std_logic; - RXD : in std_logic - ); -end component; - - -component UART is -generic(Data_sz : integer := 8); --! Constante de taille pour un mot de donnee -port( - clk : in std_logic; --! Horloge a 25Mhz du systeme - reset : in std_logic; --! Reset du systeme - TXD : out std_logic; --! Transmission, cote PC - RXD : in std_logic; --! Reception, cote PC - Capture : in std_logic; --! "Reset" cible pour le generateur de bauds, ici indissocie du reset global - NwDat : out std_logic; --! Flag, Nouvelle donnee presente - ACK : in std_logic; --! Flag, Reponse au flag precedent - Send : in std_logic; --! Flag, Demande d'envoi sur le bus - Sended : out std_logic; --! Flag, Envoi termine - BTrigger : out std_logic_vector(11 downto 0); --! Registre contenant la valeur du diviseur de frequence pour la transmission - RDATA : out std_logic_vector(Data_sz-1 downto 0); --! Mot de donnee en provenance de l'utilisateur - WDATA : in std_logic_vector(Data_sz-1 downto 0) --! Mot de donnee a transmettre a l'utilisateur -); -end component; - - -component Shift_REG is -generic(Data_sz : integer := 10); -port( - clk : in std_logic; - Sclk : in std_logic; - reset : in std_logic; - SIN : in std_logic; - SOUT : out std_logic; - Serialize : in std_logic; - Serialized : out std_logic; - D : in std_logic_vector(Data_sz-1 downto 0); - Q : out std_logic_vector(Data_sz-1 downto 0) - -); -end component; - - -component BaudGen is -port( - clk : in std_logic; - reset : in std_logic; - Capture : in std_logic; - Bclk : out std_logic; - RXD : in std_logic; - BTrigger : out std_logic_vector(11 downto 0) -); -end component; - - -end lpp_uart; \ No newline at end of file +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +library grlib; +use grlib.amba.all; +-- pragma translate_off +use std.textio.all; +-- pragma translate_on +library lpp; +use lpp.lpp_amba.all; + +package lpp_uart is + +component UART is +generic(Data_sz : integer := 8); --! Constante de taille pour un mot de donnee +port( + clk : in std_logic; --! Horloge a 25Mhz du systeme + reset : in std_logic; --! Reset du systeme + TXD : out std_logic; --! Transmission, cote PC + RXD : in std_logic; --! Reception, cote PC + Capture : in std_logic; --! "Reset" cible pour le generateur de bauds, ici indissocie du reset global + NwDat : out std_logic; --! Flag, Nouvelle donnee presente + ACK : in std_logic; --! Flag, Reponse au flag precedent + Send : in std_logic; --! Flag, Demande d'envoi sur le bus + Sended : out std_logic; --! Flag, Envoi termine + BTrigger : out std_logic_vector(11 downto 0); --! Registre contenant la valeur du diviseur de frequence pour la transmission + RDATA : out std_logic_vector(Data_sz-1 downto 0); --! Mot de donnee en provenance de l'utilisateur + WDATA : in std_logic_vector(Data_sz-1 downto 0) --! Mot de donnee a transmettre a l'utilisateur +); +end component; + + +component Shift_REG is +generic(Data_sz : integer := 10); +port( + clk : in std_logic; + Sclk : in std_logic; + reset : in std_logic; + SIN : in std_logic; + SOUT : out std_logic; + Serialize : in std_logic; + Serialized : out std_logic; + D : in std_logic_vector(Data_sz-1 downto 0); + Q : out std_logic_vector(Data_sz-1 downto 0) + +); +end component; + + +component BaudGen is +port( + clk : in std_logic; + reset : in std_logic; + Capture : in std_logic; + Bclk : out std_logic; + RXD : in std_logic; + BTrigger : out std_logic_vector(11 downto 0) +); +end component; + +component APB_UART is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8; + Data_sz : integer := 8); + port ( + clk : in std_logic; + rst : in std_logic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + TXD : out std_logic; + RXD : in std_logic + ); +end component; + + +end lpp_uart; diff --git a/licenses/GPL_V2/COPYING b/licenses/GPL_V2/COPYING --- a/licenses/GPL_V2/COPYING +++ b/licenses/GPL_V2/COPYING @@ -1,622 +1,281 @@ GNU GENERAL PUBLIC LICENSE - Version 3, 29 June 2007 + Version 2, June 1991 - Copyright (C) 2007 Free Software Foundation, Inc. + Copyright (C) 1989, 1991 Free Software Foundation, Inc., + 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA Everyone is permitted to copy and distribute verbatim copies of this license document, but changing it is not allowed. Preamble - The GNU General Public License is a free, copyleft license for -software and other kinds of works. - - The licenses for most software and other practical works are designed -to take away your freedom to share and change the works. By contrast, -the GNU General Public License is intended to guarantee your freedom to -share and change all versions of a program--to make sure it remains free -software for all its users. We, the Free Software Foundation, use the -GNU General Public License for most of our software; it applies also to -any other work released this way by its authors. You can apply it to + The licenses for most software are designed to take away your +freedom to share and change it. By contrast, the GNU General Public +License is intended to guarantee your freedom to share and change free +software--to make sure the software is free for all its users. This +General Public License applies to most of the Free Software +Foundation's software and to any other program whose authors commit to +using it. (Some other Free Software Foundation software is covered by +the GNU Lesser General Public License instead.) You can apply it to your programs, too. When we speak of free software, we are referring to freedom, not price. Our General Public Licenses are designed to make sure that you have the freedom to distribute copies of free software (and charge for -them if you wish), that you receive source code or can get it if you -want it, that you can change the software or use pieces of it in new -free programs, and that you know you can do these things. +this service if you wish), that you receive source code or can get it +if you want it, that you can change the software or use pieces of it +in new free programs; and that you know you can do these things. - To protect your rights, we need to prevent others from denying you -these rights or asking you to surrender the rights. Therefore, you have -certain responsibilities if you distribute copies of the software, or if -you modify it: responsibilities to respect the freedom of others. + To protect your rights, we need to make restrictions that forbid +anyone to deny you these rights or to ask you to surrender the rights. +These restrictions translate to certain responsibilities for you if you +distribute copies of the software, or if you modify it. For example, if you distribute copies of such a program, whether -gratis or for a fee, you must pass on to the recipients the same -freedoms that you received. You must make sure that they, too, receive -or can get the source code. And you must show them these terms so they -know their rights. +gratis or for a fee, you must give the recipients all the rights that +you have. You must make sure that they, too, receive or can get the +source code. And you must show them these terms so they know their +rights. - Developers that use the GNU GPL protect your rights with two steps: -(1) assert copyright on the software, and (2) offer you this License -giving you legal permission to copy, distribute and/or modify it. - - For the developers' and authors' protection, the GPL clearly explains -that there is no warranty for this free software. For both users' and -authors' sake, the GPL requires that modified versions be marked as -changed, so that their problems will not be attributed erroneously to -authors of previous versions. + We protect your rights with two steps: (1) copyright the software, and +(2) offer you this license which gives you legal permission to copy, +distribute and/or modify the software. - Some devices are designed to deny users access to install or run -modified versions of the software inside them, although the manufacturer -can do so. This is fundamentally incompatible with the aim of -protecting users' freedom to change the software. The systematic -pattern of such abuse occurs in the area of products for individuals to -use, which is precisely where it is most unacceptable. Therefore, we -have designed this version of the GPL to prohibit the practice for those -products. If such problems arise substantially in other domains, we -stand ready to extend this provision to those domains in future versions -of the GPL, as needed to protect the freedom of users. + Also, for each author's protection and ours, we want to make certain +that everyone understands that there is no warranty for this free +software. If the software is modified by someone else and passed on, we +want its recipients to know that what they have is not the original, so +that any problems introduced by others will not reflect on the original +authors' reputations. - Finally, every program is threatened constantly by software patents. -States should not allow patents to restrict development and use of -software on general-purpose computers, but in those that do, we wish to -avoid the special danger that patents applied to a free program could -make it effectively proprietary. To prevent this, the GPL assures that -patents cannot be used to render the program non-free. + Finally, any free program is threatened constantly by software +patents. We wish to avoid the danger that redistributors of a free +program will individually obtain patent licenses, in effect making the +program proprietary. To prevent this, we have made it clear that any +patent must be licensed for everyone's free use or not licensed at all. The precise terms and conditions for copying, distribution and modification follow. - TERMS AND CONDITIONS - - 0. Definitions. - - "This License" refers to version 3 of the GNU General Public License. - - "Copyright" also means copyright-like laws that apply to other kinds of -works, such as semiconductor masks. - - "The Program" refers to any copyrightable work licensed under this -License. Each licensee is addressed as "you". "Licensees" and -"recipients" may be individuals or organizations. - - To "modify" a work means to copy from or adapt all or part of the work -in a fashion requiring copyright permission, other than the making of an -exact copy. The resulting work is called a "modified version" of the -earlier work or a work "based on" the earlier work. - - A "covered work" means either the unmodified Program or a work based -on the Program. + GNU GENERAL PUBLIC LICENSE + TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION - To "propagate" a work means to do anything with it that, without -permission, would make you directly or secondarily liable for -infringement under applicable copyright law, except executing it on a -computer or modifying a private copy. Propagation includes copying, -distribution (with or without modification), making available to the -public, and in some countries other activities as well. - - To "convey" a work means any kind of propagation that enables other -parties to make or receive copies. Mere interaction with a user through -a computer network, with no transfer of a copy, is not conveying. - - An interactive user interface displays "Appropriate Legal Notices" -to the extent that it includes a convenient and prominently visible -feature that (1) displays an appropriate copyright notice, and (2) -tells the user that there is no warranty for the work (except to the -extent that warranties are provided), that licensees may convey the -work under this License, and how to view a copy of this License. If -the interface presents a list of user commands or options, such as a -menu, a prominent item in the list meets this criterion. - - 1. Source Code. + 0. This License applies to any program or other work which contains +a notice placed by the copyright holder saying it may be distributed +under the terms of this General Public License. The "Program", below, +refers to any such program or work, and a "work based on the Program" +means either the Program or any derivative work under copyright law: +that is to say, a work containing the Program or a portion of it, +either verbatim or with modifications and/or translated into another +language. (Hereinafter, translation is included without limitation in +the term "modification".) Each licensee is addressed as "you". - The "source code" for a work means the preferred form of the work -for making modifications to it. "Object code" means any non-source -form of a work. - - A "Standard Interface" means an interface that either is an official -standard defined by a recognized standards body, or, in the case of -interfaces specified for a particular programming language, one that -is widely used among developers working in that language. - - The "System Libraries" of an executable work include anything, other -than the work as a whole, that (a) is included in the normal form of -packaging a Major Component, but which is not part of that Major -Component, and (b) serves only to enable use of the work with that -Major Component, or to implement a Standard Interface for which an -implementation is available to the public in source code form. A -"Major Component", in this context, means a major essential component -(kernel, window system, and so on) of the specific operating system -(if any) on which the executable work runs, or a compiler used to -produce the work, or an object code interpreter used to run it. +Activities other than copying, distribution and modification are not +covered by this License; they are outside its scope. The act of +running the Program is not restricted, and the output from the Program +is covered only if its contents constitute a work based on the +Program (independent of having been made by running the Program). +Whether that is true depends on what the Program does. - The "Corresponding Source" for a work in object code form means all -the source code needed to generate, install, and (for an executable -work) run the object code and to modify the work, including scripts to -control those activities. However, it does not include the work's -System Libraries, or general-purpose tools or generally available free -programs which are used unmodified in performing those activities but -which are not part of the work. For example, Corresponding Source -includes interface definition files associated with source files for -the work, and the source code for shared libraries and dynamically -linked subprograms that the work is specifically designed to require, -such as by intimate data communication or control flow between those -subprograms and other parts of the work. + 1. You may copy and distribute verbatim copies of the Program's +source code as you receive it, in any medium, provided that you +conspicuously and appropriately publish on each copy an appropriate +copyright notice and disclaimer of warranty; keep intact all the +notices that refer to this License and to the absence of any warranty; +and give any other recipients of the Program a copy of this License +along with the Program. - The Corresponding Source need not include anything that users -can regenerate automatically from other parts of the Corresponding -Source. - - The Corresponding Source for a work in source code form is that -same work. - - 2. Basic Permissions. - - All rights granted under this License are granted for the term of -copyright on the Program, and are irrevocable provided the stated -conditions are met. This License explicitly affirms your unlimited -permission to run the unmodified Program. The output from running a -covered work is covered by this License only if the output, given its -content, constitutes a covered work. This License acknowledges your -rights of fair use or other equivalent, as provided by copyright law. +You may charge a fee for the physical act of transferring a copy, and +you may at your option offer warranty protection in exchange for a fee. - You may make, run and propagate covered works that you do not -convey, without conditions so long as your license otherwise remains -in force. You may convey covered works to others for the sole purpose -of having them make modifications exclusively for you, or provide you -with facilities for running those works, provided that you comply with -the terms of this License in conveying all material for which you do -not control copyright. Those thus making or running the covered works -for you must do so exclusively on your behalf, under your direction -and control, on terms that prohibit them from making any copies of -your copyrighted material outside their relationship with you. - - Conveying under any other circumstances is permitted solely under -the conditions stated below. Sublicensing is not allowed; section 10 -makes it unnecessary. - - 3. Protecting Users' Legal Rights From Anti-Circumvention Law. - - No covered work shall be deemed part of an effective technological -measure under any applicable law fulfilling obligations under article -11 of the WIPO copyright treaty adopted on 20 December 1996, or -similar laws prohibiting or restricting circumvention of such -measures. + 2. You may modify your copy or copies of the Program or any portion +of it, thus forming a work based on the Program, and copy and +distribute such modifications or work under the terms of Section 1 +above, provided that you also meet all of these conditions: - When you convey a covered work, you waive any legal power to forbid -circumvention of technological measures to the extent such circumvention -is effected by exercising rights under this License with respect to -the covered work, and you disclaim any intention to limit operation or -modification of the work as a means of enforcing, against the work's -users, your or third parties' legal rights to forbid circumvention of -technological measures. - - 4. Conveying Verbatim Copies. + a) You must cause the modified files to carry prominent notices + stating that you changed the files and the date of any change. - You may convey verbatim copies of the Program's source code as you -receive it, in any medium, provided that you conspicuously and -appropriately publish on each copy an appropriate copyright notice; -keep intact all notices stating that this License and any -non-permissive terms added in accord with section 7 apply to the code; -keep intact all notices of the absence of any warranty; and give all -recipients a copy of this License along with the Program. - - You may charge any price or no price for each copy that you convey, -and you may offer support or warranty protection for a fee. - - 5. Conveying Modified Source Versions. - - You may convey a work based on the Program, or the modifications to -produce it from the Program, in the form of source code under the -terms of section 4, provided that you also meet all of these conditions: - - a) The work must carry prominent notices stating that you modified - it, and giving a relevant date. + b) You must cause any work that you distribute or publish, that in + whole or in part contains or is derived from the Program or any + part thereof, to be licensed as a whole at no charge to all third + parties under the terms of this License. - b) The work must carry prominent notices stating that it is - released under this License and any conditions added under section - 7. This requirement modifies the requirement in section 4 to - "keep intact all notices". - - c) You must license the entire work, as a whole, under this - License to anyone who comes into possession of a copy. This - License will therefore apply, along with any applicable section 7 - additional terms, to the whole of the work, and all its parts, - regardless of how they are packaged. This License gives no - permission to license the work in any other way, but it does not - invalidate such permission if you have separately received it. - - d) If the work has interactive user interfaces, each must display - Appropriate Legal Notices; however, if the Program has interactive - interfaces that do not display Appropriate Legal Notices, your - work need not make them do so. - - A compilation of a covered work with other separate and independent -works, which are not by their nature extensions of the covered work, -and which are not combined with it such as to form a larger program, -in or on a volume of a storage or distribution medium, is called an -"aggregate" if the compilation and its resulting copyright are not -used to limit the access or legal rights of the compilation's users -beyond what the individual works permit. Inclusion of a covered work -in an aggregate does not cause this License to apply to the other -parts of the aggregate. + c) If the modified program normally reads commands interactively + when run, you must cause it, when started running for such + interactive use in the most ordinary way, to print or display an + announcement including an appropriate copyright notice and a + notice that there is no warranty (or else, saying that you provide + a warranty) and that users may redistribute the program under + these conditions, and telling the user how to view a copy of this + License. (Exception: if the Program itself is interactive but + does not normally print such an announcement, your work based on + the Program is not required to print an announcement.) - 6. Conveying Non-Source Forms. - - You may convey a covered work in object code form under the terms -of sections 4 and 5, provided that you also convey the -machine-readable Corresponding Source under the terms of this License, -in one of these ways: - - a) Convey the object code in, or embodied in, a physical product - (including a physical distribution medium), accompanied by the - Corresponding Source fixed on a durable physical medium - customarily used for software interchange. - - b) Convey the object code in, or embodied in, a physical product - (including a physical distribution medium), accompanied by a - written offer, valid for at least three years and valid for as - long as you offer spare parts or customer support for that product - model, to give anyone who possesses the object code either (1) a - copy of the Corresponding Source for all the software in the - product that is covered by this License, on a durable physical - medium customarily used for software interchange, for a price no - more than your reasonable cost of physically performing this - conveying of source, or (2) access to copy the - Corresponding Source from a network server at no charge. - - c) Convey individual copies of the object code with a copy of the - written offer to provide the Corresponding Source. This - alternative is allowed only occasionally and noncommercially, and - only if you received the object code with such an offer, in accord - with subsection 6b. +These requirements apply to the modified work as a whole. If +identifiable sections of that work are not derived from the Program, +and can be reasonably considered independent and separate works in +themselves, then this License, and its terms, do not apply to those +sections when you distribute them as separate works. But when you +distribute the same sections as part of a whole which is a work based +on the Program, the distribution of the whole must be on the terms of +this License, whose permissions for other licensees extend to the +entire whole, and thus to each and every part regardless of who wrote it. - d) Convey the object code by offering access from a designated - place (gratis or for a charge), and offer equivalent access to the - Corresponding Source in the same way through the same place at no - further charge. You need not require recipients to copy the - Corresponding Source along with the object code. If the place to - copy the object code is a network server, the Corresponding Source - may be on a different server (operated by you or a third party) - that supports equivalent copying facilities, provided you maintain - clear directions next to the object code saying where to find the - Corresponding Source. Regardless of what server hosts the - Corresponding Source, you remain obligated to ensure that it is - available for as long as needed to satisfy these requirements. - - e) Convey the object code using peer-to-peer transmission, provided - you inform other peers where the object code and Corresponding - Source of the work are being offered to the general public at no - charge under subsection 6d. +Thus, it is not the intent of this section to claim rights or contest +your rights to work written entirely by you; rather, the intent is to +exercise the right to control the distribution of derivative or +collective works based on the Program. - A separable portion of the object code, whose source code is excluded -from the Corresponding Source as a System Library, need not be -included in conveying the object code work. +In addition, mere aggregation of another work not based on the Program +with the Program (or with a work based on the Program) on a volume of +a storage or distribution medium does not bring the other work under +the scope of this License. - A "User Product" is either (1) a "consumer product", which means any -tangible personal property which is normally used for personal, family, -or household purposes, or (2) anything designed or sold for incorporation -into a dwelling. In determining whether a product is a consumer product, -doubtful cases shall be resolved in favor of coverage. For a particular -product received by a particular user, "normally used" refers to a -typical or common use of that class of product, regardless of the status -of the particular user or of the way in which the particular user -actually uses, or expects or is expected to use, the product. A product -is a consumer product regardless of whether the product has substantial -commercial, industrial or non-consumer uses, unless such uses represent -the only significant mode of use of the product. - - "Installation Information" for a User Product means any methods, -procedures, authorization keys, or other information required to install -and execute modified versions of a covered work in that User Product from -a modified version of its Corresponding Source. The information must -suffice to ensure that the continued functioning of the modified object -code is in no case prevented or interfered with solely because -modification has been made. + 3. You may copy and distribute the Program (or a work based on it, +under Section 2) in object code or executable form under the terms of +Sections 1 and 2 above provided that you also do one of the following: - If you convey an object code work under this section in, or with, or -specifically for use in, a User Product, and the conveying occurs as -part of a transaction in which the right of possession and use of the -User Product is transferred to the recipient in perpetuity or for a -fixed term (regardless of how the transaction is characterized), the -Corresponding Source conveyed under this section must be accompanied -by the Installation Information. But this requirement does not apply -if neither you nor any third party retains the ability to install -modified object code on the User Product (for example, the work has -been installed in ROM). - - The requirement to provide Installation Information does not include a -requirement to continue to provide support service, warranty, or updates -for a work that has been modified or installed by the recipient, or for -the User Product in which it has been modified or installed. Access to a -network may be denied when the modification itself materially and -adversely affects the operation of the network or violates the rules and -protocols for communication across the network. - - Corresponding Source conveyed, and Installation Information provided, -in accord with this section must be in a format that is publicly -documented (and with an implementation available to the public in -source code form), and must require no special password or key for -unpacking, reading or copying. - - 7. Additional Terms. + a) Accompany it with the complete corresponding machine-readable + source code, which must be distributed under the terms of Sections + 1 and 2 above on a medium customarily used for software interchange; or, - "Additional permissions" are terms that supplement the terms of this -License by making exceptions from one or more of its conditions. -Additional permissions that are applicable to the entire Program shall -be treated as though they were included in this License, to the extent -that they are valid under applicable law. If additional permissions -apply only to part of the Program, that part may be used separately -under those permissions, but the entire Program remains governed by -this License without regard to the additional permissions. - - When you convey a copy of a covered work, you may at your option -remove any additional permissions from that copy, or from any part of -it. (Additional permissions may be written to require their own -removal in certain cases when you modify the work.) You may place -additional permissions on material, added by you to a covered work, -for which you have or can give appropriate copyright permission. + b) Accompany it with a written offer, valid for at least three + years, to give any third party, for a charge no more than your + cost of physically performing source distribution, a complete + machine-readable copy of the corresponding source code, to be + distributed under the terms of Sections 1 and 2 above on a medium + customarily used for software interchange; or, - Notwithstanding any other provision of this License, for material you -add to a covered work, you may (if authorized by the copyright holders of -that material) supplement the terms of this License with terms: - - a) Disclaiming warranty or limiting liability differently from the - terms of sections 15 and 16 of this License; or - - b) Requiring preservation of specified reasonable legal notices or - author attributions in that material or in the Appropriate Legal - Notices displayed by works containing it; or - - c) Prohibiting misrepresentation of the origin of that material, or - requiring that modified versions of such material be marked in - reasonable ways as different from the original version; or - - d) Limiting the use for publicity purposes of names of licensors or - authors of the material; or + c) Accompany it with the information you received as to the offer + to distribute corresponding source code. (This alternative is + allowed only for noncommercial distribution and only if you + received the program in object code or executable form with such + an offer, in accord with Subsection b above.) - e) Declining to grant rights under trademark law for use of some - trade names, trademarks, or service marks; or - - f) Requiring indemnification of licensors and authors of that - material by anyone who conveys the material (or modified versions of - it) with contractual assumptions of liability to the recipient, for - any liability that these contractual assumptions directly impose on - those licensors and authors. - - All other non-permissive additional terms are considered "further -restrictions" within the meaning of section 10. If the Program as you -received it, or any part of it, contains a notice stating that it is -governed by this License along with a term that is a further -restriction, you may remove that term. If a license document contains -a further restriction but permits relicensing or conveying under this -License, you may add to a covered work material governed by the terms -of that license document, provided that the further restriction does -not survive such relicensing or conveying. - - If you add terms to a covered work in accord with this section, you -must place, in the relevant source files, a statement of the -additional terms that apply to those files, or a notice indicating -where to find the applicable terms. +The source code for a work means the preferred form of the work for +making modifications to it. For an executable work, complete source +code means all the source code for all modules it contains, plus any +associated interface definition files, plus the scripts used to +control compilation and installation of the executable. However, as a +special exception, the source code distributed need not include +anything that is normally distributed (in either source or binary +form) with the major components (compiler, kernel, and so on) of the +operating system on which the executable runs, unless that component +itself accompanies the executable. - Additional terms, permissive or non-permissive, may be stated in the -form of a separately written license, or stated as exceptions; -the above requirements apply either way. - - 8. Termination. - - You may not propagate or modify a covered work except as expressly -provided under this License. Any attempt otherwise to propagate or -modify it is void, and will automatically terminate your rights under -this License (including any patent licenses granted under the third -paragraph of section 11). - - However, if you cease all violation of this License, then your -license from a particular copyright holder is reinstated (a) -provisionally, unless and until the copyright holder explicitly and -finally terminates your license, and (b) permanently, if the copyright -holder fails to notify you of the violation by some reasonable means -prior to 60 days after the cessation. - - Moreover, your license from a particular copyright holder is -reinstated permanently if the copyright holder notifies you of the -violation by some reasonable means, this is the first time you have -received notice of violation of this License (for any work) from that -copyright holder, and you cure the violation prior to 30 days after -your receipt of the notice. +If distribution of executable or object code is made by offering +access to copy from a designated place, then offering equivalent +access to copy the source code from the same place counts as +distribution of the source code, even though third parties are not +compelled to copy the source along with the object code. - Termination of your rights under this section does not terminate the -licenses of parties who have received copies or rights from you under -this License. If your rights have been terminated and not permanently -reinstated, you do not qualify to receive new licenses for the same -material under section 10. - - 9. Acceptance Not Required for Having Copies. - - You are not required to accept this License in order to receive or -run a copy of the Program. Ancillary propagation of a covered work -occurring solely as a consequence of using peer-to-peer transmission -to receive a copy likewise does not require acceptance. However, -nothing other than this License grants you permission to propagate or -modify any covered work. These actions infringe copyright if you do -not accept this License. Therefore, by modifying or propagating a -covered work, you indicate your acceptance of this License to do so. - - 10. Automatic Licensing of Downstream Recipients. - - Each time you convey a covered work, the recipient automatically -receives a license from the original licensors, to run, modify and -propagate that work, subject to this License. You are not responsible -for enforcing compliance by third parties with this License. + 4. You may not copy, modify, sublicense, or distribute the Program +except as expressly provided under this License. Any attempt +otherwise to copy, modify, sublicense or distribute the Program is +void, and will automatically terminate your rights under this License. +However, parties who have received copies, or rights, from you under +this License will not have their licenses terminated so long as such +parties remain in full compliance. - An "entity transaction" is a transaction transferring control of an -organization, or substantially all assets of one, or subdividing an -organization, or merging organizations. If propagation of a covered -work results from an entity transaction, each party to that -transaction who receives a copy of the work also receives whatever -licenses to the work the party's predecessor in interest had or could -give under the previous paragraph, plus a right to possession of the -Corresponding Source of the work from the predecessor in interest, if -the predecessor has it or can get it with reasonable efforts. + 5. You are not required to accept this License, since you have not +signed it. However, nothing else grants you permission to modify or +distribute the Program or its derivative works. These actions are +prohibited by law if you do not accept this License. Therefore, by +modifying or distributing the Program (or any work based on the +Program), you indicate your acceptance of this License to do so, and +all its terms and conditions for copying, distributing or modifying +the Program or works based on it. - You may not impose any further restrictions on the exercise of the -rights granted or affirmed under this License. For example, you may -not impose a license fee, royalty, or other charge for exercise of -rights granted under this License, and you may not initiate litigation -(including a cross-claim or counterclaim in a lawsuit) alleging that -any patent claim is infringed by making, using, selling, offering for -sale, or importing the Program or any portion of it. - - 11. Patents. - - A "contributor" is a copyright holder who authorizes use under this -License of the Program or a work on which the Program is based. The -work thus licensed is called the contributor's "contributor version". - - A contributor's "essential patent claims" are all patent claims -owned or controlled by the contributor, whether already acquired or -hereafter acquired, that would be infringed by some manner, permitted -by this License, of making, using, or selling its contributor version, -but do not include claims that would be infringed only as a -consequence of further modification of the contributor version. For -purposes of this definition, "control" includes the right to grant -patent sublicenses in a manner consistent with the requirements of + 6. Each time you redistribute the Program (or any work based on the +Program), the recipient automatically receives a license from the +original licensor to copy, distribute or modify the Program subject to +these terms and conditions. You may not impose any further +restrictions on the recipients' exercise of the rights granted herein. +You are not responsible for enforcing compliance by third parties to this License. - Each contributor grants you a non-exclusive, worldwide, royalty-free -patent license under the contributor's essential patent claims, to -make, use, sell, offer for sale, import and otherwise run, modify and -propagate the contents of its contributor version. - - In the following three paragraphs, a "patent license" is any express -agreement or commitment, however denominated, not to enforce a patent -(such as an express permission to practice a patent or covenant not to -sue for patent infringement). To "grant" such a patent license to a -party means to make such an agreement or commitment not to enforce a -patent against the party. + 7. If, as a consequence of a court judgment or allegation of patent +infringement or for any other reason (not limited to patent issues), +conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot +distribute so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you +may not distribute the Program at all. For example, if a patent +license would not permit royalty-free redistribution of the Program by +all those who receive copies directly or indirectly through you, then +the only way you could satisfy both it and this License would be to +refrain entirely from distribution of the Program. - If you convey a covered work, knowingly relying on a patent license, -and the Corresponding Source of the work is not available for anyone -to copy, free of charge and under the terms of this License, through a -publicly available network server or other readily accessible means, -then you must either (1) cause the Corresponding Source to be so -available, or (2) arrange to deprive yourself of the benefit of the -patent license for this particular work, or (3) arrange, in a manner -consistent with the requirements of this License, to extend the patent -license to downstream recipients. "Knowingly relying" means you have -actual knowledge that, but for the patent license, your conveying the -covered work in a country, or your recipient's use of the covered work -in a country, would infringe one or more identifiable patents in that -country that you have reason to believe are valid. - - If, pursuant to or in connection with a single transaction or -arrangement, you convey, or propagate by procuring conveyance of, a -covered work, and grant a patent license to some of the parties -receiving the covered work authorizing them to use, propagate, modify -or convey a specific copy of the covered work, then the patent license -you grant is automatically extended to all recipients of the covered -work and works based on it. +If any portion of this section is held invalid or unenforceable under +any particular circumstance, the balance of the section is intended to +apply and the section as a whole is intended to apply in other +circumstances. - A patent license is "discriminatory" if it does not include within -the scope of its coverage, prohibits the exercise of, or is -conditioned on the non-exercise of one or more of the rights that are -specifically granted under this License. You may not convey a covered -work if you are a party to an arrangement with a third party that is -in the business of distributing software, under which you make payment -to the third party based on the extent of your activity of conveying -the work, and under which the third party grants, to any of the -parties who would receive the covered work from you, a discriminatory -patent license (a) in connection with copies of the covered work -conveyed by you (or copies made from those copies), or (b) primarily -for and in connection with specific products or compilations that -contain the covered work, unless you entered into that arrangement, -or that patent license was granted, prior to 28 March 2007. - - Nothing in this License shall be construed as excluding or limiting -any implied license or other defenses to infringement that may -otherwise be available to you under applicable patent law. - - 12. No Surrender of Others' Freedom. +It is not the purpose of this section to induce you to infringe any +patents or other property right claims or to contest validity of any +such claims; this section has the sole purpose of protecting the +integrity of the free software distribution system, which is +implemented by public license practices. Many people have made +generous contributions to the wide range of software distributed +through that system in reliance on consistent application of that +system; it is up to the author/donor to decide if he or she is willing +to distribute software through any other system and a licensee cannot +impose that choice. - If conditions are imposed on you (whether by court order, agreement or -otherwise) that contradict the conditions of this License, they do not -excuse you from the conditions of this License. If you cannot convey a -covered work so as to satisfy simultaneously your obligations under this -License and any other pertinent obligations, then as a consequence you may -not convey it at all. For example, if you agree to terms that obligate you -to collect a royalty for further conveying from those to whom you convey -the Program, the only way you could satisfy both those terms and this -License would be to refrain entirely from conveying the Program. - - 13. Use with the GNU Affero General Public License. +This section is intended to make thoroughly clear what is believed to +be a consequence of the rest of this License. - Notwithstanding any other provision of this License, you have -permission to link or combine any covered work with a work licensed -under version 3 of the GNU Affero General Public License into a single -combined work, and to convey the resulting work. The terms of this -License will continue to apply to the part which is the covered work, -but the special requirements of the GNU Affero General Public License, -section 13, concerning interaction through a network will apply to the -combination as such. + 8. If the distribution and/or use of the Program is restricted in +certain countries either by patents or by copyrighted interfaces, the +original copyright holder who places the Program under this License +may add an explicit geographical distribution limitation excluding +those countries, so that distribution is permitted only in or among +countries not thus excluded. In such case, this License incorporates +the limitation as if written in the body of this License. - 14. Revised Versions of this License. - - The Free Software Foundation may publish revised and/or new versions of -the GNU General Public License from time to time. Such new versions will + 9. The Free Software Foundation may publish revised and/or new versions +of the General Public License from time to time. Such new versions will be similar in spirit to the present version, but may differ in detail to address new problems or concerns. - Each version is given a distinguishing version number. If the -Program specifies that a certain numbered version of the GNU General -Public License "or any later version" applies to it, you have the -option of following the terms and conditions either of that numbered -version or of any later version published by the Free Software -Foundation. If the Program does not specify a version number of the -GNU General Public License, you may choose any version ever published -by the Free Software Foundation. +Each version is given a distinguishing version number. If the Program +specifies a version number of this License which applies to it and "any +later version", you have the option of following the terms and conditions +either of that version or of any later version published by the Free +Software Foundation. If the Program does not specify a version number of +this License, you may choose any version ever published by the Free Software +Foundation. - If the Program specifies that a proxy can decide which future -versions of the GNU General Public License can be used, that proxy's -public statement of acceptance of a version permanently authorizes you -to choose that version for the Program. + 10. If you wish to incorporate parts of the Program into other free +programs whose distribution conditions are different, write to the author +to ask for permission. For software which is copyrighted by the Free +Software Foundation, write to the Free Software Foundation; we sometimes +make exceptions for this. Our decision will be guided by the two goals +of preserving the free status of all derivatives of our free software and +of promoting the sharing and reuse of software generally. - Later license versions may give you additional or different -permissions. However, no additional obligations are imposed on any -author or copyright holder as a result of your choosing to follow a -later version. - - 15. Disclaimer of Warranty. + NO WARRANTY - THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY -APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT -HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY -OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, -THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM -IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF -ALL NECESSARY SERVICING, REPAIR OR CORRECTION. - - 16. Limitation of Liability. + 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY +FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN +OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES +PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED +OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF +MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS +TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE +PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, +REPAIR OR CORRECTION. - IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING -WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS -THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY -GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE -USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF -DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD -PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), -EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF -SUCH DAMAGES. - - 17. Interpretation of Sections 15 and 16. - - If the disclaimer of warranty and limitation of liability provided -above cannot be given local legal effect according to their terms, -reviewing courts shall apply local law that most closely approximates -an absolute waiver of all civil liability in connection with the -Program, unless a warranty or assumption of liability accompanies a -copy of the Program in return for a fee. + 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR +REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, +INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING +OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED +TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY +YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER +PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE +POSSIBILITY OF SUCH DAMAGES. END OF TERMS AND CONDITIONS @@ -628,15 +287,15 @@ free software which everyone can redistr To do so, attach the following notices to the program. It is safest to attach them to the start of each source file to most effectively -state the exclusion of warranty; and each file should have at least +convey the exclusion of warranty; and each file should have at least the "copyright" line and a pointer to where the full notice is found. Copyright (C) - This program is free software: you can redistribute it and/or modify + This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by - the Free Software Foundation, either version 3 of the License, or + the Free Software Foundation; either version 2 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful, @@ -644,31 +303,37 @@ the "copyright" line and a pointer to wh MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details. - You should have received a copy of the GNU General Public License - along with this program. If not, see . + You should have received a copy of the GNU General Public License along + with this program; if not, write to the Free Software Foundation, Inc., + 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. Also add information on how to contact you by electronic and paper mail. - If the program does terminal interaction, make it output a short -notice like this when it starts in an interactive mode: +If the program is interactive, make it output a short notice like this +when it starts in an interactive mode: - Copyright (C) - This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + Gnomovision version 69, Copyright (C) year name of author + Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. This is free software, and you are welcome to redistribute it under certain conditions; type `show c' for details. The hypothetical commands `show w' and `show c' should show the appropriate -parts of the General Public License. Of course, your program's commands -might be different; for a GUI interface, you would use an "about box". +parts of the General Public License. Of course, the commands you use may +be called something other than `show w' and `show c'; they could even be +mouse-clicks or menu items--whatever suits your program. + +You should also get your employer (if you work as a programmer) or your +school, if any, to sign a "copyright disclaimer" for the program, if +necessary. Here is a sample; alter the names: - You should also get your employer (if you work as a programmer) or school, -if any, to sign a "copyright disclaimer" for the program, if necessary. -For more information on this, and how to apply and follow the GNU GPL, see -. + Yoyodyne, Inc., hereby disclaims all copyright interest in the program + `Gnomovision' (which makes passes at compilers) written by James Hacker. + + , 1 April 1989 + Ty Coon, President of Vice - The GNU General Public License does not permit incorporating your program -into proprietary programs. If your program is a subroutine library, you -may consider it more useful to permit linking proprietary applications with -the library. If this is what you want to do, use the GNU Lesser General -Public License instead of this License. But first, please read -. +This General Public License does not permit incorporating your program into +proprietary programs. If your program is a subroutine library, you may +consider it more useful to permit linking proprietary applications with the +library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. diff --git a/licenses/GPL_V3/COPYING b/licenses/GPL_V3/COPYING new file mode 100644 --- /dev/null +++ b/licenses/GPL_V3/COPYING @@ -0,0 +1,674 @@ + GNU GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + + The precise terms and conditions for copying, distribution and +modification follow. + + TERMS AND CONDITIONS + + 0. Definitions. + + "This License" refers to version 3 of the GNU General Public License. + + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. + + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. + + A "covered work" means either the unmodified Program or a work based +on the Program. + + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + + 1. Source Code. + + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. + + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + + The Corresponding Source for a work in source code form is that +same work. + + 2. Basic Permissions. + + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + + 13. Use with the GNU Affero General Public License. + + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + + 14. Revised Versions of this License. + + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + + 15. Disclaimer of Warranty. + + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software: you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation, either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program. If not, see . + +Also add information on how to contact you by electronic and paper mail. + + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + + Copyright (C) + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". + + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. + + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +. diff --git a/licenses/GPL_V3/cHEADER b/licenses/GPL_V3/cHEADER new file mode 100644 --- /dev/null +++ b/licenses/GPL_V3/cHEADER @@ -0,0 +1,18 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ diff --git a/licenses/GPL_V3/hHEADER b/licenses/GPL_V3/hHEADER new file mode 100644 --- /dev/null +++ b/licenses/GPL_V3/hHEADER @@ -0,0 +1,18 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ diff --git a/licenses/GPL_V3/vhdHEADER b/licenses/GPL_V3/vhdHEADER new file mode 100644 --- /dev/null +++ b/licenses/GPL_V3/vhdHEADER @@ -0,0 +1,18 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- diff --git a/scripts/Fille_info_Patcher.sh b/scripts/Fille_info_Patcher.sh --- a/scripts/Fille_info_Patcher.sh +++ b/scripts/Fille_info_Patcher.sh @@ -9,7 +9,7 @@ echo '---------------------------------- This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or + the Free Software Foundation; either version 3 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful, diff --git a/scripts/GPL_Patcher.sh b/scripts/GPL_Patcher.sh --- a/scripts/GPL_Patcher.sh +++ b/scripts/GPL_Patcher.sh @@ -9,7 +9,7 @@ echo '---------------------------------- This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or + the Free Software Foundation; either version 3 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful, @@ -33,15 +33,20 @@ echo LPP_PATCHPATH=`pwd -L` +cd $LPP_PATCHPATH/$3 + +echo $LPP_PATCHPATH/$3 +echo $LPP_PATCHPATH + case $1 in -R | --recursive ) - for file in $(find . -name '*.vhd') + for file in $(find . -name *.$2) do - if(grep -q "This program is free software" $file); then + if(grep -q "This program is free software" $file); then echo "$file already contains GPL HEADER" else echo "Modifying file : $file" - more $LPP_PATCHPATH/licenses/GPL_V2/HEADER >> $file.tmp + more $LPP_PATCHPATH/licenses/GPL_V3/${2}HEADER >> $file.tmp cat $file >> $file.tmp mv $file.tmp $file fi @@ -50,18 +55,22 @@ case $1 in -h | --help | --h | -help) echo 'Help: This script add a GPL HEADER in all vhdl files. - + usage: sh GPL_Patcher.sh [-R] [extension] [path] -R or --recurcive: - Analyse recurcively folders starting from $LPP_PATCHPATH' + Analyse recurcively folders starting from $LPP_PATCHPATH + extension + for example vhd,h,c + path + starting path' ;; * ) - for file in $(ls *.vhd) + for file in $(ls *.$2) do if(grep -q "This program is free software" $file); then echo "$file already contains GPL HEADER" else echo "Modifying file : $file" - more $LPP_PATCHPATH/licenses/GPL_V2/HEADER >> $file.tmp + more $LPP_PATCHPATH/licenses/GPL_V3/${2}HEADER >> $file.tmp cat $file >> $file.tmp mv $file.tmp $file fi @@ -70,3 +79,4 @@ case $1 in esac +cd $LPP_PATCHPATH diff --git a/scripts/doc.sh b/scripts/doc.sh new file mode 100644 --- /dev/null +++ b/scripts/doc.sh @@ -0,0 +1,33 @@ +echo "=======================================================================================" +echo "---------------------------------------------------------------------------------------" +echo " PDF Doc generator " +echo " Copyright (C) 2010 Laboratory of Plasmas Physic. " +echo "=======================================================================================" +echo '---------------------------------------------------------------------------------------- + This file is a part of the LPP VHDL IP LIBRARY + Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +----------------------------------------------------------------------------------------' +echo +echo +echo + + + + +cd doc/latex +make +cp refman.pdf ../../VHD_lib.pdf diff --git a/scripts/makeDirs.sh b/scripts/makeDirs.sh --- a/scripts/makeDirs.sh +++ b/scripts/makeDirs.sh @@ -9,7 +9,7 @@ echo '---------------------------------- This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or + the Free Software Foundation; either version 3 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful, diff --git a/scripts/patch.sh b/scripts/patch.sh --- a/scripts/patch.sh +++ b/scripts/patch.sh @@ -9,7 +9,7 @@ echo '---------------------------------- -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or +-- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, diff --git a/scripts/patchboards.sh b/scripts/patchboards.sh --- a/scripts/patchboards.sh +++ b/scripts/patchboards.sh @@ -9,7 +9,7 @@ echo '---------------------------------- This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or + the Free Software Foundation; either version 3 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful, diff --git a/scripts/patchdesigns.sh b/scripts/patchdesigns.sh --- a/scripts/patchdesigns.sh +++ b/scripts/patchdesigns.sh @@ -9,7 +9,7 @@ echo '---------------------------------- This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or + the Free Software Foundation; either version 3 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful, diff --git a/scripts/patchlibs.sh b/scripts/patchlibs.sh --- a/scripts/patchlibs.sh +++ b/scripts/patchlibs.sh @@ -9,7 +9,7 @@ echo '---------------------------------- This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or + the Free Software Foundation; either version 3 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful, diff --git a/scripts/vhdlsynPatcher.sh b/scripts/vhdlsynPatcher.sh --- a/scripts/vhdlsynPatcher.sh +++ b/scripts/vhdlsynPatcher.sh @@ -9,7 +9,7 @@ echo '---------------------------------- This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or + the Free Software Foundation; either version 3 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful,