diff --git a/lib/lpp/general_purpose/general_purpose.vhd b/lib/lpp/general_purpose/general_purpose.vhd --- a/lib/lpp/general_purpose/general_purpose.vhd +++ b/lib/lpp/general_purpose/general_purpose.vhd @@ -111,7 +111,6 @@ Constant ctrl_CLRMAC : std_logic_vector( GENERIC( Input_SZ_A : INTEGER := 8; Input_SZ_B : INTEGER := 8 - ); PORT( clk : IN STD_LOGIC; diff --git a/lib/lpp/general_purpose/vhdlsyn.txt b/lib/lpp/general_purpose/vhdlsyn.txt --- a/lib/lpp/general_purpose/vhdlsyn.txt +++ b/lib/lpp/general_purpose/vhdlsyn.txt @@ -15,3 +15,4 @@ REG.vhd SYNC_FF.vhd Shifter.vhd general_purpose.vhd +TwoComplementer.vhd