open_project ./top.psp compile synthesize save_impl