(edif leon3mp (edifVersion 2 0 0) (edifLevel 0) (keywordMap (keywordLevel 0)) (status (written (timeStamp 2012 7 24 16 59 53) (author "Synopsys, Inc.") (program "Synplify Pro" (version "E-2010.09A-1, mapper mapact, Build 023R")) ) ) (library PA3 (edifLevel 0) (technology (numberDefinition )) (cell RAM512X18 (cellType GENERIC) (property MEMORYFILE (string "")) (property dont_use (integer 1)) (property dont_touch (string "true")) (property interface_timing (string "true")) (view prim (viewType NETLIST) (interface (port RD0 (direction OUTPUT) ) (port RD1 (direction OUTPUT) ) (port RD2 (direction OUTPUT) ) (port RD3 (direction OUTPUT) ) (port RD4 (direction OUTPUT) ) (port RD5 (direction OUTPUT) ) (port RD6 (direction OUTPUT) ) (port RD7 (direction OUTPUT) ) (port RD8 (direction OUTPUT) ) (port RD9 (direction OUTPUT) ) (port RD10 (direction OUTPUT) ) (port RD11 (direction OUTPUT) ) (port RD12 (direction OUTPUT) ) (port RD13 (direction OUTPUT) ) (port RD14 (direction OUTPUT) ) (port RD15 (direction OUTPUT) ) (port RD16 (direction OUTPUT) ) (port RD17 (direction OUTPUT) ) (port PIPE (direction INPUT) ) (port RADDR0 (direction INPUT) ) (port RADDR1 (direction INPUT) ) (port RADDR2 (direction INPUT) ) (port RADDR3 (direction INPUT) ) (port RADDR4 (direction INPUT) ) (port RADDR5 (direction INPUT) ) (port RADDR6 (direction INPUT) ) (port RADDR7 (direction INPUT) ) (port RADDR8 (direction INPUT) ) (port RCLK (direction INPUT) (property clock (string "true")) ) (port REN (direction INPUT) ) (port RESET (direction INPUT) ) (port RW1 (direction INPUT) ) (port RW0 (direction INPUT) ) (port WADDR0 (direction INPUT) ) (port WADDR1 (direction INPUT) ) (port WADDR2 (direction INPUT) ) (port WADDR3 (direction INPUT) ) (port WADDR4 (direction INPUT) ) (port WADDR5 (direction INPUT) ) (port WADDR6 (direction INPUT) ) (port WADDR7 (direction INPUT) ) (port WADDR8 (direction INPUT) ) (port WCLK (direction INPUT) (property clock (string "true")) ) (port WD0 (direction INPUT) ) (port WD1 (direction INPUT) ) (port WD2 (direction INPUT) ) (port WD3 (direction INPUT) ) (port WD4 (direction INPUT) ) (port WD5 (direction INPUT) ) (port WD6 (direction INPUT) ) (port WD7 (direction INPUT) ) (port WD8 (direction INPUT) ) (port WD9 (direction INPUT) ) (port WD10 (direction INPUT) ) (port WD11 (direction INPUT) ) (port WD12 (direction INPUT) ) (port WD13 (direction INPUT) ) (port WD14 (direction INPUT) ) (port WD15 (direction INPUT) ) (port WD16 (direction INPUT) ) (port WD17 (direction INPUT) ) (port WEN (direction INPUT) ) (port WW1 (direction INPUT) ) (port WW0 (direction INPUT) ) ) ) ) (cell RAM4K9 (cellType GENERIC) (property MEMORYFILE (string "")) (property dont_use (integer 1)) (property dont_touch (string "true")) (property interface_timing (string "true")) (view prim (viewType NETLIST) (interface (port DOUTA0 (direction OUTPUT) ) (port DOUTA1 (direction OUTPUT) ) (port DOUTA2 (direction OUTPUT) ) (port DOUTA3 (direction OUTPUT) ) (port DOUTA4 (direction OUTPUT) ) (port DOUTA5 (direction OUTPUT) ) (port DOUTA6 (direction OUTPUT) ) (port DOUTA7 (direction OUTPUT) ) (port DOUTA8 (direction OUTPUT) ) (port DOUTB0 (direction OUTPUT) ) (port DOUTB1 (direction OUTPUT) ) (port DOUTB2 (direction OUTPUT) ) (port DOUTB3 (direction OUTPUT) ) (port DOUTB4 (direction OUTPUT) ) (port DOUTB5 (direction OUTPUT) ) (port DOUTB6 (direction OUTPUT) ) (port DOUTB7 (direction OUTPUT) ) (port DOUTB8 (direction OUTPUT) ) (port ADDRA0 (direction INPUT) ) (port ADDRA1 (direction INPUT) ) (port ADDRA2 (direction INPUT) ) (port ADDRA3 (direction INPUT) ) (port ADDRA4 (direction INPUT) ) (port ADDRA5 (direction INPUT) ) (port ADDRA6 (direction INPUT) ) (port ADDRA7 (direction INPUT) ) (port ADDRA8 (direction INPUT) ) (port ADDRA9 (direction INPUT) ) (port ADDRA10 (direction INPUT) ) (port ADDRA11 (direction INPUT) ) (port ADDRB0 (direction INPUT) ) (port ADDRB1 (direction INPUT) ) (port ADDRB2 (direction INPUT) ) (port ADDRB3 (direction INPUT) ) (port ADDRB4 (direction INPUT) ) (port ADDRB5 (direction INPUT) ) (port ADDRB6 (direction INPUT) ) (port ADDRB7 (direction INPUT) ) (port ADDRB8 (direction INPUT) ) (port ADDRB9 (direction INPUT) ) (port ADDRB10 (direction INPUT) ) (port ADDRB11 (direction INPUT) ) (port BLKA (direction INPUT) ) (port BLKB (direction INPUT) ) (port CLKA (direction INPUT) (property clock (string "true")) ) (port CLKB (direction INPUT) (property clock (string "true")) ) (port DINA0 (direction INPUT) ) (port DINA1 (direction INPUT) ) (port DINA2 (direction INPUT) ) (port DINA3 (direction INPUT) ) (port DINA4 (direction INPUT) ) (port DINA5 (direction INPUT) ) (port DINA6 (direction INPUT) ) (port DINA7 (direction INPUT) ) (port DINA8 (direction INPUT) ) (port DINB0 (direction INPUT) ) (port DINB1 (direction INPUT) ) (port DINB2 (direction INPUT) ) (port DINB3 (direction INPUT) ) (port DINB4 (direction INPUT) ) (port DINB5 (direction INPUT) ) (port DINB6 (direction INPUT) ) (port DINB7 (direction INPUT) ) (port DINB8 (direction INPUT) ) (port PIPEA (direction INPUT) ) (port PIPEB (direction INPUT) ) (port RESET (direction INPUT) ) (port WENA (direction INPUT) ) (port WENB (direction INPUT) ) (port WIDTHA1 (direction INPUT) ) (port WIDTHA0 (direction INPUT) ) (port WIDTHB1 (direction INPUT) ) (port WIDTHB0 (direction INPUT) ) (port WMODEA (direction INPUT) ) (port WMODEB (direction INPUT) ) ) ) ) (cell PLLINT (cellType GENERIC) (property dont_use (integer 1)) (property dont_touch (string "true")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A")) ) (port A (direction INPUT) ) ) ) ) (cell PLL (cellType GENERIC) (property dont_touch (string "true")) (property dont_use (integer 1)) (view prim (viewType NETLIST) (interface (port GLA (direction OUTPUT) ) (port GLB (direction OUTPUT) ) (port GLC (direction OUTPUT) ) (port LOCK (direction OUTPUT) ) (port YB (direction OUTPUT) ) (port YC (direction OUTPUT) ) (port CLKA (direction INPUT) ) (port DLYGLA0 (direction INPUT) ) (port DLYGLA1 (direction INPUT) ) (port DLYGLA2 (direction INPUT) ) (port DLYGLA3 (direction INPUT) ) (port DLYGLA4 (direction INPUT) ) (port DLYGLB0 (direction INPUT) ) (port DLYGLB1 (direction INPUT) ) (port DLYGLB2 (direction INPUT) ) (port DLYGLB3 (direction INPUT) ) (port DLYGLB4 (direction INPUT) ) (port DLYGLC0 (direction INPUT) ) (port DLYGLC1 (direction INPUT) ) (port DLYGLC2 (direction INPUT) ) (port DLYGLC3 (direction INPUT) ) (port DLYGLC4 (direction INPUT) ) (port DLYYB0 (direction INPUT) ) (port DLYYB1 (direction INPUT) ) (port DLYYB2 (direction INPUT) ) (port DLYYB3 (direction INPUT) ) (port DLYYB4 (direction INPUT) ) (port DLYYC0 (direction INPUT) ) (port DLYYC1 (direction INPUT) ) (port DLYYC2 (direction INPUT) ) (port DLYYC3 (direction INPUT) ) (port DLYYC4 (direction INPUT) ) (port EXTFB (direction INPUT) ) (port FBDIV0 (direction INPUT) ) (port FBDIV1 (direction INPUT) ) (port FBDIV2 (direction INPUT) ) (port FBDIV3 (direction INPUT) ) (port FBDIV4 (direction INPUT) ) (port FBDIV5 (direction INPUT) ) (port FBDIV6 (direction INPUT) ) (port FBDLY0 (direction INPUT) ) (port FBDLY1 (direction INPUT) ) (port FBDLY2 (direction INPUT) ) (port FBDLY3 (direction INPUT) ) (port FBDLY4 (direction INPUT) ) (port FBSEL0 (direction INPUT) ) (port FBSEL1 (direction INPUT) ) (port FINDIV0 (direction INPUT) ) (port FINDIV1 (direction INPUT) ) (port FINDIV2 (direction INPUT) ) (port FINDIV3 (direction INPUT) ) (port FINDIV4 (direction INPUT) ) (port FINDIV5 (direction INPUT) ) (port FINDIV6 (direction INPUT) ) (port OADIV0 (direction INPUT) ) (port OADIV1 (direction INPUT) ) (port OADIV2 (direction INPUT) ) (port OADIV3 (direction INPUT) ) (port OADIV4 (direction INPUT) ) (port OAMUX0 (direction INPUT) ) (port OAMUX1 (direction INPUT) ) (port OAMUX2 (direction INPUT) ) (port OBDIV0 (direction INPUT) ) (port OBDIV1 (direction INPUT) ) (port OBDIV2 (direction INPUT) ) (port OBDIV3 (direction INPUT) ) (port OBDIV4 (direction INPUT) ) (port OBMUX0 (direction INPUT) ) (port OBMUX1 (direction INPUT) ) (port OBMUX2 (direction INPUT) ) (port OCDIV0 (direction INPUT) ) (port OCDIV1 (direction INPUT) ) (port OCDIV2 (direction INPUT) ) (port OCDIV3 (direction INPUT) ) (port OCDIV4 (direction INPUT) ) (port OCMUX0 (direction INPUT) ) (port OCMUX1 (direction INPUT) ) (port OCMUX2 (direction INPUT) ) (port POWERDOWN (direction INPUT) ) (port VCOSEL0 (direction INPUT) ) (port VCOSEL1 (direction INPUT) ) (port VCOSEL2 (direction INPUT) ) (port XDLYSEL (direction INPUT) ) ) (property VCOFREQUENCY (string "0.000000")) ) ) (cell ZOR3 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A & B & C + !A & !B & !C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XOR3 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A ^ B ^ C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XOR2 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A ^ B")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XO1A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A ^ B) + C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XO1 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(A ^ B) + C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XNOR3 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A ^ B ^ C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XNOR2 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A ^ B)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XAI1A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(!(A ^ B) & C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XAI1 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!((A ^ B) & C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XA1C (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A ^ B) & !C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XA1B (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(A ^ B) & !C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XA1A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A ^ B) & C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell XA1 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(A ^ B) & C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell VCC (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "1")) ) ) ) ) (cell TRIBUFF (cellType GENERIC) (property preferred (string "true")) (property pad_cell (string "true")) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port PAD (direction OUTPUT) (property three_state (string "E'")) (property function (string "D")) (property is_pad (string "true")) ) (port D (direction INPUT) ) (port E (direction INPUT) ) ) (property is_tristate (integer 1)) ) ) (cell OUTBUF (cellType GENERIC) (property preferred (string "true")) (property pad_cell (string "true")) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port PAD (direction OUTPUT) (property function (string "D")) (property is_pad (string "true")) ) (port D (direction INPUT) ) ) ) ) (cell OR3C (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A + !B + !C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OR3B (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A + !B + C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OR3A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A + B + C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OR3 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A + B + C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OR2B (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A + !B")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OR2A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A + B")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OR2 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A + B")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OAI1 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!((A + B) & C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OA1C (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(!A + B) & !C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OA1B (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!C & (A + B)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OA1A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(!A + B) & C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell OA1 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(A + B) & C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell NOR3C (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(!A + !B + !C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell NOR3B (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(!A + !B + C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell NOR3A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(!A + B + C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell NOR3 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A + B + C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell NOR2B (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(!A + !B)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell NOR2A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(!A + B)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell NOR2 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A + B)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell NAND2 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A & B)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell MX2C (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(!A & !S) + (!B & S)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port S (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell MX2B (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(A & !S) + (!B & S)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port S (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell MX2A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(!A & !S) + (B & S)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port S (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell MX2 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(A & !S) + (B & S)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port S (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell MIN3 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A & !B + !A & !C + !B & !C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell MAJ3 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(A & B) + (B & C) + (A & C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell INV (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A")) ) (port A (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell INBUF (cellType GENERIC) (property preferred (string "true")) (property pad_cell (string "true")) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "PAD")) ) (port PAD (direction INPUT) (property is_pad (string "true")) ) ) ) ) (cell GND (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "0")) ) ) ) ) (cell DFN1P0 (cellType GENERIC) (property is_sequential (integer 1)) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Q (direction OUTPUT) (property function (string "IQ")) ) (port CLK (direction INPUT) (property clock (integer 1)) ) (port D (direction INPUT) ) (port PRE (direction INPUT) (property preset (integer 1)) ) ) (property is_ff (integer 1)) ) ) (cell DFN1E1P0 (cellType GENERIC) (property is_sequential (integer 1)) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Q (direction OUTPUT) (property function (string "IQ")) ) (port CLK (direction INPUT) (property clock (integer 1)) ) (port D (direction INPUT) ) (port E (direction INPUT) ) (port PRE (direction INPUT) (property preset (integer 1)) ) ) (property is_ff (integer 1)) ) ) (cell DFN1E1 (cellType GENERIC) (property is_sequential (integer 1)) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Q (direction OUTPUT) (property function (string "IQ")) ) (port CLK (direction INPUT) (property clock (integer 1)) ) (port D (direction INPUT) ) (port E (direction INPUT) ) ) (property is_ff (integer 1)) ) ) (cell DFN1E0P0 (cellType GENERIC) (property is_sequential (integer 1)) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Q (direction OUTPUT) (property function (string "IQ")) ) (port CLK (direction INPUT) (property clock (integer 1)) ) (port D (direction INPUT) ) (port E (direction INPUT) ) (port PRE (direction INPUT) (property preset (integer 1)) ) ) (property is_ff (integer 1)) ) ) (cell DFN1E0 (cellType GENERIC) (property is_sequential (integer 1)) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Q (direction OUTPUT) (property function (string "IQ")) ) (port CLK (direction INPUT) (property clock (integer 1)) ) (port D (direction INPUT) ) (port E (direction INPUT) ) ) (property is_ff (integer 1)) ) ) (cell DFN1C0 (cellType GENERIC) (property is_sequential (integer 1)) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Q (direction OUTPUT) (property function (string "IQ")) ) (port CLK (direction INPUT) (property clock (integer 1)) ) (port CLR (direction INPUT) (property clear (integer 1)) ) (port D (direction INPUT) ) ) (property is_ff (integer 1)) ) ) (cell DFN1 (cellType GENERIC) (property is_sequential (integer 1)) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Q (direction OUTPUT) (property function (string "IQ")) ) (port CLK (direction INPUT) (property clock (integer 1)) ) (port D (direction INPUT) ) ) (property is_ff (integer 1)) ) ) (cell DFI1E1P0 (cellType GENERIC) (property is_sequential (integer 1)) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port QN (direction OUTPUT) (property function (string "IQN")) ) (port CLK (direction INPUT) (property clock (integer 1)) ) (port D (direction INPUT) ) (port E (direction INPUT) ) (port PRE (direction INPUT) (property preset (integer 1)) ) ) (property is_ff (integer 1)) ) ) (cell CLKINT (cellType GENERIC) (property dont_use (integer 1)) (property dont_touch (string "true")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A")) ) (port A (direction INPUT) ) ) ) ) (cell BIBUF (cellType GENERIC) (property preferred (string "true")) (property pad_cell (string "true")) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port PAD (direction INOUT) (property three_state (string "E'")) (property function (string "D")) (property is_pad (string "true")) ) (port Y (direction OUTPUT) (property function (string "PAD")) ) (port D (direction INPUT) ) (port E (direction INPUT) ) ) ) ) (cell AXOI5 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(!A & B + (!B ^ C))")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AXOI4 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A & B + (!B ^ C))")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AXOI2 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(!A & B + (B ^ C))")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AXOI1 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A & B + (B ^ C))")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AXO7 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A & !B + (B ^ C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AXO5 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A & B + (!B ^ C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AXO3 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A & !B + (B ^ C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AXO2 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A & B + (B ^ C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AX1E (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!((A & B) ^ C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AX1D (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!((!A & !B) ^ C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AX1C (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(A & B) ^ C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AX1B (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(!A & !B) ^ C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AX1A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!((!A & B) ^ C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AX1 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(!A & B) ^ C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AOI1B (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A & B + !C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AOI1A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(!A & B + C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AOI1 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!(A & B + C)")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AO1D (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(!A & !B) + C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AO1C (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(!A & B) + !C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AO1B (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(A & B) + !C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AO1A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(!A & B) + C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AO18 (cellType GENERIC) (property dont_touch (string "true")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A & B + !A & !C + B & !C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AO13 (cellType GENERIC) (property dont_touch (string "true")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A & B + A & !C + B & !C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AO1 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "(A & B) + C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AND3A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A & B & C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AND3 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A & B & C")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) (port C (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AND2A (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "!A & B")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) (cell AND2 (cellType GENERIC) (property dont_touch (string "false")) (view prim (viewType NETLIST) (interface (port Y (direction OUTPUT) (property function (string "A & B")) ) (port A (direction INPUT) ) (port B (direction INPUT) ) ) (property is_combinational (integer 1)) ) ) ) (library grlib (edifLevel 0) (technology (numberDefinition )) (cell apbctrl (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename hrdata "hrdata[31:0]") 32) (direction OUTPUT)) (port (array (rename prdata_0_iv_0_1_0 "prdata_0_iv_0_1_0[7:7]") 1) (direction INPUT)) (port (array (rename ramwws "ramwws[1:0]") 2) (direction INPUT)) (port (array (rename ipend_m "ipend_m[3:3]") 1) (direction INPUT)) (port (array (rename ramrws "ramrws[0:0]") 1) (direction INPUT)) (port romrws_3 (direction INPUT)) (port romrws_2 (direction INPUT)) (port romrws_0 (direction INPUT)) (port (array (rename ramwidth "ramwidth[1:0]") 2) (direction INPUT)) (port prdata_0_iv_1_0 (direction INPUT)) (port prdata_0_iv_1_12 (direction INPUT)) (port (array (rename prdata_0_iv_2 "prdata_0_iv_2[15:15]") 1) (direction INPUT)) (port (array (rename readdata_1_iv_1 "readdata_1_iv_1[9:9]") 1) (direction INPUT)) (port (array (rename prdata_iv_0_1 "prdata_iv_0_1[6:0]") 7) (direction INPUT)) (port (array (rename prdata_iv_0_2 "prdata_iv_0_2[6:0]") 7) (direction INPUT)) (port readdata_iv_2_3 (direction INPUT)) (port readdata_iv_2_4 (direction INPUT)) (port readdata_iv_2_0 (direction INPUT)) (port readdata_iv_2_2 (direction INPUT)) (port readdata_iv_2_5 (direction INPUT)) (port readdata_iv_3_3 (direction INPUT)) (port readdata_iv_3_4 (direction INPUT)) (port readdata_iv_3_0 (direction INPUT)) (port readdata_iv_3_2 (direction INPUT)) (port readdata_iv_3_5 (direction INPUT)) (port (array (rename readdata_2_m "readdata_2_m[5:1]") 5) (direction INPUT)) (port (array (rename prdata_iv_0 "prdata_iv_0[5:1]") 5) (direction INPUT)) (port value_m_14 (direction INPUT)) (port value_m_20 (direction INPUT)) (port value_m_9 (direction INPUT)) (port value_m_21 (direction INPUT)) (port value_m_17 (direction INPUT)) (port value_m_1 (direction INPUT)) (port value_m_6 (direction INPUT)) (port value_m_5 (direction INPUT)) (port value_m_2 (direction INPUT)) (port value_m_0 (direction INPUT)) (port value_m_4 (direction INPUT)) (port readdata_1_iv_0_13 (direction INPUT)) (port readdata_1_iv_0_19 (direction INPUT)) (port readdata_1_iv_0_8 (direction INPUT)) (port readdata_1_iv_0_20 (direction INPUT)) (port readdata_1_iv_0_16 (direction INPUT)) (port readdata_1_iv_0_0 (direction INPUT)) (port readdata_1_iv_0_5 (direction INPUT)) (port readdata_1_iv_0_4 (direction INPUT)) (port readdata_1_iv_0_1 (direction INPUT)) (port readdata_1_iv_0_3 (direction INPUT)) (port prdata_0_iv_0_1_6 (direction INPUT)) (port prdata_0_iv_0_1_3 (direction INPUT)) (port prdata_0_iv_0_1_7 (direction INPUT)) (port prdata_0_iv_0_1_9 (direction INPUT)) (port prdata_0_iv_0_1_1 (direction INPUT)) (port prdata_0_iv_0_1_13 (direction INPUT)) (port prdata_0_iv_0_1_11 (direction INPUT)) (port prdata_0_iv_0_1_10 (direction INPUT)) (port prdata_0_iv_0_1_4 (direction INPUT)) (port prdata_0_iv_0_1_0_d0 (direction INPUT)) (port prdata_0_iv_0_1_12 (direction INPUT)) (port (array (rename romwws "romwws[3:0]") 4) (direction INPUT)) (port (array (rename romwidth "romwidth[1:0]") 2) (direction INPUT)) (port (array (rename iows "iows[3:0]") 4) (direction INPUT)) (port rambanksz_0 (direction INPUT)) (port rambanksz_1 (direction INPUT)) (port rambanksz_3 (direction INPUT)) (port (array (rename brate "brate[10:9]") 2) (direction INPUT)) (port (array (rename iowidth "iowidth[1:0]") 2) (direction INPUT)) (port readdata_9_i_0_5 (direction INPUT)) (port readdata_9_i_0_0 (direction INPUT)) (port un1_dcom0_9 (direction INPUT)) (port un1_dcom0_7 (direction INPUT)) (port un1_dcom0_6 (direction INPUT)) (port un1_dcom0_0 (direction INPUT)) (port un1_dcom0_12 (direction INPUT)) (port un1_dcom0_8 (direction INPUT)) (port un1_dcom0_10 (direction INPUT)) (port un1_dcom0_11 (direction INPUT)) (port un1_dcom0_5 (direction INPUT)) (port (array (rename value_rnibahh "value_RNIBAHH[1:1]") 1) (direction INPUT)) (port (array (rename reload_rnictbf "reload_RNICTBF[1:1]") 1) (direction INPUT)) (port (array (rename reload_rnihtbf "reload_RNIHTBF[6:6]") 1) (direction INPUT)) (port (array (rename value "value[6:6]") 1) (direction INPUT)) (port reload_0 (direction INPUT)) (port reload_5 (direction INPUT)) (port scaler_0 (direction INPUT)) (port scaler_5 (direction INPUT)) (port prdata_0_0 (direction INPUT)) (port prdata_0_6 (direction INPUT)) (port prdata_31 (direction INPUT)) (port prdata_19 (direction INPUT)) (port prdata_1 (direction INPUT)) (port prdata_27 (direction INPUT)) (port prdata_17 (direction INPUT)) (port prdata_25 (direction INPUT)) (port prdata_28 (direction INPUT)) (port prdata_22 (direction INPUT)) (port prdata_16 (direction INPUT)) (port prdata_24 (direction INPUT)) (port prdata_11 (direction INPUT)) (port prdata_20 (direction INPUT)) (port prdata_21 (direction INPUT)) (port prdata_9 (direction INPUT)) (port prdata_12 (direction INPUT)) (port prdata_8 (direction INPUT)) (port prdata_7 (direction INPUT)) (port prdata_0_d0 (direction INPUT)) (port prdata_6 (direction INPUT)) (port prdata_2 (direction INPUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction INPUT)) (port (array (rename pwdata "pwdata[31:0]") 32) (direction OUTPUT)) (port (array (rename pwdata_i "pwdata_i[6:0]") 7) (direction OUTPUT)) (port paddr_5 (direction OUTPUT)) (port paddr_0_d0 (direction OUTPUT)) (port paddr_9 (direction OUTPUT)) (port paddr_4 (direction OUTPUT)) (port paddr_1_d0 (direction OUTPUT)) (port paddr_8 (direction OUTPUT)) (port paddr_7 (direction OUTPUT)) (port paddr_3 (direction OUTPUT)) (port paddr_2_d0 (direction OUTPUT)) (port paddr_6 (direction OUTPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction INPUT)) (port (array (rename pwdata_0 "pwdata_0[5:1]") 5) (direction OUTPUT)) (port (array (rename paddr_0 "paddr_0[3:2]") 2) (direction OUTPUT)) (port (array (rename paddr_1 "paddr_1[2:2]") 1) (direction OUTPUT)) (port (array (rename haddr "haddr[19:2]") 18) (direction INPUT)) (port (array (rename paddr_2 "paddr_2[2:2]") 1) (direction OUTPUT)) (port (array (rename htrans "htrans[1:1]") 1) (direction INPUT)) (port hready (direction OUTPUT)) (port N_859 (direction INPUT)) (port N_123 (direction INPUT)) (port N_3726 (direction INPUT)) (port N_131 (direction INPUT)) (port hwrite (direction INPUT)) (port penable (direction OUTPUT)) (port N_330 (direction OUTPUT)) (port psel (direction OUTPUT)) (port N_343 (direction OUTPUT)) (port rmw (direction INPUT)) (port N_337 (direction OUTPUT)) (port N_846 (direction INPUT)) (port N_847 (direction INPUT)) (port N_119 (direction INPUT)) (port N_115 (direction INPUT)) (port N_135 (direction INPUT)) (port N_99 (direction INPUT)) (port N_107 (direction INPUT)) (port N_111 (direction INPUT)) (port N_127_0 (direction INPUT)) (port N_139 (direction INPUT)) (port N_103 (direction INPUT)) (port N_326 (direction INPUT)) (port ioen (direction INPUT)) (port N_323 (direction INPUT)) (port N_324_0 (direction INPUT)) (port rstn (direction INPUT)) (port brdyen (direction INPUT)) (port N_325 (direction INPUT)) (port N_327_0 (direction OUTPUT)) (port N_35 (direction INPUT)) (port N_327 (direction INPUT)) (port tsemptyirqen (direction INPUT)) (port breakirqen (direction INPUT)) (port N_897 (direction INPUT)) (port N_3729 (direction INPUT)) (port rdata_3_sqmuxa (direction INPUT)) (port bexcen (direction INPUT)) (port readdata55_1 (direction INPUT)) (port readdata_3_sqmuxa (direction INPUT)) (port rdata_2_sqmuxa (direction INPUT)) (port delayirqen (direction INPUT)) (port N_127 (direction OUTPUT)) (port N_328_0 (direction INPUT)) (port readdata57_1 (direction INPUT)) (port pwd (direction INPUT)) (port restart_RNI34S9 (direction INPUT)) (port value_0_sqmuxa_0 (direction INPUT)) (port un1_gpti_m (direction INPUT)) (port readdata56 (direction INPUT)) (port readdata55 (direction INPUT)) (port un1_rdata23 (direction INPUT)) (port hsel_0_sqmuxa_inv_4 (direction INPUT)) (port rmw_1_sqmuxa_2 (direction INPUT)) (port pwrite (direction OUTPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename v_hready_0_sqmuxa_0_a3_0_a2_0_0 "v.hready_0_sqmuxa_0_a3_0_a2_0_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_haddr_2_2 "r.haddr_2[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_1_2 "r.haddr_1[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_0_2 "r.haddr_0[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_0_3 "r.haddr_0[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_pwdata_0_1 "r.pwdata_0[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_0_2 "r.pwdata_0[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_0_3 "r.pwdata_0[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_0_4 "r.pwdata_0[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_0_5 "r.pwdata_0[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_haddr_RNI3SB72_8 "r.haddr_RNI3SB72[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_state_RNI4KU3_0_0 "r.state_RNI4KU3_0[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_pwdata_0_RNI0VA_5 "r.pwdata_0_RNI0VA[5]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_pwdata_RNIMA22_6 "r.pwdata_RNIMA22[6]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_pwdata_RNIGI12_0 "r.pwdata_RNIGI12[0]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_pwdata_0_RNISEA_1 "r.pwdata_0_RNISEA[1]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_pwdata_0_RNITIA_2 "r.pwdata_0_RNITIA[2]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_pwdata_0_RNIUMA_3 "r.pwdata_0_RNIUMA[3]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_pwdata_0_RNIVQA_4 "r.pwdata_0_RNIVQA[4]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_a8_0_3 "comb.v.prdata_1_0_a8_0[3]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_o2_14 "comb.v.prdata_1_0_o2[14]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_a8_12 "comb.v.prdata_1_0_a8[12]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_a2_0_3 "comb.v.prdata_1_0_a2_0[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_o2_0_5 "comb.v.prdata_1_0_o2_0[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_o8_12 "comb.v.prdata_1_0_o8[12]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_a8_9 "comb.v.prdata_1_0_a8[9]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_a2_5 "comb.v.prdata_1_0_a2[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_a2_RNO_5 "comb.v.prdata_1_0_a2_RNO[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_a8_RNO_9 "comb.v.prdata_1_0_a8_RNO[9]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_9 "r.prdata_RNO_3[9]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_12 "r.prdata_RNO_5[12]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_state_RNO_0_0 "r.state_RNO_0[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename v_hready_0_sqmuxa_0_a3_0_a2_0 "v.hready_0_sqmuxa_0_a3_0_a2_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_3 "r.prdata_RNO_1[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_3 "r.prdata_RNO_4[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_4 "r.prdata_RNO_1[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_4 "r.prdata_RNO_4[4]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_2 "r.prdata_RNO_1[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_2 "r.prdata_RNO_4[2]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_1 "r.prdata_RNO_1[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_1 "r.prdata_RNO_4[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_6_1 "r.prdata_RNO_6[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_5 "r.prdata_RNO_1[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_5 "r.prdata_RNO_3[5]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_6 "r.prdata_RNO_0[6]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_6 "r.prdata_RNO_3[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_6 "r.prdata_RNO_5[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_10_6 "r.prdata_RNO_10[6]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_0 "r.prdata_RNO_1[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_0 "r.prdata_RNO_5[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_0 "r.prdata_RNO_3[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_7 "r.prdata_RNO_1[7]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_7 "r.prdata_RNO_3[7]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_11 "r.prdata_RNO_0[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_11 "r.prdata_RNO_2[11]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_8 "r.prdata_RNO_1[8]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_8 "r.prdata_RNO_3[8]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_13 "r.prdata_RNO_1[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_13 "r.prdata_RNO_4[13]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_12 "r.prdata_RNO_1[12]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_12 "r.prdata_RNO_3[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_14 "r.prdata_RNO_1[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_14 "r.prdata_RNO_4[14]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_10 "r.prdata_RNO_1[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_10 "r.prdata_RNO_3[10]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_9 "r.prdata_RNO_1[9]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_9 "r.prdata_RNO_4[9]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_22 "r.prdata_RNO_0[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_16 "r.prdata_RNO_0[16]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_24 "r.prdata_RNO_0[24]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_20 "r.prdata_RNO_0[20]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_21 "r.prdata_RNO_0[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_6 "r.prdata_RNO_4[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_8_6 "r.prdata_RNO_8[6]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_6_6 "r.prdata_RNO_6[6]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_7_6 "r.prdata_RNO_7[6]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_9_1 "r.prdata_RNO_9[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_15_1 "r.prdata_RNO_15[1]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_13_1 "r.prdata_RNO_13[1]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_14_1 "r.prdata_RNO_14[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_15 "r.prdata_RNO_1[15]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_8_0 "r.prdata_RNO_8[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_9_6 "r.prdata_RNO_9[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_6_10 "r.prdata_RNO_6[10]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_16 "r.prdata_RNO_1[16]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_9 "r.prdata_RNO_5[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_15 "r.prdata_RNO_3[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIM601 "r.cfgsel_RNIM601") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_22 "r.prdata_RNO_1[22]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_haddr_RNIQ2LQ_12 "r.haddr_RNIQ2LQ[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_haddr_RNINPBD_18 "r.haddr_RNINPBD[18]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_haddr_RNIJ9BD_16 "r.haddr_RNIJ9BD[16]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_haddr_RNIFPAD_14 "r.haddr_RNIFPAD[14]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_haddr_RNIA3NQ_16 "r.haddr_RNIA3NQ[16]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_haddr_RNIQ2LQ_0_12 "r.haddr_RNIQ2LQ_0[12]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_haddr_RNINPBD_0_18 "r.haddr_RNINPBD_0[18]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNIFPAD_0_14 "r.haddr_RNIFPAD_0[14]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNI0A272_10 "r.haddr_RNI0A272[10]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_13 "r.prdata_RNO_3[13]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_13 "r.prdata_RNO_0[13]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_1 "r.prdata_RNO_5[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_28 "r.prdata_RNO_0[28]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_25 "r.prdata_RNO_0[25]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_17 "r.prdata_RNO_0[17]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_7_5 "r.prdata_RNO_7[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_6_5 "r.prdata_RNO_6[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_6 "r.prdata_RNO_1[6]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_9 "r.prdata_RNO_2[9]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_11 "r.prdata_RNO_5[11]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_11 "r.prdata_RNO_4[11]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_6_12 "r.prdata_RNO_6[12]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_12 "r.prdata_RNO_4[12]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_12 "r.prdata_RNO_0[12]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_14 "r.prdata_RNO_3[14]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_14 "r.prdata_RNO_0[14]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_20 "r.prdata_RNO_2[20]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_21 "r.prdata_RNO_2[21]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_22 "r.prdata_RNO_2[22]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_27 "r.prdata_RNO_0[27]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_6_2 "r.prdata_RNO_6[2]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_10 "r.prdata_RNO_4[10]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_10 "r.prdata_RNO_0[10]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_8 "r.prdata_RNO_5[8]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_8 "r.prdata_RNO_4[8]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_0 "r.prdata_RNO_4[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_7_0 "r.prdata_RNO_7[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_a2_RNIC6IM_5 "comb.v.prdata_1_0_a2_RNIC6IM[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0 "r.prdata_RNO[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_8 "r.prdata_RNO[8]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_10 "r.prdata_RNO[10]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_21 "r.prdata_RNO[21]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_20 "r.prdata_RNO[20]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_15 "r.prdata_RNO[15]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_14 "r.prdata_RNO[14]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_12 "r.prdata_RNO[12]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_11 "r.prdata_RNO[11]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_9 "r.prdata_RNO[9]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_6 "r.prdata_RNO[6]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5 "r.prdata_RNO[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_24 "r.prdata_RNO[24]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_13 "r.prdata_RNO[13]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_16 "r.prdata_RNO[16]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_24 "r.prdata_RNO_1[24]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_10_1 "r.prdata_RNO_10[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_haddr_RNI49NS_9 "r.haddr_RNI49NS[9]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_11_1 "r.prdata_RNO_11[1]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1 "r.prdata_RNO[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_13_6 "r.prdata_RNO_13[6]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2 "r.prdata_RNO[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_26 "r.prdata_RNO[26]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_26 "r.prdata_RNO_0[26]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_penable_RNO "r.penable_RNO") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4 "r.prdata_RNO[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_6_4 "r.prdata_RNO_6[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_7_4 "r.prdata_RNO_7[4]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3 "r.prdata_RNO[3]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_6_3 "r.prdata_RNO_6[3]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_7_3 "r.prdata_RNO_7[3]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_7 "r.prdata_RNO_5[7]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_19 "r.prdata_RNO_0[19]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIHQRE "r.cfgsel_RNIHQRE") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename v_hready_0_sqmuxa_0_a3_0_a2 "v.hready_0_sqmuxa_0_a3_0_a2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_23 "r.prdata_RNO_0[23]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_7 "r.prdata_RNO_4[7]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_7 "r.prdata_RNO[7]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_23 "r.prdata_RNO[23]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_haddr_RNI46CL1_12 "r.haddr_RNI46CL1[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_22 "r.prdata_RNO[22]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_haddr_RNI46CL1_0_12 "r.haddr_RNI46CL1_0[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_12_1 "r.prdata_RNO_12[1]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_16_1 "r.prdata_RNO_16[1]") (viewRef prim (cellRef AXO3 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_13 "r.prdata_RNO_2[13]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_13 "r.prdata_RNO_5[13]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_1 "r.prdata_RNO_3[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_1 "r.prdata_RNO_0[1]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_24 "r.prdata_RNO_2[24]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_haddr_0_RNI8MI7_0_2 "r.haddr_0_RNI8MI7_0[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_state_RNI4KU3_0 "r.state_RNI4KU3[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_4_5 "r.prdata_RNO_4[5]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_5 "r.prdata_RNO_5[5]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_5 "r.prdata_RNO_0[5]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_5 "r.prdata_RNO_2[5]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_6 "r.prdata_RNO_2[6]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_9 "r.prdata_RNO_0[9]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_11 "r.prdata_RNO_1[11]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_11 "r.prdata_RNO_3[11]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_12 "r.prdata_RNO_2[12]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_haddr_RNIT629_3 "r.haddr_RNIT629[3]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_14 "r.prdata_RNO_2[14]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_14 "r.prdata_RNO_5[14]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_15 "r.prdata_RNO_0[15]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_15 "r.prdata_RNO_2[15]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_haddr_0_RNI8MI7_2 "r.haddr_0_RNI8MI7[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_20 "r.prdata_RNO_1[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_21 "r.prdata_RNO_1[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_2 "r.prdata_RNO_3[2]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_2 "r.prdata_RNO_5[2]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_2 "r.prdata_RNO_0[2]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_10 "r.prdata_RNO_5[10]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_10 "r.prdata_RNO_2[10]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_8 "r.prdata_RNO_0[8]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_8 "r.prdata_RNO_2[8]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_0 "r.prdata_RNO_0[0]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_0 "r.prdata_RNO_2[0]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIANF2 "r.cfgsel_RNIANF2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_haddr_RNIISL4_6 "r.haddr_RNIISL4[6]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNINGU6_11 "r.haddr_RNINGU6[11]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_haddr_RNI3SB72_11 "r.haddr_RNI3SB72[11]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_haddr_RNIIFI6_5 "r.haddr_RNIIFI6[5]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_haddr_RNI9497_5 "r.haddr_RNI9497[5]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIBNF2 "r.cfgsel_RNIBNF2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_haddr_0_RNI5HC5_2 "r.haddr_0_RNI5HC5[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_cfgsel_RNI5HC5 "r.cfgsel_RNI5HC5") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNIL1S6_3 "r.haddr_RNIL1S6[3]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_haddr_RNIT629_6 "r.haddr_RNIT629[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_28 "r.prdata_RNO[28]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_25 "r.prdata_RNO[25]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_17 "r.prdata_RNO[17]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_27 "r.prdata_RNO[27]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_11_6 "r.prdata_RNO_11[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename comb_v_prdata_1_0_a8_RNO_0_9 "comb.v.prdata_1_0_a8_RNO_0[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_8_5 "r.prdata_RNO_8[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_6_0 "r.prdata_RNO_6[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_12_6 "r.prdata_RNO_12[6]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_haddr_RNIRPS2_4 "r.haddr_RNIRPS2[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_psel_RNIHIM32 "r.psel_RNIHIM32") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_haddr_RNI851B_10 "r.haddr_RNI851B[10]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_haddr_RNI3SB72_9 "r.haddr_RNI3SB72[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_haddr_RNI3SB72_0_11 "r.haddr_RNI3SB72_0[11]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_cfgsel_RNI75343 "r.cfgsel_RNI75343") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_haddr_RNI851B_0_10 "r.haddr_RNI851B_0[10]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_haddr_RNIEHV22_10 "r.haddr_RNIEHV22[10]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNIRMAS1_11 "r.haddr_RNIRMAS1[11]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_haddr_RNIHUFF_11 "r.haddr_RNIHUFF[11]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_7_1 "r.prdata_RNO_7[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_8_1 "r.prdata_RNO_8[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_1 "r.prdata_RNO_2[1]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_2 "r.prdata_RNO_2[2]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_26 "r.prdata_RNO_2[26]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_26 "r.prdata_RNO_1[26]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_penable_RNO_1 "r.penable_RNO_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_penable_RNO_0 "r.penable_RNO_0") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_hwrite_RNO_0 "r.hwrite_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hwrite_RNO "r.hwrite_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_8_4 "r.prdata_RNO_8[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_4 "r.prdata_RNO_2[4]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_4 "r.prdata_RNO_0[4]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_4 "r.prdata_RNO_5[4]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_4 "r.prdata_RNO_3[4]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_3 "r.prdata_RNO_2[3]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_5_3 "r.prdata_RNO_5[3]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_3 "r.prdata_RNO_0[3]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_3_3 "r.prdata_RNO_3[3]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_19 "r.prdata_RNO[19]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_prdata_RNO_1_23 "r.prdata_RNO_1[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_7_2 "r.prdata_RNO_7[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_8_3 "r.prdata_RNO_8[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_haddr_RNI2MLB_3 "r.haddr_RNI2MLB[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_prdata_RNO_0_7 "r.prdata_RNO_0[7]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_7 "r.prdata_RNO_2[7]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_prdata_RNO_31 "r.prdata_RNO[31]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_prdata_RNO_30 "r.prdata_RNO[30]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_hready_RNO_0 "r.hready_RNO_0") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_state_RNO_0 "r.state_RNO[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_state_RNO_1 "r.state_RNO[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hready_RNO "r.hready_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_psel_RNO "r.psel_RNO") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_psel_RNO_0 "r.psel_RNO_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_psel_RNO_1 "r.psel_RNO_1") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_haddr_RNIUVQ8_10 "r.haddr_RNIUVQ8[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_haddr_RNIU3R8_11 "r.haddr_RNIU3R8[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_haddr_RNIPM552_9 "r.haddr_RNIPM552[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_haddr_RNILAC4_9 "r.haddr_RNILAC4[9]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_haddr_RNI3SB72_1_8 "r.haddr_RNI3SB72_1[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_haddr_RNI3SB72_0_8 "r.haddr_RNI3SB72_0[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_18 "r.prdata_RNO[18]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_29 "r.prdata_RNO[29]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_prdata_RNO_2_23 "r.prdata_RNO_2[23]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_cfgsel "r.cfgsel") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_penable "r.penable") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hready "r.hready") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hwrite "r.hwrite") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_psel "r.psel") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_state_0 "r.state[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_state_1 "r.state[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_pwdata_0 "r.pwdata[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_1 "r.pwdata[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_2 "r.pwdata[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_3 "r.pwdata[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_4 "r.pwdata[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_5 "r.pwdata[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_6 "r.pwdata[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_7 "r.pwdata[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_8 "r.pwdata[8]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_9 "r.pwdata[9]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_10 "r.pwdata[10]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_11 "r.pwdata[11]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_12 "r.pwdata[12]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_13 "r.pwdata[13]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_14 "r.pwdata[14]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_15 "r.pwdata[15]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_16 "r.pwdata[16]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_17 "r.pwdata[17]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_18 "r.pwdata[18]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_19 "r.pwdata[19]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_20 "r.pwdata[20]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_21 "r.pwdata[21]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_22 "r.pwdata[22]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_23 "r.pwdata[23]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_24 "r.pwdata[24]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_25 "r.pwdata[25]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_26 "r.pwdata[26]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_27 "r.pwdata[27]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_28 "r.pwdata[28]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_29 "r.pwdata[29]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_30 "r.pwdata[30]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_pwdata_31 "r.pwdata[31]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_haddr_2 "r.haddr[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_3 "r.haddr[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_4 "r.haddr[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_5 "r.haddr[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_6 "r.haddr[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_7 "r.haddr[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_8 "r.haddr[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_9 "r.haddr[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_10 "r.haddr[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_11 "r.haddr[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_12 "r.haddr[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_13 "r.haddr[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_14 "r.haddr[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_15 "r.haddr[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_16 "r.haddr[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_17 "r.haddr[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_18 "r.haddr[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_19 "r.haddr[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_prdata_0 "r.prdata[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_1 "r.prdata[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_2 "r.prdata[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_3 "r.prdata[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_4 "r.prdata[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_5 "r.prdata[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_6 "r.prdata[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_7 "r.prdata[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_8 "r.prdata[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_9 "r.prdata[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_10 "r.prdata[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_11 "r.prdata[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_12 "r.prdata[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_13 "r.prdata[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_14 "r.prdata[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_15 "r.prdata[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_16 "r.prdata[16]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_17 "r.prdata[17]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_18 "r.prdata[18]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_19 "r.prdata[19]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_20 "r.prdata[20]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_21 "r.prdata[21]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_22 "r.prdata[22]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_23 "r.prdata[23]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_24 "r.prdata[24]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_25 "r.prdata[25]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_26 "r.prdata[26]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_27 "r.prdata[27]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_28 "r.prdata[28]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_29 "r.prdata[29]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_30 "r.prdata[30]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_prdata_31 "r.prdata[31]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net hready_0_sqmuxa_0 (joined (portRef Y (instanceRef v_hready_0_sqmuxa_0_a3_0_a2_0_0)) (portRef E (instanceRef r_haddr_14)) (portRef E (instanceRef r_haddr_13)) (portRef E (instanceRef r_haddr_12)) (portRef E (instanceRef r_haddr_11)) (portRef E (instanceRef r_haddr_10)) (portRef A (instanceRef r_psel_RNO_0)) (portRef B (instanceRef r_state_RNO_0)) (portRef B (instanceRef r_hready_RNO_0)) (portRef S (instanceRef r_hwrite_RNO_0)) (portRef E (instanceRef r_haddr_0_3)) (portRef E (instanceRef r_haddr_0_2)) (portRef E (instanceRef r_haddr_1_2)) (portRef E (instanceRef r_haddr_2_2)) )) (net hready_0_sqmuxa_0_a3_0_a2_0 (joined (portRef Y (instanceRef v_hready_0_sqmuxa_0_a3_0_a2_0)) (portRef A (instanceRef v_hready_0_sqmuxa_0_a3_0_a2)) (portRef A (instanceRef v_hready_0_sqmuxa_0_a3_0_a2_0_0)) )) (net (rename paddr_1_2 "paddr_1[2]") (joined (portRef Q (instanceRef r_haddr_1_2)) (portRef (member paddr_1 0)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_prdata_31)) (portRef CLK (instanceRef r_prdata_30)) (portRef CLK (instanceRef r_prdata_29)) (portRef CLK (instanceRef r_prdata_28)) (portRef CLK (instanceRef r_prdata_27)) (portRef CLK (instanceRef r_prdata_26)) (portRef CLK (instanceRef r_prdata_25)) (portRef CLK (instanceRef r_prdata_24)) (portRef CLK (instanceRef r_prdata_23)) (portRef CLK (instanceRef r_prdata_22)) (portRef CLK (instanceRef r_prdata_21)) (portRef CLK (instanceRef r_prdata_20)) (portRef CLK (instanceRef r_prdata_19)) (portRef CLK (instanceRef r_prdata_18)) (portRef CLK (instanceRef r_prdata_17)) (portRef CLK (instanceRef r_prdata_16)) (portRef CLK (instanceRef r_prdata_15)) (portRef CLK (instanceRef r_prdata_14)) (portRef CLK (instanceRef r_prdata_13)) (portRef CLK (instanceRef r_prdata_12)) (portRef CLK (instanceRef r_prdata_11)) (portRef CLK (instanceRef r_prdata_10)) (portRef CLK (instanceRef r_prdata_9)) (portRef CLK (instanceRef r_prdata_8)) (portRef CLK (instanceRef r_prdata_7)) (portRef CLK (instanceRef r_prdata_6)) (portRef CLK (instanceRef r_prdata_5)) (portRef CLK (instanceRef r_prdata_4)) (portRef CLK (instanceRef r_prdata_3)) (portRef CLK (instanceRef r_prdata_2)) (portRef CLK (instanceRef r_prdata_1)) (portRef CLK (instanceRef r_prdata_0)) (portRef CLK (instanceRef r_haddr_19)) (portRef CLK (instanceRef r_haddr_18)) (portRef CLK (instanceRef r_haddr_17)) (portRef CLK (instanceRef r_haddr_16)) (portRef CLK (instanceRef r_haddr_15)) (portRef CLK (instanceRef r_haddr_14)) (portRef CLK (instanceRef r_haddr_13)) (portRef CLK (instanceRef r_haddr_12)) (portRef CLK (instanceRef r_haddr_11)) (portRef CLK (instanceRef r_haddr_10)) (portRef CLK (instanceRef r_haddr_9)) (portRef CLK (instanceRef r_haddr_8)) (portRef CLK (instanceRef r_haddr_7)) (portRef CLK (instanceRef r_haddr_6)) (portRef CLK (instanceRef r_haddr_5)) (portRef CLK (instanceRef r_haddr_4)) (portRef CLK (instanceRef r_haddr_3)) (portRef CLK (instanceRef r_haddr_2)) (portRef CLK (instanceRef r_pwdata_31)) (portRef CLK (instanceRef r_pwdata_30)) (portRef CLK (instanceRef r_pwdata_29)) (portRef CLK (instanceRef r_pwdata_28)) (portRef CLK (instanceRef r_pwdata_27)) (portRef CLK (instanceRef r_pwdata_26)) (portRef CLK (instanceRef r_pwdata_25)) (portRef CLK (instanceRef r_pwdata_24)) (portRef CLK (instanceRef r_pwdata_23)) (portRef CLK (instanceRef r_pwdata_22)) (portRef CLK (instanceRef r_pwdata_21)) (portRef CLK (instanceRef r_pwdata_20)) (portRef CLK (instanceRef r_pwdata_19)) (portRef CLK (instanceRef r_pwdata_18)) (portRef CLK (instanceRef r_pwdata_17)) (portRef CLK (instanceRef r_pwdata_16)) (portRef CLK (instanceRef r_pwdata_15)) (portRef CLK (instanceRef r_pwdata_14)) (portRef CLK (instanceRef r_pwdata_13)) (portRef CLK (instanceRef r_pwdata_12)) (portRef CLK (instanceRef r_pwdata_11)) (portRef CLK (instanceRef r_pwdata_10)) (portRef CLK (instanceRef r_pwdata_9)) (portRef CLK (instanceRef r_pwdata_8)) (portRef CLK (instanceRef r_pwdata_7)) (portRef CLK (instanceRef r_pwdata_6)) (portRef CLK (instanceRef r_pwdata_5)) (portRef CLK (instanceRef r_pwdata_4)) (portRef CLK (instanceRef r_pwdata_3)) (portRef CLK (instanceRef r_pwdata_2)) (portRef CLK (instanceRef r_pwdata_1)) (portRef CLK (instanceRef r_pwdata_0)) (portRef CLK (instanceRef r_state_1)) (portRef CLK (instanceRef r_state_0)) (portRef CLK (instanceRef r_psel)) (portRef CLK (instanceRef r_hwrite)) (portRef CLK (instanceRef r_hready)) (portRef CLK (instanceRef r_penable)) (portRef CLK (instanceRef r_cfgsel)) (portRef CLK (instanceRef r_pwdata_0_5)) (portRef CLK (instanceRef r_pwdata_0_4)) (portRef CLK (instanceRef r_pwdata_0_3)) (portRef CLK (instanceRef r_pwdata_0_2)) (portRef CLK (instanceRef r_pwdata_0_1)) (portRef CLK (instanceRef r_haddr_0_3)) (portRef CLK (instanceRef r_haddr_0_2)) (portRef CLK (instanceRef r_haddr_1_2)) (portRef CLK (instanceRef r_haddr_2_2)) )) (net (rename haddr_2 "haddr[2]") (joined (portRef (member haddr 17)) (portRef D (instanceRef r_haddr_2)) (portRef D (instanceRef r_haddr_0_2)) (portRef D (instanceRef r_haddr_1_2)) (portRef D (instanceRef r_haddr_2_2)) )) (net N_221_0 (joined (portRef Y (instanceRef r_state_RNI4KU3_0_0)) (portRef E (instanceRef r_pwdata_23)) (portRef E (instanceRef r_pwdata_22)) (portRef E (instanceRef r_pwdata_21)) (portRef E (instanceRef r_pwdata_20)) (portRef E (instanceRef r_pwdata_19)) (portRef E (instanceRef r_pwdata_18)) (portRef E (instanceRef r_pwdata_17)) (portRef E (instanceRef r_pwdata_16)) (portRef E (instanceRef r_pwdata_15)) (portRef E (instanceRef r_pwdata_14)) (portRef E (instanceRef r_pwdata_13)) (portRef E (instanceRef r_pwdata_12)) (portRef E (instanceRef r_pwdata_11)) (portRef E (instanceRef r_pwdata_10)) (portRef E (instanceRef r_pwdata_1)) (portRef E (instanceRef r_pwdata_0)) (portRef E (instanceRef r_pwdata_0_1)) )) (net N_221 (joined (portRef Y (instanceRef r_state_RNI4KU3_0)) (portRef E (instanceRef r_pwdata_31)) (portRef E (instanceRef r_pwdata_30)) (portRef E (instanceRef r_pwdata_29)) (portRef E (instanceRef r_pwdata_28)) (portRef E (instanceRef r_pwdata_27)) (portRef E (instanceRef r_pwdata_26)) (portRef E (instanceRef r_pwdata_25)) (portRef E (instanceRef r_pwdata_24)) (portRef E (instanceRef r_pwdata_9)) (portRef E (instanceRef r_pwdata_8)) (portRef E (instanceRef r_pwdata_7)) (portRef E (instanceRef r_pwdata_6)) (portRef E (instanceRef r_pwdata_5)) (portRef E (instanceRef r_pwdata_4)) (portRef E (instanceRef r_pwdata_3)) (portRef E (instanceRef r_pwdata_2)) (portRef E (instanceRef r_pwdata_0_5)) (portRef E (instanceRef r_pwdata_0_4)) (portRef E (instanceRef r_pwdata_0_3)) (portRef E (instanceRef r_pwdata_0_2)) )) (net N_325_0 (joined (portRef Y (instanceRef r_haddr_RNI3SB72_8)) (portRef C (instanceRef r_prdata_RNO_2_15)) (portRef C (instanceRef r_prdata_RNO_5_14)) (portRef C (instanceRef r_prdata_RNO_1_11)) (portRef C (instanceRef r_prdata_RNO_0_9)) (portRef C (instanceRef r_prdata_RNO_5_5)) (portRef C (instanceRef r_prdata_RNO_5_13)) (portRef A (instanceRef r_prdata_RNO_22)) (portRef A (instanceRef r_prdata_RNO_16)) (portRef A (instanceRef r_prdata_RNO_24)) (portRef A (instanceRef r_prdata_RNO_20)) (portRef A (instanceRef r_prdata_RNO_21)) (portRef C (instanceRef r_prdata_RNO_15_1)) (portRef C (instanceRef r_prdata_RNO_8_6)) (portRef B (instanceRef r_prdata_RNO_1_12)) (portRef B (instanceRef r_prdata_RNO_1_8)) (portRef B (instanceRef r_prdata_RNO_1_7)) )) (net N_324 (joined (portRef Y (instanceRef r_haddr_RNIPM552_9)) (portRef B (instanceRef r_haddr_RNI3SB72_0_8)) (portRef A (instanceRef r_haddr_RNI3SB72_1_8)) (portRef B (instanceRef r_haddr_RNI3SB72_8)) )) (net (rename state_0 "state[0]") (joined (portRef Q (instanceRef r_state_0)) (portRef A (instanceRef r_psel_RNO_1)) (portRef A (instanceRef r_state_RNO_1)) (portRef A (instanceRef r_penable_RNO_1)) (portRef B (instanceRef r_state_RNI4KU3_0)) (portRef A (instanceRef r_state_RNO_0_0)) (portRef B (instanceRef r_state_RNI4KU3_0_0)) )) (net (rename pwdata_i_5 "pwdata_i[5]") (joined (portRef Y (instanceRef r_pwdata_0_RNI0VA_5)) (portRef (member pwdata_i 1)) )) (net (rename pwdata_0_5 "pwdata_0[5]") (joined (portRef Q (instanceRef r_pwdata_0_5)) (portRef A (instanceRef r_pwdata_0_RNI0VA_5)) (portRef (member pwdata_0 0)) )) (net (rename pwdata_i_6 "pwdata_i[6]") (joined (portRef Y (instanceRef r_pwdata_RNIMA22_6)) (portRef (member pwdata_i 0)) )) (net (rename pwdata_i_0 "pwdata_i[0]") (joined (portRef Y (instanceRef r_pwdata_RNIGI12_0)) (portRef (member pwdata_i 6)) )) (net (rename pwdata_i_1 "pwdata_i[1]") (joined (portRef Y (instanceRef r_pwdata_0_RNISEA_1)) (portRef (member pwdata_i 5)) )) (net (rename pwdata_0_1 "pwdata_0[1]") (joined (portRef Q (instanceRef r_pwdata_0_1)) (portRef A (instanceRef r_pwdata_0_RNISEA_1)) (portRef (member pwdata_0 4)) )) (net (rename pwdata_i_2 "pwdata_i[2]") (joined (portRef Y (instanceRef r_pwdata_0_RNITIA_2)) (portRef (member pwdata_i 4)) )) (net (rename pwdata_0_2 "pwdata_0[2]") (joined (portRef Q (instanceRef r_pwdata_0_2)) (portRef A (instanceRef r_pwdata_0_RNITIA_2)) (portRef (member pwdata_0 3)) )) (net (rename pwdata_i_3 "pwdata_i[3]") (joined (portRef Y (instanceRef r_pwdata_0_RNIUMA_3)) (portRef (member pwdata_i 3)) )) (net (rename pwdata_0_3 "pwdata_0[3]") (joined (portRef Q (instanceRef r_pwdata_0_3)) (portRef A (instanceRef r_pwdata_0_RNIUMA_3)) (portRef (member pwdata_0 2)) )) (net (rename pwdata_i_4 "pwdata_i[4]") (joined (portRef Y (instanceRef r_pwdata_0_RNIVQA_4)) (portRef (member pwdata_i 2)) )) (net (rename pwdata_0_4 "pwdata_0[4]") (joined (portRef Q (instanceRef r_pwdata_0_4)) (portRef A (instanceRef r_pwdata_0_RNIVQA_4)) (portRef (member pwdata_0 1)) )) (net N_194 (joined (portRef Y (instanceRef comb_v_prdata_1_0_a8_0_3)) (portRef B (instanceRef r_prdata_RNO_4_3)) )) (net N_136_i (joined (portRef Y (instanceRef comb_v_prdata_1_0_o2_14)) (portRef A (instanceRef comb_v_prdata_1_0_a8_0_3)) )) (net N_351 (joined (portRef Y (instanceRef comb_v_prdata_1_0_a2_0_3)) (portRef B (instanceRef comb_v_prdata_1_0_a8_0_3)) )) (net (rename paddr_0_3 "paddr_0[3]") (joined (portRef Q (instanceRef r_haddr_0_3)) (portRef C (instanceRef r_prdata_RNO_7_3)) (portRef C (instanceRef r_prdata_RNO_7_4)) (portRef B (instanceRef r_prdata_RNO_11_1)) (portRef C (instanceRef r_prdata_RNO_4_0)) (portRef C (instanceRef r_prdata_RNO_6_2)) (portRef C (instanceRef r_prdata_RNO_5_11)) (portRef C (instanceRef r_prdata_RNO_7_5)) (portRef B (instanceRef r_prdata_RNO_9_6)) (portRef C (instanceRef r_prdata_RNO_8_0)) (portRef B (instanceRef comb_v_prdata_1_0_a8_RNO_9)) (portRef B (instanceRef comb_v_prdata_1_0_o2_14)) (portRef (member paddr_0 0)) )) (net (rename prdata_1_0_a8_12 "prdata_1_0_a8[12]") (joined (portRef Y (instanceRef comb_v_prdata_1_0_a8_12)) (portRef B (instanceRef r_prdata_RNO_5_12)) )) (net N_161 (joined (portRef Y (instanceRef r_haddr_RNIRPS2_4)) (portRef A (instanceRef r_prdata_RNO_11_1)) (portRef A (instanceRef comb_v_prdata_1_0_a8_12)) )) (net N_334 (joined (portRef Y (instanceRef r_haddr_RNIISL4_6)) (portRef A (instanceRef r_prdata_RNO_1_26)) (portRef C (instanceRef r_prdata_RNO_12_6)) (portRef B (instanceRef r_haddr_0_RNI5HC5_2)) (portRef C (instanceRef r_haddr_RNIT629_3)) (portRef B (instanceRef r_prdata_RNO_2_24)) (portRef C (instanceRef r_prdata_RNO_11_1)) (portRef A (instanceRef comb_v_prdata_1_0_a2_0_3)) (portRef B (instanceRef comb_v_prdata_1_0_a8_12)) )) (net (rename paddr_0_2 "paddr_0[2]") (joined (portRef Q (instanceRef r_haddr_0_2)) (portRef A (instanceRef r_haddr_0_RNI5HC5_2)) (portRef A (instanceRef r_haddr_0_RNI8MI7_2)) (portRef B (instanceRef r_haddr_0_RNI8MI7_0_2)) (portRef C (instanceRef r_prdata_RNO_2_24)) (portRef C (instanceRef r_prdata_RNO_1_24)) (portRef B (instanceRef r_prdata_RNO_1_22)) (portRef B (instanceRef r_cfgsel_RNIM601)) (portRef A (instanceRef comb_v_prdata_1_0_a2_RNO_5)) (portRef B (instanceRef comb_v_prdata_1_0_a2_0_3)) (portRef (member paddr_0 1)) )) (net N_138 (joined (portRef Y (instanceRef comb_v_prdata_1_0_o2_0_5)) (portRef A (instanceRef comb_v_prdata_1_0_a2_5)) )) (net N_153_i (joined (portRef Y (instanceRef comb_v_prdata_1_0_o8_12)) (portRef A (instanceRef r_prdata_RNO_5_12)) )) (net N_267 (joined (portRef Y (instanceRef r_haddr_0_RNI8MI7_2)) (portRef B (instanceRef r_prdata_RNO_0_21)) (portRef B (instanceRef r_prdata_RNO_0_20)) (portRef A (instanceRef r_prdata_RNO_4_14)) (portRef A (instanceRef comb_v_prdata_1_0_o8_12)) )) (net N_346 (joined (portRef Y (instanceRef r_cfgsel_RNI5HC5)) (portRef B (instanceRef r_prdata_RNO_1_24)) (portRef B (instanceRef r_prdata_RNO_0_22)) (portRef C (instanceRef r_prdata_RNO_4_14)) (portRef C (instanceRef r_prdata_RNO_4_13)) (portRef B (instanceRef comb_v_prdata_1_0_o8_12)) )) (net N_241 (joined (portRef Y (instanceRef comb_v_prdata_1_0_a8_9)) (portRef B (instanceRef r_prdata_RNO_3_9)) )) (net N_328 (joined (portRef Y (instanceRef r_cfgsel_RNI75343)) (portRef B (instanceRef r_prdata_RNO_0_23)) (portRef B (instanceRef r_prdata_RNO_0_19)) (portRef B (instanceRef r_prdata_RNO_5_7)) (portRef B (instanceRef r_prdata_RNO_7_3)) (portRef B (instanceRef r_prdata_RNO_7_4)) (portRef B (instanceRef r_prdata_RNO_0_26)) (portRef B (instanceRef r_prdata_RNO_4_0)) (portRef B (instanceRef r_prdata_RNO_5_8)) (portRef B (instanceRef r_prdata_RNO_4_10)) (portRef B (instanceRef r_prdata_RNO_6_2)) (portRef B (instanceRef r_prdata_RNO_0_27)) (portRef B (instanceRef r_prdata_RNO_2_22)) (portRef B (instanceRef r_prdata_RNO_2_21)) (portRef B (instanceRef r_prdata_RNO_2_20)) (portRef B (instanceRef r_prdata_RNO_6_12)) (portRef B (instanceRef r_prdata_RNO_5_11)) (portRef B (instanceRef r_prdata_RNO_7_5)) (portRef B (instanceRef r_prdata_RNO_0_25)) (portRef B (instanceRef r_prdata_RNO_0_28)) (portRef B (instanceRef r_prdata_RNO_5_6)) (portRef A (instanceRef comb_v_prdata_1_0_a8_9)) )) (net (rename prdata_1_0_a8_0_9 "prdata_1_0_a8_0[9]") (joined (portRef Y (instanceRef comb_v_prdata_1_0_a8_RNO_9)) (portRef B (instanceRef comb_v_prdata_1_0_a8_9)) )) (net N_336 (joined (portRef Y (instanceRef comb_v_prdata_1_0_a2_5)) (portRef A (instanceRef comb_v_prdata_1_0_a2_RNIC6IM_5)) )) (net (rename prdata_1_0_a2_0_5 "prdata_1_0_a2_0[5]") (joined (portRef Y (instanceRef comb_v_prdata_1_0_a2_RNO_5)) (portRef B (instanceRef comb_v_prdata_1_0_a2_5)) )) (net N_344 (joined (portRef Y (instanceRef r_cfgsel_RNIBNF2)) (portRef B (instanceRef r_cfgsel_RNI5HC5)) (portRef B (instanceRef comb_v_prdata_1_0_a2_RNO_5)) )) (net N_2510 (joined (portRef Y (instanceRef comb_v_prdata_1_0_a8_RNO_0_9)) (portRef A (instanceRef comb_v_prdata_1_0_a8_RNO_9)) )) (net (rename prdata_1_0_1_9 "prdata_1_0_1[9]") (joined (portRef Y (instanceRef r_prdata_RNO_3_9)) (portRef C (instanceRef r_prdata_RNO_1_9)) )) (net (rename prdata_1_0_0_9 "prdata_1_0_0[9]") (joined (portRef Y (instanceRef r_prdata_RNO_4_9)) (portRef A (instanceRef r_prdata_RNO_3_9)) )) (net (rename prdata_1_0_0_12 "prdata_1_0_0[12]") (joined (portRef Y (instanceRef r_prdata_RNO_5_12)) (portRef B (instanceRef r_prdata_RNO_3_12)) )) (net state_tr2_0_a2_0_a8_0 (joined (portRef Y (instanceRef r_state_RNO_0_0)) (portRef C (instanceRef r_state_RNO_0)) )) (net (rename state_1 "state[1]") (joined (portRef Q (instanceRef r_state_1)) (portRef S (instanceRef r_psel_RNO_1)) (portRef C (instanceRef r_state_RNO_1)) (portRef C (instanceRef r_hready_RNO_0)) (portRef A (instanceRef r_penable_RNO_0)) (portRef B (instanceRef r_state_RNO_0_0)) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef (member iosn_0 0)) (portRef A (instanceRef v_hready_0_sqmuxa_0_a3_0_a2_0)) )) (net hsel_0_sqmuxa_inv_4 (joined (portRef hsel_0_sqmuxa_inv_4) (portRef B (instanceRef v_hready_0_sqmuxa_0_a3_0_a2_0)) )) (net (rename prdata_1_0_3_3 "prdata_1_0_3[3]") (joined (portRef Y (instanceRef r_prdata_RNO_1_3)) (portRef B (instanceRef r_prdata_RNO_3)) )) (net N_195 (joined (portRef Y (instanceRef r_prdata_RNO_3_3)) (portRef A (instanceRef r_prdata_RNO_1_3)) )) (net (rename prdata_1_0_1_3 "prdata_1_0_1[3]") (joined (portRef Y (instanceRef r_prdata_RNO_4_3)) (portRef B (instanceRef r_prdata_RNO_1_3)) )) (net N_197 (joined (portRef Y (instanceRef r_prdata_RNO_5_3)) (portRef C (instanceRef r_prdata_RNO_1_3)) )) (net N_199 (joined (portRef Y (instanceRef r_prdata_RNO_6_3)) (portRef A (instanceRef r_prdata_RNO_4_3)) )) (net N_193 (joined (portRef Y (instanceRef r_prdata_RNO_7_3)) (portRef C (instanceRef r_prdata_RNO_4_3)) )) (net (rename prdata_1_0_0_3_4 "prdata_1_0_0_3[4]") (joined (portRef Y (instanceRef r_prdata_RNO_1_4)) (portRef B (instanceRef r_prdata_RNO_4)) )) (net N_305 (joined (portRef Y (instanceRef r_prdata_RNO_3_4)) (portRef A (instanceRef r_prdata_RNO_1_4)) )) (net (rename prdata_1_0_0_1_4 "prdata_1_0_0_1[4]") (joined (portRef Y (instanceRef r_prdata_RNO_4_4)) (portRef B (instanceRef r_prdata_RNO_1_4)) )) (net N_306 (joined (portRef Y (instanceRef r_prdata_RNO_5_4)) (portRef C (instanceRef r_prdata_RNO_1_4)) )) (net N_309 (joined (portRef Y (instanceRef r_prdata_RNO_6_4)) (portRef A (instanceRef r_prdata_RNO_4_4)) )) (net N_304 (joined (portRef Y (instanceRef r_prdata_RNO_7_4)) (portRef B (instanceRef r_prdata_RNO_4_4)) )) (net N_242 (joined (portRef Y (instanceRef r_cfgsel_RNIHQRE)) (portRef C (instanceRef r_prdata_RNO_4_9)) (portRef C (instanceRef r_prdata_RNO_3_10)) (portRef C (instanceRef r_prdata_RNO_3_8)) (portRef C (instanceRef r_prdata_RNO_2_11)) (portRef C (instanceRef r_prdata_RNO_3_7)) (portRef C (instanceRef r_prdata_RNO_3_0)) (portRef C (instanceRef r_prdata_RNO_10_6)) (portRef C (instanceRef r_prdata_RNO_4_4)) )) (net (rename prdata_1_0_2_2 "prdata_1_0_2[2]") (joined (portRef Y (instanceRef r_prdata_RNO_1_2)) (portRef B (instanceRef r_prdata_RNO_2)) )) (net N_282 (joined (portRef Y (instanceRef r_prdata_RNO_3_2)) (portRef A (instanceRef r_prdata_RNO_1_2)) )) (net (rename prdata_1_0_0_2 "prdata_1_0_0[2]") (joined (portRef Y (instanceRef r_prdata_RNO_4_2)) (portRef B (instanceRef r_prdata_RNO_1_2)) )) (net N_283 (joined (portRef Y (instanceRef r_prdata_RNO_5_2)) (portRef C (instanceRef r_prdata_RNO_1_2)) )) (net N_331 (joined (portRef Y (instanceRef r_haddr_RNI3SB72_0_11)) (portRef B (instanceRef r_prdata_RNO_8_1)) (portRef C (instanceRef r_prdata_RNO_4_7)) (portRef C (instanceRef r_prdata_RNO_6_3)) (portRef C (instanceRef r_prdata_RNO_6_4)) (portRef C (instanceRef r_prdata_RNO_13_6)) (portRef C (instanceRef r_prdata_RNO_4_8)) (portRef A (instanceRef r_prdata_RNO_3_14)) (portRef A (instanceRef r_prdata_RNO_4_12)) (portRef A (instanceRef r_prdata_RNO_4_11)) (portRef A (instanceRef r_prdata_RNO_6_5)) (portRef A (instanceRef r_prdata_RNO_0_17)) (portRef A (instanceRef r_prdata_RNO_3_13)) (portRef B (instanceRef r_prdata_RNO_1_16)) (portRef B (instanceRef r_prdata_RNO_6_10)) (portRef B (instanceRef r_prdata_RNO_1_15)) (portRef B (instanceRef r_prdata_RNO_4_9)) (portRef B (instanceRef r_prdata_RNO_3_0)) (portRef A (instanceRef r_prdata_RNO_4_2)) )) (net (rename prdata_2 "prdata[2]") (joined (portRef prdata_2) (portRef B (instanceRef r_prdata_RNO_4_2)) )) (net N_281 (joined (portRef Y (instanceRef r_prdata_RNO_6_2)) (portRef C (instanceRef r_prdata_RNO_4_2)) )) (net (rename prdata_1_0_5_1 "prdata_1_0_5[1]") (joined (portRef Y (instanceRef r_prdata_RNO_1_1)) (portRef B (instanceRef r_prdata_RNO_1)) )) (net N_189 (joined (portRef Y (instanceRef r_prdata_RNO_3_1)) (portRef A (instanceRef r_prdata_RNO_1_1)) )) (net (rename prdata_1_0_3_1 "prdata_1_0_3[1]") (joined (portRef Y (instanceRef r_prdata_RNO_4_1)) (portRef B (instanceRef r_prdata_RNO_1_1)) )) (net N_188_i (joined (portRef Y (instanceRef r_prdata_RNO_5_1)) (portRef C (instanceRef r_prdata_RNO_1_1)) )) (net (rename prdata_1_0_1_1 "prdata_1_0_1[1]") (joined (portRef Y (instanceRef r_prdata_RNO_6_1)) (portRef A (instanceRef r_prdata_RNO_4_1)) )) (net N_183 (joined (portRef Y (instanceRef r_prdata_RNO_7_1)) (portRef B (instanceRef r_prdata_RNO_4_1)) )) (net N_192 (joined (portRef Y (instanceRef r_prdata_RNO_8_1)) (portRef C (instanceRef r_prdata_RNO_4_1)) )) (net N_184 (joined (portRef Y (instanceRef r_prdata_RNO_10_1)) (portRef A (instanceRef r_prdata_RNO_6_1)) )) (net N_187 (joined (portRef Y (instanceRef r_prdata_RNO_11_1)) (portRef B (instanceRef r_prdata_RNO_6_1)) )) (net (rename prdata_RNO_12_1 "prdata_RNO_12[1]") (joined (portRef Y (instanceRef r_prdata_RNO_12_1)) (portRef C (instanceRef r_prdata_RNO_6_1)) )) (net (rename prdata_1_0_3_5 "prdata_1_0_3[5]") (joined (portRef Y (instanceRef r_prdata_RNO_1_5)) (portRef B (instanceRef r_prdata_RNO_5)) )) (net (rename prdata_1_0_1_5 "prdata_1_0_1[5]") (joined (portRef Y (instanceRef r_prdata_RNO_3_5)) (portRef A (instanceRef r_prdata_RNO_1_5)) )) (net N_228 (joined (portRef Y (instanceRef r_prdata_RNO_4_5)) (portRef B (instanceRef r_prdata_RNO_1_5)) )) (net N_229 (joined (portRef Y (instanceRef r_prdata_RNO_5_5)) (portRef C (instanceRef r_prdata_RNO_1_5)) )) (net N_232 (joined (portRef Y (instanceRef r_prdata_RNO_6_5)) (portRef A (instanceRef r_prdata_RNO_3_5)) )) (net N_227 (joined (portRef Y (instanceRef r_prdata_RNO_7_5)) (portRef B (instanceRef r_prdata_RNO_3_5)) )) (net N_165 (joined (portRef Y (instanceRef comb_v_prdata_1_0_a2_RNIC6IM_5)) (portRef C (instanceRef r_prdata_RNO_1_15)) (portRef C (instanceRef r_prdata_RNO_3_5)) )) (net (rename prdata_1_0_4_6 "prdata_1_0_4[6]") (joined (portRef Y (instanceRef r_prdata_RNO_0_6)) (portRef A (instanceRef r_prdata_RNO_6)) )) (net (rename prdata_6 "prdata[6]") (joined (portRef prdata_6) (portRef A (instanceRef r_prdata_RNO_0_6)) )) (net N_329 (joined (portRef Y (instanceRef r_haddr_RNI3SB72_1_8)) (portRef C (instanceRef r_prdata_RNO_0_7)) (portRef C (instanceRef r_prdata_RNO_5_3)) (portRef C (instanceRef r_prdata_RNO_0_4)) (portRef C (instanceRef r_prdata_RNO_0_8)) (portRef C (instanceRef r_prdata_RNO_2_10)) (portRef C (instanceRef r_prdata_RNO_0_2)) (portRef C (instanceRef r_prdata_RNO_0_15)) (portRef C (instanceRef r_prdata_RNO_2_14)) (portRef C (instanceRef r_prdata_RNO_2_12)) (portRef C (instanceRef r_prdata_RNO_3_11)) (portRef C (instanceRef r_prdata_RNO_0_5)) (portRef C (instanceRef r_prdata_RNO_0_1)) (portRef C (instanceRef r_prdata_RNO_2_13)) (portRef C (instanceRef r_prdata_RNO_7_0)) (portRef B (instanceRef r_prdata_RNO_1_9)) (portRef B (instanceRef r_prdata_RNO_0_6)) )) (net (rename prdata_1_0_3_6 "prdata_1_0_3[6]") (joined (portRef Y (instanceRef r_prdata_RNO_3_6)) (portRef C (instanceRef r_prdata_RNO_0_6)) )) (net (rename prdata_0_6 "prdata_0[6]") (joined (portRef prdata_0_6) (portRef A (instanceRef r_prdata_RNO_3_6)) )) (net N_340 (joined (portRef Y (instanceRef r_haddr_RNI3SB72_11)) (portRef C (instanceRef r_prdata_RNO_3_3)) (portRef C (instanceRef r_prdata_RNO_3_4)) (portRef C (instanceRef r_prdata_RNO_3_2)) (portRef C (instanceRef r_prdata_RNO_4_5)) (portRef C (instanceRef r_prdata_RNO_3_1)) (portRef B (instanceRef r_prdata_RNO_5_0)) (portRef B (instanceRef r_prdata_RNO_3_6)) )) (net (rename prdata_1_0_2_6 "prdata_1_0_2[6]") (joined (portRef Y (instanceRef r_prdata_RNO_5_6)) (portRef C (instanceRef r_prdata_RNO_3_6)) )) (net (rename prdata_1_0_a8_0_0_6 "prdata_1_0_a8_0_0[6]") (joined (portRef Y (instanceRef r_prdata_RNO_9_6)) (portRef A (instanceRef r_prdata_RNO_5_6)) )) (net (rename prdata_1_0_1_6 "prdata_1_0_1[6]") (joined (portRef Y (instanceRef r_prdata_RNO_10_6)) (portRef C (instanceRef r_prdata_RNO_5_6)) )) (net N_235 (joined (portRef Y (instanceRef r_prdata_RNO_12_6)) (portRef A (instanceRef r_prdata_RNO_10_6)) )) (net N_239 (joined (portRef Y (instanceRef r_prdata_RNO_13_6)) (portRef B (instanceRef r_prdata_RNO_10_6)) )) (net (rename prdata_1_0_0_3_0 "prdata_1_0_0_3[0]") (joined (portRef Y (instanceRef r_prdata_RNO_1_0)) (portRef B (instanceRef r_prdata_RNO_0)) )) (net (rename prdata_1_0_0_0_0 "prdata_1_0_0_0[0]") (joined (portRef Y (instanceRef r_prdata_RNO_3_0)) (portRef A (instanceRef r_prdata_RNO_1_0)) )) (net N_310 (joined (portRef Y (instanceRef r_prdata_RNO_4_0)) (portRef B (instanceRef r_prdata_RNO_1_0)) )) (net (rename prdata_1_0_0_2_0 "prdata_1_0_0_2[0]") (joined (portRef Y (instanceRef r_prdata_RNO_5_0)) (portRef C (instanceRef r_prdata_RNO_1_0)) )) (net (rename prdata_0_d0 "prdata[0]") (joined (portRef prdata_0_d0) (portRef A (instanceRef r_prdata_RNO_5_0)) )) (net N_313 (joined (portRef Y (instanceRef r_prdata_RNO_7_0)) (portRef C (instanceRef r_prdata_RNO_5_0)) )) (net (rename prdata_0_0 "prdata_0[0]") (joined (portRef prdata_0_0) (portRef A (instanceRef r_prdata_RNO_3_0)) )) (net (rename prdata_1_0_0_2_7 "prdata_1_0_0_2[7]") (joined (portRef Y (instanceRef r_prdata_RNO_1_7)) (portRef B (instanceRef r_prdata_RNO_7)) )) (net (rename prdata_7 "prdata[7]") (joined (portRef prdata_7) (portRef A (instanceRef r_prdata_RNO_1_7)) )) (net (rename prdata_1_0_0_1_7 "prdata_1_0_0_1[7]") (joined (portRef Y (instanceRef r_prdata_RNO_3_7)) (portRef C (instanceRef r_prdata_RNO_1_7)) )) (net N_303 (joined (portRef Y (instanceRef r_prdata_RNO_4_7)) (portRef A (instanceRef r_prdata_RNO_3_7)) )) (net N_299 (joined (portRef Y (instanceRef r_prdata_RNO_5_7)) (portRef B (instanceRef r_prdata_RNO_3_7)) )) (net (rename prdata_1_0_3_11 "prdata_1_0_3[11]") (joined (portRef Y (instanceRef r_prdata_RNO_0_11)) (portRef C (instanceRef r_prdata_RNO_11)) )) (net N_248 (joined (portRef Y (instanceRef r_prdata_RNO_1_11)) (portRef A (instanceRef r_prdata_RNO_0_11)) )) (net (rename prdata_1_0_1_11 "prdata_1_0_1[11]") (joined (portRef Y (instanceRef r_prdata_RNO_2_11)) (portRef B (instanceRef r_prdata_RNO_0_11)) )) (net N_249 (joined (portRef Y (instanceRef r_prdata_RNO_3_11)) (portRef C (instanceRef r_prdata_RNO_0_11)) )) (net N_251 (joined (portRef Y (instanceRef r_prdata_RNO_4_11)) (portRef A (instanceRef r_prdata_RNO_2_11)) )) (net N_247 (joined (portRef Y (instanceRef r_prdata_RNO_5_11)) (portRef B (instanceRef r_prdata_RNO_2_11)) )) (net (rename prdata_1_0_0_2_8 "prdata_1_0_0_2[8]") (joined (portRef Y (instanceRef r_prdata_RNO_1_8)) (portRef B (instanceRef r_prdata_RNO_8)) )) (net (rename prdata_8 "prdata[8]") (joined (portRef prdata_8) (portRef A (instanceRef r_prdata_RNO_1_8)) )) (net (rename prdata_1_0_0_1_8 "prdata_1_0_0_1[8]") (joined (portRef Y (instanceRef r_prdata_RNO_3_8)) (portRef C (instanceRef r_prdata_RNO_1_8)) )) (net N_298 (joined (portRef Y (instanceRef r_prdata_RNO_4_8)) (portRef A (instanceRef r_prdata_RNO_3_8)) )) (net N_294 (joined (portRef Y (instanceRef r_prdata_RNO_5_8)) (portRef B (instanceRef r_prdata_RNO_3_8)) )) (net (rename prdata_1_0_3_13 "prdata_1_0_3[13]") (joined (portRef Y (instanceRef r_prdata_RNO_1_13)) (portRef B (instanceRef r_prdata_RNO_13)) )) (net N_175 (joined (portRef Y (instanceRef r_prdata_RNO_3_13)) (portRef A (instanceRef r_prdata_RNO_1_13)) )) (net (rename prdata_1_0_1_13 "prdata_1_0_1[13]") (joined (portRef Y (instanceRef r_prdata_RNO_4_13)) (portRef B (instanceRef r_prdata_RNO_1_13)) )) (net N_174 (joined (portRef Y (instanceRef r_prdata_RNO_5_13)) (portRef C (instanceRef r_prdata_RNO_1_13)) )) (net N_350 (joined (portRef Y (instanceRef r_haddr_RNIT629_6)) (portRef B (instanceRef r_prdata_RNO_1_23)) (portRef C (instanceRef comb_v_prdata_1_0_a2_RNIC6IM_5)) (portRef A (instanceRef r_prdata_RNO_4_13)) )) (net N_345 (joined (portRef Y (instanceRef r_haddr_0_RNI5HC5_2)) (portRef B (instanceRef r_prdata_RNO_1_21)) (portRef B (instanceRef r_prdata_RNO_1_20)) (portRef B (instanceRef r_prdata_RNO_4_13)) )) (net (rename prdata_1_0_3_12 "prdata_1_0_3[12]") (joined (portRef Y (instanceRef r_prdata_RNO_1_12)) (portRef B (instanceRef r_prdata_RNO_12)) )) (net (rename prdata_12 "prdata[12]") (joined (portRef prdata_12) (portRef A (instanceRef r_prdata_RNO_1_12)) )) (net (rename prdata_1_0_2_12 "prdata_1_0_2[12]") (joined (portRef Y (instanceRef r_prdata_RNO_3_12)) (portRef C (instanceRef r_prdata_RNO_1_12)) )) (net N_256 (joined (portRef Y (instanceRef r_prdata_RNO_4_12)) (portRef A (instanceRef r_prdata_RNO_3_12)) )) (net N_253 (joined (portRef Y (instanceRef r_prdata_RNO_6_12)) (portRef C (instanceRef r_prdata_RNO_3_12)) )) (net (rename prdata_1_0_2_14 "prdata_1_0_2[14]") (joined (portRef Y (instanceRef r_prdata_RNO_1_14)) (portRef B (instanceRef r_prdata_RNO_14)) )) (net N_261 (joined (portRef Y (instanceRef r_prdata_RNO_3_14)) (portRef A (instanceRef r_prdata_RNO_1_14)) )) (net (rename prdata_1_0_0_14 "prdata_1_0_0[14]") (joined (portRef Y (instanceRef r_prdata_RNO_4_14)) (portRef B (instanceRef r_prdata_RNO_1_14)) )) (net N_260 (joined (portRef Y (instanceRef r_prdata_RNO_5_14)) (portRef C (instanceRef r_prdata_RNO_1_14)) )) (net N_258 (joined (portRef Y (instanceRef r_haddr_RNIT629_3)) (portRef B (instanceRef comb_v_prdata_1_0_a2_RNIC6IM_5)) (portRef B (instanceRef r_prdata_RNO_4_14)) )) (net (rename prdata_1_0_0_2_10 "prdata_1_0_0_2[10]") (joined (portRef Y (instanceRef r_prdata_RNO_1_10)) (portRef B (instanceRef r_prdata_RNO_10)) )) (net (rename prdata_1_0_0_0_10 "prdata_1_0_0_0[10]") (joined (portRef Y (instanceRef r_prdata_RNO_3_10)) (portRef A (instanceRef r_prdata_RNO_1_10)) )) (net N_289 (joined (portRef Y (instanceRef r_prdata_RNO_4_10)) (portRef B (instanceRef r_prdata_RNO_1_10)) )) (net N_290 (joined (portRef Y (instanceRef r_prdata_RNO_5_10)) (portRef C (instanceRef r_prdata_RNO_1_10)) )) (net (rename prdata_1_0_0_a8_3_0_10 "prdata_1_0_0_a8_3_0[10]") (joined (portRef Y (instanceRef r_prdata_RNO_6_10)) (portRef A (instanceRef r_prdata_RNO_3_10)) )) (net (rename prdata_1_0_2_9 "prdata_1_0_2[9]") (joined (portRef Y (instanceRef r_prdata_RNO_1_9)) (portRef B (instanceRef r_prdata_RNO_9)) )) (net (rename prdata_9 "prdata[9]") (joined (portRef prdata_9) (portRef A (instanceRef r_prdata_RNO_1_9)) )) (net (rename prdata_1_0_a8_4_0_9 "prdata_1_0_a8_4_0[9]") (joined (portRef Y (instanceRef r_prdata_RNO_5_9)) (portRef A (instanceRef r_prdata_RNO_4_9)) )) (net (rename prdata_1_0_0_22 "prdata_1_0_0[22]") (joined (portRef Y (instanceRef r_prdata_RNO_0_22)) (portRef C (instanceRef r_prdata_RNO_22)) )) (net (rename prdata_1_0_a8_0_0_22 "prdata_1_0_a8_0_0[22]") (joined (portRef Y (instanceRef r_prdata_RNO_1_22)) (portRef A (instanceRef r_prdata_RNO_0_22)) )) (net N_273 (joined (portRef Y (instanceRef r_prdata_RNO_2_22)) (portRef C (instanceRef r_prdata_RNO_0_22)) )) (net (rename prdata_1_0_0_0_16 "prdata_1_0_0_0[16]") (joined (portRef Y (instanceRef r_prdata_RNO_0_16)) (portRef C (instanceRef r_prdata_RNO_16)) )) (net (rename prdata_1_0_0_a8_0_0_16 "prdata_1_0_0_a8_0_0[16]") (joined (portRef Y (instanceRef r_prdata_RNO_1_16)) (portRef A (instanceRef r_prdata_RNO_0_16)) )) (net un1_rdata23 (joined (portRef un1_rdata23) (portRef C (instanceRef r_prdata_RNO_3_14)) (portRef C (instanceRef r_prdata_RNO_4_12)) (portRef C (instanceRef r_prdata_RNO_4_11)) (portRef C (instanceRef r_prdata_RNO_6_5)) (portRef C (instanceRef r_prdata_RNO_0_17)) (portRef C (instanceRef r_prdata_RNO_3_13)) (portRef B (instanceRef r_prdata_RNO_3_15)) (portRef B (instanceRef r_prdata_RNO_0_16)) (portRef B (instanceRef r_prdata_RNO_3_10)) )) (net N_204 (joined (portRef Y (instanceRef r_haddr_0_RNI8MI7_0_2)) (portRef B (instanceRef r_prdata_RNO_0_24)) (portRef C (instanceRef r_prdata_RNO_0_16)) )) (net (rename prdata_1_0_1_24 "prdata_1_0_1[24]") (joined (portRef Y (instanceRef r_prdata_RNO_0_24)) (portRef C (instanceRef r_prdata_RNO_24)) )) (net N_205 (joined (portRef Y (instanceRef r_prdata_RNO_1_24)) (portRef A (instanceRef r_prdata_RNO_0_24)) )) (net N_203 (joined (portRef Y (instanceRef r_prdata_RNO_2_24)) (portRef C (instanceRef r_prdata_RNO_0_24)) )) (net (rename prdata_1_0_1_20 "prdata_1_0_1[20]") (joined (portRef Y (instanceRef r_prdata_RNO_0_20)) (portRef C (instanceRef r_prdata_RNO_20)) )) (net N_268 (joined (portRef Y (instanceRef r_prdata_RNO_1_20)) (portRef A (instanceRef r_prdata_RNO_0_20)) )) (net N_266 (joined (portRef Y (instanceRef r_prdata_RNO_2_20)) (portRef C (instanceRef r_prdata_RNO_0_20)) )) (net (rename prdata_1_0_1_21 "prdata_1_0_1[21]") (joined (portRef Y (instanceRef r_prdata_RNO_0_21)) (portRef C (instanceRef r_prdata_RNO_21)) )) (net N_271 (joined (portRef Y (instanceRef r_prdata_RNO_1_21)) (portRef A (instanceRef r_prdata_RNO_0_21)) )) (net N_270 (joined (portRef Y (instanceRef r_prdata_RNO_2_21)) (portRef C (instanceRef r_prdata_RNO_0_21)) )) (net (rename prdata_1_0_a8_3_4_6 "prdata_1_0_a8_3_4[6]") (joined (portRef Y (instanceRef r_prdata_RNO_4_6)) (portRef C (instanceRef r_prdata_RNO_1_6)) )) (net (rename prdata_1_0_a8_3_1_6 "prdata_1_0_a8_3_1[6]") (joined (portRef Y (instanceRef r_prdata_RNO_6_6)) (portRef A (instanceRef r_prdata_RNO_4_6)) )) (net (rename prdata_1_0_a8_3_0_6 "prdata_1_0_a8_3_0[6]") (joined (portRef Y (instanceRef r_prdata_RNO_7_6)) (portRef B (instanceRef r_prdata_RNO_4_6)) )) (net (rename prdata_1_0_a8_3_3_6 "prdata_1_0_a8_3_3[6]") (joined (portRef Y (instanceRef r_prdata_RNO_8_6)) (portRef C (instanceRef r_prdata_RNO_4_6)) )) (net (rename scaler_5 "scaler[6]") (joined (portRef scaler_5) (portRef B (instanceRef r_prdata_RNO_8_6)) )) (net (rename reload_5 "reload[6]") (joined (portRef reload_5) (portRef B (instanceRef r_prdata_RNO_6_6)) )) (net un1_gpti_m (joined (portRef un1_gpti_m) (portRef C (instanceRef r_prdata_RNO_6_6)) )) (net (rename value_6 "value[6]") (joined (portRef (member value 0)) (portRef A (instanceRef r_prdata_RNO_7_6)) )) (net value_0_sqmuxa_0 (joined (portRef value_0_sqmuxa_0) (portRef B (instanceRef r_prdata_RNO_7_6)) )) (net (rename reload_RNIHTBF_6 "reload_RNIHTBF[6]") (joined (portRef (member reload_rnihtbf 0)) (portRef C (instanceRef r_prdata_RNO_7_6)) )) (net (rename prdata_1_0_a8_4_4_1 "prdata_1_0_a8_4_4[1]") (joined (portRef Y (instanceRef r_prdata_RNO_9_1)) (portRef C (instanceRef r_prdata_RNO_5_1)) )) (net (rename prdata_1_0_a8_4_1_1 "prdata_1_0_a8_4_1[1]") (joined (portRef Y (instanceRef r_prdata_RNO_13_1)) (portRef A (instanceRef r_prdata_RNO_9_1)) )) (net (rename prdata_1_0_a8_4_0_1 "prdata_1_0_a8_4_0[1]") (joined (portRef Y (instanceRef r_prdata_RNO_14_1)) (portRef B (instanceRef r_prdata_RNO_9_1)) )) (net (rename prdata_1_0_a8_4_3_1 "prdata_1_0_a8_4_3[1]") (joined (portRef Y (instanceRef r_prdata_RNO_15_1)) (portRef C (instanceRef r_prdata_RNO_9_1)) )) (net readdata55 (joined (portRef readdata55) (portRef A (instanceRef r_prdata_RNO_15_1)) (portRef A (instanceRef r_prdata_RNO_8_6)) )) (net (rename scaler_0 "scaler[1]") (joined (portRef scaler_0) (portRef B (instanceRef r_prdata_RNO_15_1)) )) (net readdata56 (joined (portRef readdata56) (portRef A (instanceRef r_prdata_RNO_13_1)) (portRef A (instanceRef r_prdata_RNO_6_6)) )) (net (rename reload_0 "reload[1]") (joined (portRef reload_0) (portRef B (instanceRef r_prdata_RNO_13_1)) )) (net (rename reload_RNICTBF_1 "reload_RNICTBF[1]") (joined (portRef (member reload_rnictbf 0)) (portRef C (instanceRef r_prdata_RNO_13_1)) )) (net restart_RNI34S9 (joined (portRef restart_RNI34S9) (portRef A (instanceRef r_prdata_RNO_14_1)) )) (net (rename value_RNIBAHH_1 "value_RNIBAHH[1]") (joined (portRef (member value_rnibahh 0)) (portRef B (instanceRef r_prdata_RNO_14_1)) )) (net (rename prdata_1_0_0_15 "prdata_1_0_0[15]") (joined (portRef Y (instanceRef r_prdata_RNO_1_15)) (portRef B (instanceRef r_prdata_RNO_15)) )) (net (rename prdata_1_0_a8_1_0_15 "prdata_1_0_a8_1_0[15]") (joined (portRef Y (instanceRef r_prdata_RNO_3_15)) (portRef A (instanceRef r_prdata_RNO_1_15)) )) (net (rename prdata_1_0_0_a8_2_0_0 "prdata_1_0_0_a8_2_0[0]") (joined (portRef Y (instanceRef r_prdata_RNO_8_0)) (portRef A (instanceRef r_prdata_RNO_7_0)) )) (net (rename paddr_2_d0 "paddr[4]") (joined (portRef Q (instanceRef r_haddr_4)) (portRef A (instanceRef r_haddr_RNIRPS2_4)) (portRef A (instanceRef r_prdata_RNO_12_6)) (portRef B (instanceRef r_haddr_RNIL1S6_3)) (portRef B (instanceRef r_haddr_RNIIFI6_5)) (portRef A (instanceRef r_prdata_RNO_1_21)) (portRef A (instanceRef r_haddr_RNIT629_3)) (portRef A (instanceRef r_prdata_RNO_8_0)) (portRef A (instanceRef comb_v_prdata_1_0_o2_14)) (portRef paddr_2_d0) )) (net pwd (joined (portRef pwd) (portRef B (instanceRef r_prdata_RNO_8_0)) )) (net N_2509 (joined (portRef Y (instanceRef r_prdata_RNO_11_6)) (portRef A (instanceRef r_prdata_RNO_9_6)) )) (net (rename un1_dcom0_5 "un1_dcom0[12]") (joined (portRef un1_dcom0_5) (portRef A (instanceRef r_prdata_RNO_6_10)) )) (net (rename un1_dcom0_11 "un1_dcom0[18]") (joined (portRef un1_dcom0_11) (portRef A (instanceRef r_prdata_RNO_1_16)) )) (net N_328_0 (joined (portRef N_328_0) (portRef B (instanceRef r_prdata_RNO_5_9)) )) (net (rename un1_dcom0_10 "un1_dcom0[17]") (joined (portRef un1_dcom0_10) (portRef A (instanceRef r_prdata_RNO_3_15)) )) (net (rename prdata_1_0_a8_0_6 "prdata_1_0_a8_0[6]") (joined (portRef Y (instanceRef r_cfgsel_RNIM601)) (portRef C (instanceRef r_cfgsel_RNIHQRE)) )) (net cfgsel (joined (portRef Q (instanceRef r_cfgsel)) (portRef C (instanceRef r_prdata_RNO_7_1)) (portRef C (instanceRef r_haddr_RNIRMAS1_11)) (portRef C (instanceRef r_cfgsel_RNI75343)) (portRef A (instanceRef r_cfgsel_RNIBNF2)) (portRef B (instanceRef r_haddr_RNINGU6_11)) (portRef A (instanceRef r_cfgsel_RNIANF2)) (portRef B (instanceRef r_prdata_RNO_12_1)) (portRef A (instanceRef r_cfgsel_RNIM601)) )) (net (rename paddr_3 "paddr[5]") (joined (portRef Q (instanceRef r_haddr_5)) (portRef B (instanceRef r_haddr_RNI9497_5)) (portRef C (instanceRef r_haddr_RNIIFI6_5)) (portRef B (instanceRef r_cfgsel_RNIANF2)) (portRef A (instanceRef r_prdata_RNO_1_24)) (portRef A (instanceRef r_prdata_RNO_1_22)) (portRef B (instanceRef comb_v_prdata_1_0_o2_0_5)) (portRef paddr_3) )) (net cfgsel2_0_a8_4 (joined (portRef Y (instanceRef r_haddr_RNIQ2LQ_12)) (portRef C (instanceRef r_haddr_RNI46CL1_0_12)) )) (net (rename paddr_13 "paddr[13]") (joined (portRef Q (instanceRef r_haddr_13)) (portRef B (instanceRef r_haddr_RNIQ2LQ_0_12)) (portRef A (instanceRef r_haddr_RNIQ2LQ_12)) )) (net (rename paddr_12 "paddr[12]") (joined (portRef Q (instanceRef r_haddr_12)) (portRef C (instanceRef r_haddr_RNIQ2LQ_0_12)) (portRef B (instanceRef r_haddr_RNIQ2LQ_12)) )) (net cfgsel2_0_a8_1 (joined (portRef Y (instanceRef r_haddr_RNIFPAD_14)) (portRef C (instanceRef r_haddr_RNIQ2LQ_12)) )) (net cfgsel2_0_a8_3 (joined (portRef Y (instanceRef r_haddr_RNINPBD_18)) (portRef A (instanceRef r_haddr_RNI46CL1_0_12)) )) (net (rename paddr_18 "paddr[18]") (joined (portRef Q (instanceRef r_haddr_18)) (portRef A (instanceRef r_haddr_RNINPBD_0_18)) (portRef A (instanceRef r_haddr_RNINPBD_18)) )) (net (rename paddr_19 "paddr[19]") (joined (portRef Q (instanceRef r_haddr_19)) (portRef B (instanceRef r_haddr_RNINPBD_0_18)) (portRef B (instanceRef r_haddr_RNINPBD_18)) )) (net cfgsel2_0_a8_2 (joined (portRef Y (instanceRef r_haddr_RNIJ9BD_16)) (portRef B (instanceRef r_haddr_RNI46CL1_0_12)) )) (net (rename paddr_16 "paddr[16]") (joined (portRef Q (instanceRef r_haddr_16)) (portRef C (instanceRef r_haddr_RNIA3NQ_16)) (portRef A (instanceRef r_haddr_RNIJ9BD_16)) )) (net (rename paddr_17 "paddr[17]") (joined (portRef Q (instanceRef r_haddr_17)) (portRef B (instanceRef r_haddr_RNIA3NQ_16)) (portRef B (instanceRef r_haddr_RNIJ9BD_16)) )) (net (rename paddr_14 "paddr[14]") (joined (portRef Q (instanceRef r_haddr_14)) (portRef A (instanceRef r_haddr_RNIFPAD_0_14)) (portRef A (instanceRef r_haddr_RNIFPAD_14)) )) (net (rename paddr_15 "paddr[15]") (joined (portRef Q (instanceRef r_haddr_15)) (portRef B (instanceRef r_haddr_RNIFPAD_0_14)) (portRef B (instanceRef r_haddr_RNIFPAD_14)) )) (net (rename prdata_1_0_o2_0_5_1 "prdata_1_0_o2_0_5[1]") (joined (portRef Y (instanceRef r_haddr_RNIA3NQ_16)) (portRef A (instanceRef r_haddr_RNI46CL1_12)) )) (net (rename prdata_1_0_o2_0_3_1 "prdata_1_0_o2_0_3[1]") (joined (portRef Y (instanceRef r_haddr_RNINPBD_0_18)) (portRef A (instanceRef r_haddr_RNIA3NQ_16)) )) (net (rename prdata_1_0_o2_0_4_1 "prdata_1_0_o2_0_4[1]") (joined (portRef Y (instanceRef r_haddr_RNIQ2LQ_0_12)) (portRef B (instanceRef r_haddr_RNI46CL1_12)) )) (net (rename prdata_1_0_o2_0_1_1 "prdata_1_0_o2_0_1[1]") (joined (portRef Y (instanceRef r_haddr_RNIFPAD_0_14)) (portRef A (instanceRef r_haddr_RNIQ2LQ_0_12)) )) (net N_159 (joined (portRef Y (instanceRef r_haddr_RNI0A272_10)) (portRef A (instanceRef r_prdata_RNO_7_1)) (portRef B (instanceRef r_cfgsel_RNI75343)) )) (net N_319 (joined (portRef Y (instanceRef r_haddr_RNIU3R8_11)) (portRef A (instanceRef r_haddr_RNI0A272_10)) )) (net N_318 (joined (portRef Y (instanceRef r_haddr_RNIUVQ8_10)) (portRef B (instanceRef r_haddr_RNI0A272_10)) )) (net (rename un1_dcom0_8 "un1_dcom0[15]") (joined (portRef un1_dcom0_8) (portRef B (instanceRef r_prdata_RNO_3_13)) )) (net N_176 (joined (portRef Y (instanceRef r_prdata_RNO_0_13)) (portRef A (instanceRef r_prdata_RNO_13)) )) (net delayirqen (joined (portRef delayirqen) (portRef A (instanceRef r_prdata_RNO_0_13)) )) (net N_332 (joined (portRef Y (instanceRef r_haddr_RNI3SB72_9)) (portRef C (instanceRef r_prdata_RNO_2_7)) (portRef C (instanceRef r_prdata_RNO_2_3)) (portRef C (instanceRef r_prdata_RNO_2_4)) (portRef C (instanceRef r_prdata_RNO_2_2)) (portRef C (instanceRef r_prdata_RNO_2_1)) (portRef C (instanceRef r_prdata_RNO_2_0)) (portRef C (instanceRef r_prdata_RNO_2_8)) (portRef C (instanceRef r_prdata_RNO_2_6)) (portRef C (instanceRef r_prdata_RNO_2_5)) (portRef A (instanceRef r_prdata_RNO_11)) (portRef C (instanceRef r_prdata_RNO_0_10)) (portRef C (instanceRef r_prdata_RNO_0_14)) (portRef C (instanceRef r_prdata_RNO_0_12)) (portRef C (instanceRef r_prdata_RNO_2_9)) (portRef C (instanceRef r_prdata_RNO_0_13)) )) (net (rename readdata_9_i_0_0 "readdata_9_i_0[1]") (joined (portRef readdata_9_i_0_0) (portRef B (instanceRef r_prdata_RNO_5_1)) )) (net N_210 (joined (portRef Y (instanceRef r_prdata_RNO_0_28)) (portRef C (instanceRef r_prdata_RNO_28)) )) (net (rename iowidth_1 "iowidth[1]") (joined (portRef (member iowidth 0)) (portRef A (instanceRef r_prdata_RNO_0_28)) )) (net N_212 (joined (portRef Y (instanceRef r_prdata_RNO_0_25)) (portRef C (instanceRef r_prdata_RNO_25)) )) (net bexcen (joined (portRef bexcen) (portRef A (instanceRef r_prdata_RNO_0_25)) )) (net readdata55_1 (joined (portRef readdata55_1) (portRef C (instanceRef r_prdata_RNO_0_23)) (portRef C (instanceRef r_prdata_RNO_0_19)) (portRef C (instanceRef r_prdata_RNO_5_7)) (portRef C (instanceRef r_prdata_RNO_0_26)) (portRef C (instanceRef r_prdata_RNO_5_8)) (portRef C (instanceRef r_prdata_RNO_0_27)) (portRef C (instanceRef r_prdata_RNO_2_22)) (portRef C (instanceRef r_prdata_RNO_2_21)) (portRef C (instanceRef r_prdata_RNO_2_20)) (portRef C (instanceRef r_prdata_RNO_0_25)) (portRef C (instanceRef r_prdata_RNO_0_28)) )) (net N_218 (joined (portRef Y (instanceRef r_prdata_RNO_0_17)) (portRef C (instanceRef r_prdata_RNO_17)) )) (net (rename un1_dcom0_12 "un1_dcom0[19]") (joined (portRef un1_dcom0_12) (portRef B (instanceRef r_prdata_RNO_0_17)) )) (net N_3728 (joined (portRef Y (instanceRef r_prdata_RNO_8_5)) (portRef A (instanceRef r_prdata_RNO_7_5)) )) (net (rename un1_dcom0_0 "un1_dcom0[7]") (joined (portRef un1_dcom0_0) (portRef B (instanceRef r_prdata_RNO_6_5)) )) (net N_237_i (joined (portRef Y (instanceRef r_prdata_RNO_1_6)) (portRef B (instanceRef r_prdata_RNO_6)) )) (net readdata_3_sqmuxa (joined (portRef readdata_3_sqmuxa) (portRef A (instanceRef r_prdata_RNO_1_6)) (portRef A (instanceRef r_prdata_RNO_5_1)) )) (net (rename readdata_9_i_0_5 "readdata_9_i_0[6]") (joined (portRef readdata_9_i_0_5) (portRef B (instanceRef r_prdata_RNO_1_6)) )) (net N_245 (joined (portRef Y (instanceRef r_prdata_RNO_2_9)) (portRef C (instanceRef r_prdata_RNO_9)) )) (net (rename brate_9 "brate[9]") (joined (portRef (member brate 1)) (portRef A (instanceRef r_prdata_RNO_2_9)) )) (net N_3729 (joined (portRef N_3729) (portRef A (instanceRef r_prdata_RNO_5_11)) )) (net (rename un1_dcom0_6 "un1_dcom0[13]") (joined (portRef un1_dcom0_6) (portRef B (instanceRef r_prdata_RNO_4_11)) )) (net (rename rambanksz_3 "rambanksz[3]") (joined (portRef rambanksz_3) (portRef A (instanceRef r_prdata_RNO_6_12)) )) (net (rename un1_dcom0_7 "un1_dcom0[14]") (joined (portRef un1_dcom0_7) (portRef B (instanceRef r_prdata_RNO_4_12)) )) (net N_257 (joined (portRef Y (instanceRef r_prdata_RNO_0_12)) (portRef A (instanceRef r_prdata_RNO_12)) )) (net breakirqen (joined (portRef breakirqen) (portRef A (instanceRef r_prdata_RNO_0_12)) )) (net rdata_2_sqmuxa (joined (portRef rdata_2_sqmuxa) (portRef B (instanceRef r_prdata_RNO_0_14)) (portRef B (instanceRef r_prdata_RNO_0_12)) (portRef B (instanceRef r_prdata_RNO_0_13)) )) (net (rename un1_dcom0_9 "un1_dcom0[16]") (joined (portRef un1_dcom0_9) (portRef B (instanceRef r_prdata_RNO_3_14)) )) (net N_262 (joined (portRef Y (instanceRef r_prdata_RNO_0_14)) (portRef A (instanceRef r_prdata_RNO_14)) )) (net tsemptyirqen (joined (portRef tsemptyirqen) (portRef A (instanceRef r_prdata_RNO_0_14)) )) (net (rename iows_0 "iows[0]") (joined (portRef (member iows 3)) (portRef A (instanceRef r_prdata_RNO_2_20)) )) (net (rename iows_1 "iows[1]") (joined (portRef (member iows 2)) (portRef A (instanceRef r_prdata_RNO_2_21)) )) (net (rename iows_2 "iows[2]") (joined (portRef (member iows 1)) (portRef A (instanceRef r_prdata_RNO_2_22)) )) (net N_279 (joined (portRef Y (instanceRef r_prdata_RNO_0_27)) (portRef C (instanceRef r_prdata_RNO_27)) )) (net (rename iowidth_0 "iowidth[0]") (joined (portRef (member iowidth 1)) (portRef A (instanceRef r_prdata_RNO_0_27)) )) (net N_3731 (joined (portRef Y (instanceRef r_prdata_RNO_7_2)) (portRef A (instanceRef r_prdata_RNO_6_2)) )) (net (rename rambanksz_1 "rambanksz[1]") (joined (portRef rambanksz_1) (portRef A (instanceRef r_prdata_RNO_4_10)) )) (net N_897 (joined (portRef N_897) (portRef C (instanceRef r_prdata_RNO_4_10)) (portRef C (instanceRef r_prdata_RNO_6_12)) )) (net N_292 (joined (portRef Y (instanceRef r_prdata_RNO_0_10)) (portRef A (instanceRef r_prdata_RNO_10)) )) (net (rename brate_10 "brate[10]") (joined (portRef (member brate 0)) (portRef A (instanceRef r_prdata_RNO_0_10)) )) (net rdata_3_sqmuxa (joined (portRef rdata_3_sqmuxa) (portRef B (instanceRef r_prdata_RNO_0_10)) (portRef B (instanceRef r_prdata_RNO_2_9)) )) (net (rename romwidth_0 "romwidth[0]") (joined (portRef (member romwidth 1)) (portRef A (instanceRef r_prdata_RNO_5_8)) )) (net N_327 (joined (portRef N_327) (portRef A (instanceRef r_prdata_RNO_4_8)) )) (net readdata57_1 (joined (portRef readdata57_1) (portRef B (instanceRef r_prdata_RNO_4_7)) (portRef B (instanceRef r_prdata_RNO_6_3)) (portRef B (instanceRef r_prdata_RNO_6_4)) (portRef B (instanceRef r_prdata_RNO_13_6)) (portRef B (instanceRef r_prdata_RNO_4_8)) (portRef A (instanceRef r_prdata_RNO_5_9)) )) (net N_3730 (joined (portRef Y (instanceRef r_prdata_RNO_6_0)) (portRef A (instanceRef r_prdata_RNO_4_0)) )) (net N_35 (joined (portRef N_35) (portRef B (instanceRef r_prdata_RNO_7_0)) )) (net (rename prdata_1_0 "prdata_1[0]") (joined (portRef Y (instanceRef r_prdata_RNO_0)) (portRef D (instanceRef r_prdata_0)) )) (net N_312 (joined (portRef Y (instanceRef r_prdata_RNO_0_0)) (portRef A (instanceRef r_prdata_RNO_0)) )) (net N_315 (joined (portRef Y (instanceRef r_prdata_RNO_2_0)) (portRef C (instanceRef r_prdata_RNO_0)) )) (net (rename prdata_1_8 "prdata_1[8]") (joined (portRef Y (instanceRef r_prdata_RNO_8)) (portRef D (instanceRef r_prdata_8)) )) (net N_296 (joined (portRef Y (instanceRef r_prdata_RNO_0_8)) (portRef A (instanceRef r_prdata_RNO_8)) )) (net (rename prdata_RNO_2_8 "prdata_RNO_2[8]") (joined (portRef Y (instanceRef r_prdata_RNO_2_8)) (portRef C (instanceRef r_prdata_RNO_8)) )) (net (rename prdata_1_10 "prdata_1[10]") (joined (portRef Y (instanceRef r_prdata_RNO_10)) (portRef D (instanceRef r_prdata_10)) )) (net N_291 (joined (portRef Y (instanceRef r_prdata_RNO_2_10)) (portRef C (instanceRef r_prdata_RNO_10)) )) (net (rename prdata_1_21 "prdata_1[21]") (joined (portRef Y (instanceRef r_prdata_RNO_21)) (portRef D (instanceRef r_prdata_21)) )) (net (rename prdata_21 "prdata[21]") (joined (portRef prdata_21) (portRef B (instanceRef r_prdata_RNO_21)) )) (net (rename prdata_1_20 "prdata_1[20]") (joined (portRef Y (instanceRef r_prdata_RNO_20)) (portRef D (instanceRef r_prdata_20)) )) (net (rename prdata_20 "prdata[20]") (joined (portRef prdata_20) (portRef B (instanceRef r_prdata_RNO_20)) )) (net (rename prdata_1_15 "prdata_1[15]") (joined (portRef Y (instanceRef r_prdata_RNO_15)) (portRef D (instanceRef r_prdata_15)) )) (net N_263 (joined (portRef Y (instanceRef r_prdata_RNO_0_15)) (portRef A (instanceRef r_prdata_RNO_15)) )) (net N_264 (joined (portRef Y (instanceRef r_prdata_RNO_2_15)) (portRef C (instanceRef r_prdata_RNO_15)) )) (net (rename prdata_1_14 "prdata_1[14]") (joined (portRef Y (instanceRef r_prdata_RNO_14)) (portRef D (instanceRef r_prdata_14)) )) (net N_259 (joined (portRef Y (instanceRef r_prdata_RNO_2_14)) (portRef C (instanceRef r_prdata_RNO_14)) )) (net (rename prdata_1_12 "prdata_1[12]") (joined (portRef Y (instanceRef r_prdata_RNO_12)) (portRef D (instanceRef r_prdata_12)) )) (net N_255 (joined (portRef Y (instanceRef r_prdata_RNO_2_12)) (portRef C (instanceRef r_prdata_RNO_12)) )) (net (rename prdata_1_11 "prdata_1[11]") (joined (portRef Y (instanceRef r_prdata_RNO_11)) (portRef D (instanceRef r_prdata_11)) )) (net (rename prdata_11 "prdata[11]") (joined (portRef prdata_11) (portRef B (instanceRef r_prdata_RNO_11)) )) (net (rename prdata_1_9 "prdata_1[9]") (joined (portRef Y (instanceRef r_prdata_RNO_9)) (portRef D (instanceRef r_prdata_9)) )) (net N_243 (joined (portRef Y (instanceRef r_prdata_RNO_0_9)) (portRef A (instanceRef r_prdata_RNO_9)) )) (net (rename prdata_1_6 "prdata_1[6]") (joined (portRef Y (instanceRef r_prdata_RNO_6)) (portRef D (instanceRef r_prdata_6)) )) (net N_240 (joined (portRef Y (instanceRef r_prdata_RNO_2_6)) (portRef C (instanceRef r_prdata_RNO_6)) )) (net (rename prdata_1_5 "prdata_1[5]") (joined (portRef Y (instanceRef r_prdata_RNO_5)) (portRef D (instanceRef r_prdata_5)) )) (net N_230 (joined (portRef Y (instanceRef r_prdata_RNO_0_5)) (portRef A (instanceRef r_prdata_RNO_5)) )) (net N_231 (joined (portRef Y (instanceRef r_prdata_RNO_2_5)) (portRef C (instanceRef r_prdata_RNO_5)) )) (net (rename prdata_1_24 "prdata_1[24]") (joined (portRef Y (instanceRef r_prdata_RNO_24)) (portRef D (instanceRef r_prdata_24)) )) (net (rename prdata_24 "prdata[24]") (joined (portRef prdata_24) (portRef B (instanceRef r_prdata_RNO_24)) )) (net (rename prdata_1_13 "prdata_1[13]") (joined (portRef Y (instanceRef r_prdata_RNO_13)) (portRef D (instanceRef r_prdata_13)) )) (net N_173 (joined (portRef Y (instanceRef r_prdata_RNO_2_13)) (portRef C (instanceRef r_prdata_RNO_13)) )) (net (rename prdata_1_16 "prdata_1[16]") (joined (portRef Y (instanceRef r_prdata_RNO_16)) (portRef D (instanceRef r_prdata_16)) )) (net (rename prdata_16 "prdata[16]") (joined (portRef prdata_16) (portRef B (instanceRef r_prdata_RNO_16)) )) (net N_338 (joined (portRef Y (instanceRef r_haddr_RNINGU6_11)) (portRef A (instanceRef r_haddr_RNI3SB72_11)) (portRef A (instanceRef r_prdata_RNO_10_1)) )) (net N_3695 (joined (portRef Y (instanceRef r_haddr_RNI2MLB_3)) (portRef B (instanceRef r_prdata_RNO_7_1)) (portRef A (instanceRef r_prdata_RNO_12_1)) (portRef C (instanceRef r_prdata_RNO_10_1)) )) (net N_320 (joined (portRef Y (instanceRef r_haddr_RNI49NS_9)) (portRef A (instanceRef r_cfgsel_RNI75343)) )) (net N_316 (joined (portRef Y (instanceRef r_haddr_RNIHUFF_11)) (portRef A (instanceRef r_haddr_RNI49NS_9)) )) (net (rename paddr_7 "paddr[9]") (joined (portRef Q (instanceRef r_haddr_9)) (portRef B (instanceRef r_haddr_RNILAC4_9)) (portRef A (instanceRef r_haddr_RNIPM552_9)) (portRef B (instanceRef r_haddr_RNIUVQ8_10)) (portRef C (instanceRef r_haddr_RNI851B_0_10)) (portRef B (instanceRef r_haddr_RNI851B_10)) (portRef A (instanceRef r_prdata_RNO_16_1)) (portRef C (instanceRef r_haddr_RNI49NS_9)) (portRef B (instanceRef r_prdata_RNO_10_1)) (portRef paddr_7) )) (net (rename prdata_1_1 "prdata_1[1]") (joined (portRef Y (instanceRef r_prdata_RNO_1)) (portRef D (instanceRef r_prdata_1)) )) (net N_190 (joined (portRef Y (instanceRef r_prdata_RNO_0_1)) (portRef A (instanceRef r_prdata_RNO_1)) )) (net N_191 (joined (portRef Y (instanceRef r_prdata_RNO_2_1)) (portRef C (instanceRef r_prdata_RNO_1)) )) (net N_325 (joined (portRef N_325) (portRef A (instanceRef r_prdata_RNO_13_6)) )) (net (rename prdata_1_2 "prdata_1[2]") (joined (portRef Y (instanceRef r_prdata_RNO_2)) (portRef D (instanceRef r_prdata_2)) )) (net N_284 (joined (portRef Y (instanceRef r_prdata_RNO_0_2)) (portRef A (instanceRef r_prdata_RNO_2)) )) (net N_285 (joined (portRef Y (instanceRef r_prdata_RNO_2_2)) (portRef C (instanceRef r_prdata_RNO_2)) )) (net (rename prdata_1_26 "prdata_1[26]") (joined (portRef Y (instanceRef r_prdata_RNO_26)) (portRef D (instanceRef r_prdata_26)) )) (net N_276 (joined (portRef Y (instanceRef r_prdata_RNO_0_26)) (portRef A (instanceRef r_prdata_RNO_26)) )) (net N_277 (joined (portRef Y (instanceRef r_prdata_RNO_1_26)) (portRef B (instanceRef r_prdata_RNO_26)) )) (net N_278 (joined (portRef Y (instanceRef r_prdata_RNO_2_26)) (portRef C (instanceRef r_prdata_RNO_26)) )) (net brdyen (joined (portRef brdyen) (portRef A (instanceRef r_prdata_RNO_0_26)) )) (net N_91 (joined (portRef Y (instanceRef r_penable_RNO)) (portRef D (instanceRef r_penable)) )) (net cfgsel2 (joined (portRef Y (instanceRef r_haddr_RNI46CL1_0_12)) (portRef D (instanceRef r_cfgsel)) (portRef B (instanceRef r_penable_RNO)) )) (net N_222 (joined (portRef Y (instanceRef r_penable_RNO_0)) (portRef C (instanceRef r_penable_RNO)) )) (net (rename prdata_1_4 "prdata_1[4]") (joined (portRef Y (instanceRef r_prdata_RNO_4)) (portRef D (instanceRef r_prdata_4)) )) (net N_307 (joined (portRef Y (instanceRef r_prdata_RNO_0_4)) (portRef A (instanceRef r_prdata_RNO_4)) )) (net N_308 (joined (portRef Y (instanceRef r_prdata_RNO_2_4)) (portRef C (instanceRef r_prdata_RNO_4)) )) (net N_324_0 (joined (portRef N_324_0) (portRef A (instanceRef r_prdata_RNO_6_4)) )) (net N_3732 (joined (portRef Y (instanceRef r_prdata_RNO_8_4)) (portRef A (instanceRef r_prdata_RNO_7_4)) )) (net (rename prdata_1_3 "prdata_1[3]") (joined (portRef Y (instanceRef r_prdata_RNO_3)) (portRef D (instanceRef r_prdata_3)) )) (net N_196 (joined (portRef Y (instanceRef r_prdata_RNO_0_3)) (portRef A (instanceRef r_prdata_RNO_3)) )) (net N_198 (joined (portRef Y (instanceRef r_prdata_RNO_2_3)) (portRef C (instanceRef r_prdata_RNO_3)) )) (net N_323 (joined (portRef N_323) (portRef A (instanceRef r_prdata_RNO_6_3)) )) (net N_3727 (joined (portRef Y (instanceRef r_prdata_RNO_8_3)) (portRef A (instanceRef r_prdata_RNO_7_3)) )) (net (rename romwws_3 "romwws[3]") (joined (portRef (member romwws 0)) (portRef A (instanceRef r_prdata_RNO_5_7)) )) (net N_214 (joined (portRef Y (instanceRef r_prdata_RNO_0_19)) (portRef C (instanceRef r_prdata_RNO_19)) )) (net ioen (joined (portRef ioen) (portRef A (instanceRef r_prdata_RNO_0_19)) )) (net N_341 (joined (portRef Y (instanceRef r_haddr_RNIIFI6_5)) (portRef A (instanceRef r_cfgsel_RNIHQRE)) )) (net N_342 (joined (portRef Y (instanceRef r_haddr_RNI9497_5)) (portRef B (instanceRef r_cfgsel_RNIHQRE)) )) (net hready_0_sqmuxa (joined (portRef Y (instanceRef v_hready_0_sqmuxa_0_a3_0_a2)) (portRef E (instanceRef r_haddr_19)) (portRef E (instanceRef r_haddr_18)) (portRef E (instanceRef r_haddr_17)) (portRef E (instanceRef r_haddr_16)) (portRef E (instanceRef r_haddr_15)) (portRef E (instanceRef r_haddr_9)) (portRef E (instanceRef r_haddr_8)) (portRef E (instanceRef r_haddr_7)) (portRef E (instanceRef r_haddr_6)) (portRef E (instanceRef r_haddr_5)) (portRef E (instanceRef r_haddr_4)) (portRef E (instanceRef r_haddr_3)) (portRef E (instanceRef r_haddr_2)) )) (net (rename htrans_1 "htrans[1]") (joined (portRef (member htrans 0)) (portRef B (instanceRef v_hready_0_sqmuxa_0_a3_0_a2)) (portRef B (instanceRef v_hready_0_sqmuxa_0_a3_0_a2_0_0)) )) (net N_200 (joined (portRef Y (instanceRef r_prdata_RNO_0_23)) (portRef A (instanceRef r_prdata_RNO_23)) )) (net (rename iows_3 "iows[3]") (joined (portRef (member iows 0)) (portRef A (instanceRef r_prdata_RNO_0_23)) )) (net N_326 (joined (portRef N_326) (portRef A (instanceRef r_prdata_RNO_4_7)) )) (net (rename prdata_1_7 "prdata_1[7]") (joined (portRef Y (instanceRef r_prdata_RNO_7)) (portRef D (instanceRef r_prdata_7)) )) (net N_301 (joined (portRef Y (instanceRef r_prdata_RNO_0_7)) (portRef A (instanceRef r_prdata_RNO_7)) )) (net N_302 (joined (portRef Y (instanceRef r_prdata_RNO_2_7)) (portRef C (instanceRef r_prdata_RNO_7)) )) (net (rename prdata_1_23 "prdata_1[23]") (joined (portRef Y (instanceRef r_prdata_RNO_23)) (portRef D (instanceRef r_prdata_23)) )) (net N_201 (joined (portRef Y (instanceRef r_prdata_RNO_1_23)) (portRef B (instanceRef r_prdata_RNO_23)) )) (net N_202 (joined (portRef Y (instanceRef r_prdata_RNO_2_23)) (portRef C (instanceRef r_prdata_RNO_23)) )) (net N_127 (joined (portRef Y (instanceRef r_haddr_RNI46CL1_12)) (portRef A (instanceRef r_haddr_RNIRMAS1_11)) (portRef B (instanceRef r_psel_RNIHIM32)) (portRef B (instanceRef r_haddr_RNI3SB72_11)) (portRef C (instanceRef r_haddr_RNI0A272_10)) (portRef N_127) )) (net (rename prdata_1_22 "prdata_1[22]") (joined (portRef Y (instanceRef r_prdata_RNO_22)) (portRef D (instanceRef r_prdata_22)) )) (net (rename prdata_22 "prdata[22]") (joined (portRef prdata_22) (portRef B (instanceRef r_prdata_RNO_22)) )) (net (rename prdata_RNO_16_1 "prdata_RNO_16[1]") (joined (portRef Y (instanceRef r_prdata_RNO_16_1)) (portRef C (instanceRef r_prdata_RNO_12_1)) )) (net (rename paddr_6 "paddr[8]") (joined (portRef Q (instanceRef r_haddr_8)) (portRef A (instanceRef r_haddr_RNI3SB72_0_8)) (portRef B (instanceRef r_haddr_RNI3SB72_1_8)) (portRef A (instanceRef r_haddr_RNILAC4_9)) (portRef B (instanceRef r_haddr_RNIU3R8_11)) (portRef A (instanceRef r_haddr_RNIHUFF_11)) (portRef B (instanceRef r_haddr_RNI851B_0_10)) (portRef A (instanceRef r_haddr_RNI851B_10)) (portRef B (instanceRef r_prdata_RNO_16_1)) (portRef A (instanceRef r_haddr_RNI3SB72_8)) (portRef paddr_6) )) (net (rename prdata_0_iv_0_1_12 "prdata_0_iv_0_1[13]") (joined (portRef prdata_0_iv_0_1_12) (portRef A (instanceRef r_prdata_RNO_2_13)) )) (net N_103 (joined (portRef N_103) (portRef B (instanceRef r_prdata_RNO_2_13)) )) (net (rename readdata_1_iv_0_3 "readdata_1_iv_0[13]") (joined (portRef readdata_1_iv_0_3) (portRef A (instanceRef r_prdata_RNO_5_13)) )) (net (rename value_m_4 "value_m[13]") (joined (portRef value_m_4) (portRef B (instanceRef r_prdata_RNO_5_13)) )) (net (rename prdata_iv_0_1_p "prdata_iv_0[1]") (joined (portRef (member prdata_iv_0 4)) (portRef A (instanceRef r_prdata_RNO_3_1)) )) (net (rename readdata_2_m_1 "readdata_2_m[1]") (joined (portRef (member readdata_2_m 4)) (portRef B (instanceRef r_prdata_RNO_3_1)) )) (net (rename prdata_0_iv_0_1_0_d0 "prdata_0_iv_0_1[1]") (joined (portRef prdata_0_iv_0_1_0_d0) (portRef A (instanceRef r_prdata_RNO_0_1)) )) (net N_139 (joined (portRef N_139) (portRef B (instanceRef r_prdata_RNO_0_1)) )) (net rmw_1_sqmuxa_2 (joined (portRef rmw_1_sqmuxa_2) (portRef C (instanceRef r_prdata_RNO_1_26)) (portRef A (instanceRef r_cfgsel_RNI5HC5)) (portRef A (instanceRef r_haddr_RNI9497_5)) (portRef A (instanceRef r_prdata_RNO_2_24)) (portRef A (instanceRef comb_v_prdata_1_0_o2_0_5)) )) (net N_347 (joined (portRef Y (instanceRef r_haddr_RNIL1S6_3)) (portRef B (instanceRef r_haddr_RNIT629_6)) (portRef B (instanceRef r_haddr_0_RNI8MI7_2)) (portRef A (instanceRef r_haddr_0_RNI8MI7_0_2)) )) (net pwrite (joined (portRef Q (instanceRef r_hwrite)) (portRef B (instanceRef r_hwrite_RNO_0)) (portRef B (instanceRef r_penable_RNO_1)) (portRef A (instanceRef r_state_RNI4KU3_0)) (portRef A (instanceRef r_state_RNI4KU3_0_0)) (portRef pwrite) )) (net (rename prdata_iv_0_5 "prdata_iv_0[5]") (joined (portRef (member prdata_iv_0 0)) (portRef A (instanceRef r_prdata_RNO_4_5)) )) (net (rename readdata_2_m_5 "readdata_2_m[5]") (joined (portRef (member readdata_2_m 0)) (portRef B (instanceRef r_prdata_RNO_4_5)) )) (net (rename readdata_iv_3_5 "readdata_iv_3[5]") (joined (portRef readdata_iv_3_5) (portRef A (instanceRef r_prdata_RNO_5_5)) )) (net (rename readdata_iv_2_5 "readdata_iv_2[5]") (joined (portRef readdata_iv_2_5) (portRef B (instanceRef r_prdata_RNO_5_5)) )) (net (rename prdata_0_iv_0_1_4 "prdata_0_iv_0_1[5]") (joined (portRef prdata_0_iv_0_1_4) (portRef A (instanceRef r_prdata_RNO_0_5)) )) (net N_127_0 (joined (portRef N_127_0) (portRef B (instanceRef r_prdata_RNO_0_5)) )) (net (rename prdata_iv_0_2_5 "prdata_iv_0_2[5]") (joined (portRef (member prdata_iv_0_2 1)) (portRef A (instanceRef r_prdata_RNO_2_5)) )) (net (rename prdata_iv_0_1_5 "prdata_iv_0_1[5]") (joined (portRef (member prdata_iv_0_1 1)) (portRef B (instanceRef r_prdata_RNO_2_5)) )) (net (rename prdata_iv_0_2_6 "prdata_iv_0_2[6]") (joined (portRef (member prdata_iv_0_2 0)) (portRef A (instanceRef r_prdata_RNO_2_6)) )) (net (rename prdata_iv_0_1_6 "prdata_iv_0_1[6]") (joined (portRef (member prdata_iv_0_1 0)) (portRef B (instanceRef r_prdata_RNO_2_6)) )) (net (rename readdata_1_iv_1_9 "readdata_1_iv_1[9]") (joined (portRef (member readdata_1_iv_1 0)) (portRef A (instanceRef r_prdata_RNO_0_9)) )) (net (rename value_m_0 "value_m[9]") (joined (portRef value_m_0) (portRef B (instanceRef r_prdata_RNO_0_9)) )) (net (rename readdata_1_iv_0_1 "readdata_1_iv_0[11]") (joined (portRef readdata_1_iv_0_1) (portRef A (instanceRef r_prdata_RNO_1_11)) )) (net (rename value_m_2 "value_m[11]") (joined (portRef value_m_2) (portRef B (instanceRef r_prdata_RNO_1_11)) )) (net (rename prdata_0_iv_0_1_10 "prdata_0_iv_0_1[11]") (joined (portRef prdata_0_iv_0_1_10) (portRef A (instanceRef r_prdata_RNO_3_11)) )) (net N_111 (joined (portRef N_111) (portRef B (instanceRef r_prdata_RNO_3_11)) )) (net (rename prdata_0_iv_0_1_11 "prdata_0_iv_0_1[12]") (joined (portRef prdata_0_iv_0_1_11) (portRef A (instanceRef r_prdata_RNO_2_12)) )) (net N_107 (joined (portRef N_107) (portRef B (instanceRef r_prdata_RNO_2_12)) )) (net (rename prdata_0_iv_0_1_13 "prdata_0_iv_0_1[14]") (joined (portRef prdata_0_iv_0_1_13) (portRef A (instanceRef r_prdata_RNO_2_14)) )) (net N_99 (joined (portRef N_99) (portRef B (instanceRef r_prdata_RNO_2_14)) )) (net (rename readdata_1_iv_0_4 "readdata_1_iv_0[14]") (joined (portRef readdata_1_iv_0_4) (portRef A (instanceRef r_prdata_RNO_5_14)) )) (net (rename value_m_5 "value_m[14]") (joined (portRef value_m_5) (portRef B (instanceRef r_prdata_RNO_5_14)) )) (net (rename prdata_0_iv_2_15 "prdata_0_iv_2[15]") (joined (portRef (member prdata_0_iv_2 0)) (portRef A (instanceRef r_prdata_RNO_0_15)) )) (net (rename prdata_0_iv_1_12 "prdata_0_iv_1[15]") (joined (portRef prdata_0_iv_1_12) (portRef B (instanceRef r_prdata_RNO_0_15)) )) (net (rename value_m_6 "value_m[15]") (joined (portRef value_m_6) (portRef A (instanceRef r_prdata_RNO_2_15)) )) (net (rename readdata_1_iv_0_5 "readdata_1_iv_0[15]") (joined (portRef readdata_1_iv_0_5) (portRef B (instanceRef r_prdata_RNO_2_15)) )) (net (rename paddr_1_d0 "paddr[3]") (joined (portRef Q (instanceRef r_haddr_3)) (portRef B (instanceRef r_haddr_RNI2MLB_3)) (portRef B (instanceRef r_prdata_RNO_12_6)) (portRef A (instanceRef r_haddr_RNIL1S6_3)) (portRef A (instanceRef r_haddr_RNIIFI6_5)) (portRef A (instanceRef r_prdata_RNO_1_20)) (portRef B (instanceRef r_haddr_RNIT629_3)) (portRef paddr_1_d0) )) (net (rename prdata_iv_0_2_p "prdata_iv_0[2]") (joined (portRef (member prdata_iv_0 3)) (portRef A (instanceRef r_prdata_RNO_3_2)) )) (net (rename readdata_2_m_2 "readdata_2_m[2]") (joined (portRef (member readdata_2_m 3)) (portRef B (instanceRef r_prdata_RNO_3_2)) )) (net (rename readdata_iv_3_2 "readdata_iv_3[2]") (joined (portRef readdata_iv_3_2) (portRef A (instanceRef r_prdata_RNO_5_2)) )) (net (rename readdata_iv_2_2 "readdata_iv_2[2]") (joined (portRef readdata_iv_2_2) (portRef B (instanceRef r_prdata_RNO_5_2)) )) (net N_325_1 (joined (portRef Y (instanceRef r_haddr_RNI3SB72_0_8)) (portRef C (instanceRef r_prdata_RNO_2_23)) (portRef C (instanceRef r_prdata_RNO_29)) (portRef C (instanceRef r_prdata_RNO_18)) (portRef C (instanceRef r_prdata_RNO_30)) (portRef B (instanceRef r_prdata_RNO_31)) (portRef A (instanceRef r_prdata_RNO_19)) (portRef C (instanceRef r_prdata_RNO_0_3)) (portRef C (instanceRef r_prdata_RNO_5_4)) (portRef C (instanceRef r_prdata_RNO_2_26)) (portRef A (instanceRef r_prdata_RNO_27)) (portRef A (instanceRef r_prdata_RNO_17)) (portRef A (instanceRef r_prdata_RNO_25)) (portRef A (instanceRef r_prdata_RNO_28)) (portRef C (instanceRef r_prdata_RNO_0_0)) (portRef C (instanceRef r_prdata_RNO_5_10)) (portRef C (instanceRef r_prdata_RNO_5_2)) )) (net (rename prdata_0_iv_0_1_1 "prdata_0_iv_0_1[2]") (joined (portRef prdata_0_iv_0_1_1) (portRef A (instanceRef r_prdata_RNO_0_2)) )) (net N_135 (joined (portRef N_135) (portRef B (instanceRef r_prdata_RNO_0_2)) )) (net (rename readdata_1_iv_0_0 "readdata_1_iv_0[10]") (joined (portRef readdata_1_iv_0_0) (portRef A (instanceRef r_prdata_RNO_5_10)) )) (net (rename value_m_1 "value_m[10]") (joined (portRef value_m_1) (portRef B (instanceRef r_prdata_RNO_5_10)) )) (net (rename prdata_0_iv_0_1_9 "prdata_0_iv_0_1[10]") (joined (portRef prdata_0_iv_0_1_9) (portRef A (instanceRef r_prdata_RNO_2_10)) )) (net N_115 (joined (portRef N_115) (portRef B (instanceRef r_prdata_RNO_2_10)) )) (net (rename prdata_0_iv_0_1_7 "prdata_0_iv_0_1[8]") (joined (portRef prdata_0_iv_0_1_7) (portRef A (instanceRef r_prdata_RNO_0_8)) )) (net N_119 (joined (portRef N_119) (portRef B (instanceRef r_prdata_RNO_0_8)) )) (net N_847 (joined (portRef N_847) (portRef A (instanceRef r_prdata_RNO_2_8)) )) (net N_846 (joined (portRef N_846) (portRef B (instanceRef r_prdata_RNO_2_8)) )) (net (rename readdata_iv_3_0 "readdata_iv_3[0]") (joined (portRef readdata_iv_3_0) (portRef A (instanceRef r_prdata_RNO_0_0)) )) (net (rename readdata_iv_2_0 "readdata_iv_2[0]") (joined (portRef readdata_iv_2_0) (portRef B (instanceRef r_prdata_RNO_0_0)) )) (net (rename prdata_iv_0_2_0 "prdata_iv_0_2[0]") (joined (portRef (member prdata_iv_0_2 6)) (portRef A (instanceRef r_prdata_RNO_2_0)) )) (net (rename prdata_iv_0_1_0 "prdata_iv_0_1[0]") (joined (portRef (member prdata_iv_0_1 6)) (portRef B (instanceRef r_prdata_RNO_2_0)) )) (net N_333 (joined (portRef Y (instanceRef r_cfgsel_RNIANF2)) (portRef C (instanceRef r_haddr_RNIL1S6_3)) (portRef B (instanceRef r_haddr_RNIISL4_6)) )) (net (rename paddr_4 "paddr[6]") (joined (portRef Q (instanceRef r_haddr_6)) (portRef A (instanceRef r_haddr_RNIT629_6)) (portRef B (instanceRef r_cfgsel_RNIBNF2)) (portRef C (instanceRef r_haddr_RNI9497_5)) (portRef A (instanceRef r_haddr_RNIISL4_6)) (portRef paddr_4) )) (net (rename prdata_1_28 "prdata_1[28]") (joined (portRef Y (instanceRef r_prdata_RNO_28)) (portRef D (instanceRef r_prdata_28)) )) (net (rename prdata_28 "prdata[28]") (joined (portRef prdata_28) (portRef B (instanceRef r_prdata_RNO_28)) )) (net (rename prdata_1_25 "prdata_1[25]") (joined (portRef Y (instanceRef r_prdata_RNO_25)) (portRef D (instanceRef r_prdata_25)) )) (net (rename prdata_25 "prdata[25]") (joined (portRef prdata_25) (portRef B (instanceRef r_prdata_RNO_25)) )) (net (rename prdata_1_17 "prdata_1[17]") (joined (portRef Y (instanceRef r_prdata_RNO_17)) (portRef D (instanceRef r_prdata_17)) )) (net (rename prdata_17 "prdata[17]") (joined (portRef prdata_17) (portRef B (instanceRef r_prdata_RNO_17)) )) (net (rename prdata_1_27 "prdata_1[27]") (joined (portRef Y (instanceRef r_prdata_RNO_27)) (portRef D (instanceRef r_prdata_27)) )) (net (rename prdata_27 "prdata[27]") (joined (portRef prdata_27) (portRef B (instanceRef r_prdata_RNO_27)) )) (net (rename romwws_2 "romwws[2]") (joined (portRef (member romwws 1)) (portRef A (instanceRef r_prdata_RNO_11_6)) )) (net rmw (joined (portRef rmw) (portRef B (instanceRef r_prdata_RNO_11_6)) )) (net (rename paddr_2_2 "paddr_2[2]") (joined (portRef Q (instanceRef r_haddr_2_2)) (portRef S (instanceRef r_prdata_RNO_8_4)) (portRef B (instanceRef r_prdata_RNO_1_26)) (portRef B (instanceRef r_haddr_RNIRPS2_4)) (portRef S (instanceRef r_prdata_RNO_6_0)) (portRef S (instanceRef r_prdata_RNO_8_5)) (portRef S (instanceRef comb_v_prdata_1_0_a8_RNO_0_9)) (portRef S (instanceRef r_prdata_RNO_11_6)) (portRef (member paddr_2 0)) )) (net (rename romwidth_1 "romwidth[1]") (joined (portRef (member romwidth 0)) (portRef A (instanceRef comb_v_prdata_1_0_a8_RNO_0_9)) )) (net (rename rambanksz_0 "rambanksz[0]") (joined (portRef rambanksz_0) (portRef B (instanceRef comb_v_prdata_1_0_a8_RNO_0_9)) )) (net (rename romwws_1 "romwws[1]") (joined (portRef (member romwws 2)) (portRef A (instanceRef r_prdata_RNO_8_5)) )) (net (rename ramwidth_1 "ramwidth[1]") (joined (portRef (member ramwidth 0)) (portRef B (instanceRef r_prdata_RNO_8_5)) )) (net (rename romrws_0 "romrws[0]") (joined (portRef romrws_0) (portRef A (instanceRef r_prdata_RNO_6_0)) )) (net (rename ramrws_0 "ramrws[0]") (joined (portRef (member ramrws 0)) (portRef B (instanceRef r_prdata_RNO_6_0)) )) (net N_343 (joined (portRef Y (instanceRef r_psel_RNIHIM32)) (portRef N_343) )) (net (rename paddr_9 "paddr[11]") (joined (portRef Q (instanceRef r_haddr_11)) (portRef A (instanceRef r_haddr_RNIU3R8_11)) (portRef C (instanceRef r_haddr_RNIHUFF_11)) (portRef B (instanceRef r_haddr_RNIRMAS1_11)) (portRef C (instanceRef r_psel_RNIHIM32)) (portRef A (instanceRef r_haddr_RNINGU6_11)) (portRef paddr_9) )) (net N_337 (joined (portRef Y (instanceRef r_haddr_RNI851B_10)) (portRef C (instanceRef r_haddr_RNI3SB72_11)) (portRef N_337) )) (net (rename paddr_8 "paddr[10]") (joined (portRef Q (instanceRef r_haddr_10)) (portRef A (instanceRef r_haddr_RNIUVQ8_10)) (portRef B (instanceRef r_haddr_RNIHUFF_11)) (portRef A (instanceRef r_haddr_RNIEHV22_10)) (portRef A (instanceRef r_haddr_RNI851B_0_10)) (portRef C (instanceRef r_haddr_RNI851B_10)) (portRef C (instanceRef r_prdata_RNO_16_1)) (portRef paddr_8) )) (net N_323_0 (joined (portRef Y (instanceRef r_haddr_RNIEHV22_10)) (portRef B (instanceRef r_haddr_RNIPM552_9)) (portRef B (instanceRef r_haddr_RNI3SB72_9)) )) (net N_327_0 (joined (portRef Y (instanceRef r_haddr_RNI851B_0_10)) (portRef A (instanceRef r_haddr_RNI3SB72_0_11)) (portRef B (instanceRef r_haddr_RNI49NS_9)) (portRef N_327_0) )) (net N_322 (joined (portRef Y (instanceRef r_haddr_RNIRMAS1_11)) (portRef B (instanceRef r_haddr_RNIEHV22_10)) (portRef B (instanceRef r_haddr_RNI3SB72_0_11)) )) (net (rename prdata_1 "prdata[1]") (joined (portRef prdata_1) (portRef A (instanceRef r_prdata_RNO_8_1)) )) (net (rename prdata_iv_0_2_1 "prdata_iv_0_2[1]") (joined (portRef (member prdata_iv_0_2 5)) (portRef A (instanceRef r_prdata_RNO_2_1)) )) (net (rename prdata_iv_0_1_1 "prdata_iv_0_1[1]") (joined (portRef (member prdata_iv_0_1 5)) (portRef B (instanceRef r_prdata_RNO_2_1)) )) (net (rename prdata_iv_0_2_2 "prdata_iv_0_2[2]") (joined (portRef (member prdata_iv_0_2 4)) (portRef A (instanceRef r_prdata_RNO_2_2)) )) (net (rename prdata_iv_0_1_2 "prdata_iv_0_1[2]") (joined (portRef (member prdata_iv_0_1 4)) (portRef B (instanceRef r_prdata_RNO_2_2)) )) (net (rename readdata_1_iv_0_16 "readdata_1_iv_0[26]") (joined (portRef readdata_1_iv_0_16) (portRef A (instanceRef r_prdata_RNO_2_26)) )) (net (rename value_m_17 "value_m[26]") (joined (portRef value_m_17) (portRef B (instanceRef r_prdata_RNO_2_26)) )) (net N_156 (joined (portRef Y (instanceRef r_penable_RNO_1)) (portRef C (instanceRef r_penable_RNO_0)) )) (net N_7628 (joined (portRef Y (instanceRef r_hwrite_RNO_0)) (portRef B (instanceRef r_hwrite_RNO)) )) (net N_225 (joined (portRef Y (instanceRef r_hwrite_RNO)) (portRef D (instanceRef r_hwrite)) )) (net rstn (joined (portRef rstn) (portRef C (instanceRef r_psel_RNO)) (portRef A (instanceRef r_hready_RNO)) (portRef B (instanceRef r_state_RNO_1)) (portRef A (instanceRef r_state_RNO_0)) (portRef A (instanceRef r_hwrite_RNO)) (portRef A (instanceRef r_penable_RNO)) )) (net (rename romwws_0 "romwws[0]") (joined (portRef (member romwws 3)) (portRef A (instanceRef r_prdata_RNO_8_4)) )) (net (rename ramwidth_0 "ramwidth[0]") (joined (portRef (member ramwidth 1)) (portRef B (instanceRef r_prdata_RNO_8_4)) )) (net (rename prdata_iv_0_2_4 "prdata_iv_0_2[4]") (joined (portRef (member prdata_iv_0_2 2)) (portRef A (instanceRef r_prdata_RNO_2_4)) )) (net (rename prdata_iv_0_1_4 "prdata_iv_0_1[4]") (joined (portRef (member prdata_iv_0_1 2)) (portRef B (instanceRef r_prdata_RNO_2_4)) )) (net (rename prdata_0_iv_0_1_3 "prdata_0_iv_0_1[4]") (joined (portRef prdata_0_iv_0_1_3) (portRef A (instanceRef r_prdata_RNO_0_4)) )) (net N_131 (joined (portRef N_131) (portRef B (instanceRef r_prdata_RNO_0_4)) )) (net (rename readdata_iv_3_4 "readdata_iv_3[4]") (joined (portRef readdata_iv_3_4) (portRef A (instanceRef r_prdata_RNO_5_4)) )) (net (rename readdata_iv_2_4 "readdata_iv_2[4]") (joined (portRef readdata_iv_2_4) (portRef B (instanceRef r_prdata_RNO_5_4)) )) (net (rename prdata_iv_0_4 "prdata_iv_0[4]") (joined (portRef (member prdata_iv_0 1)) (portRef A (instanceRef r_prdata_RNO_3_4)) )) (net (rename readdata_2_m_4 "readdata_2_m[4]") (joined (portRef (member readdata_2_m 1)) (portRef B (instanceRef r_prdata_RNO_3_4)) )) (net (rename prdata_iv_0_2_3 "prdata_iv_0_2[3]") (joined (portRef (member prdata_iv_0_2 3)) (portRef A (instanceRef r_prdata_RNO_2_3)) )) (net (rename prdata_iv_0_1_3 "prdata_iv_0_1[3]") (joined (portRef (member prdata_iv_0_1 3)) (portRef B (instanceRef r_prdata_RNO_2_3)) )) (net (rename prdata_0_iv_1_0 "prdata_0_iv_1[3]") (joined (portRef prdata_0_iv_1_0) (portRef A (instanceRef r_prdata_RNO_5_3)) )) (net (rename ipend_m_3 "ipend_m[3]") (joined (portRef (member ipend_m 0)) (portRef B (instanceRef r_prdata_RNO_5_3)) )) (net (rename readdata_iv_3_3 "readdata_iv_3[3]") (joined (portRef readdata_iv_3_3) (portRef A (instanceRef r_prdata_RNO_0_3)) )) (net (rename readdata_iv_2_3 "readdata_iv_2[3]") (joined (portRef readdata_iv_2_3) (portRef B (instanceRef r_prdata_RNO_0_3)) )) (net (rename prdata_iv_0_3 "prdata_iv_0[3]") (joined (portRef (member prdata_iv_0 2)) (portRef A (instanceRef r_prdata_RNO_3_3)) )) (net (rename readdata_2_m_3 "readdata_2_m[3]") (joined (portRef (member readdata_2_m 2)) (portRef B (instanceRef r_prdata_RNO_3_3)) )) (net (rename prdata_1_19 "prdata_1[19]") (joined (portRef Y (instanceRef r_prdata_RNO_19)) (portRef D (instanceRef r_prdata_19)) )) (net (rename prdata_19 "prdata[19]") (joined (portRef prdata_19) (portRef B (instanceRef r_prdata_RNO_19)) )) (net (rename romrws_2 "romrws[2]") (joined (portRef romrws_2) (portRef A (instanceRef r_prdata_RNO_7_2)) )) (net (rename ramwws_0 "ramwws[0]") (joined (portRef (member ramwws 1)) (portRef B (instanceRef r_prdata_RNO_7_2)) )) (net (rename paddr_0_d0 "paddr[2]") (joined (portRef Q (instanceRef r_haddr_2)) (portRef S (instanceRef r_prdata_RNO_8_3)) (portRef S (instanceRef r_prdata_RNO_7_2)) (portRef A (instanceRef r_prdata_RNO_1_23)) (portRef paddr_0_d0) )) (net (rename romrws_3 "romrws[3]") (joined (portRef romrws_3) (portRef A (instanceRef r_prdata_RNO_8_3)) )) (net (rename ramwws_1 "ramwws[1]") (joined (portRef (member ramwws 0)) (portRef B (instanceRef r_prdata_RNO_8_3)) )) (net N_3726 (joined (portRef N_3726) (portRef A (instanceRef r_haddr_RNI2MLB_3)) )) (net (rename prdata_0_iv_0_1_6 "prdata_0_iv_0_1[7]") (joined (portRef prdata_0_iv_0_1_6) (portRef A (instanceRef r_prdata_RNO_0_7)) )) (net N_123 (joined (portRef N_123) (portRef B (instanceRef r_prdata_RNO_0_7)) )) (net (rename prdata_0_iv_0_1_0_7 "prdata_0_iv_0_1_0[7]") (joined (portRef (member prdata_0_iv_0_1_0 0)) (portRef A (instanceRef r_prdata_RNO_2_7)) )) (net N_859 (joined (portRef N_859) (portRef B (instanceRef r_prdata_RNO_2_7)) )) (net (rename prdata_1_31 "prdata_1[31]") (joined (portRef Y (instanceRef r_prdata_RNO_31)) (portRef D (instanceRef r_prdata_31)) )) (net (rename prdata_31 "prdata[31]") (joined (portRef prdata_31) (portRef A (instanceRef r_prdata_RNO_31)) )) (net (rename prdata_1_30 "prdata_1[30]") (joined (portRef Y (instanceRef r_prdata_RNO_30)) (portRef D (instanceRef r_prdata_30)) )) (net (rename value_m_21 "value_m[30]") (joined (portRef value_m_21) (portRef A (instanceRef r_prdata_RNO_30)) )) (net (rename readdata_1_iv_0_20 "readdata_1_iv_0[30]") (joined (portRef readdata_1_iv_0_20) (portRef B (instanceRef r_prdata_RNO_30)) )) (net N_7575 (joined (portRef Y (instanceRef r_hready_RNO_0)) (portRef B (instanceRef r_hready_RNO)) )) (net (rename state_nss_0 "state_nss[0]") (joined (portRef Y (instanceRef r_state_RNO_0)) (portRef D (instanceRef r_state_0)) )) (net (rename state_nss_1 "state_nss[1]") (joined (portRef Y (instanceRef r_state_RNO_1)) (portRef D (instanceRef r_state_1)) )) (net N_86 (joined (portRef Y (instanceRef r_hready_RNO)) (portRef D (instanceRef r_hready)) )) (net N_99_0 (joined (portRef Y (instanceRef r_psel_RNO)) (portRef D (instanceRef r_psel)) )) (net N_151 (joined (portRef Y (instanceRef r_psel_RNO_0)) (portRef A (instanceRef r_psel_RNO)) )) (net N_168 (joined (portRef Y (instanceRef r_psel_RNO_1)) (portRef B (instanceRef r_psel_RNO)) )) (net hwrite (joined (portRef hwrite) (portRef B (instanceRef r_psel_RNO_0)) (portRef A (instanceRef r_hwrite_RNO_0)) )) (net penable (joined (portRef Q (instanceRef r_penable)) (portRef B (instanceRef r_psel_RNO_1)) (portRef B (instanceRef r_penable_RNO_0)) (portRef penable) )) (net N_330 (joined (portRef Y (instanceRef r_haddr_RNILAC4_9)) (portRef A (instanceRef r_haddr_RNI3SB72_9)) (portRef N_330) )) (net (rename prdata_1_18 "prdata_1[18]") (joined (portRef Y (instanceRef r_prdata_RNO_18)) (portRef D (instanceRef r_prdata_18)) )) (net (rename value_m_9 "value_m[18]") (joined (portRef value_m_9) (portRef A (instanceRef r_prdata_RNO_18)) )) (net (rename readdata_1_iv_0_8 "readdata_1_iv_0[18]") (joined (portRef readdata_1_iv_0_8) (portRef B (instanceRef r_prdata_RNO_18)) )) (net (rename prdata_1_29 "prdata_1[29]") (joined (portRef Y (instanceRef r_prdata_RNO_29)) (portRef D (instanceRef r_prdata_29)) )) (net (rename value_m_20 "value_m[29]") (joined (portRef value_m_20) (portRef A (instanceRef r_prdata_RNO_29)) )) (net (rename readdata_1_iv_0_19 "readdata_1_iv_0[29]") (joined (portRef readdata_1_iv_0_19) (portRef B (instanceRef r_prdata_RNO_29)) )) (net (rename readdata_1_iv_0_13 "readdata_1_iv_0[23]") (joined (portRef readdata_1_iv_0_13) (portRef A (instanceRef r_prdata_RNO_2_23)) )) (net (rename value_m_14 "value_m[23]") (joined (portRef value_m_14) (portRef B (instanceRef r_prdata_RNO_2_23)) )) (net hready (joined (portRef Q (instanceRef r_hready)) (portRef A (instanceRef r_hready_RNO_0)) (portRef hready) )) (net psel (joined (portRef Q (instanceRef r_psel)) (portRef A (instanceRef r_psel_RNIHIM32)) (portRef psel) )) (net (rename pwdata_0_p "pwdata[0]") (joined (portRef Q (instanceRef r_pwdata_0)) (portRef A (instanceRef r_pwdata_RNIGI12_0)) (portRef (member pwdata 31)) )) (net (rename hwdata_0 "hwdata[0]") (joined (portRef (member hwdata 31)) (portRef D (instanceRef r_pwdata_0)) )) (net (rename pwdata_1 "pwdata[1]") (joined (portRef Q (instanceRef r_pwdata_1)) (portRef (member pwdata 30)) )) (net (rename hwdata_1 "hwdata[1]") (joined (portRef (member hwdata 30)) (portRef D (instanceRef r_pwdata_1)) (portRef D (instanceRef r_pwdata_0_1)) )) (net (rename pwdata_2 "pwdata[2]") (joined (portRef Q (instanceRef r_pwdata_2)) (portRef (member pwdata 29)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef (member hwdata 29)) (portRef D (instanceRef r_pwdata_2)) (portRef D (instanceRef r_pwdata_0_2)) )) (net (rename pwdata_3 "pwdata[3]") (joined (portRef Q (instanceRef r_pwdata_3)) (portRef (member pwdata 28)) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef (member hwdata 28)) (portRef D (instanceRef r_pwdata_3)) (portRef D (instanceRef r_pwdata_0_3)) )) (net (rename pwdata_4 "pwdata[4]") (joined (portRef Q (instanceRef r_pwdata_4)) (portRef (member pwdata 27)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef (member hwdata 27)) (portRef D (instanceRef r_pwdata_4)) (portRef D (instanceRef r_pwdata_0_4)) )) (net (rename pwdata_5 "pwdata[5]") (joined (portRef Q (instanceRef r_pwdata_5)) (portRef (member pwdata 26)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef (member hwdata 26)) (portRef D (instanceRef r_pwdata_5)) (portRef D (instanceRef r_pwdata_0_5)) )) (net (rename pwdata_6 "pwdata[6]") (joined (portRef Q (instanceRef r_pwdata_6)) (portRef A (instanceRef r_pwdata_RNIMA22_6)) (portRef (member pwdata 25)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef (member hwdata 25)) (portRef D (instanceRef r_pwdata_6)) )) (net (rename pwdata_7 "pwdata[7]") (joined (portRef Q (instanceRef r_pwdata_7)) (portRef (member pwdata 24)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef (member hwdata 24)) (portRef D (instanceRef r_pwdata_7)) )) (net (rename pwdata_8 "pwdata[8]") (joined (portRef Q (instanceRef r_pwdata_8)) (portRef (member pwdata 23)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef (member hwdata 23)) (portRef D (instanceRef r_pwdata_8)) )) (net (rename pwdata_9 "pwdata[9]") (joined (portRef Q (instanceRef r_pwdata_9)) (portRef (member pwdata 22)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef (member hwdata 22)) (portRef D (instanceRef r_pwdata_9)) )) (net (rename pwdata_10 "pwdata[10]") (joined (portRef Q (instanceRef r_pwdata_10)) (portRef (member pwdata 21)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef (member hwdata 21)) (portRef D (instanceRef r_pwdata_10)) )) (net (rename pwdata_11 "pwdata[11]") (joined (portRef Q (instanceRef r_pwdata_11)) (portRef (member pwdata 20)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef (member hwdata 20)) (portRef D (instanceRef r_pwdata_11)) )) (net (rename pwdata_12 "pwdata[12]") (joined (portRef Q (instanceRef r_pwdata_12)) (portRef (member pwdata 19)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef (member hwdata 19)) (portRef D (instanceRef r_pwdata_12)) )) (net (rename pwdata_13 "pwdata[13]") (joined (portRef Q (instanceRef r_pwdata_13)) (portRef (member pwdata 18)) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef (member hwdata 18)) (portRef D (instanceRef r_pwdata_13)) )) (net (rename pwdata_14 "pwdata[14]") (joined (portRef Q (instanceRef r_pwdata_14)) (portRef (member pwdata 17)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef (member hwdata 17)) (portRef D (instanceRef r_pwdata_14)) )) (net (rename pwdata_15 "pwdata[15]") (joined (portRef Q (instanceRef r_pwdata_15)) (portRef (member pwdata 16)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef (member hwdata 16)) (portRef D (instanceRef r_pwdata_15)) )) (net (rename pwdata_16 "pwdata[16]") (joined (portRef Q (instanceRef r_pwdata_16)) (portRef (member pwdata 15)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef (member hwdata 15)) (portRef D (instanceRef r_pwdata_16)) )) (net (rename pwdata_17 "pwdata[17]") (joined (portRef Q (instanceRef r_pwdata_17)) (portRef (member pwdata 14)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef (member hwdata 14)) (portRef D (instanceRef r_pwdata_17)) )) (net (rename pwdata_18 "pwdata[18]") (joined (portRef Q (instanceRef r_pwdata_18)) (portRef (member pwdata 13)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef (member hwdata 13)) (portRef D (instanceRef r_pwdata_18)) )) (net (rename pwdata_19 "pwdata[19]") (joined (portRef Q (instanceRef r_pwdata_19)) (portRef (member pwdata 12)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef (member hwdata 12)) (portRef D (instanceRef r_pwdata_19)) )) (net (rename pwdata_20 "pwdata[20]") (joined (portRef Q (instanceRef r_pwdata_20)) (portRef (member pwdata 11)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef (member hwdata 11)) (portRef D (instanceRef r_pwdata_20)) )) (net (rename pwdata_21 "pwdata[21]") (joined (portRef Q (instanceRef r_pwdata_21)) (portRef (member pwdata 10)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef (member hwdata 10)) (portRef D (instanceRef r_pwdata_21)) )) (net (rename pwdata_22 "pwdata[22]") (joined (portRef Q (instanceRef r_pwdata_22)) (portRef (member pwdata 9)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef (member hwdata 9)) (portRef D (instanceRef r_pwdata_22)) )) (net (rename pwdata_23 "pwdata[23]") (joined (portRef Q (instanceRef r_pwdata_23)) (portRef (member pwdata 8)) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef (member hwdata 8)) (portRef D (instanceRef r_pwdata_23)) )) (net (rename pwdata_24 "pwdata[24]") (joined (portRef Q (instanceRef r_pwdata_24)) (portRef (member pwdata 7)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef (member hwdata 7)) (portRef D (instanceRef r_pwdata_24)) )) (net (rename pwdata_25 "pwdata[25]") (joined (portRef Q (instanceRef r_pwdata_25)) (portRef (member pwdata 6)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef (member hwdata 6)) (portRef D (instanceRef r_pwdata_25)) )) (net (rename pwdata_26 "pwdata[26]") (joined (portRef Q (instanceRef r_pwdata_26)) (portRef (member pwdata 5)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef (member hwdata 5)) (portRef D (instanceRef r_pwdata_26)) )) (net (rename pwdata_27 "pwdata[27]") (joined (portRef Q (instanceRef r_pwdata_27)) (portRef (member pwdata 4)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef (member hwdata 4)) (portRef D (instanceRef r_pwdata_27)) )) (net (rename pwdata_28 "pwdata[28]") (joined (portRef Q (instanceRef r_pwdata_28)) (portRef (member pwdata 3)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef (member hwdata 3)) (portRef D (instanceRef r_pwdata_28)) )) (net (rename pwdata_29 "pwdata[29]") (joined (portRef Q (instanceRef r_pwdata_29)) (portRef (member pwdata 2)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef (member hwdata 2)) (portRef D (instanceRef r_pwdata_29)) )) (net (rename pwdata_30 "pwdata[30]") (joined (portRef Q (instanceRef r_pwdata_30)) (portRef (member pwdata 1)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef (member hwdata 1)) (portRef D (instanceRef r_pwdata_30)) )) (net (rename pwdata_31 "pwdata[31]") (joined (portRef Q (instanceRef r_pwdata_31)) (portRef (member pwdata 0)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef (member hwdata 0)) (portRef D (instanceRef r_pwdata_31)) )) (net (rename haddr_3 "haddr[3]") (joined (portRef (member haddr 16)) (portRef D (instanceRef r_haddr_3)) (portRef D (instanceRef r_haddr_0_3)) )) (net (rename haddr_4 "haddr[4]") (joined (portRef (member haddr 15)) (portRef D (instanceRef r_haddr_4)) )) (net (rename haddr_5 "haddr[5]") (joined (portRef (member haddr 14)) (portRef D (instanceRef r_haddr_5)) )) (net (rename haddr_6 "haddr[6]") (joined (portRef (member haddr 13)) (portRef D (instanceRef r_haddr_6)) )) (net (rename paddr_5 "paddr[7]") (joined (portRef Q (instanceRef r_haddr_7)) (portRef paddr_5) )) (net (rename haddr_7 "haddr[7]") (joined (portRef (member haddr 12)) (portRef D (instanceRef r_haddr_7)) )) (net (rename haddr_8 "haddr[8]") (joined (portRef (member haddr 11)) (portRef D (instanceRef r_haddr_8)) )) (net (rename haddr_9 "haddr[9]") (joined (portRef (member haddr 10)) (portRef D (instanceRef r_haddr_9)) )) (net (rename haddr_10 "haddr[10]") (joined (portRef (member haddr 9)) (portRef D (instanceRef r_haddr_10)) )) (net (rename haddr_11 "haddr[11]") (joined (portRef (member haddr 8)) (portRef D (instanceRef r_haddr_11)) )) (net (rename haddr_12 "haddr[12]") (joined (portRef (member haddr 7)) (portRef D (instanceRef r_haddr_12)) )) (net (rename haddr_13 "haddr[13]") (joined (portRef (member haddr 6)) (portRef D (instanceRef r_haddr_13)) )) (net (rename haddr_14 "haddr[14]") (joined (portRef (member haddr 5)) (portRef D (instanceRef r_haddr_14)) )) (net (rename haddr_15 "haddr[15]") (joined (portRef (member haddr 4)) (portRef D (instanceRef r_haddr_15)) )) (net (rename haddr_16 "haddr[16]") (joined (portRef (member haddr 3)) (portRef D (instanceRef r_haddr_16)) )) (net (rename haddr_17 "haddr[17]") (joined (portRef (member haddr 2)) (portRef D (instanceRef r_haddr_17)) )) (net (rename haddr_18 "haddr[18]") (joined (portRef (member haddr 1)) (portRef D (instanceRef r_haddr_18)) )) (net (rename haddr_19 "haddr[19]") (joined (portRef (member haddr 0)) (portRef D (instanceRef r_haddr_19)) )) (net (rename hrdata_0 "hrdata[0]") (joined (portRef Q (instanceRef r_prdata_0)) (portRef (member hrdata 31)) )) (net (rename hrdata_1 "hrdata[1]") (joined (portRef Q (instanceRef r_prdata_1)) (portRef (member hrdata 30)) )) (net (rename hrdata_2 "hrdata[2]") (joined (portRef Q (instanceRef r_prdata_2)) (portRef (member hrdata 29)) )) (net (rename hrdata_3 "hrdata[3]") (joined (portRef Q (instanceRef r_prdata_3)) (portRef (member hrdata 28)) )) (net (rename hrdata_4 "hrdata[4]") (joined (portRef Q (instanceRef r_prdata_4)) (portRef (member hrdata 27)) )) (net (rename hrdata_5 "hrdata[5]") (joined (portRef Q (instanceRef r_prdata_5)) (portRef (member hrdata 26)) )) (net (rename hrdata_6 "hrdata[6]") (joined (portRef Q (instanceRef r_prdata_6)) (portRef (member hrdata 25)) )) (net (rename hrdata_7 "hrdata[7]") (joined (portRef Q (instanceRef r_prdata_7)) (portRef (member hrdata 24)) )) (net (rename hrdata_8 "hrdata[8]") (joined (portRef Q (instanceRef r_prdata_8)) (portRef (member hrdata 23)) )) (net (rename hrdata_9 "hrdata[9]") (joined (portRef Q (instanceRef r_prdata_9)) (portRef (member hrdata 22)) )) (net (rename hrdata_10 "hrdata[10]") (joined (portRef Q (instanceRef r_prdata_10)) (portRef (member hrdata 21)) )) (net (rename hrdata_11 "hrdata[11]") (joined (portRef Q (instanceRef r_prdata_11)) (portRef (member hrdata 20)) )) (net (rename hrdata_12 "hrdata[12]") (joined (portRef Q (instanceRef r_prdata_12)) (portRef (member hrdata 19)) )) (net (rename hrdata_13 "hrdata[13]") (joined (portRef Q (instanceRef r_prdata_13)) (portRef (member hrdata 18)) )) (net (rename hrdata_14 "hrdata[14]") (joined (portRef Q (instanceRef r_prdata_14)) (portRef (member hrdata 17)) )) (net (rename hrdata_15 "hrdata[15]") (joined (portRef Q (instanceRef r_prdata_15)) (portRef (member hrdata 16)) )) (net (rename hrdata_16 "hrdata[16]") (joined (portRef Q (instanceRef r_prdata_16)) (portRef (member hrdata 15)) )) (net (rename hrdata_17 "hrdata[17]") (joined (portRef Q (instanceRef r_prdata_17)) (portRef (member hrdata 14)) )) (net (rename hrdata_18 "hrdata[18]") (joined (portRef Q (instanceRef r_prdata_18)) (portRef (member hrdata 13)) )) (net (rename hrdata_19 "hrdata[19]") (joined (portRef Q (instanceRef r_prdata_19)) (portRef (member hrdata 12)) )) (net (rename hrdata_20 "hrdata[20]") (joined (portRef Q (instanceRef r_prdata_20)) (portRef (member hrdata 11)) )) (net (rename hrdata_21 "hrdata[21]") (joined (portRef Q (instanceRef r_prdata_21)) (portRef (member hrdata 10)) )) (net (rename hrdata_22 "hrdata[22]") (joined (portRef Q (instanceRef r_prdata_22)) (portRef (member hrdata 9)) )) (net (rename hrdata_23 "hrdata[23]") (joined (portRef Q (instanceRef r_prdata_23)) (portRef (member hrdata 8)) )) (net (rename hrdata_24 "hrdata[24]") (joined (portRef Q (instanceRef r_prdata_24)) (portRef (member hrdata 7)) )) (net (rename hrdata_25 "hrdata[25]") (joined (portRef Q (instanceRef r_prdata_25)) (portRef (member hrdata 6)) )) (net (rename hrdata_26 "hrdata[26]") (joined (portRef Q (instanceRef r_prdata_26)) (portRef (member hrdata 5)) )) (net (rename hrdata_27 "hrdata[27]") (joined (portRef Q (instanceRef r_prdata_27)) (portRef (member hrdata 4)) )) (net (rename hrdata_28 "hrdata[28]") (joined (portRef Q (instanceRef r_prdata_28)) (portRef (member hrdata 3)) )) (net (rename hrdata_29 "hrdata[29]") (joined (portRef Q (instanceRef r_prdata_29)) (portRef (member hrdata 2)) )) (net (rename hrdata_30 "hrdata[30]") (joined (portRef Q (instanceRef r_prdata_30)) (portRef (member hrdata 1)) )) (net (rename hrdata_31 "hrdata[31]") (joined (portRef Q (instanceRef r_prdata_31)) (portRef (member hrdata 0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property hindex (integer 1)) (property haddr (integer 2048)) (property hmask (integer 4095)) (property nslaves (integer 16)) (property debug (integer 2)) (property icheck (integer 1)) (property enbusmon (integer 0)) (property asserterr (integer 0)) (property assertwarn (integer 0)) (property pslvdisable (integer 0)) (property mcheck (integer 1)) (property ccheck (integer 1)) ) ) (cell ahbctrl (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename bo_rnitud75 "bo_RNITUD75[1:1]") 1) (direction INPUT)) (port (array (rename bo_rniv2e75 "bo_RNIV2E75[1:1]") 1) (direction INPUT)) (port (array (rename bo_rni17e75 "bo_RNI17E75[1:1]") 1) (direction INPUT)) (port (array (rename bo_rni5fe75 "bo_RNI5FE75[1:1]") 1) (direction INPUT)) (port (array (rename haddr_2 "haddr_2[10:2]") 9) (direction INPUT)) (port haddr_1_13 (direction INPUT)) (port haddr_1_3 (direction INPUT)) (port haddr_1_4 (direction INPUT)) (port haddr_1_5 (direction INPUT)) (port haddr_1_7 (direction INPUT)) (port haddr_1_8 (direction INPUT)) (port haddr_1_9 (direction INPUT)) (port haddr_1_10 (direction INPUT)) (port haddr_1_11 (direction INPUT)) (port haddr_1_12 (direction INPUT)) (port haddr_1_14 (direction INPUT)) (port haddr_1_15 (direction INPUT)) (port haddr_1_16 (direction INPUT)) (port haddr_1_17 (direction INPUT)) (port haddr_1_18 (direction INPUT)) (port haddr_1_19 (direction INPUT)) (port haddr_1_20 (direction INPUT)) (port haddr_1_21 (direction INPUT)) (port haddr_1_22 (direction INPUT)) (port haddr_1_23 (direction INPUT)) (port haddr_1_24 (direction INPUT)) (port haddr_1_28 (direction INPUT)) (port haddr_1_6 (direction INPUT)) (port haddr_1_2 (direction INPUT)) (port haddr_1_30 (direction INPUT)) (port haddr_1_0 (direction INPUT)) (port haddr_1_1 (direction INPUT)) (port (array (rename hgrant "hgrant[1:1]") 1) (direction OUTPUT)) (port (array (rename htrans_2 "htrans_2[1:1]") 1) (direction INPUT)) (port (array (rename htrans_1 "htrans_1[1:1]") 1) (direction INPUT)) (port htrans_0_d0 (direction OUTPUT)) (port (array (rename hresp_0 "hresp_0[0:0]") 1) (direction OUTPUT)) (port (array (rename haddr_0 "haddr_0[31:0]") 32) (direction INOUT)) (port hrdata_2_0 (direction INPUT)) (port hrdata_2_1 (direction INPUT)) (port hrdata_2_10 (direction INPUT)) (port hrdata_2_9 (direction INPUT)) (port hrdata_2_2 (direction OUTPUT)) (port hrdata_2_7 (direction OUTPUT)) (port hrdata_2_8 (direction OUTPUT)) (port hrdata_2_5 (direction INPUT)) (port hrdata_2_15 (direction INPUT)) (port hrdata_2_6 (direction INPUT)) (port hrdata_2_26 (direction OUTPUT)) (port hrdata_2_19 (direction INPUT)) (port hrdata_2_22 (direction INPUT)) (port hrdata_2_20 (direction INPUT)) (port hrdata_2_3 (direction INPUT)) (port hrdata_2_18 (direction INPUT)) (port hrdata_2_17 (direction INPUT)) (port (array (rename hrdatas_rnio2l41 "hrdatas_RNIO2L41[5:5]") 1) (direction OUTPUT)) (port (array (rename hrdata_1 "hrdata_1[31:0]") 32) (direction INOUT)) (port (array (rename hwdata_1 "hwdata_1[31:0]") 32) (direction INPUT)) (port (array (rename hwdata_0 "hwdata_0[31:0]") 32) (direction INPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction OUTPUT)) (port (array (rename hrdata_0 "hrdata_0[31:0]") 32) (direction INOUT)) (port (array (rename hsize_5 "hsize_5[1:1]") 1) (direction INPUT)) (port (array (rename htrans_0 "htrans_0[1:1]") 1) (direction OUTPUT)) (port (array (rename hburst "hburst[0:0]") 1) (direction OUTPUT)) (port (array (rename hsize "hsize[1:0]") 2) (direction OUTPUT)) (port (array (rename hmbsel "hmbsel[0:0]") 1) (direction OUTPUT)) (port dmao_i_1_0 (direction OUTPUT)) (port dmao_i_1_24 (direction OUTPUT)) (port dmao_i_1_14 (direction OUTPUT)) (port dmao_i_1_31 (direction OUTPUT)) (port dmao_i_1_28 (direction OUTPUT)) (port dmao_i_1_26 (direction OUTPUT)) (port dmao_i_1_17 (direction OUTPUT)) (port dmao_i_1_30 (direction OUTPUT)) (port dmao_i_1_15 (direction OUTPUT)) (port dmao_i_1_25 (direction OUTPUT)) (port dmao_i_1_22 (direction OUTPUT)) (port dmao_i_1_29 (direction OUTPUT)) (port (array (rename hresp "hresp[0:0]") 1) (direction INPUT)) (port (array (rename hrdata "hrdata[31:0]") 32) (direction INOUT)) (port (array (rename size "size[0:0]") 1) (direction INPUT)) (port haddr_11 (direction OUTPUT)) (port haddr_0_d0 (direction OUTPUT)) (port haddr_1_d0 (direction OUTPUT)) (port haddr_31 (direction INPUT)) (port haddr_24 (direction OUTPUT)) (port haddr_23 (direction OUTPUT)) (port haddr_13 (direction OUTPUT)) (port haddr_28 (direction OUTPUT)) (port haddr_15 (direction OUTPUT)) (port haddr_14 (direction OUTPUT)) (port haddr_19 (direction OUTPUT)) (port haddr_18 (direction OUTPUT)) (port haddr_25 (direction OUTPUT)) (port haddr_26 (direction OUTPUT)) (port haddr_27 (direction OUTPUT)) (port haddr_12 (direction OUTPUT)) (port haddr_21 (direction OUTPUT)) (port haddr_22 (direction OUTPUT)) (port haddr_16 (direction OUTPUT)) (port haddr_17 (direction OUTPUT)) (port haddr_20 (direction OUTPUT)) (port (array (rename hsel_i "hsel_i[0:0]") 1) (direction OUTPUT)) (port (array (rename un34_hready_i_m "un34_hready_i_m[0:0]") 1) (direction OUTPUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction OUTPUT)) (port iosn_7 (direction OUTPUT)) (port iosn_8 (direction OUTPUT)) (port iosn_0_d0 (direction OUTPUT)) (port N_5515 (direction INPUT)) (port hbusreq_i_3 (direction INPUT)) (port hbusreq_i_0 (direction INPUT)) (port hready_2 (direction INPUT)) (port hready_1 (direction INPUT)) (port hready_0 (direction INPUT)) (port hwrite_1 (direction INPUT)) (port hwrite_0 (direction INPUT)) (port hlock (direction INPUT)) (port lb_0_sqmuxa_1 (direction INPUT)) (port ba_RNIIJKI5_0 (direction INPUT)) (port N_2802 (direction OUTPUT)) (port brmw_1 (direction INPUT)) (port hwrite_m_0 (direction OUTPUT)) (port cfgsel_RNIFO4S1 (direction OUTPUT)) (port cfgsel_RNILM2N1 (direction OUTPUT)) (port hready_1_sqmuxa (direction OUTPUT)) (port N_2307 (direction OUTPUT)) (port rstn (direction INPUT)) (port un58_nbo (direction INPUT)) (port un86_nbo (direction INPUT)) (port un95_ioen_NE (direction OUTPUT)) (port hsel_0_sqmuxa_inv_4 (direction OUTPUT)) (port un1_ahbsi_1 (direction INPUT)) (port hwrite (direction OUTPUT)) (port hready_RNICLR2 (direction OUTPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename r_hmaster_0_0_0 "r.hmaster_0_0[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0 "r.cfgsel_0_0") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_0 "r.hslave_0_0[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_1 "r.hslave_0_0[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_0 "r.hmasterd_0[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_hslave_RNIAR041_0_1 "r.hslave_RNIAR041_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIJ6OVB_0 "r.hmaster_0_0_RNIJ6OVB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_defslv_RNO_3 "r.defslv_RNO_3") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_defslv_RNO_2 "r.defslv_RNO_2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIEEGU51_0 "r.hmaster_0_0_RNIEEGU51[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIP19812_0 "r.hmaster_0_0_RNIP19812[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIFRVKB1_0 "r.hmaster_0_0_RNIFRVKB1[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI7MO4R_0 "r.hmaster_0_0_RNI7MO4R[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hmaster_RNIUD5RL_0 "r.hmaster_RNIUD5RL[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hmaster_RNI29OPL_0 "r.hmaster_RNI29OPL[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIG2O9G_0 "r.hmaster_0_0_RNIG2O9G[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI4RF8G_0 "r.hmaster_0_0_RNI4RF8G[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hmaster_RNI57JTA_0 "r.hmaster_RNI57JTA[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hmaster_RNID6HTA_0 "r.hmaster_RNID6HTA[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI479SA_0 "r.hmaster_0_0_RNI479SA[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNITA8SA_0 "r.hmaster_0_0_RNITA8SA[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI4TFJ01_0 "r.hmaster_0_0_RNI4TFJ01[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIO5IJ01_0 "r.hmaster_0_0_RNIO5IJ01[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI3R8SA_0 "r.hmaster_0_0_RNI3R8SA[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIOV0RA_0 "r.hmaster_0_0_RNIOV0RA[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIBEGTA_0 "r.hmaster_RNIBEGTA[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI0J8SA_0 "r.hmaster_0_0_RNI0J8SA[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNILN0RA_0 "r.hmaster_0_0_RNILN0RA[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI782RA_0 "r.hmaster_0_0_RNI782RA[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI9E546_0 "r.hmaster_0_0_RNI9E546[0]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNITO19_0 "r.hmaster_0_0_RNITO19[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNIUA4O_1 "r.hslave_RNIUA4O[1]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_hslave_RNIU3KM_0 "r.hslave_RNIU3KM[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_hslave_RNIBCLM_0 "r.hslave_RNIBCLM[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNILVCR_0 "r.hslave_0_0_RNILVCR[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_cfgsel_RNI4OKR "r.cfgsel_RNI4OKR") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hslave_RNI4KQ81_0 "r.hslave_RNI4KQ81[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIFFAF_15 "r.hrdatas_RNIFFAF[15]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_hslave_RNI6GCK1_0 "r.hslave_RNI6GCK1[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hrdatas_RNILV3M_29 "r.hrdatas_RNILV3M[29]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_hslave_RNO_0_2 "r.hslave_RNO_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hslave_RNIMB801_0 "r.hslave_RNIMB801[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIPCSR "r.cfgsel_RNIPCSR") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hrdatas_RNO_0_14 "r.hrdatas_RNO_0[14]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIDM231_1 "r.hslave_0_0_RNIDM231[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0_RNITF4D "r.cfgsel_0_0_RNITF4D") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_haddr_RNITEI6_4 "r.haddr_RNITEI6[4]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_haddr_RNIEEDF_3 "r.haddr_RNIEEDF[3]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_hready_RNIE192 "r.hready_RNIE192") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNI6859_2 "r.hslave_RNI6859[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_haddr_RNI1FI6_7 "r.haddr_RNI1FI6[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_haddr_RNIEVQ8_0_9 "r.haddr_RNIEVQ8_0[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hrdatam_RNIJ9R6_12 "r.hrdatam_RNIJ9R6[12]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNIEVQ8_9 "r.haddr_RNIEVQ8[9]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNI3AC4_7 "r.haddr_RNI3AC4[7]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0_RNIM8QA1 "r.cfgsel_0_0_RNIM8QA1") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0_RNI5GPA1 "r.cfgsel_0_0_RNI5GPA1") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hslave_RNIFP532_0 "r.hslave_RNIFP532[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI8T8TC3_0 "r.hmaster_0_0_RNI8T8TC3[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0_RNI65911 "r.cfgsel_0_0_RNI65911") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0_RNIQG161 "r.cfgsel_0_0_RNIQG161") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI6NF8G_0 "r.hmaster_0_0_RNI6NF8G[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIAHI573_0 "r.hmaster_0_0_RNIAHI573[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNI0VAD1_1 "r.hslave_RNI0VAD1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNINHKL_1 "r.hslave_0_0_RNINHKL[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hslave_RNO_2 "r.hslave_RNO[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hready_RNICLR2 "r.hready_RNICLR2") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_defslv_RNO_1 "r.defslv_RNO_1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_haddr_RNI87CQ_3 "r.haddr_RNI87CQ[3]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_haddr_RNIBTVL_3 "r.haddr_RNIBTVL[3]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_hrdatas_RNO_12 "r.hrdatas_RNO[12]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_haddr_RNIEOPJ_7 "r.haddr_RNIEOPJ[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hrdatas_RNO_14 "r.hrdatas_RNO[14]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_haddr_RNI726O_0_4 "r.haddr_RNI726O_0[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_haddr_RNI726O_4 "r.haddr_RNI726O[4]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIPB0B6_0 "r.hmaster_0_0_RNIPB0B6[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_hmasterlock_RNI1DL5O "r.hmasterlock_RNI1DL5O") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIH8HCB_0 "r.hmaster_0_0_RNIH8HCB[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI6NF8G_0_0 "r.hmaster_0_0_RNI6NF8G_0[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIS22712_0 "r.hmaster_0_0_RNIS22712[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI8N0ML_0 "r.hmaster_0_0_RNI8N0ML[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIOBJ81_1 "r.hslave_RNIOBJ81[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_cfgsel_RNILM2N1 "r.cfgsel_RNILM2N1") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_hslave_RNI63I81_0 "r.hslave_RNI63I81[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hslave_RNISKQI1_0 "r.hslave_RNISKQI1[0]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIAN1H1_1 "r.hslave_0_0_RNIAN1H1[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIFO4S1 "r.cfgsel_RNIFO4S1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hslave_RNIDTJN1_0 "r.hslave_RNIDTJN1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hslave_RNIROIL1_0 "r.hslave_RNIROIL1[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIKIGGN1_0 "r.hmaster_0_0_RNIKIGGN1[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hslave_RNIU5511_1 "r.hslave_RNIU5511[1]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_haddr_RNISEI6_3 "r.haddr_RNISEI6[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNIT0VD_2 "r.hslave_RNIT0VD[2]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0_RNIRMJ3E3 "r.cfgsel_0_0_RNIRMJ3E3") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNI60Q8_0 "r.hmasterd_0_RNI60Q8[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNI84Q8_0 "r.hmasterd_0_RNI84Q8[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNIA8Q8_0 "r.hmasterd_0_RNIA8Q8[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNICCQ8_0 "r.hmasterd_0_RNICCQ8[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNI2R3F_0 "r.hmasterd_0_RNI2R3F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNI634F_0 "r.hmasterd_0_RNI634F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNI874F_0 "r.hmasterd_0_RNI874F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNISA3F_0 "r.hmasterd_0_RNISA3F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNIUE3F_0 "r.hmasterd_0_RNIUE3F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNI434F_0 "r.hmasterd_0_RNI434F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNIO63F_0 "r.hmasterd_0_RNIO63F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNISE3F_0 "r.hmasterd_0_RNISE3F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNI0N3F_0 "r.hmasterd_0_RNI0N3F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNIM63F_0 "r.hmasterd_0_RNIM63F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNIOA3F_0 "r.hmasterd_0_RNIOA3F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0_RNISI3F_0 "r.hmasterd_0_RNISI3F[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_RNI5GLL_0 "r.hmasterd_RNI5GLL[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_RNI7KLL_0 "r.hmasterd_RNI7KLL[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_RNIJ5CF_0 "r.hmasterd_RNIJ5CF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_RNIL9CF_0 "r.hmasterd_RNIL9CF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_RNITPCF_0 "r.hmasterd_RNITPCF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_RNIPNKL_0 "r.hmasterd_RNIPNKL[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIF7R7_0 "r.hslave_0_0_RNIF7R7[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIHN8G_1 "r.hslave_0_0_RNIHN8G[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0_RNIMOVJ1 "r.cfgsel_0_0_RNIMOVJ1") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIL7MF_0 "r.hslave_0_0_RNIL7MF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0_RNI3P4N "r.cfgsel_0_0_RNI3P4N") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIA1HQ_1 "r.hslave_0_0_RNIA1HQ[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNILNMF_0 "r.hslave_0_0_RNILNMF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNI6PGQ_1 "r.hslave_0_0_RNI6PGQ[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNI5OP81_1 "r.hslave_0_0_RNI5OP81[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIO2L41_5 "r.hrdatas_RNIO2L41[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hrdatas_RNI829I1_26 "r.hrdatas_RNI829I1[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIGI9I1_28 "r.hrdatas_RNIGI9I1[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIS18I1_31 "r.hrdatas_RNIS18I1[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hrdatas_RNITPR6_16 "r.hrdatas_RNITPR6[16]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIFPRL_5 "r.hrdatas_RNIFPRL[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIVOF31_26 "r.hrdatas_RNIVOF31[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hrdatas_RNI79G31_28 "r.hrdatas_RNI79G31[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIJOE31_31 "r.hrdatas_RNIJOE31[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIB7MF_0 "r.hslave_0_0_RNIB7MF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIN7MF_0 "r.hslave_0_0_RNIN7MF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNITFMF_0 "r.hslave_0_0_RNITFMF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIRCGQ_1 "r.hslave_0_0_RNIRCGQ[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNID5HQ_1 "r.hslave_0_0_RNID5HQ[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIKDHQ_1 "r.hslave_0_0_RNIKDHQ[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIP7MF_0 "r.hslave_0_0_RNIP7MF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIPFMF_0 "r.hslave_0_0_RNIPFMF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIG9HQ_1 "r.hslave_0_0_RNIG9HQ[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIE5HQ_1 "r.hslave_0_0_RNIE5HQ[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIBVTH_1 "r.hslave_RNIBVTH[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIDVQ7_0 "r.hslave_0_0_RNIDVQ7[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0_RNI105D "r.cfgsel_0_0_RNI105D") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNITS3J_0 "r.hslave_0_0_RNITS3J[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIK8H6 "r.cfgsel_RNIK8H6") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIJ69M1 "r.cfgsel_RNIJ69M1") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIULF81_1 "r.hslave_0_0_RNIULF81[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIF7MF_0 "r.hslave_0_0_RNIF7MF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNI1LGQ_1 "r.hslave_0_0_RNI1LGQ[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIFFMF_0 "r.hslave_0_0_RNIFFMF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIVGGQ_1 "r.hslave_0_0_RNIVGGQ[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_cfga11_RNI99PE "r.cfga11_RNI99PE") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIBS3L "r.cfgsel_RNIBS3L") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI1FUQA_0_0 "r.hmaster_0_0_RNI1FUQA_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI1FUQA_0 "r.hmaster_0_0_RNI1FUQA[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIB990N_1 "r.hslave_0_0_RNIB990N[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_cfgsel_0_0_RNILIV4E3 "r.cfgsel_0_0_RNILIV4E3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNI55L1N_1 "r.hslave_0_0_RNI55L1N[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNITBP81_1 "r.hslave_0_0_RNITBP81[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNI9FQ7_0 "r.hslave_0_0_RNI9FQ7[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIHFMF_0 "r.hslave_0_0_RNIHFMF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIJFMF_0 "r.hslave_0_0_RNIJFMF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNI5PGQ_1 "r.hslave_0_0_RNI5PGQ[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hslave_RNIKHOL_1 "r.hslave_RNIKHOL[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI36PL_1 "r.hslave_RNI36PL[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIIBFD5_0 "r.hmaster_0_0_RNIIBFD5[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIN7S7_0 "r.hslave_0_0_RNIN7S7[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNIRFMF_0 "r.hslave_0_0_RNIRFMF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_0_0_RNINFMF_0 "r.hslave_0_0_RNINFMF[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hrdatam_RNIQUFD_14 "r.hrdatam_RNIQUFD[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hslave_RNIVBUA_0 "r.hslave_RNIVBUA[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI060H_1 "r.hslave_RNI060H[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hslave_RNI77QP_1 "r.hslave_RNI77QP[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNIRO141_1 "r.hslave_RNIRO141[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNIODRO_1 "r.hslave_RNIODRO[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIOH2D1 "r.cfgsel_RNIOH2D1") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_hslave_RNI7CUA_0 "r.hslave_RNI7CUA[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIH9OR "r.cfgsel_RNIH9OR") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIPMFD_24 "r.hrdatas_RNIPMFD[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNITJ23_0 "r.hslave_RNITJ23[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI5K33_0 "r.hslave_RNI5K33[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI7S33_0 "r.hslave_RNI7S33[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIR0P6_1 "r.hslave_RNIR0P6[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIU8P6_1 "r.hslave_RNIU8P6[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIFKHB_1 "r.hslave_RNIFKHB[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNICM0H_1 "r.hslave_RNICM0H[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hslave_RNI8NVU_1 "r.hslave_RNI8NVU[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_cfgsel_RNI03561 "r.cfgsel_RNI03561") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI54UA_0 "r.hslave_RNI54UA[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIBM0H_1 "r.hslave_RNIBM0H[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIOI8E "r.cfgsel_RNIOI8E") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI36OF_0_1 "r.hslave_RNI36OF_0[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI36OF_1 "r.hslave_RNI36OF[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_cfga11_RNIHMG "r.cfga11_RNIHMG") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hmasterd_RNIPHCF_0 "r.hmasterd_RNIPHCF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_defslv_RNIUJI "r.defslv_RNIUJI") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_htrans_RNIUCCO_1 "r.htrans_RNIUCCO[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_htrans_RNI9752_1 "r.htrans_RNI9752[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_defslv_RNO "r.defslv_RNO") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_defslv_RNO_0 "r.defslv_RNO_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hmasterd_RNIF0ML_0 "r.hmasterd_RNIF0ML[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIM2G7B_0 "r.hmaster_0_0_RNIM2G7B[0]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_hmasterd_RNI38LL_0 "r.hmasterd_RNI38LL[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIKUFJ14_0 "r.hmaster_0_0_RNIKUFJ14[0]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_hmasterlock_RNO "r.hmasterlock_RNO") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI9SMD62_0 "r.hmaster_0_0_RNI9SMD62[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIH6D4R1_0 "r.hmaster_0_0_RNIH6D4R1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_hmasterlock_RNO_0 "r.hmasterlock_RNO_0") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hmasterlock_RNO_1 "r.hmasterlock_RNO_1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hslave_RNI68N6_1 "r.hslave_RNI68N6[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNINR13_0 "r.hslave_RNINR13[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIUQVK_1 "r.hslave_RNIUQVK[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_haddr_RNIH9II1_4 "r.haddr_RNIH9II1[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_hrdatam_RNO_14 "r.hrdatam_RNO[14]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hrdatas_RNO_30 "r.hrdatas_RNO[30]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hrdatas_RNO_29 "r.hrdatas_RNO[29]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hrdatas_RNO_28 "r.hrdatas_RNO[28]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_haddr_RNI726O_1_4 "r.haddr_RNI726O_1[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hrdatas_RNO_24 "r.hrdatas_RNO[24]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_haddr_RNIDEDF_2 "r.haddr_RNIDEDF[2]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_haddr_RNIAJJH_3 "r.haddr_RNIAJJH[3]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNIV9C4_0_6 "r.haddr_RNIV9C4_0[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNI8OPJ_4 "r.haddr_RNI8OPJ[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNITEI6_0_4 "r.haddr_RNITEI6_0[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_haddr_RNIAOPJ_6 "r.haddr_RNIAOPJ[6]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNIATVL_4 "r.haddr_RNIATVL[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_haddr_RNIV9C4_6 "r.haddr_RNIV9C4[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_haddr_RNI8TVL_6 "r.haddr_RNI8TVL[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hrdatas_RNO_5 "r.hrdatas_RNO[5]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_hrdatas_RNO_13 "r.hrdatas_RNO[13]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_hrdatas_RNO_15 "r.hrdatas_RNO[15]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNINV7E5_0 "r.hmaster_0_0_RNINV7E5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_htrans_RNO_0_1 "r.htrans_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIAR041_1 "r.hslave_RNIAR041[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNIN9B9_0 "r.hslave_RNIN9B9[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI3HJI_1 "r.hslave_RNI3HJI[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_htrans_RNO_1 "r.htrans_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hmasterlock_RNIQ08FB "r.hmasterlock_RNIQ08FB") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hmasterlock_RNI2QRKE1 "r.hmasterlock_RNI2QRKE1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI5HGBB_0 "r.hmaster_0_0_RNI5HGBB[0]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_hmasterlock_RNIQF5UP1 "r.hmasterlock_RNIQF5UP1") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI4UR88_0 "r.hmaster_0_0_RNI4UR88[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIPG1LF_0 "r.hmaster_0_0_RNIPG1LF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNICSSB52_0 "r.hmaster_0_0_RNICSSB52[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIF6BC6_0 "r.hmaster_0_0_RNIF6BC6[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNID6BC6_0 "r.hmaster_0_0_RNID6BC6[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_hmasterd_RNIV3LL_0 "r.hmasterd_RNIV3LL[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIF3FD5_0 "r.hmaster_0_0_RNIF3FD5[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_hmasterd_RNI9KLL_0 "r.hmasterd_RNI9KLL[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_RNINDCF_0 "r.hmasterd_RNINDCF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIA9UD22_0 "r.hslave_RNIA9UD22[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNIGDIC22_0 "r.hslave_RNIGDIC22[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_hmasterd_RNIVVKL_0 "r.hmasterd_RNIVVKL[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI3C33_0 "r.hslave_RNI3C33[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIBA7F6_0 "r.hmaster_0_0_RNIBA7F6[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNISC0D5_0 "r.hmaster_0_0_RNISC0D5[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_hmasterd_RNIBSLL_0 "r.hmasterd_RNIBSLL[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hrdatas_RNI5QP3_4 "r.hrdatas_RNI5QP3[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNIPTVG_1 "r.hslave_RNIPTVG[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIF0O6_1 "r.hslave_RNIF0O6[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIMA231_1 "r.hslave_RNIMA231[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIC1KT_4 "r.hrdatas_RNIC1KT[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNIRLRO_1 "r.hslave_RNIRLRO[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_hslave_RNIADQO_1 "r.hslave_RNIADQO[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_hslave_RNIL8IT_1 "r.hslave_RNIL8IT[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_hrdatas_RNI3QP3_2 "r.hrdatas_RNI3QP3[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNIP3UA_0 "r.hslave_RNIP3UA[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIR3UA_0 "r.hslave_RNIR3UA[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI58HD5_0 "r.hmaster_0_0_RNI58HD5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNI20HD5_0 "r.hmaster_0_0_RNI20HD5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIVNGD5_0 "r.hmaster_0_0_RNIVNGD5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNISFGD5_0 "r.hmaster_0_0_RNISFGD5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIP7GD5_0 "r.hmaster_0_0_RNIP7GD5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_0_0_RNIMVFD5_0 "r.hmaster_0_0_RNIMVFD5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNI7BOE5_0 "r.hmaster_RNI7BOE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNI43OE5_0 "r.hmaster_RNI43OE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNI1RNE5_0 "r.hmaster_RNI1RNE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIUINE5_0 "r.hmaster_RNIUINE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIKNPE5_0 "r.hmaster_RNIKNPE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIHFPE5_0 "r.hmaster_RNIHFPE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIE7PE5_0 "r.hmaster_RNIE7PE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIBVOE5_0 "r.hmaster_RNIBVOE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNI8NOE5_0 "r.hmaster_RNI8NOE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNI5FOE5_0 "r.hmaster_RNI5FOE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIVUNE5_0 "r.hmaster_RNIVUNE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNISMNE5_0 "r.hmaster_RNISMNE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIPENE5_0 "r.hmaster_RNIPENE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIPC9E5_0 "r.hmaster_RNIPC9E5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIM89E5_0 "r.hmaster_RNIM89E5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNIJ49E5_0 "r.hmaster_RNIJ49E5[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_hmaster_RNIDS8E5_0 "r.hmaster_RNIDS8E5[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_hmaster_RNIB3PT6_0 "r.hmaster_RNIB3PT6[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_hmaster_RNIKE4N6_0 "r.hmaster_RNIKE4N6[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIQRFB_1 "r.hslave_RNIQRFB[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmaster_RNI27OE5_0 "r.hmaster_RNI27OE5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI9TBE_0 "r.hslave_RNI9TBE[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_hslave_RNIEN4B_0 "r.hslave_RNIEN4B[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_cfgsel_RNITBB6 "r.cfgsel_RNITBB6") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIUET6_30 "r.hrdatas_RNIUET6[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hslave_RNIKJ4B_0 "r.hslave_RNIKJ4B[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hslave_RNI8LBE_0 "r.hslave_RNI8LBE[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_hslave_RNIDF4B_0 "r.hslave_RNIDF4B[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_cfgsel_RNI00C6 "r.cfgsel_RNI00C6") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hslave_RNI6LBE_0 "r.hslave_RNI6LBE[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_hslave_RNIBF4B_0 "r.hslave_RNIBF4B[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIUNB6 "r.cfgsel_RNIUNB6") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hrdatas_RNILV47_13 "r.hrdatas_RNILV47[13]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_hslave_RNIP323_0 "r.hslave_RNIP323[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hslave_RNI8VTH_1 "r.hslave_RNI8VTH[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hrdatas_RNI2QP3_1 "r.hrdatas_RNI2QP3[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hrdatas_RNIKR47_12 "r.hrdatas_RNIKR47[12]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_cfgsel_RNIMUPQ "r.cfgsel_RNIMUPQ") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_hmasterd_RNIRLCF_0 "r.hmasterd_RNIRLCF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_RNI3CLL_0 "r.hmasterd_RNI3CLL[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hmasterd_0 "r.hmasterd[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_cfga11 "r.cfga11") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_defslv "r.defslv") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cfgsel "r.cfgsel") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_htrans_1 "r.htrans[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hmasterlock "r.hmasterlock") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hmaster_0 "r.hmaster[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hready "r.hready") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hslave_0 "r.hslave[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hslave_1 "r.hslave[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hslave_2 "r.hslave[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_1 "r.hrdatas[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_2 "r.hrdatas[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_4 "r.hrdatas[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_5 "r.hrdatas[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_12 "r.hrdatas[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_13 "r.hrdatas[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_14 "r.hrdatas[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_15 "r.hrdatas[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_16 "r.hrdatas[16]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_24 "r.hrdatas[24]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_26 "r.hrdatas[26]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_28 "r.hrdatas[28]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_29 "r.hrdatas[29]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_30 "r.hrdatas[30]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatas_31 "r.hrdatas[31]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_haddr_2 "r.haddr[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_3 "r.haddr[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_4 "r.haddr[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_5 "r.haddr[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_6 "r.haddr[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_7 "r.haddr[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_8 "r.haddr[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_9 "r.haddr[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_haddr_10 "r.haddr[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_hrdatam_12 "r.hrdatam[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hrdatam_14 "r.hrdatam[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename hmaster_0_0 "hmaster_0[0]") (joined (portRef Q (instanceRef r_hmaster_0_0_0)) (portRef S (instanceRef r_hmaster_0_0_RNIMVFD5_0)) (portRef S (instanceRef r_hmaster_0_0_RNIP7GD5_0)) (portRef S (instanceRef r_hmaster_0_0_RNISFGD5_0)) (portRef S (instanceRef r_hmaster_0_0_RNIVNGD5_0)) (portRef S (instanceRef r_hmaster_0_0_RNI20HD5_0)) (portRef S (instanceRef r_hmaster_0_0_RNI58HD5_0)) (portRef S (instanceRef r_hmaster_0_0_RNISC0D5_0)) (portRef S (instanceRef r_hmaster_0_0_RNIBA7F6_0)) (portRef S (instanceRef r_hmaster_0_0_RNIF3FD5_0)) (portRef S (instanceRef r_hmaster_0_0_RNID6BC6_0)) (portRef S (instanceRef r_hmaster_0_0_RNIF6BC6_0)) (portRef A (instanceRef r_hmaster_0_0_RNICSSB52_0)) (portRef S (instanceRef r_hmaster_0_0_RNIPG1LF_0)) (portRef B (instanceRef r_hmaster_0_0_RNI4UR88_0)) (portRef B (instanceRef r_hmaster_0_0_RNI5HGBB_0)) (portRef S (instanceRef r_hmaster_0_0_RNINV7E5_0)) (portRef C (instanceRef r_hmaster_0_0_RNIH6D4R1_0)) (portRef C (instanceRef r_hmaster_0_0_RNIM2G7B_0)) (portRef S (instanceRef r_hmaster_0_0_RNIIBFD5_0)) (portRef B (instanceRef r_hmaster_0_0_RNITO19_0)) (portRef C (instanceRef r_hmaster_0_0_RNI9E546_0)) (portRef D (instanceRef r_hmasterd_0_0)) )) (net (rename hmaster_0_0_RNIKUFJ14_0 "hmaster_0_0_RNIKUFJ14[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIKUFJ14_0)) (portRef D (instanceRef r_hmaster_0)) (portRef D (instanceRef r_hmaster_0_0_0)) )) (net cfgsel_0 (joined (portRef Q (instanceRef r_cfgsel_0_0)) (portRef B (instanceRef r_cfgsel_0_0_RNI105D)) (portRef S (instanceRef r_hrdatas_RNIJOE31_31)) (portRef S (instanceRef r_hrdatas_RNI79G31_28)) (portRef S (instanceRef r_hrdatas_RNIVOF31_26)) (portRef S (instanceRef r_hrdatas_RNIFPRL_5)) (portRef S (instanceRef r_cfgsel_0_0_RNI3P4N)) (portRef A (instanceRef r_cfgsel_0_0_RNIMOVJ1)) (portRef B (instanceRef r_cfgsel_0_0_RNIRMJ3E3)) (portRef B (instanceRef r_cfgsel_0_0_RNIQG161)) (portRef B (instanceRef r_cfgsel_0_0_RNI65911)) (portRef B (instanceRef r_cfgsel_0_0_RNI5GPA1)) (portRef B (instanceRef r_cfgsel_0_0_RNIM8QA1)) (portRef C (instanceRef r_cfgsel_0_0_RNITF4D)) (portRef A (instanceRef r_hrdatas_RNILV3M_29)) (portRef A (instanceRef r_hrdatas_RNIFFAF_15)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_hrdatam_14)) (portRef CLK (instanceRef r_hrdatam_12)) (portRef CLK (instanceRef r_haddr_10)) (portRef CLK (instanceRef r_haddr_9)) (portRef CLK (instanceRef r_haddr_8)) (portRef CLK (instanceRef r_haddr_7)) (portRef CLK (instanceRef r_haddr_6)) (portRef CLK (instanceRef r_haddr_5)) (portRef CLK (instanceRef r_haddr_4)) (portRef CLK (instanceRef r_haddr_3)) (portRef CLK (instanceRef r_haddr_2)) (portRef CLK (instanceRef r_hrdatas_31)) (portRef CLK (instanceRef r_hrdatas_30)) (portRef CLK (instanceRef r_hrdatas_29)) (portRef CLK (instanceRef r_hrdatas_28)) (portRef CLK (instanceRef r_hrdatas_26)) (portRef CLK (instanceRef r_hrdatas_24)) (portRef CLK (instanceRef r_hrdatas_16)) (portRef CLK (instanceRef r_hrdatas_15)) (portRef CLK (instanceRef r_hrdatas_14)) (portRef CLK (instanceRef r_hrdatas_13)) (portRef CLK (instanceRef r_hrdatas_12)) (portRef CLK (instanceRef r_hrdatas_5)) (portRef CLK (instanceRef r_hrdatas_4)) (portRef CLK (instanceRef r_hrdatas_2)) (portRef CLK (instanceRef r_hrdatas_1)) (portRef CLK (instanceRef r_hslave_2)) (portRef CLK (instanceRef r_hslave_1)) (portRef CLK (instanceRef r_hslave_0)) (portRef CLK (instanceRef r_hready)) (portRef CLK (instanceRef r_hmaster_0)) (portRef CLK (instanceRef r_hmasterlock)) (portRef CLK (instanceRef r_htrans_1)) (portRef CLK (instanceRef r_cfgsel)) (portRef CLK (instanceRef r_defslv)) (portRef CLK (instanceRef r_cfga11)) (portRef CLK (instanceRef r_hmasterd_0)) (portRef CLK (instanceRef r_hmasterd_0_0)) (portRef CLK (instanceRef r_hslave_0_0_1)) (portRef CLK (instanceRef r_hslave_0_0_0)) (portRef CLK (instanceRef r_cfgsel_0_0)) (portRef CLK (instanceRef r_hmaster_0_0_0)) )) (net cfgsel_0_0_RNILIV4E3 (joined (portRef Y (instanceRef r_cfgsel_0_0_RNILIV4E3)) (portRef D (instanceRef r_cfgsel)) (portRef D (instanceRef r_cfgsel_0_0)) )) (net (rename hslave_0_0 "hslave_0[0]") (joined (portRef Q (instanceRef r_hslave_0_0_0)) (portRef S (instanceRef r_hslave_0_0_RNINFMF_0)) (portRef S (instanceRef r_hslave_0_0_RNIRFMF_0)) (portRef S (instanceRef r_hslave_0_0_RNIN7S7_0)) (portRef S (instanceRef r_hslave_0_0_RNIJFMF_0)) (portRef S (instanceRef r_hslave_0_0_RNIHFMF_0)) (portRef S (instanceRef r_hslave_0_0_RNI9FQ7_0)) (portRef S (instanceRef r_hslave_0_0_RNIFFMF_0)) (portRef S (instanceRef r_hslave_0_0_RNIF7MF_0)) (portRef B (instanceRef r_hslave_0_0_RNITS3J_0)) (portRef S (instanceRef r_hslave_0_0_RNIDVQ7_0)) (portRef S (instanceRef r_hslave_0_0_RNIPFMF_0)) (portRef S (instanceRef r_hslave_0_0_RNIP7MF_0)) (portRef S (instanceRef r_hslave_0_0_RNITFMF_0)) (portRef S (instanceRef r_hslave_0_0_RNIN7MF_0)) (portRef S (instanceRef r_hslave_0_0_RNIB7MF_0)) (portRef S (instanceRef r_hslave_0_0_RNILNMF_0)) (portRef S (instanceRef r_hslave_0_0_RNIL7MF_0)) (portRef S (instanceRef r_hslave_0_0_RNIF7R7_0)) (portRef B (instanceRef r_hslave_RNIT0VD_2)) (portRef C (instanceRef r_hslave_0_0_RNINHKL_1)) )) (net (rename hslave_RNIA9UD22_0 "hslave_RNIA9UD22[0]") (joined (portRef Y (instanceRef r_hslave_RNIA9UD22_0)) (portRef D (instanceRef r_hslave_0)) (portRef D (instanceRef r_hslave_0_0_0)) )) (net (rename hslave_0_1 "hslave_0[1]") (joined (portRef Q (instanceRef r_hslave_0_0_1)) (portRef S (instanceRef r_hslave_0_0_RNI5PGQ_1)) (portRef B (instanceRef r_hslave_0_0_RNIB990N_1)) (portRef S (instanceRef r_hslave_0_0_RNIVGGQ_1)) (portRef S (instanceRef r_hslave_0_0_RNI1LGQ_1)) (portRef A (instanceRef r_cfgsel_RNIK8H6)) (portRef A (instanceRef r_cfgsel_0_0_RNI105D)) (portRef S (instanceRef r_hslave_0_0_RNIE5HQ_1)) (portRef S (instanceRef r_hslave_0_0_RNIG9HQ_1)) (portRef S (instanceRef r_hslave_0_0_RNIKDHQ_1)) (portRef S (instanceRef r_hslave_0_0_RNID5HQ_1)) (portRef S (instanceRef r_hslave_0_0_RNIRCGQ_1)) (portRef S (instanceRef r_hslave_0_0_RNI6PGQ_1)) (portRef S (instanceRef r_hslave_0_0_RNIA1HQ_1)) (portRef S (instanceRef r_hslave_0_0_RNIHN8G_1)) (portRef A (instanceRef r_hslave_RNIT0VD_2)) (portRef B (instanceRef r_hslave_0_0_RNINHKL_1)) (portRef A (instanceRef r_cfgsel_0_0_RNITF4D)) (portRef B (instanceRef r_hslave_0_0_RNIDM231_1)) )) (net (rename hslave_0_0_RNI55L1N_1 "hslave_0_0_RNI55L1N[1]") (joined (portRef Y (instanceRef r_hslave_0_0_RNI55L1N_1)) (portRef D (instanceRef r_hslave_1)) (portRef D (instanceRef r_hslave_0_0_1)) )) (net (rename hmasterd_0_0 "hmasterd_0[0]") (joined (portRef Q (instanceRef r_hmasterd_0_0)) (portRef S (instanceRef r_hmasterd_0_RNISI3F_0)) (portRef S (instanceRef r_hmasterd_0_RNIOA3F_0)) (portRef S (instanceRef r_hmasterd_0_RNIM63F_0)) (portRef S (instanceRef r_hmasterd_0_RNI0N3F_0)) (portRef S (instanceRef r_hmasterd_0_RNISE3F_0)) (portRef S (instanceRef r_hmasterd_0_RNIO63F_0)) (portRef S (instanceRef r_hmasterd_0_RNI434F_0)) (portRef S (instanceRef r_hmasterd_0_RNIUE3F_0)) (portRef S (instanceRef r_hmasterd_0_RNISA3F_0)) (portRef S (instanceRef r_hmasterd_0_RNI874F_0)) (portRef S (instanceRef r_hmasterd_0_RNI634F_0)) (portRef S (instanceRef r_hmasterd_0_RNI2R3F_0)) (portRef S (instanceRef r_hmasterd_0_RNICCQ8_0)) (portRef S (instanceRef r_hmasterd_0_RNIA8Q8_0)) (portRef S (instanceRef r_hmasterd_0_RNI84Q8_0)) (portRef S (instanceRef r_hmasterd_0_RNI60Q8_0)) )) (net hwrite_m_0_0 (joined (portRef Y (instanceRef r_hmaster_0_0_RNIJ6OVB_0)) (portRef C (instanceRef r_hmaster_0_0_RNIKIGGN1_0)) )) (net un1_ahbsi_1 (joined (portRef un1_ahbsi_1) (portRef B (instanceRef r_hmaster_0_0_RNIJ6OVB_0)) )) (net defslv_0_sqmuxa_2 (joined (portRef Y (instanceRef r_defslv_RNO_3)) (portRef B (instanceRef r_defslv_RNO_1)) )) (net un2_ioarea (joined (portRef Y (instanceRef r_hmaster_0_0_RNI8T8TC3_0)) (portRef A (instanceRef r_cfgsel_0_0_RNIRMJ3E3)) (portRef B (instanceRef r_defslv_RNO_3)) )) (net defslv_0_sqmuxa_0 (joined (portRef Y (instanceRef r_defslv_RNO_2)) (portRef A (instanceRef r_defslv_RNO_1)) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef Y (instanceRef r_hslave_RNIAR041_0_1)) (portRef B (instanceRef r_hmaster_0_0_RNI9SMD62_0)) (portRef A (instanceRef r_defslv_RNO_0)) (portRef S (instanceRef r_hslave_0_0_RNIB990N_1)) (portRef S (instanceRef r_cfgsel_0_0_RNIRMJ3E3)) (portRef A (instanceRef r_hslave_RNO_2)) (portRef A (instanceRef r_defslv_RNO_2)) (portRef (member iosn_0 0)) )) (net (rename hmbsel_1_0_0 "hmbsel_1_0[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIEEGU51_0)) (portRef B (instanceRef r_hmaster_0_0_RNIAHI573_0)) )) (net un6_ioen_NE (joined (portRef Y (instanceRef r_hmaster_0_0_RNI6NF8G_0_0)) (portRef A (instanceRef r_hmaster_0_0_RNIEEGU51_0)) )) (net un2_ioarea_17 (joined (portRef Y (instanceRef r_hmaster_0_0_RNIP19812_0)) (portRef A (instanceRef r_hmaster_0_0_RNI8T8TC3_0)) )) (net un2_ioarea_11 (joined (portRef Y (instanceRef r_hmaster_RNI29OPL_0)) (portRef A (instanceRef r_hmaster_0_0_RNIP19812_0)) )) (net un2_ioarea_10 (joined (portRef Y (instanceRef r_hmaster_0_0_RNIG2O9G_0)) (portRef B (instanceRef r_hmaster_0_0_RNIP19812_0)) )) (net un2_ioarea_14 (joined (portRef Y (instanceRef r_hmaster_0_0_RNI7MO4R_0)) (portRef C (instanceRef r_hmaster_0_0_RNIP19812_0)) )) (net un2_ioarea_16 (joined (portRef Y (instanceRef r_hmaster_0_0_RNIFRVKB1_0)) (portRef B (instanceRef r_hmaster_0_0_RNI8T8TC3_0)) )) (net un2_ioarea_5 (joined (portRef Y (instanceRef r_hmaster_RNID6HTA_0)) (portRef A (instanceRef r_hmaster_0_0_RNIFRVKB1_0)) )) (net un2_ioarea_4 (joined (portRef Y (instanceRef r_hmaster_0_0_RNI479SA_0)) (portRef B (instanceRef r_hmaster_0_0_RNIFRVKB1_0)) )) (net un2_ioarea_13 (joined (portRef Y (instanceRef r_hmaster_RNIUD5RL_0)) (portRef C (instanceRef r_hmaster_0_0_RNIFRVKB1_0)) )) (net (rename haddr_29 "haddr[29]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNI58HD5_0)) (portRef C (instanceRef r_hmaster_0_0_RNI6NF8G_0_0)) (portRef A (instanceRef r_hmaster_0_0_RNI6NF8G_0)) (portRef B (instanceRef r_hmaster_0_0_RNI782RA_0)) (portRef B (instanceRef r_hmaster_0_0_RNI3R8SA_0)) (portRef A (instanceRef r_hmaster_0_0_RNI7MO4R_0)) )) (net un2_ioarea_9 (joined (portRef Y (instanceRef r_hmaster_0_0_RNI4RF8G_0)) (portRef C (instanceRef r_hmaster_0_0_RNI7MO4R_0)) )) (net un2_ioarea_7 (joined (portRef Y (instanceRef r_hmaster_RNI57JTA_0)) (portRef C (instanceRef r_hmaster_RNIUD5RL_0)) )) (net un2_ioarea_3 (joined (portRef Y (instanceRef r_hmaster_0_0_RNITA8SA_0)) (portRef C (instanceRef r_hmaster_RNI29OPL_0)) )) (net (rename hsel_i_0 "hsel_i[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIIBFD5_0)) (portRef B (instanceRef r_hmaster_0_0_RNI1FUQA_0)) (portRef A (instanceRef r_hmaster_0_0_RNI1FUQA_0_0)) (portRef B (instanceRef r_hmaster_0_0_RNI6NF8G_0_0)) (portRef C (instanceRef r_hmaster_0_0_RNI6NF8G_0)) (portRef C (instanceRef r_hmaster_0_0_RNIG2O9G_0)) (portRef B (instanceRef r_defslv_RNO_2)) (portRef (member hsel_i 0)) )) (net (rename haddr_30 "haddr[30]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIF3FD5_0)) (portRef A (instanceRef r_hmaster_0_0_RNI1FUQA_0)) (portRef B (instanceRef r_hmaster_0_0_RNI1FUQA_0_0)) (portRef A (instanceRef r_hmaster_0_0_RNI6NF8G_0_0)) (portRef B (instanceRef r_hmaster_0_0_RNI6NF8G_0)) (portRef C (instanceRef r_hmaster_0_0_RNI4RF8G_0)) )) (net un51_ioen_NE_8 (joined (portRef Y (instanceRef r_hmaster_0_0_RNI4TFJ01_0)) (portRef A (instanceRef r_hmaster_0_0_RNIS22712_0)) )) (net un51_ioen_NE_3 (joined (portRef Y (instanceRef r_hmaster_0_0_RNIOV0RA_0)) (portRef A (instanceRef r_hmaster_0_0_RNI4TFJ01_0)) )) (net un51_ioen_NE_2 (joined (portRef Y (instanceRef r_hmaster_RNIBEGTA_0)) (portRef B (instanceRef r_hmaster_0_0_RNI4TFJ01_0)) )) (net hsel_0_sqmuxa_inv_4_6 (joined (portRef Y (instanceRef r_hmaster_0_0_RNI1FUQA_0)) (portRef B (instanceRef r_hmaster_0_0_RNI8N0ML_0)) (portRef C (instanceRef r_hmaster_0_0_RNI4TFJ01_0)) )) (net un51_ioen_NE_7 (joined (portRef Y (instanceRef r_hmaster_0_0_RNIO5IJ01_0)) (portRef B (instanceRef r_hmaster_0_0_RNIS22712_0)) )) (net un51_ioen_NE_1 (joined (portRef Y (instanceRef r_hmaster_0_0_RNI0J8SA_0)) (portRef A (instanceRef r_hmaster_0_0_RNIO5IJ01_0)) )) (net un51_ioen_NE_0 (joined (portRef Y (instanceRef r_hmaster_0_0_RNILN0RA_0)) (portRef B (instanceRef r_hmaster_0_0_RNIO5IJ01_0)) )) (net un51_ioen_NE_4 (joined (portRef Y (instanceRef r_hmaster_0_0_RNI3R8SA_0)) (portRef C (instanceRef r_hmaster_0_0_RNIO5IJ01_0)) )) (net (rename haddr_20 "haddr[20]") (joined (portRef Y (instanceRef r_hmaster_RNIUINE5_0)) (portRef A (instanceRef r_hmaster_0_0_RNI3R8SA_0)) (portRef B (instanceRef r_hmaster_0_0_RNI7MO4R_0)) (portRef haddr_20) )) (net (rename haddr_24 "haddr[24]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIMVFD5_0)) (portRef A (instanceRef r_hmaster_0_0_RNIOV0RA_0)) (portRef B (instanceRef r_hmaster_0_0_RNITA8SA_0)) (portRef haddr_24) )) (net (rename haddr_28 "haddr[28]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNI20HD5_0)) (portRef A (instanceRef r_hmaster_0_0_RNI782RA_0)) (portRef B (instanceRef r_hmaster_0_0_RNIOV0RA_0)) (portRef A (instanceRef r_hmaster_0_0_RNI479SA_0)) (portRef haddr_28) )) (net (rename haddr_22 "haddr[22]") (joined (portRef Y (instanceRef r_hmaster_RNI43OE5_0)) (portRef A (instanceRef r_hmaster_RNIBEGTA_0)) (portRef A (instanceRef r_hmaster_RNI29OPL_0)) (portRef haddr_22) )) (net (rename haddr_23 "haddr[23]") (joined (portRef Y (instanceRef r_hmaster_RNI7BOE5_0)) (portRef B (instanceRef r_hmaster_RNIBEGTA_0)) (portRef A (instanceRef r_hmaster_0_0_RNITA8SA_0)) (portRef haddr_23) )) (net (rename haddr_27 "haddr[27]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIVNGD5_0)) (portRef A (instanceRef r_hmaster_0_0_RNI0J8SA_0)) (portRef B (instanceRef r_hmaster_0_0_RNIG2O9G_0)) (portRef haddr_27) )) (net (rename haddr_21 "haddr[21]") (joined (portRef Y (instanceRef r_hmaster_RNI1RNE5_0)) (portRef B (instanceRef r_hmaster_0_0_RNI0J8SA_0)) (portRef B (instanceRef r_hmaster_RNI29OPL_0)) (portRef haddr_21) )) (net (rename haddr_25 "haddr[25]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIP7GD5_0)) (portRef A (instanceRef r_hmaster_0_0_RNILN0RA_0)) (portRef B (instanceRef r_hmaster_0_0_RNI4RF8G_0)) (portRef haddr_25) )) (net (rename haddr_26 "haddr[26]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNISFGD5_0)) (portRef B (instanceRef r_hmaster_0_0_RNILN0RA_0)) (portRef A (instanceRef r_hmaster_0_0_RNI4RF8G_0)) (portRef haddr_26) )) (net un95_ioen_NE_0 (joined (portRef Y (instanceRef r_hmaster_0_0_RNI782RA_0)) (portRef A (instanceRef r_hmaster_0_0_RNI8N0ML_0)) )) (net (rename un34_haddr_0_38 "un34_haddr_0[38]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNI9E546_0)) (portRef A (instanceRef r_hmaster_0_0_RNIH8HCB_0)) )) (net (rename un34_haddr_0_37 "un34_haddr_0[37]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNITO19_0)) (portRef A (instanceRef r_hmaster_0_0_RNIPB0B6_0)) )) (net (rename size_0 "size[0]") (joined (portRef (member size 0)) (portRef A (instanceRef r_hmaster_0_0_RNITO19_0)) )) (net (rename hrdata_0_0_11 "hrdata_0_0[11]") (joined (portRef Y (instanceRef r_hslave_RNIUA4O_1)) (portRef C (instanceRef r_hslave_RNISKQI1_0)) )) (net (rename hrdata_11 "hrdata[11]") (joined (portRef (member hrdata 20)) (portRef A (instanceRef r_hslave_RNIUA4O_1)) )) (net N_3628 (joined (portRef Y (instanceRef r_hslave_RNI36OF_1)) (portRef B (instanceRef r_hslave_RNI8VTH_1)) (portRef B (instanceRef r_hslave_RNIBVTH_1)) (portRef B (instanceRef r_hslave_RNIOBJ81_1)) (portRef B (instanceRef r_hslave_RNIUA4O_1)) )) (net N_3579 (joined (portRef Y (instanceRef r_hrdatas_RNI5QP3_4)) (portRef C (instanceRef r_hslave_RNIRLRO_1)) (portRef B (instanceRef r_hrdatas_RNIC1KT_4)) (portRef C (instanceRef r_hslave_RNIMA231_1)) (portRef C (instanceRef r_hslave_RNIODRO_1)) (portRef C (instanceRef r_hslave_0_0_RNILVCR_0)) (portRef C (instanceRef r_hslave_RNIBCLM_0)) (portRef C (instanceRef r_hslave_RNIUA4O_1)) )) (net (rename hrdata_1_0_1 "hrdata_1_0[1]") (joined (portRef Y (instanceRef r_hslave_RNIU3KM_0)) (portRef A (instanceRef r_hslave_RNI63I81_0)) )) (net N_3624 (joined (portRef Y (instanceRef r_hslave_RNI36OF_0_1)) (portRef A (instanceRef r_hslave_RNISKQI1_0)) (portRef A (instanceRef r_hslave_0_0_RNILVCR_0)) (portRef A (instanceRef r_hslave_RNIBCLM_0)) (portRef A (instanceRef r_hslave_RNIU3KM_0)) )) (net N_3537 (joined (portRef Y (instanceRef r_hslave_RNIP323_0)) (portRef B (instanceRef r_hslave_RNIU3KM_0)) )) (net N_3573 (joined (portRef Y (instanceRef r_hrdatas_RNI2QP3_1)) (portRef C (instanceRef r_hslave_RNIU3KM_0)) )) (net (rename hrdata_0_0_6 "hrdata_0_0[6]") (joined (portRef Y (instanceRef r_hslave_RNIBCLM_0)) (portRef C (instanceRef r_hslave_RNIOBJ81_1)) )) (net N_3535 (joined (portRef Y (instanceRef r_hslave_RNI3C33_0)) (portRef B (instanceRef r_hslave_RNIBCLM_0)) )) (net (rename hrdata_0_0_4 "hrdata_0_0[4]") (joined (portRef Y (instanceRef r_hslave_0_0_RNILVCR_0)) (portRef A (instanceRef r_hslave_RNI0VAD1_1)) )) (net N_3536 (joined (portRef Y (instanceRef r_hslave_0_0_RNIDVQ7_0)) (portRef B (instanceRef r_hslave_0_0_RNILVCR_0)) )) (net (rename hrdata_i_1_30 "hrdata_i_1[30]") (joined (portRef Y (instanceRef r_cfgsel_RNI4OKR)) (portRef A (instanceRef r_hslave_RNIROIL1_0)) )) (net cfgsel_RNITBB6 (joined (portRef Y (instanceRef r_cfgsel_RNITBB6)) (portRef A (instanceRef r_cfgsel_RNI4OKR)) )) (net (rename hrdatas_RNIUET6_30 "hrdatas_RNIUET6[30]") (joined (portRef Y (instanceRef r_hrdatas_RNIUET6_30)) (portRef B (instanceRef r_cfgsel_RNI4OKR)) )) (net (rename hslave_RNI9TBE_0 "hslave_RNI9TBE[0]") (joined (portRef Y (instanceRef r_hslave_RNI9TBE_0)) (portRef C (instanceRef r_cfgsel_RNI4OKR)) )) (net (rename hrdata_i_2_15 "hrdata_i_2[15]") (joined (portRef Y (instanceRef r_hslave_RNI4KQ81_0)) (portRef A (instanceRef r_hslave_RNIDTJN1_0)) )) (net (rename hslave_RNI8LBE_0 "hslave_RNI8LBE[0]") (joined (portRef Y (instanceRef r_hslave_RNI8LBE_0)) (portRef A (instanceRef r_hslave_RNI4KQ81_0)) )) (net (rename hrdata_i_0_15 "hrdata_i_0[15]") (joined (portRef Y (instanceRef r_hrdatas_RNIFFAF_15)) (portRef B (instanceRef r_hslave_RNI4KQ81_0)) )) (net (rename hslave_RNIDF4B_0 "hslave_RNIDF4B[0]") (joined (portRef Y (instanceRef r_hslave_RNIDF4B_0)) (portRef C (instanceRef r_hslave_RNI4KQ81_0)) )) (net (rename hrdatas_15 "hrdatas[15]") (joined (portRef Q (instanceRef r_hrdatas_15)) (portRef B (instanceRef r_hrdatas_RNIFFAF_15)) )) (net cfgsel_RNI00C6 (joined (portRef Y (instanceRef r_cfgsel_RNI00C6)) (portRef C (instanceRef r_hrdatas_RNIFFAF_15)) )) (net (rename hrdata_i_2_29 "hrdata_i_2[29]") (joined (portRef Y (instanceRef r_hslave_RNI6GCK1_0)) (portRef A (instanceRef r_hslave_RNIFP532_0)) )) (net (rename hslave_0_0_RNITS3J_0 "hslave_0_0_RNITS3J[0]") (joined (portRef Y (instanceRef r_hslave_0_0_RNITS3J_0)) (portRef A (instanceRef r_hslave_RNI6GCK1_0)) )) (net (rename hrdata_i_0_29 "hrdata_i_0[29]") (joined (portRef Y (instanceRef r_hrdatas_RNILV3M_29)) (portRef B (instanceRef r_hslave_RNI6GCK1_0)) )) (net (rename hslave_RNIKJ4B_0 "hslave_RNIKJ4B[0]") (joined (portRef Y (instanceRef r_hslave_RNIKJ4B_0)) (portRef C (instanceRef r_hslave_RNI6GCK1_0)) )) (net (rename hrdatas_29 "hrdatas[29]") (joined (portRef Q (instanceRef r_hrdatas_29)) (portRef B (instanceRef r_hrdatas_RNILV3M_29)) )) (net cfgsel_0_0_RNI105D (joined (portRef Y (instanceRef r_cfgsel_0_0_RNI105D)) (portRef C (instanceRef r_hrdatas_RNILV3M_29)) )) (net (rename hslave_0_0_2 "hslave_0_0[2]") (joined (portRef Y (instanceRef r_hslave_RNO_0_2)) (portRef B (instanceRef r_hslave_RNO_2)) )) (net (rename hslave_2 "hslave[2]") (joined (portRef Q (instanceRef r_hslave_2)) (portRef C (instanceRef r_hslave_RNIT0VD_2)) (portRef B (instanceRef r_hslave_RNI6859_2)) (portRef A (instanceRef r_hslave_RNO_0_2)) )) (net (rename hrdata_i_2_13 "hrdata_i_2[13]") (joined (portRef Y (instanceRef r_hslave_RNIMB801_0)) (portRef A (instanceRef r_cfgsel_RNIFO4S1)) )) (net (rename hslave_RNIBF4B_0 "hslave_RNIBF4B[0]") (joined (portRef Y (instanceRef r_hslave_RNIBF4B_0)) (portRef A (instanceRef r_hslave_RNIMB801_0)) )) (net N_3434 (joined (portRef Y (instanceRef r_cfgsel_RNIBS3L)) (portRef C (instanceRef r_cfgsel_RNILM2N1)) (portRef B (instanceRef r_hslave_RNIMB801_0)) )) (net (rename hrdata_i_1_13 "hrdata_i_1[13]") (joined (portRef Y (instanceRef r_cfgsel_RNIPCSR)) (portRef B (instanceRef r_cfgsel_RNIFO4S1)) )) (net cfgsel_RNIUNB6 (joined (portRef Y (instanceRef r_cfgsel_RNIUNB6)) (portRef A (instanceRef r_cfgsel_RNIPCSR)) )) (net (rename hrdatas_RNILV47_13 "hrdatas_RNILV47[13]") (joined (portRef Y (instanceRef r_hrdatas_RNILV47_13)) (portRef B (instanceRef r_cfgsel_RNIPCSR)) )) (net (rename hslave_RNI6LBE_0 "hslave_RNI6LBE[0]") (joined (portRef Y (instanceRef r_hslave_RNI6LBE_0)) (portRef C (instanceRef r_cfgsel_RNIPCSR)) )) (net (rename hrdatas_1_0_0_14 "hrdatas_1_0_0[14]") (joined (portRef Y (instanceRef r_hrdatas_RNO_0_14)) (portRef C (instanceRef r_hrdatas_RNO_14)) )) (net (rename haddr_5 "haddr[5]") (joined (portRef Q (instanceRef r_haddr_5)) (portRef A (instanceRef r_hrdatas_RNO_5)) (portRef B (instanceRef r_haddr_RNIV9C4_6)) (portRef B (instanceRef r_haddr_RNIV9C4_0_6)) (portRef A (instanceRef r_hrdatas_RNO_24)) (portRef A (instanceRef r_hrdatam_RNO_14)) (portRef A (instanceRef r_haddr_RNI726O_4)) (portRef A (instanceRef r_haddr_RNITEI6_4)) (portRef A (instanceRef r_hrdatas_RNO_0_14)) )) (net N_3404 (joined (portRef Y (instanceRef r_haddr_RNIAJJH_3)) (portRef A (instanceRef r_hrdatas_RNO_15)) (portRef B (instanceRef r_haddr_RNIAOPJ_6)) (portRef B (instanceRef r_haddr_RNI8OPJ_4)) (portRef B (instanceRef r_haddr_RNI726O_0_4)) (portRef B (instanceRef r_hrdatas_RNO_0_14)) )) (net (rename haddr_RNIATVL_4 "haddr_RNIATVL[4]") (joined (portRef Y (instanceRef r_haddr_RNIATVL_4)) (portRef D (instanceRef r_hrdatas_16)) (portRef B (instanceRef r_hrdatas_RNO_30)) (portRef C (instanceRef r_hrdatas_RNO_0_14)) )) (net (rename hrdata_i_1_25 "hrdata_i_1[25]") (joined (portRef Y (instanceRef r_hslave_0_0_RNIDM231_1)) (portRef A (instanceRef r_hslave_0_0_RNIAN1H1_1)) )) (net N_3443 (joined (portRef Y (instanceRef r_hslave_0_0_RNINFMF_0)) (portRef A (instanceRef r_hslave_0_0_RNIDM231_1)) )) (net (rename hrdata_i_0_25 "hrdata_i_0[25]") (joined (portRef Y (instanceRef r_cfgsel_0_0_RNITF4D)) (portRef C (instanceRef r_hslave_0_0_RNIDM231_1)) )) (net (rename hrdata_25 "hrdata[25]") (joined (portRef (member hrdata 6)) (portRef B (instanceRef r_cfgsel_0_0_RNITF4D)) )) (net (rename hrdatas_1_0_a4_0_31 "hrdatas_1_0_a4_0[31]") (joined (portRef Y (instanceRef r_haddr_RNITEI6_4)) (portRef A (instanceRef r_haddr_RNI726O_0_4)) )) (net (rename haddr_6 "haddr[6]") (joined (portRef Q (instanceRef r_haddr_6)) (portRef B (instanceRef r_haddr_RNI8TVL_6)) (portRef A (instanceRef r_haddr_RNIV9C4_6)) (portRef A (instanceRef r_haddr_RNIAOPJ_6)) (portRef A (instanceRef r_haddr_RNIV9C4_0_6)) (portRef B (instanceRef r_hrdatas_RNO_24)) (portRef A (instanceRef r_hrdatas_RNO_28)) (portRef B (instanceRef r_haddr_RNITEI6_4)) )) (net (rename haddr_4 "haddr[4]") (joined (portRef Q (instanceRef r_haddr_4)) (portRef A (instanceRef r_haddr_RNITEI6_0_4)) (portRef A (instanceRef r_haddr_RNI8OPJ_4)) (portRef C (instanceRef r_haddr_RNIH9II1_4)) (portRef B (instanceRef r_haddr_RNI726O_4)) (portRef C (instanceRef r_haddr_RNI1FI6_7)) (portRef C (instanceRef r_haddr_RNITEI6_4)) )) (net (rename hrdatas_1_0_a2_0_29 "hrdatas_1_0_a2_0[29]") (joined (portRef Y (instanceRef r_haddr_RNIEEDF_3)) (portRef A (instanceRef r_haddr_RNIBTVL_3)) )) (net (rename hrdatas_1_0_a2_3_0_14 "hrdatas_1_0_a2_3_0[14]") (joined (portRef Y (instanceRef r_haddr_RNI3AC4_7)) (portRef A (instanceRef r_haddr_RNIDEDF_2)) (portRef A (instanceRef r_haddr_RNIEEDF_3)) )) (net (rename hrdatas_1_0_a2_3_1_14 "hrdatas_1_0_a2_3_1[14]") (joined (portRef Y (instanceRef r_haddr_RNIEVQ8_9)) (portRef B (instanceRef r_haddr_RNIDEDF_2)) (portRef B (instanceRef r_haddr_RNIEEDF_3)) )) (net (rename haddr_3 "haddr[3]") (joined (portRef Q (instanceRef r_haddr_3)) (portRef A (instanceRef r_haddr_RNIAJJH_3)) (portRef A (instanceRef r_hrdatas_RNO_30)) (portRef A (instanceRef r_haddr_RNISEI6_3)) (portRef C (instanceRef r_haddr_RNIEEDF_3)) )) (net hready_2_m_0 (joined (portRef Y (instanceRef r_hready_RNIE192)) (portRef A (instanceRef r_hready_RNICLR2)) )) (net (rename htransZ0Z_1 "htrans[1]") (joined (portRef Q (instanceRef r_htrans_1)) (portRef B (instanceRef r_htrans_RNO_0_1)) (portRef A (instanceRef r_htrans_RNI9752_1)) (portRef A (instanceRef r_hready_RNIE192)) )) (net hready (joined (portRef Q (instanceRef r_hready)) (portRef B (instanceRef r_hready_RNIE192)) )) (net un34_hready_1_0 (joined (portRef Y (instanceRef r_hslave_RNI6859_2)) (portRef A (instanceRef r_hslave_0_0_RNINHKL_1)) )) (net (rename hresp_0_p "hresp[0]") (joined (portRef (member hresp 0)) (portRef A (instanceRef r_hslave_RNI6859_2)) )) (net hrdatas6_0_a4_2 (joined (portRef Y (instanceRef r_haddr_RNI1FI6_7)) (portRef A (instanceRef r_haddr_RNIEOPJ_7)) )) (net (rename haddr_8 "haddr[8]") (joined (portRef Q (instanceRef r_haddr_8)) (portRef B (instanceRef r_haddr_RNI3AC4_7)) (portRef A (instanceRef r_haddr_RNI1FI6_7)) )) (net (rename haddr_7 "haddr[7]") (joined (portRef Q (instanceRef r_haddr_7)) (portRef A (instanceRef r_haddr_RNI3AC4_7)) (portRef B (instanceRef r_haddr_RNI1FI6_7)) )) (net hrdatas6_0_a4_1 (joined (portRef Y (instanceRef r_haddr_RNIEVQ8_0_9)) (portRef B (instanceRef r_haddr_RNIEOPJ_7)) )) (net (rename haddr_9 "haddr[9]") (joined (portRef Q (instanceRef r_haddr_9)) (portRef A (instanceRef r_haddr_RNIEVQ8_9)) (portRef A (instanceRef r_haddr_RNIEVQ8_0_9)) )) (net (rename haddr_10 "haddr[10]") (joined (portRef Q (instanceRef r_haddr_10)) (portRef B (instanceRef r_haddr_RNIEVQ8_9)) (portRef B (instanceRef r_haddr_RNIEVQ8_0_9)) )) (net (rename hrdata_i_a2_1_0_12 "hrdata_i_a2_1_0[12]") (joined (portRef Y (instanceRef r_hrdatam_RNIJ9R6_12)) (portRef B (instanceRef r_cfgsel_RNIBS3L)) )) (net (rename hrdatam_24 "hrdatam[24]") (joined (portRef Q (instanceRef r_hrdatam_12)) (portRef A (instanceRef r_hrdatas_RNIPMFD_24)) (portRef A (instanceRef r_hrdatam_RNIJ9R6_12)) )) (net cfga11 (joined (portRef Q (instanceRef r_cfga11)) (portRef B (instanceRef r_cfga11_RNIHMG)) (portRef S (instanceRef r_hrdatas_RNIPMFD_24)) (portRef S (instanceRef r_hrdatam_RNIQUFD_14)) (portRef B (instanceRef r_hrdatas_RNITPR6_16)) (portRef B (instanceRef r_hrdatam_RNIJ9R6_12)) )) (net (rename hrdata_19 "hrdata[19]") (joined (portRef Y (instanceRef r_cfgsel_0_0_RNIM8QA1)) (portRef (member hrdata 12)) )) (net N_2822 (joined (portRef Y (instanceRef r_hslave_0_0_RNIG9HQ_1)) (portRef A (instanceRef r_cfgsel_0_0_RNIM8QA1)) )) (net (rename hrdata_21 "hrdata[21]") (joined (portRef Y (instanceRef r_cfgsel_0_0_RNI5GPA1)) (portRef (member hrdata 10)) )) (net N_2824 (joined (portRef Y (instanceRef r_hslave_0_0_RNIVGGQ_1)) (portRef A (instanceRef r_cfgsel_0_0_RNI5GPA1)) )) (net N_2307 (joined (portRef Y (instanceRef r_hslave_RNIT0VD_2)) (portRef B (instanceRef r_cfgsel_RNIOI8E)) (portRef B (instanceRef r_hslave_RNI8NVU_1)) (portRef A (instanceRef r_cfgsel_RNIH9OR)) (portRef A (instanceRef r_cfgsel_RNIBS3L)) (portRef B (instanceRef r_hslave_0_0_RNIULF81_1)) (portRef A (instanceRef r_cfgsel_0_0_RNI3P4N)) (portRef C (instanceRef r_hslave_RNIU5511_1)) (portRef B (instanceRef r_hslave_0_0_RNIAN1H1_1)) (portRef C (instanceRef r_cfgsel_0_0_RNIQG161)) (portRef C (instanceRef r_cfgsel_0_0_RNI65911)) (portRef C (instanceRef r_cfgsel_0_0_RNI5GPA1)) (portRef C (instanceRef r_cfgsel_0_0_RNIM8QA1)) (portRef N_2307) )) (net (rename dmao_i_1_29 "dmao_i_1[44]") (joined (portRef Y (instanceRef r_hslave_RNIFP532_0)) (portRef dmao_i_1_29) )) (net N_3430 (joined (portRef Y (instanceRef r_cfga11_RNI99PE)) (portRef B (instanceRef r_hrdatas_RNIS18I1_31)) (portRef B (instanceRef r_hrdatas_RNIGI9I1_28)) (portRef B (instanceRef r_hrdatas_RNI829I1_26)) (portRef B (instanceRef r_hrdatas_RNIO2L41_5)) (portRef C (instanceRef r_hslave_RNIROIL1_0)) (portRef B (instanceRef r_hslave_RNIDTJN1_0)) (portRef B (instanceRef r_hslave_RNIFP532_0)) )) (net (rename hrdata_20 "hrdata[20]") (joined (portRef Y (instanceRef r_cfgsel_0_0_RNI65911)) (portRef (member hrdata 11)) )) (net N_2823 (joined (portRef Y (instanceRef r_hslave_RNI060H_1)) (portRef A (instanceRef r_cfgsel_0_0_RNI65911)) )) (net (rename dmao_i_1_22 "dmao_i_1[37]") (joined (portRef Y (instanceRef r_cfgsel_0_0_RNIQG161)) (portRef dmao_i_1_22) )) (net N_3339 (joined (portRef Y (instanceRef r_hslave_RNIKHOL_1)) (portRef A (instanceRef r_cfgsel_0_0_RNIQG161)) )) (net (rename iosn_8 "iosn[101]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNI6NF8G_0)) (portRef iosn_8) )) (net (rename hmbsel_0 "hmbsel[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIAHI573_0)) (portRef (member hmbsel 0)) )) (net hsel_0_sqmuxa_inv_4 (joined (portRef Y (instanceRef r_hmaster_0_0_RNIS22712_0)) (portRef A (instanceRef r_hslave_RNIGDIC22_0)) (portRef A (instanceRef r_hmaster_0_0_RNIAHI573_0)) (portRef A (instanceRef r_defslv_RNO_3)) (portRef hsel_0_sqmuxa_inv_4) )) (net (rename hrdata_4 "hrdata[4]") (joined (portRef Y (instanceRef r_hslave_RNI0VAD1_1)) (portRef (member hrdata 27)) )) (net N_3580 (joined (portRef Y (instanceRef r_hslave_RNIBVTH_1)) (portRef B (instanceRef r_hslave_RNI0VAD1_1)) )) (net (rename un34_hready_1 "un34_hready[1]") (joined (portRef Y (instanceRef r_hslave_0_0_RNINHKL_1)) (portRef A (instanceRef r_htrans_RNIUCCO_1)) )) (net (rename hslave_RNO_2 "hslave_RNO[2]") (joined (portRef Y (instanceRef r_hslave_RNO_2)) (portRef D (instanceRef r_hslave_2)) )) (net hready_RNICLR2 (joined (portRef Y (instanceRef r_hready_RNICLR2)) (portRef D (instanceRef r_hready)) (portRef B (instanceRef r_hslave_RNIAR041_1)) (portRef B (instanceRef r_hslave_RNIAR041_0_1)) (portRef hready_RNICLR2) )) (net defslv_0_sqmuxa (joined (portRef Y (instanceRef r_defslv_RNO_1)) (portRef B (instanceRef r_defslv_RNO)) )) (net un95_ioen_NE (joined (portRef Y (instanceRef r_hmaster_0_0_RNI8N0ML_0)) (portRef A (instanceRef r_hslave_0_0_RNIB990N_1)) (portRef C (instanceRef r_defslv_RNO_1)) (portRef B (instanceRef r_hmaster_0_0_RNIEEGU51_0)) (portRef un95_ioen_NE) )) (net N_3407 (joined (portRef Y (instanceRef r_haddr_RNI87CQ_3)) (portRef B (instanceRef r_haddr_RNIH9II1_4)) )) (net N_3403 (joined (portRef Y (instanceRef r_haddr_RNIDEDF_2)) (portRef B (instanceRef r_haddr_RNIATVL_4)) (portRef B (instanceRef r_haddr_RNIAJJH_3)) (portRef A (instanceRef r_haddr_RNI87CQ_3)) )) (net N_3413 (joined (portRef Y (instanceRef r_haddr_RNIV9C4_6)) (portRef C (instanceRef r_haddr_RNIEOPJ_7)) (portRef B (instanceRef r_haddr_RNI87CQ_3)) )) (net (rename hrdatas_1_0_a2_0_0_1 "hrdatas_1_0_a2_0_0[1]") (joined (portRef Y (instanceRef r_haddr_RNISEI6_3)) (portRef C (instanceRef r_haddr_RNI87CQ_3)) )) (net N_3414 (joined (portRef Y (instanceRef r_haddr_RNIBTVL_3)) (portRef B (instanceRef r_hrdatas_RNO_13)) (portRef B (instanceRef r_hrdatas_RNO_29)) (portRef A (instanceRef r_haddr_RNIH9II1_4)) (portRef B (instanceRef r_hrdatas_RNO_14)) )) (net N_3409 (joined (portRef Y (instanceRef r_haddr_RNITEI6_0_4)) (portRef A (instanceRef r_haddr_RNIATVL_4)) (portRef B (instanceRef r_haddr_RNIBTVL_3)) )) (net (rename hrdatas_RNO_12 "hrdatas_RNO[12]") (joined (portRef Y (instanceRef r_hrdatas_RNO_12)) (portRef D (instanceRef r_hrdatas_12)) )) (net (rename haddr_RNI726O_0_4 "haddr_RNI726O_0[4]") (joined (portRef Y (instanceRef r_haddr_RNI726O_0_4)) (portRef D (instanceRef r_hrdatas_31)) (portRef C (instanceRef r_hrdatas_RNO_13)) (portRef B (instanceRef r_hrdatas_RNO_28)) (portRef A (instanceRef r_hrdatas_RNO_12)) )) (net hrdatas6 (joined (portRef Y (instanceRef r_haddr_RNIEOPJ_7)) (portRef D (instanceRef r_hrdatas_2)) (portRef B (instanceRef r_hrdatas_RNO_12)) )) (net (rename haddr_RNI726O_1_4 "haddr_RNI726O_1[4]") (joined (portRef Y (instanceRef r_haddr_RNI726O_1_4)) (portRef D (instanceRef r_hrdatas_26)) (portRef C (instanceRef r_hrdatas_RNO_12)) )) (net (rename hrdatas_RNO_14 "hrdatas_RNO[14]") (joined (portRef Y (instanceRef r_hrdatas_RNO_14)) (portRef D (instanceRef r_hrdatas_14)) )) (net N_3411 (joined (portRef Y (instanceRef r_haddr_RNIAOPJ_6)) (portRef A (instanceRef r_hrdatas_RNO_13)) (portRef C (instanceRef r_haddr_RNI726O_4)) (portRef A (instanceRef r_hrdatas_RNO_14)) )) (net (rename haddr_RNI726O_4 "haddr_RNI726O[4]") (joined (portRef Y (instanceRef r_haddr_RNI726O_4)) (portRef D (instanceRef r_hrdatas_4)) (portRef C (instanceRef r_hrdatas_RNO_5)) )) (net (rename hsize_0 "hsize[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIPB0B6_0)) (portRef (member hsize 1)) )) (net un58_nbo (joined (portRef un58_nbo) (portRef B (instanceRef r_hmaster_0_0_RNIPB0B6_0)) (portRef B (instanceRef r_hmaster_0_0_RNI9E546_0)) )) (net un86_nbo (joined (portRef un86_nbo) (portRef C (instanceRef r_hmaster_0_0_RNIPB0B6_0)) (portRef A (instanceRef r_hmaster_0_0_RNI9E546_0)) )) (net arb_1_sqmuxa_1_i (joined (portRef Y (instanceRef r_hmasterlock_RNI1DL5O)) (portRef S (instanceRef r_hmasterlock_RNI2QRKE1)) )) (net hmastlock (joined (portRef Q (instanceRef r_hmasterlock)) (portRef B (instanceRef r_hmasterlock_RNIQ08FB)) (portRef B (instanceRef r_hmasterlock_RNO_1)) (portRef C (instanceRef r_hmasterlock_RNI1DL5O)) )) (net (rename hsize_1 "hsize[1]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIH8HCB_0)) (portRef (member hsize 0)) )) (net (rename hsize_5_1 "hsize_5[1]") (joined (portRef (member hsize_5 0)) (portRef B (instanceRef r_hmaster_0_0_RNIH8HCB_0)) )) (net (rename hrdata_6 "hrdata[6]") (joined (portRef Y (instanceRef r_hslave_RNIOBJ81_1)) (portRef (member hrdata 25)) )) (net (rename hrdata_0_6 "hrdata_0[6]") (joined (portRef (member hrdata_0 25)) (portRef A (instanceRef r_hslave_RNIOBJ81_1)) )) (net cfgsel_RNILM2N1 (joined (portRef Y (instanceRef r_cfgsel_RNILM2N1)) (portRef cfgsel_RNILM2N1) )) (net cfgsel_RNIMUPQ (joined (portRef Y (instanceRef r_cfgsel_RNIMUPQ)) (portRef A (instanceRef r_cfgsel_RNILM2N1)) )) (net (rename hrdatas_RNIKR47_12 "hrdatas_RNIKR47[12]") (joined (portRef Y (instanceRef r_hrdatas_RNIKR47_12)) (portRef B (instanceRef r_cfgsel_RNILM2N1)) )) (net (rename hrdata_1_p "hrdata[1]") (joined (portRef Y (instanceRef r_hslave_RNI63I81_0)) (portRef (member hrdata 30)) )) (net N_3574 (joined (portRef Y (instanceRef r_hslave_RNI8VTH_1)) (portRef B (instanceRef r_hslave_RNI63I81_0)) )) (net (rename hrdata_0_11 "hrdata_0[11]") (joined (portRef Y (instanceRef r_hslave_RNISKQI1_0)) (portRef (member hrdata_0 20)) )) (net N_3442 (joined (portRef Y (instanceRef r_hslave_RNIR3UA_0)) (portRef B (instanceRef r_hslave_RNISKQI1_0)) )) (net (rename dmao_i_1_25 "dmao_i_1[40]") (joined (portRef Y (instanceRef r_hslave_0_0_RNIAN1H1_1)) (portRef dmao_i_1_25) )) (net cfgsel_RNIFO4S1 (joined (portRef Y (instanceRef r_cfgsel_RNIFO4S1)) (portRef cfgsel_RNIFO4S1) )) (net (rename dmao_i_1_15 "dmao_i_1[30]") (joined (portRef Y (instanceRef r_hslave_RNIDTJN1_0)) (portRef dmao_i_1_15) )) (net (rename dmao_i_1_30 "dmao_i_1[45]") (joined (portRef Y (instanceRef r_hslave_RNIROIL1_0)) (portRef dmao_i_1_30) )) (net (rename hslave_RNIEN4B_0 "hslave_RNIEN4B[0]") (joined (portRef Y (instanceRef r_hslave_RNIEN4B_0)) (portRef B (instanceRef r_hslave_RNIROIL1_0)) )) (net hwrite_m_0 (joined (portRef Y (instanceRef r_hmaster_0_0_RNIKIGGN1_0)) (portRef hwrite_m_0) )) (net (rename htrans_0_1 "htrans_0[1]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIPG1LF_0)) (portRef A (instanceRef r_htrans_RNO_0_1)) (portRef A (instanceRef r_hmaster_0_0_RNIKIGGN1_0)) (portRef B (instanceRef r_hmasterlock_RNI1DL5O)) (portRef (member htrans_0 0)) )) (net brmw_1 (joined (portRef brmw_1) (portRef B (instanceRef r_hmaster_0_0_RNIKIGGN1_0)) )) (net (rename un34_hready_i_m_0 "un34_hready_i_m[0]") (joined (portRef Y (instanceRef r_hslave_RNIU5511_1)) (portRef A (instanceRef r_hslave_RNIAR041_1)) (portRef A (instanceRef r_hslave_RNIAR041_0_1)) (portRef (member un34_hready_i_m 0)) )) (net hready_1_sqmuxa (joined (portRef Y (instanceRef r_defslv_RNIUJI)) (portRef S (instanceRef r_htrans_RNIUCCO_1)) (portRef B (instanceRef r_hslave_RNIU5511_1)) (portRef B (instanceRef r_hready_RNICLR2)) (portRef hready_1_sqmuxa) )) (net N_3405 (joined (portRef Y (instanceRef r_haddr_RNIV9C4_0_6)) (portRef B (instanceRef r_hrdatas_RNO_15)) (portRef B (instanceRef r_haddr_RNITEI6_0_4)) (portRef B (instanceRef r_haddr_RNI726O_1_4)) (portRef B (instanceRef r_haddr_RNISEI6_3)) )) (net N_2869 (joined (portRef Y (instanceRef r_cfgsel_0_0_RNIRMJ3E3)) (portRef B (instanceRef r_cfgsel_0_0_RNILIV4E3)) )) (net (rename hwdata_0_p "hwdata[0]") (joined (portRef Y (instanceRef r_hmasterd_0_RNI60Q8_0)) (portRef (member hwdata 31)) )) (net (rename hwdata_0_0 "hwdata_0[0]") (joined (portRef (member hwdata_0 31)) (portRef A (instanceRef r_hmasterd_0_RNI60Q8_0)) )) (net (rename hwdata_1_0 "hwdata_1[0]") (joined (portRef (member hwdata_1 31)) (portRef B (instanceRef r_hmasterd_0_RNI60Q8_0)) )) (net (rename hwdata_1_p "hwdata[1]") (joined (portRef Y (instanceRef r_hmasterd_0_RNI84Q8_0)) (portRef (member hwdata 30)) )) (net (rename hwdata_0_1 "hwdata_0[1]") (joined (portRef (member hwdata_0 30)) (portRef A (instanceRef r_hmasterd_0_RNI84Q8_0)) )) (net (rename hwdata_1_1 "hwdata_1[1]") (joined (portRef (member hwdata_1 30)) (portRef B (instanceRef r_hmasterd_0_RNI84Q8_0)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef Y (instanceRef r_hmasterd_0_RNIA8Q8_0)) (portRef (member hwdata 29)) )) (net (rename hwdata_0_2 "hwdata_0[2]") (joined (portRef (member hwdata_0 29)) (portRef A (instanceRef r_hmasterd_0_RNIA8Q8_0)) )) (net (rename hwdata_1_2 "hwdata_1[2]") (joined (portRef (member hwdata_1 29)) (portRef B (instanceRef r_hmasterd_0_RNIA8Q8_0)) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef Y (instanceRef r_hmasterd_0_RNICCQ8_0)) (portRef (member hwdata 28)) )) (net (rename hwdata_0_3 "hwdata_0[3]") (joined (portRef (member hwdata_0 28)) (portRef A (instanceRef r_hmasterd_0_RNICCQ8_0)) )) (net (rename hwdata_1_3 "hwdata_1[3]") (joined (portRef (member hwdata_1 28)) (portRef B (instanceRef r_hmasterd_0_RNICCQ8_0)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef Y (instanceRef r_hmasterd_0_RNI2R3F_0)) (portRef (member hwdata 6)) )) (net (rename hwdata_0_25 "hwdata_0[25]") (joined (portRef (member hwdata_0 6)) (portRef A (instanceRef r_hmasterd_0_RNI2R3F_0)) )) (net (rename hwdata_1_25 "hwdata_1[25]") (joined (portRef (member hwdata_1 6)) (portRef B (instanceRef r_hmasterd_0_RNI2R3F_0)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef Y (instanceRef r_hmasterd_0_RNI634F_0)) (portRef (member hwdata 4)) )) (net (rename hwdata_0_27 "hwdata_0[27]") (joined (portRef (member hwdata_0 4)) (portRef A (instanceRef r_hmasterd_0_RNI634F_0)) )) (net (rename hwdata_1_27 "hwdata_1[27]") (joined (portRef (member hwdata_1 4)) (portRef B (instanceRef r_hmasterd_0_RNI634F_0)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef Y (instanceRef r_hmasterd_0_RNI874F_0)) (portRef (member hwdata 3)) )) (net (rename hwdata_0_28 "hwdata_0[28]") (joined (portRef (member hwdata_0 3)) (portRef A (instanceRef r_hmasterd_0_RNI874F_0)) )) (net (rename hwdata_1_28 "hwdata_1[28]") (joined (portRef (member hwdata_1 3)) (portRef B (instanceRef r_hmasterd_0_RNI874F_0)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef Y (instanceRef r_hmasterd_0_RNISA3F_0)) (portRef (member hwdata 1)) )) (net (rename hwdata_0_30 "hwdata_0[30]") (joined (portRef (member hwdata_0 1)) (portRef A (instanceRef r_hmasterd_0_RNISA3F_0)) )) (net (rename hwdata_1_30 "hwdata_1[30]") (joined (portRef (member hwdata_1 1)) (portRef B (instanceRef r_hmasterd_0_RNISA3F_0)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef Y (instanceRef r_hmasterd_0_RNIUE3F_0)) (portRef (member hwdata 0)) )) (net (rename hwdata_0_31 "hwdata_0[31]") (joined (portRef (member hwdata_0 0)) (portRef A (instanceRef r_hmasterd_0_RNIUE3F_0)) )) (net (rename hwdata_1_31 "hwdata_1[31]") (joined (portRef (member hwdata_1 0)) (portRef B (instanceRef r_hmasterd_0_RNIUE3F_0)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef Y (instanceRef r_hmasterd_0_RNI434F_0)) (portRef (member hwdata 13)) )) (net (rename hwdata_0_18 "hwdata_0[18]") (joined (portRef (member hwdata_0 13)) (portRef A (instanceRef r_hmasterd_0_RNI434F_0)) )) (net (rename hwdata_1_18 "hwdata_1[18]") (joined (portRef (member hwdata_1 13)) (portRef B (instanceRef r_hmasterd_0_RNI434F_0)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef Y (instanceRef r_hmasterd_0_RNIO63F_0)) (portRef (member hwdata 11)) )) (net (rename hwdata_0_20 "hwdata_0[20]") (joined (portRef (member hwdata_0 11)) (portRef A (instanceRef r_hmasterd_0_RNIO63F_0)) )) (net (rename hwdata_1_20 "hwdata_1[20]") (joined (portRef (member hwdata_1 11)) (portRef B (instanceRef r_hmasterd_0_RNIO63F_0)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef Y (instanceRef r_hmasterd_0_RNISE3F_0)) (portRef (member hwdata 9)) )) (net (rename hwdata_0_22 "hwdata_0[22]") (joined (portRef (member hwdata_0 9)) (portRef A (instanceRef r_hmasterd_0_RNISE3F_0)) )) (net (rename hwdata_1_22 "hwdata_1[22]") (joined (portRef (member hwdata_1 9)) (portRef B (instanceRef r_hmasterd_0_RNISE3F_0)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef Y (instanceRef r_hmasterd_0_RNI0N3F_0)) (portRef (member hwdata 7)) )) (net (rename hwdata_0_24 "hwdata_0[24]") (joined (portRef (member hwdata_0 7)) (portRef A (instanceRef r_hmasterd_0_RNI0N3F_0)) )) (net (rename hwdata_1_24 "hwdata_1[24]") (joined (portRef (member hwdata_1 7)) (portRef B (instanceRef r_hmasterd_0_RNI0N3F_0)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef Y (instanceRef r_hmasterd_0_RNIM63F_0)) (portRef (member hwdata 20)) )) (net (rename hwdata_0_11 "hwdata_0[11]") (joined (portRef (member hwdata_0 20)) (portRef A (instanceRef r_hmasterd_0_RNIM63F_0)) )) (net (rename hwdata_1_11 "hwdata_1[11]") (joined (portRef (member hwdata_1 20)) (portRef B (instanceRef r_hmasterd_0_RNIM63F_0)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef Y (instanceRef r_hmasterd_0_RNIOA3F_0)) (portRef (member hwdata 19)) )) (net (rename hwdata_0_12 "hwdata_0[12]") (joined (portRef (member hwdata_0 19)) (portRef A (instanceRef r_hmasterd_0_RNIOA3F_0)) )) (net (rename hwdata_1_12 "hwdata_1[12]") (joined (portRef (member hwdata_1 19)) (portRef B (instanceRef r_hmasterd_0_RNIOA3F_0)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef Y (instanceRef r_hmasterd_0_RNISI3F_0)) (portRef (member hwdata 17)) )) (net (rename hwdata_0_14 "hwdata_0[14]") (joined (portRef (member hwdata_0 17)) (portRef A (instanceRef r_hmasterd_0_RNISI3F_0)) )) (net (rename hwdata_1_14 "hwdata_1[14]") (joined (portRef (member hwdata_1 17)) (portRef B (instanceRef r_hmasterd_0_RNISI3F_0)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef Y (instanceRef r_hmasterd_RNI5GLL_0)) (portRef (member hwdata 15)) )) (net (rename hwdata_0_16 "hwdata_0[16]") (joined (portRef (member hwdata_0 15)) (portRef A (instanceRef r_hmasterd_RNI5GLL_0)) )) (net (rename hwdata_1_16 "hwdata_1[16]") (joined (portRef (member hwdata_1 15)) (portRef B (instanceRef r_hmasterd_RNI5GLL_0)) )) (net (rename hmasterd_0 "hmasterd[0]") (joined (portRef Q (instanceRef r_hmasterd_0)) (portRef S (instanceRef r_hmasterd_RNI3CLL_0)) (portRef S (instanceRef r_hmasterd_RNIRLCF_0)) (portRef S (instanceRef r_hmasterd_RNIBSLL_0)) (portRef S (instanceRef r_hmasterd_RNIVVKL_0)) (portRef S (instanceRef r_hmasterd_RNINDCF_0)) (portRef S (instanceRef r_hmasterd_RNI9KLL_0)) (portRef S (instanceRef r_hmasterd_RNIV3LL_0)) (portRef S (instanceRef r_hmasterd_RNI38LL_0)) (portRef S (instanceRef r_hmasterd_RNIF0ML_0)) (portRef S (instanceRef r_hmasterd_RNIPHCF_0)) (portRef S (instanceRef r_hmasterd_RNIPNKL_0)) (portRef S (instanceRef r_hmasterd_RNITPCF_0)) (portRef S (instanceRef r_hmasterd_RNIL9CF_0)) (portRef S (instanceRef r_hmasterd_RNIJ5CF_0)) (portRef S (instanceRef r_hmasterd_RNI7KLL_0)) (portRef S (instanceRef r_hmasterd_RNI5GLL_0)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef Y (instanceRef r_hmasterd_RNI7KLL_0)) (portRef (member hwdata 14)) )) (net (rename hwdata_0_17 "hwdata_0[17]") (joined (portRef (member hwdata_0 14)) (portRef A (instanceRef r_hmasterd_RNI7KLL_0)) )) (net (rename hwdata_1_17 "hwdata_1[17]") (joined (portRef (member hwdata_1 14)) (portRef B (instanceRef r_hmasterd_RNI7KLL_0)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef Y (instanceRef r_hmasterd_RNIJ5CF_0)) (portRef (member hwdata 27)) )) (net (rename hwdata_0_4 "hwdata_0[4]") (joined (portRef (member hwdata_0 27)) (portRef A (instanceRef r_hmasterd_RNIJ5CF_0)) )) (net (rename hwdata_1_4 "hwdata_1[4]") (joined (portRef (member hwdata_1 27)) (portRef B (instanceRef r_hmasterd_RNIJ5CF_0)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef Y (instanceRef r_hmasterd_RNIL9CF_0)) (portRef (member hwdata 26)) )) (net (rename hwdata_0_5 "hwdata_0[5]") (joined (portRef (member hwdata_0 26)) (portRef A (instanceRef r_hmasterd_RNIL9CF_0)) )) (net (rename hwdata_1_5 "hwdata_1[5]") (joined (portRef (member hwdata_1 26)) (portRef B (instanceRef r_hmasterd_RNIL9CF_0)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef Y (instanceRef r_hmasterd_RNITPCF_0)) (portRef (member hwdata 22)) )) (net (rename hwdata_0_9 "hwdata_0[9]") (joined (portRef (member hwdata_0 22)) (portRef A (instanceRef r_hmasterd_RNITPCF_0)) )) (net (rename hwdata_1_9 "hwdata_1[9]") (joined (portRef (member hwdata_1 22)) (portRef B (instanceRef r_hmasterd_RNITPCF_0)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef Y (instanceRef r_hmasterd_RNIPNKL_0)) (portRef (member hwdata 21)) )) (net (rename hwdata_0_10 "hwdata_0[10]") (joined (portRef (member hwdata_0 21)) (portRef A (instanceRef r_hmasterd_RNIPNKL_0)) )) (net (rename hwdata_1_10 "hwdata_1[10]") (joined (portRef (member hwdata_1 21)) (portRef B (instanceRef r_hmasterd_RNIPNKL_0)) )) (net N_2773 (joined (portRef Y (instanceRef r_hslave_0_0_RNIF7R7_0)) (portRef A (instanceRef r_hslave_0_0_RNIHN8G_1)) )) (net (rename hrdata_5 "hrdata[5]") (joined (portRef (member hrdata 26)) (portRef A (instanceRef r_hslave_0_0_RNIF7R7_0)) )) (net (rename hrdata_0_5 "hrdata_0[5]") (joined (portRef (member hrdata_0 26)) (portRef B (instanceRef r_hslave_0_0_RNIF7R7_0)) )) (net N_2808 (joined (portRef Y (instanceRef r_hslave_0_0_RNIHN8G_1)) (portRef A (instanceRef r_hrdatas_RNIFPRL_5)) )) (net (rename hrdata_1_5 "hrdata_1[5]") (joined (portRef (member hrdata_1 26)) (portRef B (instanceRef r_hslave_0_0_RNIHN8G_1)) )) (net (rename dmao_i_1_17 "dmao_i_1[32]") (joined (portRef Y (instanceRef r_cfgsel_0_0_RNIMOVJ1)) (portRef dmao_i_1_17) )) (net N_3341 (joined (portRef Y (instanceRef r_hslave_0_0_RNIA1HQ_1)) (portRef B (instanceRef r_cfgsel_0_0_RNIMOVJ1)) )) (net N_3333 (joined (portRef Y (instanceRef r_cfgsel_0_0_RNI3P4N)) (portRef C (instanceRef r_cfgsel_0_0_RNIMOVJ1)) )) (net N_3332 (joined (portRef Y (instanceRef r_hslave_0_0_RNIL7MF_0)) (portRef A (instanceRef r_hslave_0_0_RNIA1HQ_1)) )) (net (rename hrdata_17 "hrdata[17]") (joined (portRef (member hrdata 14)) (portRef A (instanceRef r_hslave_0_0_RNIL7MF_0)) )) (net (rename hrdata_0_17 "hrdata_0[17]") (joined (portRef (member hrdata_0 14)) (portRef B (instanceRef r_hslave_0_0_RNIL7MF_0)) )) (net N_3428 (joined (portRef Y (instanceRef r_hrdatas_RNITPR6_16)) (portRef B (instanceRef r_cfgsel_RNI03561)) (portRef B (instanceRef r_cfgsel_0_0_RNI3P4N)) )) (net (rename hrdata_1_17 "hrdata_1[17]") (joined (portRef (member hrdata_1 14)) (portRef B (instanceRef r_hslave_0_0_RNIA1HQ_1)) )) (net N_2799 (joined (portRef Y (instanceRef r_hslave_0_0_RNILNMF_0)) (portRef A (instanceRef r_hslave_0_0_RNI6PGQ_1)) )) (net (rename hrdata_31 "hrdata[31]") (joined (portRef (member hrdata 0)) (portRef A (instanceRef r_hslave_0_0_RNILNMF_0)) )) (net (rename hrdata_0_31 "hrdata_0[31]") (joined (portRef (member hrdata_0 0)) (portRef B (instanceRef r_hslave_0_0_RNILNMF_0)) )) (net N_2834 (joined (portRef Y (instanceRef r_hslave_0_0_RNI6PGQ_1)) (portRef A (instanceRef r_hrdatas_RNIJOE31_31)) )) (net (rename hrdata_1_31 "hrdata_1[31]") (joined (portRef (member hrdata_1 0)) (portRef B (instanceRef r_hslave_0_0_RNI6PGQ_1)) )) (net (rename hrdata_18 "hrdata[18]") (joined (portRef Y (instanceRef r_hslave_0_0_RNI5OP81_1)) (portRef (member hrdata 13)) )) (net N_3452 (joined (portRef Y (instanceRef r_hslave_0_0_RNID5HQ_1)) (portRef A (instanceRef r_hslave_0_0_RNI5OP81_1)) )) (net N_3514 (joined (portRef Y (instanceRef r_cfgsel_RNIOI8E)) (portRef A (instanceRef r_hslave_RNIL8IT_1)) (portRef A (instanceRef r_hslave_RNIADQO_1)) (portRef A (instanceRef r_hslave_RNIRLRO_1)) (portRef A (instanceRef r_hslave_RNIMA231_1)) (portRef B (instanceRef r_hslave_RNIUQVK_1)) (portRef B (instanceRef r_hslave_RNI36OF_1)) (portRef B (instanceRef r_hslave_RNI36OF_0_1)) (portRef A (instanceRef r_hslave_RNIODRO_1)) (portRef B (instanceRef r_hslave_RNIRO141_1)) (portRef B (instanceRef r_hslave_RNI77QP_1)) (portRef A (instanceRef r_hslave_0_0_RNITBP81_1)) (portRef B (instanceRef r_cfga11_RNI99PE)) (portRef B (instanceRef r_hslave_0_0_RNI5OP81_1)) )) (net (rename hrdatas_RNIO2L41_5 "hrdatas_RNIO2L41[5]") (joined (portRef Y (instanceRef r_hrdatas_RNIO2L41_5)) (portRef (member hrdatas_rnio2l41 0)) )) (net N_3436 (joined (portRef Y (instanceRef r_hrdatas_RNIFPRL_5)) (portRef A (instanceRef r_hrdatas_RNIO2L41_5)) )) (net (rename dmao_i_1_26 "dmao_i_1[41]") (joined (portRef Y (instanceRef r_hrdatas_RNI829I1_26)) (portRef dmao_i_1_26) )) (net N_3437 (joined (portRef Y (instanceRef r_hrdatas_RNIVOF31_26)) (portRef A (instanceRef r_hrdatas_RNI829I1_26)) )) (net (rename dmao_i_1_28 "dmao_i_1[43]") (joined (portRef Y (instanceRef r_hrdatas_RNIGI9I1_28)) (portRef dmao_i_1_28) )) (net N_3438 (joined (portRef Y (instanceRef r_hrdatas_RNI79G31_28)) (portRef A (instanceRef r_hrdatas_RNIGI9I1_28)) )) (net (rename dmao_i_1_31 "dmao_i_1[46]") (joined (portRef Y (instanceRef r_hrdatas_RNIS18I1_31)) (portRef dmao_i_1_31) )) (net N_3439 (joined (portRef Y (instanceRef r_hrdatas_RNIJOE31_31)) (portRef A (instanceRef r_hrdatas_RNIS18I1_31)) )) (net (rename hrdatas_17 "hrdatas[17]") (joined (portRef Q (instanceRef r_hrdatas_16)) (portRef A (instanceRef r_hrdatas_RNITPR6_16)) )) (net (rename hrdatas_5 "hrdatas[5]") (joined (portRef Q (instanceRef r_hrdatas_5)) (portRef B (instanceRef r_hrdatas_RNIFPRL_5)) )) (net N_2829 (joined (portRef Y (instanceRef r_hslave_0_0_RNIE5HQ_1)) (portRef A (instanceRef r_hrdatas_RNIVOF31_26)) )) (net (rename hrdatas_26 "hrdatas[26]") (joined (portRef Q (instanceRef r_hrdatas_26)) (portRef B (instanceRef r_hrdatas_RNIVOF31_26)) )) (net N_3453 (joined (portRef Y (instanceRef r_hslave_0_0_RNIKDHQ_1)) (portRef A (instanceRef r_hrdatas_RNI79G31_28)) )) (net (rename hrdatas_28 "hrdatas[28]") (joined (portRef Q (instanceRef r_hrdatas_28)) (portRef B (instanceRef r_hrdatas_RNI79G31_28)) )) (net (rename hrdatas_31 "hrdatas[31]") (joined (portRef Q (instanceRef r_hrdatas_31)) (portRef B (instanceRef r_hrdatas_RNIJOE31_31)) )) (net N_3446 (joined (portRef Y (instanceRef r_hslave_0_0_RNIB7MF_0)) (portRef A (instanceRef r_hslave_0_0_RNIRCGQ_1)) )) (net (rename hrdata_12 "hrdata[12]") (joined (portRef (member hrdata 19)) (portRef A (instanceRef r_hslave_0_0_RNIB7MF_0)) )) (net (rename hrdata_0_12 "hrdata_0[12]") (joined (portRef (member hrdata_0 19)) (portRef B (instanceRef r_hslave_0_0_RNIB7MF_0)) )) (net N_3448 (joined (portRef Y (instanceRef r_hslave_0_0_RNIN7MF_0)) (portRef A (instanceRef r_hslave_0_0_RNID5HQ_1)) )) (net (rename hrdata_0_18 "hrdata_0[18]") (joined (portRef (member hrdata_0 13)) (portRef A (instanceRef r_hslave_0_0_RNIN7MF_0)) )) (net (rename hrdata_1_18 "hrdata_1[18]") (joined (portRef (member hrdata_1 13)) (portRef B (instanceRef r_hslave_0_0_RNIN7MF_0)) )) (net N_3449 (joined (portRef Y (instanceRef r_hslave_0_0_RNITFMF_0)) (portRef A (instanceRef r_hslave_0_0_RNIKDHQ_1)) )) (net (rename hrdata_28 "hrdata[28]") (joined (portRef (member hrdata 3)) (portRef A (instanceRef r_hslave_0_0_RNITFMF_0)) )) (net (rename hrdata_0_28 "hrdata_0[28]") (joined (portRef (member hrdata_0 3)) (portRef B (instanceRef r_hslave_0_0_RNITFMF_0)) )) (net N_3450 (joined (portRef Y (instanceRef r_hslave_0_0_RNIRCGQ_1)) (portRef B (instanceRef r_cfgsel_RNIMUPQ)) )) (net (rename hrdata_1_12 "hrdata_1[12]") (joined (portRef (member hrdata_1 19)) (portRef B (instanceRef r_hslave_0_0_RNIRCGQ_1)) )) (net (rename hrdata_2_17 "hrdata_2[18]") (joined (portRef hrdata_2_17) (portRef B (instanceRef r_hslave_0_0_RNID5HQ_1)) )) (net (rename hrdata_1_28 "hrdata_1[28]") (joined (portRef (member hrdata_1 3)) (portRef B (instanceRef r_hslave_0_0_RNIKDHQ_1)) )) (net N_2787 (joined (portRef Y (instanceRef r_hslave_0_0_RNIP7MF_0)) (portRef A (instanceRef r_hslave_0_0_RNIG9HQ_1)) )) (net (rename hrdata_0_19 "hrdata_0[19]") (joined (portRef (member hrdata_0 12)) (portRef A (instanceRef r_hslave_0_0_RNIP7MF_0)) )) (net (rename hrdata_1_19 "hrdata_1[19]") (joined (portRef (member hrdata_1 12)) (portRef B (instanceRef r_hslave_0_0_RNIP7MF_0)) )) (net N_2794 (joined (portRef Y (instanceRef r_hslave_0_0_RNIPFMF_0)) (portRef A (instanceRef r_hslave_0_0_RNIE5HQ_1)) )) (net (rename hrdata_26 "hrdata[26]") (joined (portRef (member hrdata 5)) (portRef A (instanceRef r_hslave_0_0_RNIPFMF_0)) )) (net (rename hrdata_0_26 "hrdata_0[26]") (joined (portRef (member hrdata_0 5)) (portRef B (instanceRef r_hslave_0_0_RNIPFMF_0)) )) (net (rename hrdata_2_18 "hrdata_2[19]") (joined (portRef hrdata_2_18) (portRef B (instanceRef r_hslave_0_0_RNIG9HQ_1)) )) (net (rename hrdata_1_26 "hrdata_1[26]") (joined (portRef (member hrdata_1 5)) (portRef B (instanceRef r_hslave_0_0_RNIE5HQ_1)) )) (net (rename hrdata_0_4 "hrdata_0[4]") (joined (portRef (member hrdata_0 27)) (portRef A (instanceRef r_hslave_RNIBVTH_1)) )) (net (rename hrdata_1_4 "hrdata_1[4]") (joined (portRef (member hrdata_1 27)) (portRef A (instanceRef r_hslave_0_0_RNIDVQ7_0)) )) (net (rename hrdata_2_3 "hrdata_2[4]") (joined (portRef hrdata_2_3) (portRef B (instanceRef r_hslave_0_0_RNIDVQ7_0)) )) (net (rename hrdata_29 "hrdata[29]") (joined (portRef (member hrdata 2)) (portRef C (instanceRef r_cfgsel_0_0_RNI105D)) )) (net N_3501 (joined (portRef Y (instanceRef r_cfgsel_RNIK8H6)) (portRef B (instanceRef r_hslave_RNIBF4B_0)) (portRef A (instanceRef r_hslave_RNI6LBE_0)) (portRef B (instanceRef r_hslave_RNIDF4B_0)) (portRef A (instanceRef r_hslave_RNI8LBE_0)) (portRef B (instanceRef r_hslave_RNIKJ4B_0)) (portRef B (instanceRef r_hslave_RNIEN4B_0)) (portRef A (instanceRef r_hslave_RNI9TBE_0)) (portRef A (instanceRef r_hslave_0_0_RNITS3J_0)) )) (net (rename hrdata_0_29 "hrdata_0[29]") (joined (portRef (member hrdata_0 2)) (portRef C (instanceRef r_hslave_0_0_RNITS3J_0)) )) (net cfgsel (joined (portRef Q (instanceRef r_cfgsel)) (portRef A (instanceRef r_cfgsel_RNIMUPQ)) (portRef B (instanceRef r_cfgsel_RNIUNB6)) (portRef B (instanceRef r_cfgsel_RNI00C6)) (portRef A (instanceRef r_hrdatas_RNIUET6_30)) (portRef B (instanceRef r_cfgsel_RNITBB6)) (portRef B (instanceRef r_htrans_RNI9752_1)) (portRef B (instanceRef r_defslv_RNIUJI)) (portRef A (instanceRef r_cfga11_RNIHMG)) (portRef A (instanceRef r_cfgsel_RNIOI8E)) (portRef S (instanceRef r_cfgsel_RNI03561)) (portRef S (instanceRef r_cfgsel_RNIH9OR)) (portRef A (instanceRef r_cfgsel_RNIOH2D1)) (portRef S (instanceRef r_cfgsel_RNIBS3L)) (portRef S (instanceRef r_cfgsel_RNIJ69M1)) (portRef B (instanceRef r_cfgsel_RNIK8H6)) )) (net (rename dmao_i_1_14 "dmao_i_1[29]") (joined (portRef Y (instanceRef r_cfgsel_RNIJ69M1)) (portRef dmao_i_1_14) )) (net N_3435 (joined (portRef Y (instanceRef r_hslave_0_0_RNIULF81_1)) (portRef A (instanceRef r_cfgsel_RNIJ69M1)) )) (net N_3815 (joined (portRef Y (instanceRef r_hrdatam_RNIQUFD_14)) (portRef B (instanceRef r_cfgsel_RNIJ69M1)) )) (net N_3451 (joined (portRef Y (instanceRef r_hslave_0_0_RNI1LGQ_1)) (portRef A (instanceRef r_hslave_0_0_RNIULF81_1)) )) (net N_3447 (joined (portRef Y (instanceRef r_hslave_0_0_RNIF7MF_0)) (portRef A (instanceRef r_hslave_0_0_RNI1LGQ_1)) )) (net (rename hrdata_14 "hrdata[14]") (joined (portRef (member hrdata 17)) (portRef A (instanceRef r_hslave_0_0_RNIF7MF_0)) )) (net (rename hrdata_0_14 "hrdata_0[14]") (joined (portRef (member hrdata_0 17)) (portRef B (instanceRef r_hslave_0_0_RNIF7MF_0)) )) (net (rename hrdata_1_14 "hrdata_1[14]") (joined (portRef (member hrdata_1 17)) (portRef B (instanceRef r_hslave_0_0_RNI1LGQ_1)) )) (net N_2789 (joined (portRef Y (instanceRef r_hslave_0_0_RNIFFMF_0)) (portRef A (instanceRef r_hslave_0_0_RNIVGGQ_1)) )) (net (rename hrdata_0_21 "hrdata_0[21]") (joined (portRef (member hrdata_0 10)) (portRef A (instanceRef r_hslave_0_0_RNIFFMF_0)) )) (net (rename hrdata_1_21 "hrdata_1[21]") (joined (portRef (member hrdata_1 10)) (portRef B (instanceRef r_hslave_0_0_RNIFFMF_0)) )) (net (rename hrdata_2_20 "hrdata_2[21]") (joined (portRef hrdata_2_20) (portRef B (instanceRef r_hslave_0_0_RNIVGGQ_1)) )) (net N_3630 (joined (portRef Y (instanceRef r_cfga11_RNIHMG)) (portRef B (instanceRef r_hrdatas_RNIKR47_12)) (portRef B (instanceRef r_hrdatas_RNI2QP3_1)) (portRef B (instanceRef r_hrdatas_RNILV47_13)) (portRef B (instanceRef r_hrdatas_RNI3QP3_2)) (portRef B (instanceRef r_hrdatas_RNI5QP3_4)) (portRef A (instanceRef r_cfga11_RNI99PE)) )) (net (rename iosn_7 "iosn[100]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNI1FUQA_0_0)) (portRef iosn_7) )) (net (rename hslave_3_1 "hslave_3[1]") (joined (portRef Y (instanceRef r_hslave_0_0_RNIB990N_1)) (portRef B (instanceRef r_hslave_0_0_RNI55L1N_1)) )) (net rstn (joined (portRef rstn) (portRef A (instanceRef r_hslave_RNIA9UD22_0)) (portRef A (instanceRef r_htrans_RNO_1)) (portRef C (instanceRef r_hmasterlock_RNO)) (portRef C (instanceRef r_hmaster_0_0_RNIKUFJ14_0)) (portRef C (instanceRef r_defslv_RNO)) (portRef A (instanceRef r_hslave_0_0_RNI55L1N_1)) (portRef A (instanceRef r_cfgsel_0_0_RNILIV4E3)) (portRef B (instanceRef r_hslave_RNO_0_2)) )) (net (rename hrdata_23 "hrdata[23]") (joined (portRef Y (instanceRef r_hslave_0_0_RNITBP81_1)) (portRef (member hrdata 8)) )) (net N_3337 (joined (portRef Y (instanceRef r_hslave_0_0_RNI5PGQ_1)) (portRef B (instanceRef r_hslave_0_0_RNITBP81_1)) )) (net N_3334 (joined (portRef Y (instanceRef r_hslave_0_0_RNI9FQ7_0)) (portRef A (instanceRef r_hslave_RNIQRFB_1)) )) (net (rename hrdata_2 "hrdata[2]") (joined (portRef (member hrdata 29)) (portRef A (instanceRef r_hslave_0_0_RNI9FQ7_0)) )) (net (rename hrdata_0_2 "hrdata_0[2]") (joined (portRef (member hrdata_0 29)) (portRef B (instanceRef r_hslave_0_0_RNI9FQ7_0)) )) (net N_3335 (joined (portRef Y (instanceRef r_hslave_0_0_RNIHFMF_0)) (portRef A (instanceRef r_hslave_RNIKHOL_1)) )) (net (rename hrdata_22 "hrdata[22]") (joined (portRef (member hrdata 9)) (portRef A (instanceRef r_hslave_0_0_RNIHFMF_0)) )) (net (rename hrdata_0_22 "hrdata_0[22]") (joined (portRef (member hrdata_0 9)) (portRef B (instanceRef r_hslave_0_0_RNIHFMF_0)) )) (net N_3336 (joined (portRef Y (instanceRef r_hslave_0_0_RNIJFMF_0)) (portRef A (instanceRef r_hslave_0_0_RNI5PGQ_1)) )) (net (rename hrdata_0_23 "hrdata_0[23]") (joined (portRef (member hrdata_0 8)) (portRef A (instanceRef r_hslave_0_0_RNIJFMF_0)) )) (net (rename hrdata_1_23 "hrdata_1[23]") (joined (portRef (member hrdata_1 8)) (portRef B (instanceRef r_hslave_0_0_RNIJFMF_0)) )) (net (rename hrdata_2_22 "hrdata_2[23]") (joined (portRef hrdata_2_22) (portRef B (instanceRef r_hslave_0_0_RNI5PGQ_1)) )) (net (rename hrdata_1_22 "hrdata_1[22]") (joined (portRef (member hrdata_1 9)) (portRef B (instanceRef r_hslave_RNIKHOL_1)) )) (net (rename hslave_1 "hslave[1]") (joined (portRef Q (instanceRef r_hslave_1)) (portRef A (instanceRef r_cfgsel_RNIUNB6)) (portRef A (instanceRef r_cfgsel_RNI00C6)) (portRef A (instanceRef r_cfgsel_RNITBB6)) (portRef S (instanceRef r_hslave_RNIQRFB_1)) (portRef S (instanceRef r_hslave_RNIF0O6_1)) (portRef S (instanceRef r_hslave_RNIPTVG_1)) (portRef S (instanceRef r_hslave_RNI3HJI_1)) (portRef S (instanceRef r_hslave_RNI68N6_1)) (portRef A (instanceRef r_hslave_RNI36OF_1)) (portRef A (instanceRef r_hslave_RNI36OF_0_1)) (portRef S (instanceRef r_hslave_RNIBM0H_1)) (portRef S (instanceRef r_hslave_RNICM0H_1)) (portRef S (instanceRef r_hslave_RNIFKHB_1)) (portRef S (instanceRef r_hslave_RNIU8P6_1)) (portRef S (instanceRef r_hslave_RNIR0P6_1)) (portRef S (instanceRef r_hslave_RNI060H_1)) (portRef S (instanceRef r_hslave_RNI36PL_1)) (portRef S (instanceRef r_hslave_RNIKHOL_1)) )) (net N_2830 (joined (portRef Y (instanceRef r_hslave_RNI36PL_1)) (portRef A (instanceRef r_hslave_RNIRO141_1)) )) (net N_3441 (joined (portRef Y (instanceRef r_hslave_0_0_RNIRFMF_0)) (portRef A (instanceRef r_hslave_RNI36PL_1)) )) (net (rename hrdata_27 "hrdata[27]") (joined (portRef (member hrdata 4)) (portRef B (instanceRef r_hslave_RNI36PL_1)) )) (net (rename haddr_31 "haddr[31]") (joined (portRef haddr_31) (portRef A (instanceRef r_hmaster_0_0_RNIIBFD5_0)) )) (net (rename haddr_0_31 "haddr_0[31]") (joined (portRef (member haddr_0 0)) (portRef B (instanceRef r_hmaster_0_0_RNIIBFD5_0)) )) (net N_3440 (joined (portRef Y (instanceRef r_hslave_0_0_RNIN7S7_0)) (portRef A (instanceRef r_hslave_RNIFKHB_1)) )) (net (rename hrdata_9 "hrdata[9]") (joined (portRef (member hrdata 22)) (portRef A (instanceRef r_hslave_0_0_RNIN7S7_0)) )) (net (rename hrdata_0_9 "hrdata_0[9]") (joined (portRef (member hrdata_0 22)) (portRef B (instanceRef r_hslave_0_0_RNIN7S7_0)) )) (net (rename hrdata_0_27 "hrdata_0[27]") (joined (portRef (member hrdata_0 4)) (portRef A (instanceRef r_hslave_0_0_RNIRFMF_0)) )) (net (rename hrdata_1_27 "hrdata_1[27]") (joined (portRef (member hrdata_1 4)) (portRef B (instanceRef r_hslave_0_0_RNIRFMF_0)) )) (net (rename hrdata_0_25 "hrdata_0[25]") (joined (portRef (member hrdata_0 6)) (portRef A (instanceRef r_hslave_0_0_RNINFMF_0)) )) (net (rename hrdata_1_25 "hrdata_1[25]") (joined (portRef (member hrdata_1 6)) (portRef B (instanceRef r_hslave_0_0_RNINFMF_0)) )) (net (rename hrdatam_14 "hrdatam[14]") (joined (portRef Q (instanceRef r_hrdatam_14)) (portRef A (instanceRef r_hrdatam_RNIQUFD_14)) )) (net (rename hrdatas_14 "hrdatas[14]") (joined (portRef Q (instanceRef r_hrdatas_14)) (portRef B (instanceRef r_hrdatam_RNIQUFD_14)) )) (net N_2788 (joined (portRef Y (instanceRef r_hslave_RNIVBUA_0)) (portRef A (instanceRef r_hslave_RNI060H_1)) )) (net (rename hrdata_0_20 "hrdata_0[20]") (joined (portRef (member hrdata_0 11)) (portRef A (instanceRef r_hslave_RNIVBUA_0)) )) (net (rename hrdata_1_20 "hrdata_1[20]") (joined (portRef (member hrdata_1 11)) (portRef B (instanceRef r_hslave_RNIVBUA_0)) )) (net (rename hslave_0 "hslave[0]") (joined (portRef Q (instanceRef r_hslave_0)) (portRef S (instanceRef r_hslave_RNIP323_0)) (portRef A (instanceRef r_hslave_RNIBF4B_0)) (portRef B (instanceRef r_hslave_RNI6LBE_0)) (portRef A (instanceRef r_hslave_RNIDF4B_0)) (portRef B (instanceRef r_hslave_RNI8LBE_0)) (portRef A (instanceRef r_hslave_RNIKJ4B_0)) (portRef A (instanceRef r_hslave_RNIEN4B_0)) (portRef B (instanceRef r_hslave_RNI9TBE_0)) (portRef S (instanceRef r_hslave_RNIR3UA_0)) (portRef S (instanceRef r_hslave_RNIP3UA_0)) (portRef S (instanceRef r_hslave_RNI3C33_0)) (portRef B (instanceRef r_hslave_RNIGDIC22_0)) (portRef S (instanceRef r_hslave_RNIN9B9_0)) (portRef S (instanceRef r_hslave_RNINR13_0)) (portRef S (instanceRef r_hslave_RNI54UA_0)) (portRef S (instanceRef r_hslave_RNI7S33_0)) (portRef S (instanceRef r_hslave_RNI5K33_0)) (portRef S (instanceRef r_hslave_RNITJ23_0)) (portRef S (instanceRef r_hslave_RNI7CUA_0)) (portRef S (instanceRef r_hslave_RNIVBUA_0)) )) (net (rename hrdata_2_19 "hrdata_2[20]") (joined (portRef hrdata_2_19) (portRef B (instanceRef r_hslave_RNI060H_1)) )) (net N_3585 (joined (portRef Y (instanceRef r_hslave_RNI77QP_1)) (portRef A (instanceRef r_hrdatas_RNIC1KT_4)) )) (net N_3547 (joined (portRef Y (instanceRef r_hslave_RNIFKHB_1)) (portRef A (instanceRef r_hslave_RNI77QP_1)) )) (net (rename hrdata_2_26 "hrdata_2[27]") (joined (portRef Y (instanceRef r_hslave_RNIRO141_1)) (portRef hrdata_2_26) )) (net (rename hrdata_7 "hrdata[7]") (joined (portRef Y (instanceRef r_hslave_RNIODRO_1)) (portRef (member hrdata 24)) )) (net N_3545 (joined (portRef Y (instanceRef r_hslave_RNIR0P6_1)) (portRef B (instanceRef r_hslave_RNIODRO_1)) )) (net (rename dmao_i_1_24 "dmao_i_1[39]") (joined (portRef Y (instanceRef r_cfgsel_RNIOH2D1)) (portRef dmao_i_1_24) )) (net N_3550 (joined (portRef Y (instanceRef r_hslave_RNICM0H_1)) (portRef B (instanceRef r_cfgsel_RNIOH2D1)) )) (net cfgsel_RNIH9OR (joined (portRef Y (instanceRef r_cfgsel_RNIH9OR)) (portRef C (instanceRef r_cfgsel_RNIOH2D1)) )) (net N_3534 (joined (portRef Y (instanceRef r_hslave_RNI7CUA_0)) (portRef A (instanceRef r_hslave_RNICM0H_1)) )) (net (rename hrdata_24 "hrdata[24]") (joined (portRef (member hrdata 7)) (portRef A (instanceRef r_hslave_RNI7CUA_0)) )) (net (rename hrdata_0_24 "hrdata_0[24]") (joined (portRef (member hrdata_0 7)) (portRef B (instanceRef r_hslave_RNI7CUA_0)) )) (net N_3540 (joined (portRef Y (instanceRef r_hrdatas_RNIPMFD_24)) (portRef B (instanceRef r_cfgsel_RNIH9OR)) )) (net (rename hrdatas_24 "hrdatas[24]") (joined (portRef Q (instanceRef r_hrdatas_24)) (portRef B (instanceRef r_hrdatas_RNIPMFD_24)) )) (net N_3541 (joined (portRef Y (instanceRef r_hslave_RNITJ23_0)) (portRef A (instanceRef r_hslave_RNIF0O6_1)) )) (net (rename hrdata_3 "hrdata[3]") (joined (portRef (member hrdata 28)) (portRef A (instanceRef r_hslave_RNITJ23_0)) )) (net (rename hrdata_0_3 "hrdata_0[3]") (joined (portRef (member hrdata_0 28)) (portRef B (instanceRef r_hslave_RNITJ23_0)) )) (net N_3542 (joined (portRef Y (instanceRef r_hslave_RNI5K33_0)) (portRef A (instanceRef r_hslave_RNIR0P6_1)) )) (net (rename hrdata_0_7 "hrdata_0[7]") (joined (portRef (member hrdata_0 24)) (portRef A (instanceRef r_hslave_RNI5K33_0)) )) (net (rename hrdata_1_7 "hrdata_1[7]") (joined (portRef (member hrdata_1 24)) (portRef B (instanceRef r_hslave_RNI5K33_0)) )) (net N_3543 (joined (portRef Y (instanceRef r_hslave_RNI7S33_0)) (portRef A (instanceRef r_hslave_RNIU8P6_1)) )) (net (rename hrdata_8 "hrdata[8]") (joined (portRef (member hrdata 23)) (portRef A (instanceRef r_hslave_RNI7S33_0)) )) (net (rename hrdata_0_8 "hrdata_0[8]") (joined (portRef (member hrdata_0 23)) (portRef B (instanceRef r_hslave_RNI7S33_0)) )) (net (rename hrdata_2_6 "hrdata_2[7]") (joined (portRef hrdata_2_6) (portRef B (instanceRef r_hslave_RNIR0P6_1)) )) (net N_3546 (joined (portRef Y (instanceRef r_hslave_RNIU8P6_1)) (portRef B (instanceRef r_hslave_RNIRLRO_1)) )) (net (rename hrdata_1_8 "hrdata_1[8]") (joined (portRef (member hrdata_1 23)) (portRef B (instanceRef r_hslave_RNIU8P6_1)) )) (net (rename hrdata_1_9 "hrdata_1[9]") (joined (portRef (member hrdata_1 22)) (portRef B (instanceRef r_hslave_RNIFKHB_1)) )) (net (rename hrdata_1_24 "hrdata_1[24]") (joined (portRef (member hrdata_1 7)) (portRef B (instanceRef r_hslave_RNICM0H_1)) )) (net (rename un34_hready_19 "un34_hready[19]") (joined (portRef Y (instanceRef r_hslave_RNI8NVU_1)) (portRef A (instanceRef r_cfgsel_RNI03561)) )) (net N_2819 (joined (portRef Y (instanceRef r_hslave_RNIBM0H_1)) (portRef A (instanceRef r_hslave_RNI8NVU_1)) )) (net (rename hrdata_16 "hrdata[16]") (joined (portRef Y (instanceRef r_cfgsel_RNI03561)) (portRef (member hrdata 15)) )) (net N_2784 (joined (portRef Y (instanceRef r_hslave_RNI54UA_0)) (portRef A (instanceRef r_hslave_RNIBM0H_1)) )) (net (rename hrdata_0_16 "hrdata_0[16]") (joined (portRef (member hrdata_0 15)) (portRef A (instanceRef r_hslave_RNI54UA_0)) )) (net (rename hrdata_1_16 "hrdata_1[16]") (joined (portRef (member hrdata_1 15)) (portRef B (instanceRef r_hslave_RNI54UA_0)) )) (net (rename hrdata_2_15 "hrdata_2[16]") (joined (portRef hrdata_2_15) (portRef B (instanceRef r_hslave_RNIBM0H_1)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef Y (instanceRef r_hmasterd_RNIPHCF_0)) (portRef (member hwdata 24)) )) (net (rename hwdata_0_7 "hwdata_0[7]") (joined (portRef (member hwdata_0 24)) (portRef A (instanceRef r_hmasterd_RNIPHCF_0)) )) (net (rename hwdata_1_7 "hwdata_1[7]") (joined (portRef (member hwdata_1 24)) (portRef B (instanceRef r_hmasterd_RNIPHCF_0)) )) (net defslv (joined (portRef Q (instanceRef r_defslv)) (portRef B (instanceRef r_defslv_RNO_0)) (portRef A (instanceRef r_defslv_RNIUJI)) )) (net (rename hresp_0_0 "hresp_0[0]") (joined (portRef Y (instanceRef r_htrans_RNIUCCO_1)) (portRef (member hresp_0 0)) )) (net hresp_1_sqmuxa (joined (portRef Y (instanceRef r_htrans_RNI9752_1)) (portRef B (instanceRef r_htrans_RNIUCCO_1)) )) (net defslv_RNO (joined (portRef Y (instanceRef r_defslv_RNO)) (portRef D (instanceRef r_defslv)) )) (net N_2858 (joined (portRef Y (instanceRef r_defslv_RNO_0)) (portRef A (instanceRef r_defslv_RNO)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef Y (instanceRef r_hmasterd_RNIF0ML_0)) (portRef (member hwdata 2)) )) (net (rename hwdata_0_29 "hwdata_0[29]") (joined (portRef (member hwdata_0 2)) (portRef A (instanceRef r_hmasterd_RNIF0ML_0)) )) (net (rename hwdata_1_29 "hwdata_1[29]") (joined (portRef (member hwdata_1 2)) (portRef B (instanceRef r_hmasterd_RNIF0ML_0)) )) (net ba_RNIIJKI5_0 (joined (portRef ba_RNIIJKI5_0) (portRef A (instanceRef r_hmaster_0_0_RNIM2G7B_0)) )) (net lb_0_sqmuxa_1 (joined (portRef lb_0_sqmuxa_1) (portRef B (instanceRef r_hmaster_0_0_RNIM2G7B_0)) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef Y (instanceRef r_hmasterd_RNI38LL_0)) (portRef (member hwdata 8)) )) (net (rename hwdata_0_23 "hwdata_0[23]") (joined (portRef (member hwdata_0 8)) (portRef A (instanceRef r_hmasterd_RNI38LL_0)) )) (net (rename hwdata_1_23 "hwdata_1[23]") (joined (portRef (member hwdata_1 8)) (portRef B (instanceRef r_hmasterd_RNI38LL_0)) )) (net (rename l1_0_1_m_0 "l1_0_1_m[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNI9SMD62_0)) (portRef B (instanceRef r_hmasterlock_RNO_0)) (portRef A (instanceRef r_hmaster_0_0_RNIKUFJ14_0)) )) (net (rename hmaster_m_0 "hmaster_m[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIH6D4R1_0)) (portRef C (instanceRef r_hmasterlock_RNO_0)) (portRef B (instanceRef r_hmaster_0_0_RNIKUFJ14_0)) )) (net hmasterlock_RNO (joined (portRef Y (instanceRef r_hmasterlock_RNO)) (portRef D (instanceRef r_hmasterlock)) )) (net (rename un1_msto_1 "un1_msto[1]") (joined (portRef Y (instanceRef r_hmasterlock_RNO_0)) (portRef A (instanceRef r_hmasterlock_RNO)) )) (net hmasterlock (joined (portRef Y (instanceRef r_hmasterlock_RNO_1)) (portRef B (instanceRef r_hmasterlock_RNO)) )) (net nhmaster_1_sqmuxa (joined (portRef Y (instanceRef r_hmasterlock_RNIQF5UP1)) (portRef S (instanceRef r_hmaster_0_0_RNICSSB52_0)) (portRef A (instanceRef r_hmaster_0_0_RNIH6D4R1_0)) (portRef A (instanceRef r_hmaster_0_0_RNI9SMD62_0)) )) (net (rename l1_0_1_0 "l1_0_1[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNI5HGBB_0)) (portRef B (instanceRef r_hmaster_0_0_RNICSSB52_0)) (portRef C (instanceRef r_hmaster_0_0_RNI9SMD62_0)) )) (net (rename iosn_0_d0 "iosn[93]") (joined (portRef Y (instanceRef r_hslave_RNIAR041_1)) (portRef E (instanceRef r_haddr_10)) (portRef E (instanceRef r_haddr_9)) (portRef E (instanceRef r_haddr_8)) (portRef E (instanceRef r_haddr_7)) (portRef E (instanceRef r_haddr_6)) (portRef E (instanceRef r_haddr_5)) (portRef E (instanceRef r_haddr_4)) (portRef E (instanceRef r_haddr_3)) (portRef E (instanceRef r_haddr_2)) (portRef E (instanceRef r_cfga11)) (portRef E (instanceRef r_hmasterd_0)) (portRef S (instanceRef r_hslave_RNIGDIC22_0)) (portRef S (instanceRef r_htrans_RNO_0_1)) (portRef A (instanceRef r_hmasterlock_RNO_1)) (portRef B (instanceRef r_hmaster_0_0_RNIH6D4R1_0)) (portRef E (instanceRef r_hmasterd_0_0)) (portRef iosn_0_d0) )) (net hlock (joined (portRef hlock) (portRef A (instanceRef r_hmasterlock_RNO_0)) )) (net N_3549 (joined (portRef Y (instanceRef r_hslave_RNI68N6_1)) (portRef A (instanceRef r_hslave_RNIUQVK_1)) )) (net N_3539 (joined (portRef Y (instanceRef r_hslave_RNINR13_0)) (portRef A (instanceRef r_hslave_RNI68N6_1)) )) (net (rename hrdata_0_p "hrdata[0]") (joined (portRef (member hrdata 31)) (portRef B (instanceRef r_hslave_RNI68N6_1)) )) (net (rename hrdata_0_0 "hrdata_0[0]") (joined (portRef (member hrdata_0 31)) (portRef A (instanceRef r_hslave_RNINR13_0)) )) (net (rename hrdata_1_0 "hrdata_1[0]") (joined (portRef (member hrdata_1 31)) (portRef B (instanceRef r_hslave_RNINR13_0)) )) (net (rename dmao_i_1_0 "dmao_i_1[15]") (joined (portRef Y (instanceRef r_hslave_RNIUQVK_1)) (portRef dmao_i_1_0) )) (net (rename haddr_RNIH9II1_4 "haddr_RNIH9II1[4]") (joined (portRef Y (instanceRef r_haddr_RNIH9II1_4)) (portRef D (instanceRef r_hrdatas_1)) (portRef C (instanceRef r_hrdatas_RNO_15)) )) (net (rename hrdatam_1_14 "hrdatam_1[14]") (joined (portRef Y (instanceRef r_hrdatam_RNO_14)) (portRef D (instanceRef r_hrdatam_14)) )) (net hrdatam2 (joined (portRef Y (instanceRef r_haddr_RNI8TVL_6)) (portRef D (instanceRef r_hrdatam_12)) (portRef B (instanceRef r_hrdatam_RNO_14)) )) (net (rename hrdatas_RNO_30 "hrdatas_RNO[30]") (joined (portRef Y (instanceRef r_hrdatas_RNO_30)) (portRef D (instanceRef r_hrdatas_30)) )) (net (rename hrdatas_RNO_29 "hrdatas_RNO[29]") (joined (portRef Y (instanceRef r_hrdatas_RNO_29)) (portRef D (instanceRef r_hrdatas_29)) )) (net (rename haddrZ0Z_2 "haddr[2]") (joined (portRef Q (instanceRef r_haddr_2)) (portRef C (instanceRef r_haddr_RNIDEDF_2)) (portRef A (instanceRef r_hrdatas_RNO_29)) )) (net (rename hrdatas_RNO_28 "hrdatas_RNO[28]") (joined (portRef Y (instanceRef r_hrdatas_RNO_28)) (portRef D (instanceRef r_hrdatas_28)) )) (net N_3408 (joined (portRef Y (instanceRef r_haddr_RNI8OPJ_4)) (portRef B (instanceRef r_hrdatas_RNO_5)) (portRef A (instanceRef r_haddr_RNI8TVL_6)) (portRef C (instanceRef r_hrdatas_RNO_24)) (portRef A (instanceRef r_haddr_RNI726O_1_4)) )) (net (rename hrdatas_RNO_24 "hrdatas_RNO[24]") (joined (portRef Y (instanceRef r_hrdatas_RNO_24)) (portRef D (instanceRef r_hrdatas_24)) )) (net (rename hrdatas_RNO_5 "hrdatas_RNO[5]") (joined (portRef Y (instanceRef r_hrdatas_RNO_5)) (portRef D (instanceRef r_hrdatas_5)) )) (net (rename hrdatas_RNO_13 "hrdatas_RNO[13]") (joined (portRef Y (instanceRef r_hrdatas_RNO_13)) (portRef D (instanceRef r_hrdatas_13)) )) (net (rename hrdatas_RNO_15 "hrdatas_RNO[15]") (joined (portRef Y (instanceRef r_hrdatas_RNO_15)) (portRef D (instanceRef r_hrdatas_15)) )) (net hwrite (joined (portRef Y (instanceRef r_hmaster_0_0_RNINV7E5_0)) (portRef A (instanceRef r_hmaster_0_0_RNIJ6OVB_0)) (portRef hwrite) )) (net hwrite_0 (joined (portRef hwrite_0) (portRef A (instanceRef r_hmaster_0_0_RNINV7E5_0)) )) (net hwrite_1 (joined (portRef hwrite_1) (portRef B (instanceRef r_hmaster_0_0_RNINV7E5_0)) )) (net N_2868 (joined (portRef Y (instanceRef r_htrans_RNO_0_1)) (portRef B (instanceRef r_htrans_RNO_1)) )) (net N_2767 (joined (portRef Y (instanceRef r_hslave_RNIN9B9_0)) (portRef A (instanceRef r_hslave_RNI3HJI_1)) )) (net hready_0 (joined (portRef hready_0) (portRef A (instanceRef r_hslave_RNIN9B9_0)) )) (net hready_1 (joined (portRef hready_1) (portRef B (instanceRef r_hslave_RNIN9B9_0)) )) (net N_2802 (joined (portRef Y (instanceRef r_hslave_RNI3HJI_1)) (portRef A (instanceRef r_hslave_RNIU5511_1)) (portRef N_2802) )) (net hready_2 (joined (portRef hready_2) (portRef B (instanceRef r_hslave_RNI3HJI_1)) )) (net (rename htrans_RNO_1 "htrans_RNO[1]") (joined (portRef Y (instanceRef r_htrans_RNO_1)) (portRef D (instanceRef r_htrans_1)) )) (net arb_0_sqmuxa_1 (joined (portRef Y (instanceRef r_hmasterlock_RNIQ08FB)) (portRef A (instanceRef r_hmasterlock_RNI2QRKE1)) )) (net (rename htrans_0_d0 "htrans[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIM2G7B_0)) (portRef A (instanceRef r_hmasterlock_RNIQ08FB)) (portRef htrans_0_d0) )) (net arb_1 (joined (portRef Y (instanceRef r_hmasterlock_RNI2QRKE1)) (portRef C (instanceRef r_hmasterlock_RNIQF5UP1)) )) (net hbusreq_i_0 (joined (portRef hbusreq_i_0) (portRef B (instanceRef r_hmasterlock_RNIQF5UP1)) (portRef C (instanceRef r_hmaster_0_0_RNI5HGBB_0)) (portRef B (instanceRef r_hmasterlock_RNI2QRKE1)) )) (net hbusreq_i_3 (joined (portRef hbusreq_i_3) (portRef A (instanceRef r_hmasterlock_RNIQF5UP1)) (portRef A (instanceRef r_hmaster_0_0_RNI5HGBB_0)) )) (net (rename hburst_0 "hburst[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNI4UR88_0)) (portRef A (instanceRef r_hmasterlock_RNI1DL5O)) (portRef (member hburst 0)) )) (net N_5515 (joined (portRef N_5515) (portRef A (instanceRef r_hmaster_0_0_RNI4UR88_0)) )) (net (rename htrans_1_1 "htrans_1[1]") (joined (portRef (member htrans_1 0)) (portRef A (instanceRef r_hmaster_0_0_RNIPG1LF_0)) )) (net (rename htrans_2_1 "htrans_2[1]") (joined (portRef (member htrans_2 0)) (portRef B (instanceRef r_hmaster_0_0_RNIPG1LF_0)) )) (net (rename hgrant_1 "hgrant[1]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNICSSB52_0)) (portRef (member hgrant 0)) )) (net (rename haddr_1_d0 "haddr[1]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIF6BC6_0)) (portRef haddr_1_d0) )) (net (rename haddr_0_1 "haddr_0[1]") (joined (portRef (member haddr_0 30)) (portRef A (instanceRef r_hmaster_0_0_RNIF6BC6_0)) )) (net (rename haddr_1_1 "haddr_1[1]") (joined (portRef haddr_1_1) (portRef B (instanceRef r_hmaster_0_0_RNIF6BC6_0)) )) (net (rename haddr_0_d0 "haddr[0]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNID6BC6_0)) (portRef haddr_0_d0) )) (net (rename haddr_0_0 "haddr_0[0]") (joined (portRef (member haddr_0 31)) (portRef A (instanceRef r_hmaster_0_0_RNID6BC6_0)) )) (net (rename haddr_1_0 "haddr_1[0]") (joined (portRef haddr_1_0) (portRef B (instanceRef r_hmaster_0_0_RNID6BC6_0)) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef Y (instanceRef r_hmasterd_RNIV3LL_0)) (portRef (member hwdata 18)) )) (net (rename hwdata_0_13 "hwdata_0[13]") (joined (portRef (member hwdata_0 18)) (portRef A (instanceRef r_hmasterd_RNIV3LL_0)) )) (net (rename hwdata_1_13 "hwdata_1[13]") (joined (portRef (member hwdata_1 18)) (portRef B (instanceRef r_hmasterd_RNIV3LL_0)) )) (net (rename haddr_0_30 "haddr_0[30]") (joined (portRef (member haddr_0 1)) (portRef A (instanceRef r_hmaster_0_0_RNIF3FD5_0)) )) (net (rename haddr_1_30 "haddr_1[30]") (joined (portRef haddr_1_30) (portRef B (instanceRef r_hmaster_0_0_RNIF3FD5_0)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef Y (instanceRef r_hmasterd_RNI9KLL_0)) (portRef (member hwdata 5)) )) (net (rename hwdata_0_26 "hwdata_0[26]") (joined (portRef (member hwdata_0 5)) (portRef A (instanceRef r_hmasterd_RNI9KLL_0)) )) (net (rename hwdata_1_26 "hwdata_1[26]") (joined (portRef (member hwdata_1 5)) (portRef B (instanceRef r_hmasterd_RNI9KLL_0)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef Y (instanceRef r_hmasterd_RNINDCF_0)) (portRef (member hwdata 25)) )) (net (rename hwdata_0_6 "hwdata_0[6]") (joined (portRef (member hwdata_0 25)) (portRef A (instanceRef r_hmasterd_RNINDCF_0)) )) (net (rename hwdata_1_6 "hwdata_1[6]") (joined (portRef (member hwdata_1 25)) (portRef B (instanceRef r_hmasterd_RNINDCF_0)) )) (net (rename hslave_3_0 "hslave_3[0]") (joined (portRef Y (instanceRef r_hslave_RNIGDIC22_0)) (portRef B (instanceRef r_hslave_RNIA9UD22_0)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef Y (instanceRef r_hmasterd_RNIVVKL_0)) (portRef (member hwdata 10)) )) (net (rename hwdata_0_21 "hwdata_0[21]") (joined (portRef (member hwdata_0 10)) (portRef A (instanceRef r_hmasterd_RNIVVKL_0)) )) (net (rename hwdata_1_21 "hwdata_1[21]") (joined (portRef (member hwdata_1 10)) (portRef B (instanceRef r_hmasterd_RNIVVKL_0)) )) (net (rename hrdata_1_6 "hrdata_1[6]") (joined (portRef (member hrdata_1 25)) (portRef A (instanceRef r_hslave_RNI3C33_0)) )) (net (rename hrdata_2_5 "hrdata_2[6]") (joined (portRef hrdata_2_5) (portRef B (instanceRef r_hslave_RNI3C33_0)) )) (net (rename haddr_1_2 "haddr_1[2]") (joined (portRef haddr_1_2) (portRef A (instanceRef r_hmaster_0_0_RNIBA7F6_0)) )) (net (rename haddr_2_2 "haddr_2[2]") (joined (portRef (member haddr_2 8)) (portRef B (instanceRef r_hmaster_0_0_RNIBA7F6_0)) )) (net (rename haddr_1_6 "haddr_1[6]") (joined (portRef haddr_1_6) (portRef A (instanceRef r_hmaster_0_0_RNISC0D5_0)) )) (net (rename haddr_2_6 "haddr_2[6]") (joined (portRef (member haddr_2 4)) (portRef B (instanceRef r_hmaster_0_0_RNISC0D5_0)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef Y (instanceRef r_hmasterd_RNIBSLL_0)) (portRef (member hwdata 12)) )) (net (rename hwdata_0_19 "hwdata_0[19]") (joined (portRef (member hwdata_0 12)) (portRef A (instanceRef r_hmasterd_RNIBSLL_0)) )) (net (rename hwdata_1_19 "hwdata_1[19]") (joined (portRef (member hwdata_1 12)) (portRef B (instanceRef r_hmasterd_RNIBSLL_0)) )) (net (rename hrdatas_9 "hrdatas[9]") (joined (portRef Q (instanceRef r_hrdatas_4)) (portRef A (instanceRef r_hrdatas_RNI5QP3_4)) )) (net N_3548 (joined (portRef Y (instanceRef r_hslave_RNIPTVG_1)) (portRef B (instanceRef r_hslave_RNIMA231_1)) )) (net N_3445 (joined (portRef Y (instanceRef r_hslave_RNIP3UA_0)) (portRef A (instanceRef r_hslave_RNIPTVG_1)) )) (net (rename hrdata_10 "hrdata[10]") (joined (portRef (member hrdata 21)) (portRef B (instanceRef r_hslave_RNIPTVG_1)) )) (net N_3544 (joined (portRef Y (instanceRef r_hslave_RNIF0O6_1)) (portRef B (instanceRef r_hslave_RNIADQO_1)) )) (net (rename hrdata_1_3 "hrdata_1[3]") (joined (portRef (member hrdata_1 28)) (portRef B (instanceRef r_hslave_RNIF0O6_1)) )) (net (rename hrdata_0_10 "hrdata_0[10]") (joined (portRef Y (instanceRef r_hslave_RNIMA231_1)) (portRef (member hrdata_0 21)) )) (net (rename hrdata_2_8 "hrdata_2[9]") (joined (portRef Y (instanceRef r_hrdatas_RNIC1KT_4)) (portRef hrdata_2_8) )) (net (rename hrdata_2_7 "hrdata_2[8]") (joined (portRef Y (instanceRef r_hslave_RNIRLRO_1)) (portRef hrdata_2_7) )) (net (rename hrdata_2_2 "hrdata_2[3]") (joined (portRef Y (instanceRef r_hslave_RNIADQO_1)) (portRef hrdata_2_2) )) (net N_3575 (joined (portRef Y (instanceRef r_hrdatas_RNI3QP3_2)) (portRef C (instanceRef r_hslave_RNIL8IT_1)) (portRef C (instanceRef r_hslave_RNIADQO_1)) )) (net (rename hrdata_1_2 "hrdata_1[2]") (joined (portRef Y (instanceRef r_hslave_RNIL8IT_1)) (portRef (member hrdata_1 29)) )) (net N_3340 (joined (portRef Y (instanceRef r_hslave_RNIQRFB_1)) (portRef B (instanceRef r_hslave_RNIL8IT_1)) )) (net (rename hrdatas_3 "hrdatas[3]") (joined (portRef Q (instanceRef r_hrdatas_2)) (portRef A (instanceRef r_hrdatas_RNI3QP3_2)) )) (net (rename hrdata_1_10 "hrdata_1[10]") (joined (portRef (member hrdata_1 21)) (portRef A (instanceRef r_hslave_RNIP3UA_0)) )) (net (rename hrdata_2_9 "hrdata_2[10]") (joined (portRef hrdata_2_9) (portRef B (instanceRef r_hslave_RNIP3UA_0)) )) (net (rename hrdata_1_11 "hrdata_1[11]") (joined (portRef (member hrdata_1 20)) (portRef A (instanceRef r_hslave_RNIR3UA_0)) )) (net (rename hrdata_2_10 "hrdata_2[11]") (joined (portRef hrdata_2_10) (portRef B (instanceRef r_hslave_RNIR3UA_0)) )) (net (rename bo_RNI5FE75_1 "bo_RNI5FE75[1]") (joined (portRef (member bo_rni5fe75 0)) (portRef A (instanceRef r_hmaster_0_0_RNI58HD5_0)) )) (net (rename haddr_0_29 "haddr_0[29]") (joined (portRef (member haddr_0 2)) (portRef B (instanceRef r_hmaster_0_0_RNI58HD5_0)) )) (net (rename haddr_0_28 "haddr_0[28]") (joined (portRef (member haddr_0 3)) (portRef A (instanceRef r_hmaster_0_0_RNI20HD5_0)) )) (net (rename haddr_1_28 "haddr_1[28]") (joined (portRef haddr_1_28) (portRef B (instanceRef r_hmaster_0_0_RNI20HD5_0)) )) (net (rename bo_RNI17E75_1 "bo_RNI17E75[1]") (joined (portRef (member bo_rni17e75 0)) (portRef A (instanceRef r_hmaster_0_0_RNIVNGD5_0)) )) (net (rename haddr_0_27 "haddr_0[27]") (joined (portRef (member haddr_0 4)) (portRef B (instanceRef r_hmaster_0_0_RNIVNGD5_0)) )) (net (rename bo_RNIV2E75_1 "bo_RNIV2E75[1]") (joined (portRef (member bo_rniv2e75 0)) (portRef A (instanceRef r_hmaster_0_0_RNISFGD5_0)) )) (net (rename haddr_0_26 "haddr_0[26]") (joined (portRef (member haddr_0 5)) (portRef B (instanceRef r_hmaster_0_0_RNISFGD5_0)) )) (net (rename bo_RNITUD75_1 "bo_RNITUD75[1]") (joined (portRef (member bo_rnitud75 0)) (portRef A (instanceRef r_hmaster_0_0_RNIP7GD5_0)) )) (net (rename haddr_0_25 "haddr_0[25]") (joined (portRef (member haddr_0 6)) (portRef B (instanceRef r_hmaster_0_0_RNIP7GD5_0)) )) (net (rename haddr_0_24 "haddr_0[24]") (joined (portRef (member haddr_0 7)) (portRef A (instanceRef r_hmaster_0_0_RNIMVFD5_0)) )) (net (rename haddr_1_24 "haddr_1[24]") (joined (portRef haddr_1_24) (portRef B (instanceRef r_hmaster_0_0_RNIMVFD5_0)) )) (net (rename haddr_0_23 "haddr_0[23]") (joined (portRef (member haddr_0 8)) (portRef A (instanceRef r_hmaster_RNI7BOE5_0)) )) (net (rename haddr_1_23 "haddr_1[23]") (joined (portRef haddr_1_23) (portRef B (instanceRef r_hmaster_RNI7BOE5_0)) )) (net (rename hmaster_0 "hmaster[0]") (joined (portRef Q (instanceRef r_hmaster_0)) (portRef D (instanceRef r_hmasterd_0)) (portRef S (instanceRef r_hmaster_RNI27OE5_0)) (portRef S (instanceRef r_hmaster_RNIKE4N6_0)) (portRef S (instanceRef r_hmaster_RNIB3PT6_0)) (portRef S (instanceRef r_hmaster_RNIDS8E5_0)) (portRef S (instanceRef r_hmaster_RNIJ49E5_0)) (portRef S (instanceRef r_hmaster_RNIM89E5_0)) (portRef S (instanceRef r_hmaster_RNIPC9E5_0)) (portRef S (instanceRef r_hmaster_RNIPENE5_0)) (portRef S (instanceRef r_hmaster_RNISMNE5_0)) (portRef S (instanceRef r_hmaster_RNIVUNE5_0)) (portRef S (instanceRef r_hmaster_RNI5FOE5_0)) (portRef S (instanceRef r_hmaster_RNI8NOE5_0)) (portRef S (instanceRef r_hmaster_RNIBVOE5_0)) (portRef S (instanceRef r_hmaster_RNIE7PE5_0)) (portRef S (instanceRef r_hmaster_RNIHFPE5_0)) (portRef S (instanceRef r_hmaster_RNIKNPE5_0)) (portRef S (instanceRef r_hmaster_RNIUINE5_0)) (portRef S (instanceRef r_hmaster_RNI1RNE5_0)) (portRef S (instanceRef r_hmaster_RNI43OE5_0)) (portRef S (instanceRef r_hmaster_RNI7BOE5_0)) )) (net (rename haddr_0_22 "haddr_0[22]") (joined (portRef (member haddr_0 9)) (portRef A (instanceRef r_hmaster_RNI43OE5_0)) )) (net (rename haddr_1_22 "haddr_1[22]") (joined (portRef haddr_1_22) (portRef B (instanceRef r_hmaster_RNI43OE5_0)) )) (net (rename haddr_0_21 "haddr_0[21]") (joined (portRef (member haddr_0 10)) (portRef A (instanceRef r_hmaster_RNI1RNE5_0)) )) (net (rename haddr_1_21 "haddr_1[21]") (joined (portRef haddr_1_21) (portRef B (instanceRef r_hmaster_RNI1RNE5_0)) )) (net (rename haddr_0_20 "haddr_0[20]") (joined (portRef (member haddr_0 11)) (portRef A (instanceRef r_hmaster_RNIUINE5_0)) )) (net (rename haddr_1_20 "haddr_1[20]") (joined (portRef haddr_1_20) (portRef B (instanceRef r_hmaster_RNIUINE5_0)) )) (net (rename haddr_19 "haddr[19]") (joined (portRef Y (instanceRef r_hmaster_RNIKNPE5_0)) (portRef B (instanceRef r_hmaster_RNI57JTA_0)) (portRef haddr_19) )) (net (rename haddr_0_19 "haddr_0[19]") (joined (portRef (member haddr_0 12)) (portRef A (instanceRef r_hmaster_RNIKNPE5_0)) )) (net (rename haddr_1_19 "haddr_1[19]") (joined (portRef haddr_1_19) (portRef B (instanceRef r_hmaster_RNIKNPE5_0)) )) (net (rename haddr_18 "haddr[18]") (joined (portRef Y (instanceRef r_hmaster_RNIHFPE5_0)) (portRef A (instanceRef r_hmaster_RNI57JTA_0)) (portRef haddr_18) )) (net (rename haddr_0_18 "haddr_0[18]") (joined (portRef (member haddr_0 13)) (portRef A (instanceRef r_hmaster_RNIHFPE5_0)) )) (net (rename haddr_1_18 "haddr_1[18]") (joined (portRef haddr_1_18) (portRef B (instanceRef r_hmaster_RNIHFPE5_0)) )) (net (rename haddr_17 "haddr[17]") (joined (portRef Y (instanceRef r_hmaster_RNIE7PE5_0)) (portRef A (instanceRef r_hmaster_RNIUD5RL_0)) (portRef haddr_17) )) (net (rename haddr_0_17 "haddr_0[17]") (joined (portRef (member haddr_0 14)) (portRef A (instanceRef r_hmaster_RNIE7PE5_0)) )) (net (rename haddr_1_17 "haddr_1[17]") (joined (portRef haddr_1_17) (portRef B (instanceRef r_hmaster_RNIE7PE5_0)) )) (net (rename haddr_16 "haddr[16]") (joined (portRef Y (instanceRef r_hmaster_RNIBVOE5_0)) (portRef B (instanceRef r_hmaster_RNIUD5RL_0)) (portRef haddr_16) )) (net (rename haddr_0_16 "haddr_0[16]") (joined (portRef (member haddr_0 15)) (portRef A (instanceRef r_hmaster_RNIBVOE5_0)) )) (net (rename haddr_1_16 "haddr_1[16]") (joined (portRef haddr_1_16) (portRef B (instanceRef r_hmaster_RNIBVOE5_0)) )) (net (rename haddr_15 "haddr[15]") (joined (portRef Y (instanceRef r_hmaster_RNI8NOE5_0)) (portRef B (instanceRef r_hmaster_RNID6HTA_0)) (portRef haddr_15) )) (net (rename haddr_0_15 "haddr_0[15]") (joined (portRef (member haddr_0 16)) (portRef A (instanceRef r_hmaster_RNI8NOE5_0)) )) (net (rename haddr_1_15 "haddr_1[15]") (joined (portRef haddr_1_15) (portRef B (instanceRef r_hmaster_RNI8NOE5_0)) )) (net (rename haddr_14 "haddr[14]") (joined (portRef Y (instanceRef r_hmaster_RNI5FOE5_0)) (portRef A (instanceRef r_hmaster_RNID6HTA_0)) (portRef haddr_14) )) (net (rename haddr_0_14 "haddr_0[14]") (joined (portRef (member haddr_0 17)) (portRef A (instanceRef r_hmaster_RNI5FOE5_0)) )) (net (rename haddr_1_14 "haddr_1[14]") (joined (portRef haddr_1_14) (portRef B (instanceRef r_hmaster_RNI5FOE5_0)) )) (net (rename haddr_12 "haddr[12]") (joined (portRef Y (instanceRef r_hmaster_RNIVUNE5_0)) (portRef A (instanceRef r_hmaster_0_0_RNIG2O9G_0)) (portRef haddr_12) )) (net (rename haddr_0_12 "haddr_0[12]") (joined (portRef (member haddr_0 19)) (portRef A (instanceRef r_hmaster_RNIVUNE5_0)) )) (net (rename haddr_1_12 "haddr_1[12]") (joined (portRef haddr_1_12) (portRef B (instanceRef r_hmaster_RNIVUNE5_0)) )) (net (rename haddr_0_11 "haddr_0[11]") (joined (portRef (member haddr_0 20)) (portRef A (instanceRef r_hmaster_RNISMNE5_0)) )) (net (rename haddr_1_11 "haddr_1[11]") (joined (portRef haddr_1_11) (portRef B (instanceRef r_hmaster_RNISMNE5_0)) )) (net (rename haddr_1_10 "haddr_1[10]") (joined (portRef haddr_1_10) (portRef A (instanceRef r_hmaster_RNIPENE5_0)) )) (net (rename haddr_2_10 "haddr_2[10]") (joined (portRef (member haddr_2 0)) (portRef B (instanceRef r_hmaster_RNIPENE5_0)) )) (net (rename haddr_1_9 "haddr_1[9]") (joined (portRef haddr_1_9) (portRef A (instanceRef r_hmaster_RNIPC9E5_0)) )) (net (rename haddr_2_9 "haddr_2[9]") (joined (portRef (member haddr_2 1)) (portRef B (instanceRef r_hmaster_RNIPC9E5_0)) )) (net (rename haddr_1_8 "haddr_1[8]") (joined (portRef haddr_1_8) (portRef A (instanceRef r_hmaster_RNIM89E5_0)) )) (net (rename haddr_2_8 "haddr_2[8]") (joined (portRef (member haddr_2 2)) (portRef B (instanceRef r_hmaster_RNIM89E5_0)) )) (net (rename haddr_1_7 "haddr_1[7]") (joined (portRef haddr_1_7) (portRef A (instanceRef r_hmaster_RNIJ49E5_0)) )) (net (rename haddr_2_7 "haddr_2[7]") (joined (portRef (member haddr_2 3)) (portRef B (instanceRef r_hmaster_RNIJ49E5_0)) )) (net (rename haddr_1_5 "haddr_1[5]") (joined (portRef haddr_1_5) (portRef A (instanceRef r_hmaster_RNIDS8E5_0)) )) (net (rename haddr_2_5 "haddr_2[5]") (joined (portRef (member haddr_2 5)) (portRef B (instanceRef r_hmaster_RNIDS8E5_0)) )) (net (rename haddr_1_4 "haddr_1[4]") (joined (portRef haddr_1_4) (portRef A (instanceRef r_hmaster_RNIB3PT6_0)) )) (net (rename haddr_2_4 "haddr_2[4]") (joined (portRef (member haddr_2 6)) (portRef B (instanceRef r_hmaster_RNIB3PT6_0)) )) (net (rename haddr_1_3 "haddr_1[3]") (joined (portRef haddr_1_3) (portRef A (instanceRef r_hmaster_RNIKE4N6_0)) )) (net (rename haddr_2_3 "haddr_2[3]") (joined (portRef (member haddr_2 7)) (portRef B (instanceRef r_hmaster_RNIKE4N6_0)) )) (net (rename hrdata_2_1 "hrdata_2[2]") (joined (portRef hrdata_2_1) (portRef B (instanceRef r_hslave_RNIQRFB_1)) )) (net (rename haddr_13 "haddr[13]") (joined (portRef Y (instanceRef r_hmaster_RNI27OE5_0)) (portRef B (instanceRef r_hmaster_0_0_RNI479SA_0)) (portRef haddr_13) )) (net (rename haddr_0_13 "haddr_0[13]") (joined (portRef (member haddr_0 18)) (portRef A (instanceRef r_hmaster_RNI27OE5_0)) )) (net (rename haddr_1_13 "haddr_1[13]") (joined (portRef haddr_1_13) (portRef B (instanceRef r_hmaster_RNI27OE5_0)) )) (net (rename hrdata_30 "hrdata[30]") (joined (portRef (member hrdata 1)) (portRef C (instanceRef r_hslave_RNI9TBE_0)) )) (net (rename hrdata_0_30 "hrdata_0[30]") (joined (portRef (member hrdata_0 1)) (portRef C (instanceRef r_hslave_RNIEN4B_0)) )) (net (rename hrdata_1_30 "hrdata_1[30]") (joined (portRef (member hrdata_1 1)) (portRef C (instanceRef r_cfgsel_RNITBB6)) )) (net (rename hrdatas_30 "hrdatas[30]") (joined (portRef Q (instanceRef r_hrdatas_30)) (portRef B (instanceRef r_hrdatas_RNIUET6_30)) )) (net (rename hrdata_1_29 "hrdata_1[29]") (joined (portRef (member hrdata_1 2)) (portRef C (instanceRef r_hslave_RNIKJ4B_0)) )) (net (rename hrdata_15 "hrdata[15]") (joined (portRef (member hrdata 16)) (portRef C (instanceRef r_hslave_RNI8LBE_0)) )) (net (rename hrdata_0_15 "hrdata_0[15]") (joined (portRef (member hrdata_0 16)) (portRef C (instanceRef r_hslave_RNIDF4B_0)) )) (net (rename hrdata_1_15 "hrdata_1[15]") (joined (portRef (member hrdata_1 16)) (portRef C (instanceRef r_cfgsel_RNI00C6)) )) (net (rename hrdata_13 "hrdata[13]") (joined (portRef (member hrdata 18)) (portRef C (instanceRef r_hslave_RNI6LBE_0)) )) (net (rename hrdata_0_13 "hrdata_0[13]") (joined (portRef (member hrdata_0 18)) (portRef C (instanceRef r_hslave_RNIBF4B_0)) )) (net (rename hrdata_1_13 "hrdata_1[13]") (joined (portRef (member hrdata_1 18)) (portRef C (instanceRef r_cfgsel_RNIUNB6)) )) (net (rename hrdatas_13 "hrdatas[13]") (joined (portRef Q (instanceRef r_hrdatas_13)) (portRef A (instanceRef r_hrdatas_RNILV47_13)) )) (net (rename hrdata_0_1 "hrdata_0[1]") (joined (portRef (member hrdata_0 30)) (portRef A (instanceRef r_hslave_RNIP323_0)) )) (net (rename hrdata_1_1 "hrdata_1[1]") (joined (portRef (member hrdata_1 30)) (portRef B (instanceRef r_hslave_RNIP323_0)) )) (net (rename hrdata_2_0 "hrdata_2[1]") (joined (portRef hrdata_2_0) (portRef A (instanceRef r_hslave_RNI8VTH_1)) )) (net (rename hrdatas_1 "hrdatas[1]") (joined (portRef Q (instanceRef r_hrdatas_1)) (portRef A (instanceRef r_hrdatas_RNI2QP3_1)) )) (net (rename hrdatas_12 "hrdatas[12]") (joined (portRef Q (instanceRef r_hrdatas_12)) (portRef A (instanceRef r_hrdatas_RNIKR47_12)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef Y (instanceRef r_hmasterd_RNIRLCF_0)) (portRef (member hwdata 23)) )) (net (rename hwdata_0_8 "hwdata_0[8]") (joined (portRef (member hwdata_0 23)) (portRef A (instanceRef r_hmasterd_RNIRLCF_0)) )) (net (rename hwdata_1_8 "hwdata_1[8]") (joined (portRef (member hwdata_1 23)) (portRef B (instanceRef r_hmasterd_RNIRLCF_0)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef Y (instanceRef r_hmasterd_RNI3CLL_0)) (portRef (member hwdata 16)) )) (net (rename hwdata_0_15 "hwdata_0[15]") (joined (portRef (member hwdata_0 16)) (portRef A (instanceRef r_hmasterd_RNI3CLL_0)) )) (net (rename hwdata_1_15 "hwdata_1[15]") (joined (portRef (member hwdata_1 16)) (portRef B (instanceRef r_hmasterd_RNI3CLL_0)) )) (net (rename haddr_11 "haddr[11]") (joined (portRef Y (instanceRef r_hmaster_RNISMNE5_0)) (portRef D (instanceRef r_cfga11)) (portRef haddr_11) )) (net (rename haddr_0_2 "haddr_0[2]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNIBA7F6_0)) (portRef (member haddr_0 29)) (portRef D (instanceRef r_haddr_2)) )) (net (rename haddr_0_3 "haddr_0[3]") (joined (portRef Y (instanceRef r_hmaster_RNIKE4N6_0)) (portRef (member haddr_0 28)) (portRef D (instanceRef r_haddr_3)) )) (net (rename haddr_0_4 "haddr_0[4]") (joined (portRef Y (instanceRef r_hmaster_RNIB3PT6_0)) (portRef (member haddr_0 27)) (portRef D (instanceRef r_haddr_4)) )) (net (rename haddr_0_5 "haddr_0[5]") (joined (portRef Y (instanceRef r_hmaster_RNIDS8E5_0)) (portRef (member haddr_0 26)) (portRef D (instanceRef r_haddr_5)) )) (net (rename haddr_0_6 "haddr_0[6]") (joined (portRef Y (instanceRef r_hmaster_0_0_RNISC0D5_0)) (portRef (member haddr_0 25)) (portRef D (instanceRef r_haddr_6)) )) (net (rename haddr_0_7 "haddr_0[7]") (joined (portRef Y (instanceRef r_hmaster_RNIJ49E5_0)) (portRef (member haddr_0 24)) (portRef D (instanceRef r_haddr_7)) )) (net (rename haddr_0_8 "haddr_0[8]") (joined (portRef Y (instanceRef r_hmaster_RNIM89E5_0)) (portRef (member haddr_0 23)) (portRef D (instanceRef r_haddr_8)) )) (net (rename haddr_0_9 "haddr_0[9]") (joined (portRef Y (instanceRef r_hmaster_RNIPC9E5_0)) (portRef (member haddr_0 22)) (portRef D (instanceRef r_haddr_9)) )) (net (rename haddr_0_10 "haddr_0[10]") (joined (portRef Y (instanceRef r_hmaster_RNIPENE5_0)) (portRef (member haddr_0 21)) (portRef D (instanceRef r_haddr_10)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property defmast (integer 0)) (property split (integer 0)) (property rrobin (integer 1)) (property timeout (integer 0)) (property ioaddr (integer 4095)) (property iomask (integer 4095)) (property cfgaddr (integer 4080)) (property cfgmask (integer 4080)) (property nahbm (integer 2)) (property nahbs (integer 8)) (property ioen (integer 0)) (property disirq (integer 0)) (property fixbrst (integer 0)) (property debug (integer 2)) (property fpnpen (integer 0)) (property icheck (integer 1)) (property devid (integer 0)) (property enbusmon (integer 0)) (property assertwarn (integer 0)) (property asserterr (integer 0)) (property hmstdisable (integer 0)) (property hslvdisable (integer 0)) (property arbdisable (integer 0)) (property mprio (integer 0)) (property mcheck (integer 1)) (property ccheck (integer 1)) (property acdm (integer 0)) (property index (integer 0)) (property ahbtrace (integer 0)) ) ) ) (library esa (edifLevel 0) (technology (numberDefinition )) (cell mctrl (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename data_in "data_in[31:0]") 32) (direction INPUT)) (port (array (rename hresp "hresp[0:0]") 1) (direction OUTPUT)) (port (array (rename address_c "address_c[18:0]") 19) (direction OUTPUT)) (port (array (rename hburst_0 "hburst_0[0:0]") 1) (direction INPUT)) (port (array (rename ramben_1_c "ramben_1_c[3:0]") 4) (direction OUTPUT)) (port romrws_3 (direction OUTPUT)) (port romrws_0 (direction OUTPUT)) (port romrws_2 (direction OUTPUT)) (port (array (rename paddr_2 "paddr_2[2:2]") 1) (direction INPUT)) (port rambanksz_0 (direction OUTPUT)) (port rambanksz_1 (direction OUTPUT)) (port rambanksz_3 (direction OUTPUT)) (port pwdata_0_0 (direction INPUT)) (port pwdata_0_2 (direction INPUT)) (port pwdata_28 (direction INPUT)) (port pwdata_27 (direction INPUT)) (port pwdata_5 (direction INPUT)) (port pwdata_12 (direction INPUT)) (port pwdata_10 (direction INPUT)) (port pwdata_7 (direction INPUT)) (port pwdata_3 (direction INPUT)) (port pwdata_20 (direction INPUT)) (port pwdata_21 (direction INPUT)) (port pwdata_23 (direction INPUT)) (port pwdata_1 (direction INPUT)) (port pwdata_4 (direction INPUT)) (port pwdata_26 (direction INPUT)) (port pwdata_2 (direction INPUT)) (port pwdata_0_d0 (direction INPUT)) (port pwdata_9 (direction INPUT)) (port pwdata_8 (direction INPUT)) (port pwdata_22 (direction INPUT)) (port pwdata_6 (direction INPUT)) (port pwdata_25 (direction INPUT)) (port pwdata_19 (direction INPUT)) (port pwdata_11 (direction INPUT)) (port (array (rename iows "iows[3:0]") 4) (direction OUTPUT)) (port ramrws_0 (direction OUTPUT)) (port (array (rename romwidth "romwidth[1:0]") 2) (direction OUTPUT)) (port (array (rename hmbsel "hmbsel[0:0]") 1) (direction INPUT)) (port (array (rename paddr_0 "paddr_0[2:2]") 1) (direction INPUT)) (port paddr_0_d0 (direction INPUT)) (port paddr_8 (direction INPUT)) (port paddr_7 (direction INPUT)) (port paddr_6 (direction INPUT)) (port paddr_3 (direction INPUT)) (port (array (rename romwws "romwws[3:0]") 4) (direction OUTPUT)) (port (array (rename hrdata "hrdata[31:0]") 32) (direction OUTPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction INPUT)) (port (array (rename data "data[31:0]") 32) (direction OUTPUT)) (port (array (rename hsize "hsize[1:0]") 2) (direction INPUT)) (port (array (rename ramwidth "ramwidth[1:0]") 2) (direction OUTPUT)) (port (array (rename iowidth "iowidth[1:0]") 2) (direction OUTPUT)) (port (array (rename hsel_i "hsel_i[0:0]") 1) (direction INPUT)) (port (array (rename htrans "htrans[1:0]") 2) (direction INPUT)) (port (array (rename haddr "haddr[28:0]") 29) (direction INPUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction INPUT)) (port iosn_92 (direction INPUT)) (port iosn_100 (direction INPUT)) (port iosn_99 (direction INPUT)) (port (array (rename ramwws "ramwws[1:0]") 2) (direction OUTPUT)) (port (array (rename bdrive_i "bdrive_i[3:0]") 4) (direction OUTPUT)) (port iosn_c (direction OUTPUT)) (port romsn_c (direction OUTPUT)) (port ramoen_c (direction OUTPUT)) (port N_3726 (direction OUTPUT)) (port un1_ahbsi_1 (direction OUTPUT)) (port brdyen (direction OUTPUT)) (port hready (direction OUTPUT)) (port rwen_c_c (direction OUTPUT)) (port N_3729 (direction OUTPUT)) (port bexcen (direction OUTPUT)) (port ioen (direction OUTPUT)) (port hwrite_m_0 (direction INPUT)) (port oen_c (direction OUTPUT)) (port N_343 (direction INPUT)) (port rmw_1_sqmuxa_2 (direction INPUT)) (port hwrite (direction INPUT)) (port brmw_1 (direction OUTPUT)) (port un1_apbi_0 (direction INPUT)) (port rmw (direction OUTPUT)) (port ramsn_c (direction OUTPUT)) (port rstn (direction INPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename r_bdrive_3 "r.bdrive[3]") (viewRef prim (cellRef DFI1E1P0 (libraryRef PA3))) ) (instance (rename r_bdrive_2 "r.bdrive[2]") (viewRef prim (cellRef DFI1E1P0 (libraryRef PA3))) ) (instance (rename r_bdrive_1 "r.bdrive[1]") (viewRef prim (cellRef DFI1E1P0 (libraryRef PA3))) ) (instance (rename r_bdrive_RNICRVB_0 "r.bdrive_RNICRVB[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bdrive_RNICA05_0 "r.bdrive_RNICA05[0]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename ctrl_v_writedata_12_iv_0_a2_2_26 "ctrl.v.writedata_12_iv_0_a2_2[26]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename ctrl_v_writedata_12_iv_0_a2_1_26 "ctrl.v.writedata_12_iv_0_a2_1[26]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_ws_RNO_5_0 "r.ws_RNO_5[0]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_ws_RNO_7_0 "r.ws_RNO_7[0]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_ws_RNO_2_0 "r.ws_RNO_2[0]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_2_26 "r.writedata_RNO_2[26]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_ramoen_RNO_0_0 "r.ramoen_RNO_0[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ramoen_RNO_2_0 "r.ramoen_RNO_2[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_bstate_RNO_0_4 "r.bstate_RNO_0[4]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_bstate_RNO_1_5 "r.bstate_RNO_1[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bstate_RNIBPTL1_6 "r.bstate_RNIBPTL1[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iosn_RNIOA851_1 "r.iosn_RNIOA851[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_romsn_RNO_0_0 "r.romsn_RNO_0[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hburst_RNIEPHRG_0 "r.hburst_RNIEPHRG[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hburst_RNI6BI6_0 "r.hburst_RNI6BI6[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_busw_RNO_0_1 "r.busw_RNO_0[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_busw_RNO_0_0 "r.busw_RNO_0[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_mcfg2_rmw_RNIGCGIB "r.mcfg2.rmw_RNIGCGIB") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_23 "r.writedata_RNO_0[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_31 "r.writedata_RNO_1[31]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_address_RNIEU4Q_0 "r.address_RNIEU4Q[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_address_RNIEU4Q_0_0 "r.address_RNIEU4Q_0[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_address_RNIPO0V_0 "r.address_RNIPO0V[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_address_RNIPO0V_0_0 "r.address_RNIPO0V_0[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_26 "r.writedata_RNO_0[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_27 "r.writedata_RNO_0[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_27 "r.writedata_RNO_1[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_29 "r.writedata_RNO_0[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_29 "r.writedata_RNO_1[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_25 "r.writedata_RNO_0[25]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_writedata_RNO_3_25 "r.writedata_RNO_3[25]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_2_28 "r.writedata_RNO_2[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_3_28 "r.writedata_RNO_3[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_17 "r.writedata_RNO_0[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_19 "r.writedata_RNO_0[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_21 "r.writedata_RNO_0[21]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_18 "r.writedata_RNO_0[18]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_2_24 "r.writedata_RNO_2[24]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_3_24 "r.writedata_RNO_3[24]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_2_30 "r.writedata_RNO_2[30]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_3_30 "r.writedata_RNO_3[30]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_ws_RNO_0_3 "r.ws_RNO_0[3]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_16 "r.writedata_RNO_0[16]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_20 "r.writedata_RNO_0[20]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_22 "r.writedata_RNO_0[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_6 "r.writedata_RNO_1[6]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_data_RNIQP8E1_7 "r.data_RNIQP8E1[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_data_RNIAS3S2_23 "r.data_RNIAS3S2[23]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_data_RNICE6J1_23 "r.data_RNICE6J1[23]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_ws_RNO_0_2 "r.ws_RNO_0[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_data_RNIK0G22_10 "r.data_RNIK0G22[10]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I13_Y_0_0 "un1_v.ws_1_sqmuxa_2_ADD_4x4_fast_I13_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_5_31 "r.writedata_RNO_5[31]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I12_Y_0_0 "un1_v.ws_1_sqmuxa_2_ADD_4x4_fast_I12_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_ws_RNO_0_0 "r.ws_RNO_0[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ws_RNO_3_0 "r.ws_RNO_3[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_ws_RNO_5_1 "r.ws_RNO_5[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename v_mcfg1_bexcen_0_sqmuxa_0 "v.mcfg1.bexcen_0_sqmuxa_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename v_mcfg2_rmw_1_sqmuxa_0 "v.mcfg2.rmw_1_sqmuxa_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I11_Y_0_0 "un1_v.ws_1_sqmuxa_2_ADD_4x4_fast_I11_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_srhsel_RNIIH9H "r.srhsel_RNIIH9H") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_size_RNIR6I1_0_0 "r.size_RNIR6I1_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename ctrl_un1_apbi_0 "ctrl.un1_apbi_0") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_4_28 "r.writedata_RNO_4[28]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_address_RNIS2GH_0 "r.address_RNIS2GH[0]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_writedata_RNO_7_31 "r.writedata_RNO_7[31]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_busw_RNIPNK9_1 "r.busw_RNIPNK9[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ws_RNIV5UE_1 "r.ws_RNIV5UE[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ws_RNIV5UE_3 "r.ws_RNIV5UE[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_mcfg2_rmw_RNI8RMRR "r.mcfg2.rmw_RNI8RMRR") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_writedata_RNO_5_28 "r.writedata_RNO_5[28]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_writedata_RNO_28 "r.writedata_RNO[28]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_writedata_RNO_24 "r.writedata_RNO[24]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_writedata_RNO_30 "r.writedata_RNO[30]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_romsn_RNO_0 "r.romsn_RNO[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename v_mcfg2_rmw_1_sqmuxa "v.mcfg2.rmw_1_sqmuxa") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_data_RNI67JP_23 "r.data_RNI67JP[23]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_8_31 "r.writedata_RNO_8[31]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_writedata_RNO_31 "r.writedata_RNO[31]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_data_RNIEIUC4_23 "r.data_RNIEIUC4[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_26 "r.writedata_RNO[26]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_writedata_RNO_25 "r.writedata_RNO[25]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_29 "r.writedata_RNO[29]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_read_RNIO5O9 "r.read_RNIO5O9") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_area_RNIAC83_0 "r.area_RNIAC83[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_22 "r.writedata_RNO[22]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_20 "r.writedata_RNO[20]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_18 "r.writedata_RNO[18]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_16 "r.writedata_RNO[16]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_4_31 "r.writedata_RNO_4[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_busw_RNO_0 "r.busw_RNO[0]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename ctrl_un1_apbi "ctrl.un1_apbi") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_busw_RNO_1 "r.busw_RNO[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_6 "r.writedata_RNO[6]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_brmw_RNI72FR2 "r.brmw_RNI72FR2") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_data_RNIKIQI2_7 "r.data_RNIKIQI2[7]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_writedata_RNO_21 "r.writedata_RNO[21]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_19 "r.writedata_RNO[19]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_17 "r.writedata_RNO[17]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_data_RNI23JP_12 "r.data_RNI23JP[12]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_data_RNI43JP_14 "r.data_RNI43JP[14]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_busw_RNI9HJM_0_1 "r.busw_RNI9HJM_0[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_busw_RNI9HJM_1 "r.busw_RNI9HJM[1]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_busw_RNIGB9J_1 "r.busw_RNIGB9J[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brmw_RNI59HN1 "r.brmw_RNI59HN1") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_bstate_RNIUFDH95_6 "r.bstate_RNIUFDH95[6]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_ramoen_RNO_1_0 "r.ramoen_RNO_1[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_27 "r.writedata_RNO[27]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_srhsel_RNI3I2K3 "r.srhsel_RNI3I2K3") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_srhsel_RNI261U "r.srhsel_RNI261U") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_size_RNIMIO5_1 "r.size_RNIMIO5[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bstate_RNIHTN9P_6 "r.bstate_RNIHTN9P[6]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_address_RNIMCQK_0 "r.address_RNIMCQK[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_srhsel_RNIF7QU "r.srhsel_RNIF7QU") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_bstate_RNO_4 "r.bstate_RNO[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_iosn_RNI7HQU41_1 "r.iosn_RNI7HQU41[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_23 "r.writedata_RNO[23]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_bstate_RNO_5 "r.bstate_RNO[5]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_bstate_RNO_0_5 "r.bstate_RNO_0[5]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename v_mcfg1_bexcen_0_sqmuxa "v.mcfg1.bexcen_0_sqmuxa") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_ws_RNO_6_0 "r.ws_RNO_6[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_ws_RNO_1_1 "r.ws_RNO_1[1]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_ws_RNO_3_1 "r.ws_RNO_3[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ws_RNO_0_1 "r.ws_RNO_0[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_srhsel_RNIVG1E_0 "r.srhsel_RNIVG1E_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_oen_RNI4CFP "r.oen_RNI4CFP") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNIL4LOD2 "r.read_RNIL4LOD2") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_ws_RNO_4_1 "r.ws_RNO_4[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ws_RNO_2_1 "r.ws_RNO_2[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ws_RNO_1 "r.ws_RNO[1]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_hwrite_RNI8M25G3 "r.hwrite_RNI8M25G3") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_mcfg1_romwrite_RNO_0 "r.mcfg1.romwrite_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_ioen_RNO_0 "r.mcfg1.ioen_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_bexcen_RNO_0 "r.mcfg1.bexcen_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_RNO_0_1 "r.mcfg1.romwws_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_RNO_0_2 "r.mcfg1.romwws_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_RNO_0_2 "r.mcfg1.iows_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ws_RNO_2_2 "r.ws_RNO_2[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ws_RNO_1_2 "r.ws_RNO_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_m_1 "un1_v.ws_1_sqmuxa_2_m[1]") (viewRef prim (cellRef XO1A (libraryRef PA3))) ) (instance (rename r_ws_RNO_2 "r.ws_RNO[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_data_RNIUDQG1_21 "r.data_RNIUDQG1[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNIF6RG1_19 "r.data_RNIF6RG1[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNI9UQG1_17 "r.data_RNI9UQG1[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_17 "r.writedata_RNO_1[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_19 "r.writedata_RNO_1[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_21 "r.writedata_RNO_1[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_28 "r.writedata_RNO_0[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_28 "r.writedata_RNO_1[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_data_RNI7PT51_2 "r.data_RNI7PT51[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNIRRUR1_14 "r.data_RNIRRUR1[14]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_data_RNISS8A1_22 "r.data_RNISS8A1[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNI7D9A1_18 "r.data_RNI7D9A1[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNI6QQG1_16 "r.data_RNI6QQG1[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNILJUR1_12 "r.data_RNILJUR1[12]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_data_RNIMK8A1_20 "r.data_RNIMK8A1[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_6 "r.writedata_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNI62KB_7 "r.data_RNI62KB[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_data_RNI03JP_10 "r.data_RNI03JP[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_data_RNIVI9H_7 "r.data_RNIVI9H[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNI2QV21_8 "r.data_RNI2QV21[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNI8F8F1_15 "r.data_RNI8F8F1[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNI52031_9 "r.data_RNI52031[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNIN9M81_11 "r.data_RNIN9M81[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNI278F1_13 "r.data_RNI278F1[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_2_6 "r.writedata_RNO_2[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_4_30 "r.writedata_RNO_4[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_30 "r.writedata_RNO_0[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_30 "r.writedata_RNO_1[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_4_24 "r.writedata_RNO_4[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_24 "r.writedata_RNO_1[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_24 "r.writedata_RNO_0[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_data_RNIIUFC1_4 "r.data_RNIIUFC1[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bdrive_RNICRVB_0_0 "r.bdrive_RNICRVB_0[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename ctrl_v_bstate16 "ctrl.v.bstate16") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hwrite_RNITDKB64 "r.hwrite_RNITDKB64") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_ramsn_RNO_0 "r.ramsn_RNO[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iosn_RNO_0_1 "r.iosn_RNO_0[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_iosn_RNO_1 "r.iosn_RNO[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_busw_RNO_1_1 "r.busw_RNO_1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename v_mcfg1_bexcen_1_sqmuxa "v.mcfg1.bexcen_1_sqmuxa") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_romwidth_RNO_0 "r.mcfg1.romwidth_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg1_romwidth_RNO_1 "r.mcfg1.romwidth_RNO[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_bexcen_RNO "r.mcfg1.bexcen_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg1_ioen_RNO "r.mcfg1.ioen_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg1_romwrite_RNO "r.mcfg1.romwrite_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_RNO_2 "r.mcfg1.iows_RNO[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_RNO_1 "r.mcfg1.romwws_RNO[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_RNO_2 "r.mcfg1.romwws_RNO[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_busw_RNO_1_0 "r.busw_RNO_1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNIM19UA_3 "r.mcfg2.rambanksz_RNIM19UA[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNIQE2TA_3 "r.mcfg2.rambanksz_RNIQE2TA[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNI2G4SL_2 "r.mcfg2.rambanksz_RNI2G4SL[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNI22AUA_3 "r.mcfg2.rambanksz_RNI22AUA[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNI6F3TA_3 "r.mcfg2.rambanksz_RNI6F3TA[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNIQG6SL_2 "r.mcfg2.rambanksz_RNIQG6SL[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNID04PB1_1 "r.mcfg2.rambanksz_RNID04PB1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNISH9UA_3 "r.mcfg2.rambanksz_RNISH9UA[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNI0V2TA_3 "r.mcfg2.rambanksz_RNI0V2TA[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNIEG5SL_2 "r.mcfg2.rambanksz_RNIEG5SL[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNIKU1TA_3 "r.mcfg2.rambanksz_RNIKU1TA[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNIJI1TA_3 "r.mcfg2.rambanksz_RNIJI1TA[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNIPGSQL_2 "r.mcfg2.rambanksz_RNIPGSQL[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNIO0RNB1_1 "r.mcfg2.rambanksz_RNIO0RNB1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_RNIL0OHN2_0 "r.mcfg2.rambanksz_RNIL0OHN2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance busw_1_sqmuxa (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_data_RNIA1U51_3 "r.data_RNIA1U51[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNIL6GC1_5 "r.data_RNIL6GC1[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNI4MQG1_23 "r.data_RNI4MQG1[23]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_6_31 "r.writedata_RNO_6[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_3_31 "r.writedata_RNO_3[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0_31 "r.writedata_RNO_0[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_2_31 "r.writedata_RNO_2[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_data_RNI9GIT_23 "r.data_RNI9GIT[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_writedata_RNO_3_29 "r.writedata_RNO_3[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_4_29 "r.writedata_RNO_4[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_25 "r.writedata_RNO_1[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_4_25 "r.writedata_RNO_4[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_16 "r.writedata_RNO_1[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_18 "r.writedata_RNO_1[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_20 "r.writedata_RNO_1[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_22 "r.writedata_RNO_1[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_3_26 "r.writedata_RNO_3[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_2_29 "r.writedata_RNO_2[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_2_25 "r.writedata_RNO_2[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_address_RNIR58J_0_0 "r.address_RNIR58J_0[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_26 "r.writedata_RNO_1[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_busw_RNI4NR91_1 "r.busw_RNI4NR91[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_data_RNI4HT51_1 "r.data_RNI4HT51[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_read_RNICBI8 "r.read_RNICBI8") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_area_RNIRS5O_1 "r.area_RNIRS5O[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_ioen_RNI18K8 "r.mcfg1.ioen_RNI18K8") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_read_RNIF20N "r.read_RNIF20N") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_mcfg1_ioen_RNI3MU6 "r.mcfg1.ioen_RNI3MU6") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_bstate_RNI38DL_7 "r.bstate_RNI38DL[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_romwrite_RNIQFV1 "r.mcfg1.romwrite_RNIQFV1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ready_RNO "r.ready_RNO") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_srhsel_RNO "r.srhsel_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_srhsel_RNO_0 "r.srhsel_RNO_0") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_srhsel_RNO_1 "r.srhsel_RNO_1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_busw_RNINJK9_0 "r.busw_RNINJK9[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_busw_RNIFFB3_0 "r.busw_RNIFFB3[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_address_RNIOHJH_1 "r.address_RNIOHJH[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_busw_RNIP5A3_0 "r.busw_RNIP5A3[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_bstate_RNIK3MR1_4 "r.bstate_RNIK3MR1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bstate_0_i_o2_5 "r.bstate_0_i_o2[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_bstate_RNITL9C21_6 "r.bstate_RNITL9C21[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brmw_RNIQ03UN "r.brmw_RNIQ03UN") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_brmw_RNIQ9A3 "r.brmw_RNIQ9A3") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_brmw_RNIARJ33 "r.brmw_RNIARJ33") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_wrn_RNO_2 "r.wrn_RNO[2]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_wrn_RNO_1 "r.wrn_RNO[1]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_wrn_RNO_0 "r.wrn_RNO[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_read_RNO "r.read_RNO") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_mben_RNO_2 "r.mben_RNO[2]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_mben_RNO_1 "r.mben_RNO[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_mben_RNO_0 "r.mben_RNO[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_wrn_RNIPP73A_2 "r.wrn_RNIPP73A[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wrn_RNICVBU9_0 "r.wrn_RNICVBU9[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wrn_RNID1OD1_0 "r.wrn_RNID1OD1[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_bstate_RNITB965_6 "r.bstate_RNITB965[6]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_bstate_RNI4FAQ01_6 "r.bstate_RNI4FAQ01[6]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_mcfg2_ramwws_RNO_0 "r.mcfg2.ramwws_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg2_ramrws_RNO_0_0 "r.mcfg2.ramrws_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg2_ramwws_RNO_0_0 "r.mcfg2.ramwws_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wrn_RNIDVBU9_1 "r.wrn_RNIDVBU9[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wrn_RNIE1OD1_1 "r.wrn_RNIE1OD1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_srhsel_RNIUG4H5_0 "r.srhsel_RNIUG4H5_0") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_oen_RNI5RDB "r.oen_RNI5RDB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ramoen_RNO_0 "r.ramoen_RNO[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_oen_RNO "r.oen_RNO") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_oen_RNO_0 "r.oen_RNO_0") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_oen_RNO_1 "r.oen_RNO_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename v_ramoen_0_sqmuxa "v.ramoen_0_sqmuxa") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wrn_RNO_3 "r.wrn_RNO[3]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_mben_RNO_3 "r.mben_RNO[3]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_wrn_RNIQP73A_3 "r.wrn_RNIQP73A[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wrn_RNIG1OD1_3 "r.wrn_RNIG1OD1[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_srhsel_RNIUG4H5 "r.srhsel_RNIUG4H5") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_busw_RNI0NI61_1 "r.busw_RNI0NI61[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_2_27 "r.writedata_RNO_2[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_writedata_RNO_4_27 "r.writedata_RNO_4[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_3_27 "r.writedata_RNO_3[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_srhsel_RNIVG1E "r.srhsel_RNIVG1E") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNIR6I1_0 "r.size_RNIR6I1[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_bstate_RNIC7B43_4 "r.bstate_RNIC7B43[4]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_srhsel_RNIJM8C5 "r.srhsel_RNIJM8C5") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_address_RNIJM8C5_0 "r.address_RNIJM8C5[0]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_mcfg2_rmw_RNIBQR4 "r.mcfg2.rmw_RNIBQR4") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_busw_RNIGB9J_0 "r.busw_RNIGB9J[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_writen_RNO_0 "r.writen_RNO_0") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_wrn_RNIF1OD1_2 "r.wrn_RNIF1OD1[2]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_writen_RNO "r.writen_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bstate_RNO_6 "r.bstate_RNO[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bstate_RNI5AFHO_6 "r.bstate_RNI5AFHO[6]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_bstate_RNI64QJN_4 "r.bstate_RNI64QJN[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_bstate_RNIV5LT_6 "r.bstate_RNIV5LT[6]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_busw_RNIOAL8_1 "r.busw_RNIOAL8[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_address_RNI1STF_1_0 "r.address_RNI1STF_1[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_address_RNI1STF_0 "r.address_RNI1STF[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_address_RNI1STF_0_0 "r.address_RNI1STF_0[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_srhsel_RNICJ8O "r.srhsel_RNICJ8O") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_mcfg1_ioen_RNI0PLM "r.mcfg1.ioen_RNI0PLM") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_address_RNIR58J_3_0 "r.address_RNIR58J_3[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_address_RNIR79B_1 "r.address_RNIR79B[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_address_RNIR58J_0 "r.address_RNIR58J[0]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_address_RNINAPS_1 "r.address_RNINAPS[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_address_RNIR58J_1_0 "r.address_RNIR58J_1[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_address_RNIS4H9_1 "r.address_RNIS4H9[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_address_RNIR58J_2_0 "r.address_RNIR58J_2[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNID27A "r.read_RNID27A") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg2_rmw_RNIGJ93 "r.mcfg2.rmw_RNIGJ93") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_srhsel_RNI4CFP "r.srhsel_RNI4CFP") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_bstate_RNIHC8D1_4 "r.bstate_RNIHC8D1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ready_RNI0SCL "r.ready_RNI0SCL") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_mcfg1_brdyen_RNIK7961 "r.mcfg1.brdyen_RNIK7961") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_mcfg1_brdyen_RNIMRC8 "r.mcfg1.brdyen_RNIMRC8") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_mcfg2_ramrws_RNO_0 "r.mcfg2.ramrws_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ready_RNIU79J1 "r.ready_RNIU79J1") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_bstate_RNITK8Q1_6 "r.bstate_RNITK8Q1[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bstate_RNIV8CS_6 "r.bstate_RNIV8CS[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ready_RNIPLND1 "r.ready_RNIPLND1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bstate_RNO_1_4 "r.bstate_RNO_1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bstate_RNO_7 "r.bstate_RNO[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bstate_RNO_0_7 "r.bstate_RNO_0[7]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_brmw_RNI0PU621 "r.brmw_RNI0PU621") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_ready_RNI00BU11 "r.ready_RNI00BU11") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_bstate_RNINUMK1_5 "r.bstate_RNINUMK1[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_bstate_RNIU8A521_5 "r.bstate_RNIU8A521[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bstate_RNILON62_4 "r.bstate_RNILON62[4]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_bstate_RNIJ12C41_5 "r.bstate_RNIJ12C41[5]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_bstate_RNIF6IP31_5 "r.bstate_RNIF6IP31[5]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_bstate_RNIHT7K1_4 "r.bstate_RNIHT7K1[4]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_ready_RNI3NDE "r.ready_RNI3NDE") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_bstate_RNO_2_5 "r.bstate_RNO_2[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_RNO_2 "r.mcfg1.romrws_RNO[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_brdyen_RNO "r.mcfg1.brdyen_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_brmw_RNIRH7V41 "r.brmw_RNIRH7V41") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_writedata_RNO_0 "r.writedata_RNO[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_writedata_RNO_1_23 "r.writedata_RNO_1[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_RNO_0_2 "r.mcfg1.romrws_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_brdyen_RNO_0 "r.mcfg1.brdyen_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename v_srhsel_0_sqmuxa "v.srhsel_0_sqmuxa") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ctrl_un1_ahbsi_1 "ctrl.un1_ahbsi_1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_RNO_0 "r.mcfg1.romwws_RNO[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_RNO_0_0 "r.mcfg1.romwws_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg2_ramwws_RNO_1 "r.mcfg2.ramwws_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg2_ramwws_RNO_0_1 "r.mcfg2.ramwws_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I6_Y_0_a3_0 "un1_v.ws_1_sqmuxa_2_ADD_4x4_fast_I6_Y_0_a3_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I6_Y_0_o2 "un1_v.ws_1_sqmuxa_2_ADD_4x4_fast_I6_Y_0_o2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ws_RNO_4_0 "r.ws_RNO_4[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_m_3 "un1_v.ws_1_sqmuxa_2_m[3]") (viewRef prim (cellRef XO1A (libraryRef PA3))) ) (instance (rename r_ws_RNO_0 "r.ws_RNO[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_area_RNI54UA_2 "r.area_RNI54UA[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_mcfg2_ramrws_RNITGF9_1 "r.mcfg2.ramrws_RNITGF9[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ws_RNO_7_1 "r.ws_RNO_7[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ws_RNO_6_1 "r.ws_RNO_6[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_RNO_3 "r.mcfg1.romwws_RNO[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_RNO_3 "r.mcfg1.romrws_RNO[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_RNO_1 "r.mcfg1.romrws_RNO[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_RNO_0 "r.mcfg1.romrws_RNO[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_RNO_3 "r.mcfg1.iows_RNO[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_RNO_1 "r.mcfg1.iows_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_RNO_0 "r.mcfg1.iows_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg2_ramrws_RNO_1 "r.mcfg2.ramrws_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ws_RNO_1_0 "r.ws_RNO_1[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ws_RNO_3 "r.ws_RNO[3]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_m_0 "un1_v.ws_1_sqmuxa_2_m[0]") (viewRef prim (cellRef XA1C (libraryRef PA3))) ) (instance (rename r_ws_RNO_1_3 "r.ws_RNO_1[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ws_RNO_3_3 "r.ws_RNO_3[3]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ws_RNO_2_3 "r.ws_RNO_2[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ws_RNO_3_2 "r.ws_RNO_3[2]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I8_Y_0 "un1_v.ws_1_sqmuxa_2_ADD_4x4_fast_I8_Y_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I0_CO1_i "un1_v.ws_1_sqmuxa_2_ADD_4x4_fast_I0_CO1_i") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I8_Y_0_a2_0 "un1_v.ws_1_sqmuxa_2_ADD_4x4_fast_I8_Y_0_a2_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_mcfg2_ramrws_RNO_0_1 "r.mcfg2.ramrws_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_RNO_0_3 "r.mcfg1.iows_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_RNO_0_1 "r.mcfg1.iows_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_RNO_0_0 "r.mcfg1.iows_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_RNO_0_3 "r.mcfg1.romrws_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_RNO_0_1 "r.mcfg1.romrws_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_RNO_0_0 "r.mcfg1.romrws_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_RNO_0_3 "r.mcfg1.romwws_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_size_RNI6VE2_1 "r.size_RNI6VE2[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_oen "r.oen") (viewRef prim (cellRef DFN1E1P0 (libraryRef PA3))) ) (instance (rename r_brmw "r.brmw") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_mcfg2_rmw "r.mcfg2.rmw") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_hwrite "r.hwrite") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_writen "r.writen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ready "r.ready") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_read "r.read") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_bexcen "r.mcfg1.bexcen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_brdyen "r.mcfg1.brdyen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_ioen "r.mcfg1.ioen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwrite "r.mcfg1.romwrite") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_srhsel "r.srhsel") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ws_0 "r.ws[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ws_1 "r.ws[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ws_2 "r.ws[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ws_3 "r.ws[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bstate_7 "r.bstate[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bstate_6 "r.bstate[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bstate_5 "r.bstate[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bstate_4 "r.bstate[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mben_0 "r.mben[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mben_1 "r.mben[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mben_2 "r.mben[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mben_3 "r.mben[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg2_ramwws_0 "r.mcfg2.ramwws[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg2_ramwws_1 "r.mcfg2.ramwws[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_0 "r.mcfg1.iows[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_1 "r.mcfg1.iows[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_2 "r.mcfg1.iows[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_iows_3 "r.mcfg1.iows[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg2_ramrws_0 "r.mcfg2.ramrws[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg2_ramrws_1 "r.mcfg2.ramrws[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_area_2 "r.area[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_area_1 "r.area[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_area_0 "r.area[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_hburst_0 "r.hburst[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_size_0 "r.size[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_size_1 "r.size[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_0 "r.mcfg1.romrws[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_1 "r.mcfg1.romrws[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_2 "r.mcfg1.romrws[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_romrws_3 "r.mcfg1.romrws[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_0 "r.mcfg1.romwws[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_1 "r.mcfg1.romwws[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_2 "r.mcfg1.romwws[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwws_3 "r.mcfg1.romwws[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wrn_0 "r.wrn[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wrn_1 "r.wrn[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wrn_2 "r.wrn[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wrn_3 "r.wrn[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_address_0 "r.address[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_1 "r.address[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_2 "r.address[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_3 "r.address[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_4 "r.address[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_5 "r.address[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_6 "r.address[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_7 "r.address[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_8 "r.address[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_9 "r.address[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_10 "r.address[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_11 "r.address[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_12 "r.address[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_13 "r.address[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_14 "r.address[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_15 "r.address[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_16 "r.address[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_17 "r.address[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_18 "r.address[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_19 "r.address[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_address_20 "r.address[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwidth_0 "r.mcfg1.romwidth[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_mcfg1_romwidth_1 "r.mcfg1.romwidth[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_busw_0 "r.busw[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_busw_1 "r.busw[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_0 "r.mcfg2.rambanksz[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_1 "r.mcfg2.rambanksz[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_2 "r.mcfg2.rambanksz[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_mcfg2_rambanksz_3 "r.mcfg2.rambanksz[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_mcfg2_ramwidth_0 "r.mcfg2.ramwidth[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_mcfg2_ramwidth_1 "r.mcfg2.ramwidth[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_mcfg1_iowidth_0 "r.mcfg1.iowidth[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_mcfg1_iowidth_1 "r.mcfg1.iowidth[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_ramoen_0 "r.ramoen[0]") (viewRef prim (cellRef DFN1E1P0 (libraryRef PA3))) ) (instance (rename r_ramsn_0 "r.ramsn[0]") (viewRef prim (cellRef DFN1E0P0 (libraryRef PA3))) ) (instance (rename r_writedata_0 "r.writedata[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_1 "r.writedata[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_2 "r.writedata[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_3 "r.writedata[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_4 "r.writedata[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_5 "r.writedata[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_6 "r.writedata[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_7 "r.writedata[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_8 "r.writedata[8]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_9 "r.writedata[9]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_10 "r.writedata[10]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_11 "r.writedata[11]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_12 "r.writedata[12]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_13 "r.writedata[13]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_14 "r.writedata[14]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_15 "r.writedata[15]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_16 "r.writedata[16]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_17 "r.writedata[17]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_18 "r.writedata[18]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_19 "r.writedata[19]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_20 "r.writedata[20]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_21 "r.writedata[21]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_22 "r.writedata[22]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_23 "r.writedata[23]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_24 "r.writedata[24]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_25 "r.writedata[25]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_26 "r.writedata[26]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_27 "r.writedata[27]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_28 "r.writedata[28]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_29 "r.writedata[29]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_30 "r.writedata[30]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_writedata_31 "r.writedata[31]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_romsn_0 "r.romsn[0]") (viewRef prim (cellRef DFN1E0P0 (libraryRef PA3))) ) (instance (rename r_hresp_0 "r.hresp[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bdrive_0 "r.bdrive[0]") (viewRef prim (cellRef DFN1E1P0 (libraryRef PA3))) ) (instance (rename r_iosn_0 "r.iosn[0]") (viewRef prim (cellRef DFN1P0 (libraryRef PA3))) ) (instance (rename r_iosn_1 "r.iosn[1]") (viewRef prim (cellRef DFN1P0 (libraryRef PA3))) ) (instance (rename r_data_0 "r.data[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_1 "r.data[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_2 "r.data[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_3 "r.data[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_4 "r.data[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_5 "r.data[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_6 "r.data[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_7 "r.data[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_8 "r.data[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_9 "r.data[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_10 "r.data[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_11 "r.data[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_12 "r.data[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_13 "r.data[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_14 "r.data[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_15 "r.data[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_16 "r.data[16]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_17 "r.data[17]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_18 "r.data[18]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_19 "r.data[19]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_20 "r.data[20]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_21 "r.data[21]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_22 "r.data[22]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_23 "r.data[23]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_24 "r.data[24]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_25 "r.data[25]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_26 "r.data[26]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_27 "r.data[27]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_28 "r.data[28]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_29 "r.data[29]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_30 "r.data[30]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_data_31 "r.data[31]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename bdrive_i_3 "bdrive_i[3]") (joined (portRef QN (instanceRef r_bdrive_3)) (portRef (member bdrive_i 0)) )) (net bstate_11 (joined (portRef Y (instanceRef r_bstate_RNI5AFHO_6)) (portRef D (instanceRef r_bdrive_0)) (portRef D (instanceRef r_bdrive_1)) (portRef D (instanceRef r_bdrive_2)) (portRef D (instanceRef r_bdrive_3)) )) (net N_3689 (joined (portRef Y (instanceRef r_bstate_RNIHTN9P_6)) (portRef E (instanceRef r_bdrive_0)) (portRef E (instanceRef r_bdrive_1)) (portRef E (instanceRef r_bdrive_2)) (portRef E (instanceRef r_bdrive_3)) )) (net (rename bdrive_i_2 "bdrive_i[2]") (joined (portRef QN (instanceRef r_bdrive_2)) (portRef (member bdrive_i 1)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_data_31)) (portRef CLK (instanceRef r_data_30)) (portRef CLK (instanceRef r_data_29)) (portRef CLK (instanceRef r_data_28)) (portRef CLK (instanceRef r_data_27)) (portRef CLK (instanceRef r_data_26)) (portRef CLK (instanceRef r_data_25)) (portRef CLK (instanceRef r_data_24)) (portRef CLK (instanceRef r_data_23)) (portRef CLK (instanceRef r_data_22)) (portRef CLK (instanceRef r_data_21)) (portRef CLK (instanceRef r_data_20)) (portRef CLK (instanceRef r_data_19)) (portRef CLK (instanceRef r_data_18)) (portRef CLK (instanceRef r_data_17)) (portRef CLK (instanceRef r_data_16)) (portRef CLK (instanceRef r_data_15)) (portRef CLK (instanceRef r_data_14)) (portRef CLK (instanceRef r_data_13)) (portRef CLK (instanceRef r_data_12)) (portRef CLK (instanceRef r_data_11)) (portRef CLK (instanceRef r_data_10)) (portRef CLK (instanceRef r_data_9)) (portRef CLK (instanceRef r_data_8)) (portRef CLK (instanceRef r_data_7)) (portRef CLK (instanceRef r_data_6)) (portRef CLK (instanceRef r_data_5)) (portRef CLK (instanceRef r_data_4)) (portRef CLK (instanceRef r_data_3)) (portRef CLK (instanceRef r_data_2)) (portRef CLK (instanceRef r_data_1)) (portRef CLK (instanceRef r_data_0)) (portRef CLK (instanceRef r_iosn_1)) (portRef CLK (instanceRef r_iosn_0)) (portRef CLK (instanceRef r_bdrive_0)) (portRef CLK (instanceRef r_hresp_0)) (portRef CLK (instanceRef r_romsn_0)) (portRef CLK (instanceRef r_writedata_31)) (portRef CLK (instanceRef r_writedata_30)) (portRef CLK (instanceRef r_writedata_29)) (portRef CLK (instanceRef r_writedata_28)) (portRef CLK (instanceRef r_writedata_27)) (portRef CLK (instanceRef r_writedata_26)) (portRef CLK (instanceRef r_writedata_25)) (portRef CLK (instanceRef r_writedata_24)) (portRef CLK (instanceRef r_writedata_23)) (portRef CLK (instanceRef r_writedata_22)) (portRef CLK (instanceRef r_writedata_21)) (portRef CLK (instanceRef r_writedata_20)) (portRef CLK (instanceRef r_writedata_19)) (portRef CLK (instanceRef r_writedata_18)) (portRef CLK (instanceRef r_writedata_17)) (portRef CLK (instanceRef r_writedata_16)) (portRef CLK (instanceRef r_writedata_15)) (portRef CLK (instanceRef r_writedata_14)) (portRef CLK (instanceRef r_writedata_13)) (portRef CLK (instanceRef r_writedata_12)) (portRef CLK (instanceRef r_writedata_11)) (portRef CLK (instanceRef r_writedata_10)) (portRef CLK (instanceRef r_writedata_9)) (portRef CLK (instanceRef r_writedata_8)) (portRef CLK (instanceRef r_writedata_7)) (portRef CLK (instanceRef r_writedata_6)) (portRef CLK (instanceRef r_writedata_5)) (portRef CLK (instanceRef r_writedata_4)) (portRef CLK (instanceRef r_writedata_3)) (portRef CLK (instanceRef r_writedata_2)) (portRef CLK (instanceRef r_writedata_1)) (portRef CLK (instanceRef r_writedata_0)) (portRef CLK (instanceRef r_ramsn_0)) (portRef CLK (instanceRef r_ramoen_0)) (portRef CLK (instanceRef r_mcfg1_iowidth_1)) (portRef CLK (instanceRef r_mcfg1_iowidth_0)) (portRef CLK (instanceRef r_mcfg2_ramwidth_1)) (portRef CLK (instanceRef r_mcfg2_ramwidth_0)) (portRef CLK (instanceRef r_mcfg2_rambanksz_3)) (portRef CLK (instanceRef r_mcfg2_rambanksz_2)) (portRef CLK (instanceRef r_mcfg2_rambanksz_1)) (portRef CLK (instanceRef r_mcfg2_rambanksz_0)) (portRef CLK (instanceRef r_busw_1)) (portRef CLK (instanceRef r_busw_0)) (portRef CLK (instanceRef r_mcfg1_romwidth_1)) (portRef CLK (instanceRef r_mcfg1_romwidth_0)) (portRef CLK (instanceRef r_address_20)) (portRef CLK (instanceRef r_address_19)) (portRef CLK (instanceRef r_address_18)) (portRef CLK (instanceRef r_address_17)) (portRef CLK (instanceRef r_address_16)) (portRef CLK (instanceRef r_address_15)) (portRef CLK (instanceRef r_address_14)) (portRef CLK (instanceRef r_address_13)) (portRef CLK (instanceRef r_address_12)) (portRef CLK (instanceRef r_address_11)) (portRef CLK (instanceRef r_address_10)) (portRef CLK (instanceRef r_address_9)) (portRef CLK (instanceRef r_address_8)) (portRef CLK (instanceRef r_address_7)) (portRef CLK (instanceRef r_address_6)) (portRef CLK (instanceRef r_address_5)) (portRef CLK (instanceRef r_address_4)) (portRef CLK (instanceRef r_address_3)) (portRef CLK (instanceRef r_address_2)) (portRef CLK (instanceRef r_address_1)) (portRef CLK (instanceRef r_address_0)) (portRef CLK (instanceRef r_wrn_3)) (portRef CLK (instanceRef r_wrn_2)) (portRef CLK (instanceRef r_wrn_1)) (portRef CLK (instanceRef r_wrn_0)) (portRef CLK (instanceRef r_mcfg1_romwws_3)) (portRef CLK (instanceRef r_mcfg1_romwws_2)) (portRef CLK (instanceRef r_mcfg1_romwws_1)) (portRef CLK (instanceRef r_mcfg1_romwws_0)) (portRef CLK (instanceRef r_mcfg1_romrws_3)) (portRef CLK (instanceRef r_mcfg1_romrws_2)) (portRef CLK (instanceRef r_mcfg1_romrws_1)) (portRef CLK (instanceRef r_mcfg1_romrws_0)) (portRef CLK (instanceRef r_size_1)) (portRef CLK (instanceRef r_size_0)) (portRef CLK (instanceRef r_hburst_0)) (portRef CLK (instanceRef r_area_0)) (portRef CLK (instanceRef r_area_1)) (portRef CLK (instanceRef r_area_2)) (portRef CLK (instanceRef r_mcfg2_ramrws_1)) (portRef CLK (instanceRef r_mcfg2_ramrws_0)) (portRef CLK (instanceRef r_mcfg1_iows_3)) (portRef CLK (instanceRef r_mcfg1_iows_2)) (portRef CLK (instanceRef r_mcfg1_iows_1)) (portRef CLK (instanceRef r_mcfg1_iows_0)) (portRef CLK (instanceRef r_mcfg2_ramwws_1)) (portRef CLK (instanceRef r_mcfg2_ramwws_0)) (portRef CLK (instanceRef r_mben_3)) (portRef CLK (instanceRef r_mben_2)) (portRef CLK (instanceRef r_mben_1)) (portRef CLK (instanceRef r_mben_0)) (portRef CLK (instanceRef r_bstate_4)) (portRef CLK (instanceRef r_bstate_5)) (portRef CLK (instanceRef r_bstate_6)) (portRef CLK (instanceRef r_bstate_7)) (portRef CLK (instanceRef r_ws_3)) (portRef CLK (instanceRef r_ws_2)) (portRef CLK (instanceRef r_ws_1)) (portRef CLK (instanceRef r_ws_0)) (portRef CLK (instanceRef r_srhsel)) (portRef CLK (instanceRef r_mcfg1_romwrite)) (portRef CLK (instanceRef r_mcfg1_ioen)) (portRef CLK (instanceRef r_mcfg1_brdyen)) (portRef CLK (instanceRef r_mcfg1_bexcen)) (portRef CLK (instanceRef r_read)) (portRef CLK (instanceRef r_ready)) (portRef CLK (instanceRef r_writen)) (portRef CLK (instanceRef r_hwrite)) (portRef CLK (instanceRef r_mcfg2_rmw)) (portRef CLK (instanceRef r_brmw)) (portRef CLK (instanceRef r_oen)) (portRef CLK (instanceRef r_bdrive_1)) (portRef CLK (instanceRef r_bdrive_2)) (portRef CLK (instanceRef r_bdrive_3)) )) (net rstn (joined (portRef rstn) (portRef PRE (instanceRef r_iosn_1)) (portRef PRE (instanceRef r_iosn_0)) (portRef PRE (instanceRef r_bdrive_0)) (portRef PRE (instanceRef r_romsn_0)) (portRef PRE (instanceRef r_ramsn_0)) (portRef PRE (instanceRef r_ramoen_0)) (portRef PRE (instanceRef r_oen)) (portRef C (instanceRef r_ws_RNO_3)) (portRef A (instanceRef r_mcfg2_ramrws_RNO_1)) (portRef A (instanceRef r_mcfg1_iows_RNO_0)) (portRef A (instanceRef r_mcfg1_iows_RNO_1)) (portRef A (instanceRef r_mcfg1_iows_RNO_3)) (portRef A (instanceRef r_mcfg1_romrws_RNO_0)) (portRef A (instanceRef r_mcfg1_romrws_RNO_1)) (portRef A (instanceRef r_mcfg1_romrws_RNO_3)) (portRef A (instanceRef r_mcfg1_romwws_RNO_3)) (portRef C (instanceRef r_ws_RNO_0)) (portRef A (instanceRef r_mcfg2_ramwws_RNO_1)) (portRef A (instanceRef r_mcfg1_romwws_RNO_0)) (portRef A (instanceRef r_mcfg1_brdyen_RNO)) (portRef A (instanceRef r_mcfg1_romrws_RNO_2)) (portRef A (instanceRef r_mcfg2_ramrws_RNO_0)) (portRef A (instanceRef r_writen_RNO)) (portRef B (instanceRef r_wrn_RNO_3)) (portRef A (instanceRef r_mcfg2_ramwws_RNO_0)) (portRef A (instanceRef r_read_RNO)) (portRef B (instanceRef r_wrn_RNO_0)) (portRef B (instanceRef r_wrn_RNO_1)) (portRef B (instanceRef r_wrn_RNO_2)) (portRef A (instanceRef r_bstate_0_i_o2_5)) (portRef A (instanceRef r_srhsel_RNO)) (portRef A (instanceRef r_ready_RNO)) (portRef A (instanceRef r_mcfg1_romwws_RNO_2)) (portRef A (instanceRef r_mcfg1_romwws_RNO_1)) (portRef A (instanceRef r_mcfg1_iows_RNO_2)) (portRef A (instanceRef r_mcfg1_romwrite_RNO)) (portRef A (instanceRef r_mcfg1_ioen_RNO)) (portRef A (instanceRef r_mcfg1_bexcen_RNO)) (portRef A (instanceRef r_mcfg1_romwidth_RNO_1)) (portRef A (instanceRef r_mcfg1_romwidth_RNO_0)) (portRef A (instanceRef v_mcfg1_bexcen_1_sqmuxa)) (portRef C (instanceRef r_ws_RNO_2)) (portRef A (instanceRef r_ws_RNO_1)) (portRef A (instanceRef r_ws_RNO_5_1)) (portRef PRE (instanceRef r_bdrive_1)) (portRef PRE (instanceRef r_bdrive_2)) (portRef PRE (instanceRef r_bdrive_3)) )) (net (rename bdrive_i_1 "bdrive_i[1]") (joined (portRef QN (instanceRef r_bdrive_1)) (portRef (member bdrive_i 2)) )) (net writedata_0_sqmuxa_0 (joined (portRef Y (instanceRef r_bdrive_RNICRVB_0)) (portRef E (instanceRef r_writedata_23)) (portRef E (instanceRef r_writedata_22)) (portRef E (instanceRef r_writedata_21)) (portRef E (instanceRef r_writedata_20)) (portRef E (instanceRef r_writedata_19)) (portRef E (instanceRef r_writedata_18)) (portRef E (instanceRef r_writedata_17)) (portRef E (instanceRef r_writedata_16)) (portRef E (instanceRef r_writedata_15)) (portRef E (instanceRef r_writedata_14)) (portRef E (instanceRef r_writedata_13)) (portRef E (instanceRef r_writedata_12)) (portRef E (instanceRef r_writedata_11)) (portRef E (instanceRef r_writedata_10)) (portRef E (instanceRef r_writedata_1)) (portRef E (instanceRef r_writedata_0)) )) (net (rename bdrive_0 "bdrive[0]") (joined (portRef Q (instanceRef r_bdrive_0)) (portRef A (instanceRef r_bdrive_RNICRVB_0_0)) (portRef A (instanceRef r_bdrive_RNICA05_0)) (portRef A (instanceRef r_bdrive_RNICRVB_0)) )) (net (rename bstate_7 "bstate[7]") (joined (portRef Q (instanceRef r_bstate_7)) (portRef C (instanceRef r_bstate_RNIHT7K1_4)) (portRef B (instanceRef r_bstate_RNO_0_7)) (portRef C (instanceRef r_srhsel_RNI4CFP)) (portRef B (instanceRef r_busw_RNIOAL8_1)) (portRef B (instanceRef r_srhsel_RNIVG1E)) (portRef B (instanceRef r_bstate_RNI38DL_7)) (portRef B (instanceRef r_read_RNICBI8)) (portRef B (instanceRef r_bdrive_RNICRVB_0_0)) (portRef B (instanceRef r_srhsel_RNIVG1E_0)) (portRef A (instanceRef r_read_RNIO5O9)) (portRef B (instanceRef r_bdrive_RNICRVB_0)) )) (net (rename bdrive_i_0 "bdrive_i[0]") (joined (portRef Y (instanceRef r_bdrive_RNICA05_0)) (portRef (member bdrive_i 3)) )) (net N_3602 (joined (portRef Y (instanceRef ctrl_v_writedata_12_iv_0_a2_2_26)) (portRef A (instanceRef r_writedata_RNO_2_26)) )) (net writedata_3_sqmuxa (joined (portRef Y (instanceRef r_busw_RNIGB9J_0)) (portRef B (instanceRef r_writedata_RNO_2_27)) (portRef A (instanceRef r_busw_RNI0NI61_1)) (portRef B (instanceRef r_writedata_RNO_2_29)) (portRef B (instanceRef r_writedata_RNO_2_31)) (portRef A (instanceRef r_writedata_RNO_0_28)) (portRef A (instanceRef r_writedata_RNO_25)) (portRef A (instanceRef r_writedata_RNO_3_30)) (portRef A (instanceRef r_writedata_RNO_3_24)) (portRef A (instanceRef ctrl_v_writedata_12_iv_0_a2_2_26)) )) (net (rename writedata_2 "writedata[2]") (joined (portRef Y (instanceRef r_data_RNI7PT51_2)) (portRef D (instanceRef r_writedata_2)) (portRef A (instanceRef r_writedata_RNO_18)) (portRef B (instanceRef ctrl_v_writedata_12_iv_0_a2_2_26)) )) (net N_3601 (joined (portRef Y (instanceRef ctrl_v_writedata_12_iv_0_a2_1_26)) (portRef B (instanceRef r_writedata_RNO_2_26)) )) (net N_3533_i_0 (joined (portRef Y (instanceRef r_busw_RNI9HJM_0_1)) (portRef B (instanceRef r_writedata_RNO_2_25)) (portRef B (instanceRef r_writedata_RNO_1_28)) (portRef B (instanceRef r_writedata_RNO_23)) (portRef A (instanceRef r_writedata_RNO_17)) (portRef B (instanceRef r_writedata_RNO_19)) (portRef B (instanceRef r_writedata_RNO_21)) (portRef B (instanceRef r_writedata_RNO_18)) (portRef B (instanceRef r_writedata_RNO_0_22)) (portRef B (instanceRef r_writedata_RNO_0_20)) (portRef B (instanceRef r_writedata_RNO_0_16)) (portRef B (instanceRef r_writedata_RNO_2_30)) (portRef B (instanceRef r_writedata_RNO_2_24)) (portRef B (instanceRef r_writedata_RNO_1_29)) (portRef B (instanceRef r_writedata_RNO_1_27)) (portRef B (instanceRef r_writedata_RNO_1_31)) (portRef A (instanceRef ctrl_v_writedata_12_iv_0_a2_1_26)) )) (net (rename writedata_10 "writedata[10]") (joined (portRef Y (instanceRef r_brmw_RNI72FR2)) (portRef D (instanceRef r_writedata_10)) (portRef B (instanceRef ctrl_v_writedata_12_iv_0_a2_1_26)) )) (net (rename ramwws_m_0 "ramwws_m[0]") (joined (portRef Y (instanceRef r_ws_RNO_5_0)) (portRef B (instanceRef r_ws_RNO_2_0)) )) (net N_3757 (joined (portRef Y (instanceRef r_read_RNIO5O9)) (portRef A (instanceRef r_area_RNI54UA_2)) (portRef A (instanceRef r_ws_RNO_2_1)) (portRef A (instanceRef r_ws_RNO_5_0)) )) (net (rename ramwws_m_0_0 "ramwws_m_0[0]") (joined (portRef Y (instanceRef r_ws_RNO_7_0)) (portRef B (instanceRef r_ws_RNO_5_0)) )) (net (rename area_2 "area[2]") (joined (portRef Q (instanceRef r_area_2)) (portRef C (instanceRef r_ws_RNO_3_2)) (portRef C (instanceRef r_ws_RNO_3_3)) (portRef C (instanceRef r_ws_RNO_1_0)) (portRef S (instanceRef r_ws_RNO_6_1)) (portRef S (instanceRef r_ws_RNO_7_1)) (portRef B (instanceRef r_area_RNI54UA_2)) (portRef B (instanceRef r_mcfg2_rmw_RNIGJ93)) (portRef A (instanceRef r_ws_RNO_6_0)) (portRef A (instanceRef r_ws_RNO_7_0)) )) (net (rename ws_3_iv_0_0 "ws_3_iv_0[0]") (joined (portRef Y (instanceRef r_ws_RNO_2_0)) (portRef B (instanceRef r_ws_RNO_0_0)) )) (net (rename iows_m_0 "iows_m[0]") (joined (portRef Y (instanceRef r_ws_RNO_4_0)) (portRef A (instanceRef r_ws_RNO_2_0)) )) (net (rename writedata_12_iv_0_2_26 "writedata_12_iv_0_2[26]") (joined (portRef Y (instanceRef r_writedata_RNO_2_26)) (portRef C (instanceRef r_writedata_RNO_26)) )) (net ramsn_i_m_0 (joined (portRef Y (instanceRef r_ramoen_RNO_0_0)) (portRef A (instanceRef r_ramoen_RNO_0)) )) (net oen_1_sqmuxa (joined (portRef Y (instanceRef r_oen_RNI4CFP)) (portRef A (instanceRef r_bstate_RNIUFDH95_6)) (portRef B (instanceRef r_ramoen_RNO_0_0)) )) (net (rename ramsn_1_i_m_0_0 "ramsn_1_i_m_0[0]") (joined (portRef Y (instanceRef r_ramoen_RNO_2_0)) (portRef A (instanceRef r_ramoen_RNO_1_0)) )) (net (rename adec_2_0 "adec_2[0]") (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNIL0OHN2_0)) (portRef B (instanceRef r_ramsn_RNO_0)) (portRef B (instanceRef r_ramoen_RNO_2_0)) )) (net (rename bstate_0_i_0_4 "bstate_0_i_0[4]") (joined (portRef Y (instanceRef r_bstate_RNO_0_4)) (portRef A (instanceRef r_bstate_RNO_4)) )) (net iosn_1_sqmuxa (joined (portRef Y (instanceRef r_bstate_RNIJ12C41_5)) (portRef S (instanceRef r_bstate_RNO_0_7)) (portRef A (instanceRef r_bstate_RNO_1_4)) (portRef B (instanceRef r_bstate_RNO_5)) (portRef A (instanceRef r_bstate_RNO_0_4)) )) (net N_3720 (joined (portRef Y (instanceRef r_srhsel_RNICJ8O)) (portRef B (instanceRef r_writen_RNO_0)) (portRef B (instanceRef r_bstate_RNIC7B43_4)) (portRef A (instanceRef r_bstate_RNIBPTL1_6)) (portRef B (instanceRef r_bstate_RNO_0_4)) )) (net N_3722 (joined (portRef Y (instanceRef r_bstate_0_i_o2_5)) (portRef B (instanceRef r_bstate_RNO_7)) (portRef B (instanceRef r_bstate_RNO_6)) (portRef B (instanceRef r_bstate_RNO_1_5)) (portRef C (instanceRef r_bstate_RNO_0_4)) )) (net (rename bstate_0_i_0_5 "bstate_0_i_0[5]") (joined (portRef Y (instanceRef r_bstate_RNO_1_5)) (portRef C (instanceRef r_bstate_RNO_5)) )) (net N_3735_i (joined (portRef Y (instanceRef r_bstate_RNO_2_5)) (portRef A (instanceRef r_bstate_RNO_1_5)) )) (net bdrive_2_sqmuxa_i_0 (joined (portRef Y (instanceRef r_bstate_RNIBPTL1_6)) (portRef A (instanceRef r_bstate_RNIHTN9P_6)) )) (net (rename hresp_6_0 "hresp_6[0]") (joined (portRef Y (instanceRef r_bstate_RNIV5LT_6)) (portRef D (instanceRef r_hresp_0)) (portRef A (instanceRef r_bstate_RNI5AFHO_6)) (portRef B (instanceRef r_bstate_RNIBPTL1_6)) )) (net (rename iosn_i_m_0_1 "iosn_i_m_0[1]") (joined (portRef Y (instanceRef r_iosn_RNIOA851_1)) (portRef A (instanceRef r_iosn_RNI7HQU41_1)) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef (member iosn_0 0)) (portRef B (instanceRef v_ramoen_0_sqmuxa)) (portRef C (instanceRef r_oen_RNO_0)) (portRef C (instanceRef r_hwrite_RNITDKB64)) (portRef A (instanceRef r_romsn_RNO_0_0)) (portRef A (instanceRef r_iosn_RNIOA851_1)) (portRef C (instanceRef r_ramoen_RNO_2_0)) )) (net (rename iosn_1 "iosn[1]") (joined (portRef Q (instanceRef r_iosn_1)) (portRef B (instanceRef r_iosn_RNIOA851_1)) )) (net (rename romsn_1_0_0 "romsn_1_0[0]") (joined (portRef Y (instanceRef r_romsn_RNO_0_0)) (portRef B (instanceRef r_romsn_RNO_0)) )) (net ramoen10_2 (joined (portRef Y (instanceRef r_hburst_RNIEPHRG_0)) (portRef A (instanceRef r_ready_RNI00BU11)) )) (net (rename htrans_0 "htrans[0]") (joined (portRef (member htrans 1)) (portRef A (instanceRef r_hburst_RNIEPHRG_0)) )) (net ramoen10_0 (joined (portRef Y (instanceRef r_hburst_RNI6BI6_0)) (portRef B (instanceRef r_hburst_RNIEPHRG_0)) )) (net (rename hburstZ0Z_0 "hburst[0]") (joined (portRef Q (instanceRef r_hburst_0)) (portRef A (instanceRef r_hburst_RNI6BI6_0)) )) (net (rename area_1 "area[1]") (joined (portRef Q (instanceRef r_area_1)) (portRef B (instanceRef r_ws_RNO_2_3)) (portRef B (instanceRef r_ws_RNO_4_0)) (portRef B (instanceRef r_mcfg1_brdyen_RNIMRC8)) (portRef B (instanceRef r_mcfg1_ioen_RNI3MU6)) (portRef A (instanceRef r_mcfg1_ioen_RNI18K8)) (portRef B (instanceRef r_area_RNIRS5O_1)) (portRef B (instanceRef r_ws_RNO_2_2)) (portRef A (instanceRef r_ws_RNO_3_1)) (portRef A (instanceRef r_brmw_RNI59HN1)) (portRef B (instanceRef r_read_RNIO5O9)) (portRef B (instanceRef r_hburst_RNI6BI6_0)) )) (net (rename busw_1_iv_0_1 "busw_1_iv_0[1]") (joined (portRef Y (instanceRef r_busw_RNO_0_1)) (portRef C (instanceRef r_busw_RNO_1)) )) (net (rename ramwidth_m_1 "ramwidth_m[1]") (joined (portRef Y (instanceRef r_busw_RNO_1_1)) (portRef C (instanceRef r_busw_RNO_0_1)) )) (net (rename busw_1_iv_0_0 "busw_1_iv_0[0]") (joined (portRef Y (instanceRef r_busw_RNO_0_0)) (portRef C (instanceRef r_busw_RNO_0)) )) (net (rename iosn_100 "iosn[101]") (joined (portRef iosn_100) (portRef D (instanceRef r_area_1)) (portRef A (instanceRef r_oen_RNO_1)) (portRef A (instanceRef busw_1_sqmuxa)) (portRef A (instanceRef r_iosn_RNO_0_1)) (portRef B (instanceRef r_busw_RNO_0_0)) (portRef B (instanceRef r_busw_RNO_0_1)) )) (net (rename ramwidth_m_0 "ramwidth_m[0]") (joined (portRef Y (instanceRef r_busw_RNO_1_0)) (portRef C (instanceRef r_busw_RNO_0_0)) )) (net brmw_1_1 (joined (portRef Y (instanceRef r_mcfg2_rmw_RNIGCGIB)) (portRef B (instanceRef r_mcfg2_rmw_RNI8RMRR)) )) (net (rename writedata_12_0_iv_0_23 "writedata_12_0_iv_0[23]") (joined (portRef Y (instanceRef r_writedata_RNO_0_23)) (portRef C (instanceRef r_writedata_RNO_23)) )) (net N_3327 (joined (portRef Y (instanceRef r_busw_RNI0NI61_1)) (portRef B (instanceRef r_writedata_RNO_1_22)) (portRef B (instanceRef r_writedata_RNO_1_20)) (portRef B (instanceRef r_writedata_RNO_1_16)) (portRef B (instanceRef r_writedata_RNO_0_18)) (portRef B (instanceRef r_writedata_RNO_0_21)) (portRef B (instanceRef r_writedata_RNO_0_19)) (portRef B (instanceRef r_writedata_RNO_0_17)) (portRef B (instanceRef r_writedata_RNO_0_23)) )) (net (rename writedata_m_i_23 "writedata_m_i[23]") (joined (portRef Y (instanceRef r_writedata_RNO_1_23)) (portRef C (instanceRef r_writedata_RNO_0_23)) )) (net (rename writedata_12_iv_0_31 "writedata_12_iv_0[31]") (joined (portRef Y (instanceRef r_writedata_RNO_1_31)) (portRef B (instanceRef r_writedata_RNO_31)) )) (net (rename writedata_15 "writedata[15]") (joined (portRef Y (instanceRef r_data_RNI8F8F1_15)) (portRef D (instanceRef r_writedata_15)) (portRef A (instanceRef r_writedata_RNO_1_31)) )) (net (rename writedata_m_31 "writedata_m[31]") (joined (portRef Y (instanceRef r_writedata_RNO_3_31)) (portRef C (instanceRef r_writedata_RNO_1_31)) )) (net wrn_3_sqmuxa_s4_0_0 (joined (portRef Y (instanceRef r_address_RNIEU4Q_0)) (portRef A (instanceRef r_address_RNIJM8C5_0)) )) (net (rename un1_sr1_3_0 "un1_sr1_3[0]") (joined (portRef Q (instanceRef r_address_0)) (portRef A (instanceRef r_address_RNIR58J_0)) (portRef B (instanceRef r_address_RNI1STF_0_0)) (portRef B (instanceRef r_address_RNI1STF_0)) (portRef B (instanceRef r_address_RNI1STF_1_0)) (portRef A (instanceRef r_busw_RNINJK9_0)) (portRef A (instanceRef r_writedata_RNO_8_31)) (portRef B (instanceRef r_address_RNIS2GH_0)) (portRef A (instanceRef r_address_RNIEU4Q_0)) )) (net (rename un1_sr1_3_1 "un1_sr1_3[1]") (joined (portRef Q (instanceRef r_address_1)) (portRef A (instanceRef r_address_RNIS4H9_1)) (portRef B (instanceRef r_address_RNIR58J_0)) (portRef A (instanceRef r_address_RNIR79B_1)) (portRef A (instanceRef r_address_RNI1STF_0_0)) (portRef A (instanceRef r_address_RNI1STF_0)) (portRef A (instanceRef r_address_RNI1STF_1_0)) (portRef B (instanceRef r_wrn_RNIF1OD1_2)) (portRef B (instanceRef r_wrn_RNIG1OD1_3)) (portRef B (instanceRef r_wrn_RNIE1OD1_1)) (portRef B (instanceRef r_wrn_RNID1OD1_0)) (portRef B (instanceRef r_address_RNIOHJH_1)) (portRef A (instanceRef r_busw_RNIGB9J_1)) (portRef A (instanceRef r_busw_RNIPNK9_1)) (portRef B (instanceRef r_writedata_RNO_7_31)) (portRef C (instanceRef r_address_RNIS2GH_0)) (portRef B (instanceRef r_address_RNIEU4Q_0)) )) (net hresp2 (joined (portRef Y (instanceRef r_read_RNID27A)) (portRef A (instanceRef r_srhsel_RNICJ8O)) (portRef C (instanceRef r_srhsel_RNIF7QU)) (portRef C (instanceRef r_srhsel_RNI261U)) (portRef C (instanceRef r_address_RNIPO0V_0_0)) (portRef C (instanceRef r_address_RNIPO0V_0)) (portRef B (instanceRef r_address_RNIEU4Q_0_0)) (portRef C (instanceRef r_address_RNIEU4Q_0)) )) (net wrn_2_sqmuxa_s3_0_0 (joined (portRef Y (instanceRef r_address_RNIEU4Q_0_0)) (portRef A (instanceRef r_srhsel_RNIJM8C5)) )) (net wrn7 (joined (portRef Y (instanceRef r_address_RNI1STF_1_0)) (portRef A (instanceRef r_address_RNIR58J_0_0)) (portRef B (instanceRef r_data_RNI4MQG1_23)) (portRef A (instanceRef r_writedata_RNO_4_28)) (portRef A (instanceRef r_address_RNIEU4Q_0_0)) )) (net wrn_5_sqmuxa_s6_0_1 (joined (portRef Y (instanceRef r_address_RNIPO0V_0)) (portRef A (instanceRef r_srhsel_RNIUG4H5)) )) (net wrn_5_sqmuxa_s6_0_2 (joined (portRef Y (instanceRef r_mcfg2_rmw_RNIBQR4)) (portRef A (instanceRef r_address_RNIPO0V_0_0)) (portRef A (instanceRef r_address_RNIPO0V_0)) )) (net writedata17 (joined (portRef Y (instanceRef r_address_RNI1STF_0)) (portRef A (instanceRef r_address_RNIR58J_1_0)) (portRef B (instanceRef r_busw_RNIGB9J_0)) (portRef B (instanceRef r_data_RNI67JP_23)) (portRef B (instanceRef r_address_RNIPO0V_0)) )) (net wrn_4_sqmuxa_s5_0_1 (joined (portRef Y (instanceRef r_address_RNIPO0V_0_0)) (portRef A (instanceRef r_srhsel_RNIUG4H5_0)) )) (net wrn9 (joined (portRef Y (instanceRef r_address_RNI1STF_0_0)) (portRef A (instanceRef r_address_RNIR58J_2_0)) (portRef A (instanceRef r_address_RNIR58J_3_0)) (portRef A (instanceRef r_data_RNI43JP_14)) (portRef A (instanceRef r_data_RNI23JP_12)) (portRef B (instanceRef r_address_RNIPO0V_0_0)) )) (net (rename writedata_12_iv_0_0_26 "writedata_12_iv_0_0[26]") (joined (portRef Y (instanceRef r_writedata_RNO_0_26)) (portRef A (instanceRef r_writedata_RNO_26)) )) (net (rename writedata_18 "writedata[18]") (joined (portRef Y (instanceRef r_data_RNI7D9A1_18)) (portRef A (instanceRef r_writedata_RNO_1_18)) (portRef A (instanceRef r_writedata_RNO_0_26)) )) (net writedata_1_sqmuxa (joined (portRef Y (instanceRef r_busw_RNIGB9J_1)) (portRef B (instanceRef r_busw_RNI0NI61_1)) (portRef B (instanceRef r_writedata_RNO_0_31)) (portRef B (instanceRef r_writedata_RNO_0_24)) (portRef B (instanceRef r_writedata_RNO_1_30)) (portRef B (instanceRef r_writedata_RNO_2_28)) (portRef B (instanceRef r_writedata_RNO_3_25)) (portRef A (instanceRef r_writedata_RNO_0_29)) (portRef B (instanceRef r_writedata_RNO_0_27)) (portRef B (instanceRef r_writedata_RNO_0_26)) )) (net N_3599 (joined (portRef Y (instanceRef r_writedata_RNO_3_26)) (portRef C (instanceRef r_writedata_RNO_0_26)) )) (net (rename writedata_12_iv_0_1_27 "writedata_12_iv_0_1[27]") (joined (portRef Y (instanceRef r_writedata_RNO_0_27)) (portRef A (instanceRef r_writedata_RNO_27)) )) (net (rename writedata_19 "writedata[19]") (joined (portRef Y (instanceRef r_data_RNIF6RG1_19)) (portRef A (instanceRef r_writedata_RNO_1_19)) (portRef A (instanceRef r_writedata_RNO_0_27)) )) (net N_3492 (joined (portRef Y (instanceRef r_writedata_RNO_3_27)) (portRef C (instanceRef r_writedata_RNO_0_27)) )) (net (rename writedata_12_iv_0_0_27 "writedata_12_iv_0_0[27]") (joined (portRef Y (instanceRef r_writedata_RNO_1_27)) (portRef B (instanceRef r_writedata_RNO_27)) )) (net (rename writedata_11 "writedata[11]") (joined (portRef Y (instanceRef r_data_RNIN9M81_11)) (portRef D (instanceRef r_writedata_11)) (portRef A (instanceRef r_writedata_RNO_1_27)) )) (net N_3493 (joined (portRef Y (instanceRef r_writedata_RNO_4_27)) (portRef C (instanceRef r_writedata_RNO_1_27)) )) (net (rename writedata_12_iv_0_1_29 "writedata_12_iv_0_1[29]") (joined (portRef Y (instanceRef r_writedata_RNO_0_29)) (portRef A (instanceRef r_writedata_RNO_29)) )) (net N_3330 (joined (portRef Y (instanceRef r_data_RNIUDQG1_21)) (portRef B (instanceRef r_writedata_RNO_1_21)) (portRef B (instanceRef r_writedata_RNO_0_29)) )) (net N_3487 (joined (portRef Y (instanceRef r_writedata_RNO_3_29)) (portRef C (instanceRef r_writedata_RNO_0_29)) )) (net (rename writedata_12_iv_0_0_29 "writedata_12_iv_0_0[29]") (joined (portRef Y (instanceRef r_writedata_RNO_1_29)) (portRef B (instanceRef r_writedata_RNO_29)) )) (net (rename writedata_13 "writedata[13]") (joined (portRef Y (instanceRef r_data_RNI278F1_13)) (portRef D (instanceRef r_writedata_13)) (portRef A (instanceRef r_writedata_RNO_1_29)) )) (net N_3488 (joined (portRef Y (instanceRef r_writedata_RNO_4_29)) (portRef C (instanceRef r_writedata_RNO_1_29)) )) (net (rename writedata_12_iv_0_2_25 "writedata_12_iv_0_2[25]") (joined (portRef Y (instanceRef r_writedata_RNO_0_25)) (portRef C (instanceRef r_writedata_RNO_25)) )) (net N_3497 (joined (portRef Y (instanceRef r_writedata_RNO_1_25)) (portRef A (instanceRef r_writedata_RNO_0_25)) )) (net N_3496 (joined (portRef Y (instanceRef r_writedata_RNO_2_25)) (portRef B (instanceRef r_writedata_RNO_0_25)) )) (net (rename writedata_12_iv_0_0_25 "writedata_12_iv_0_0[25]") (joined (portRef Y (instanceRef r_writedata_RNO_3_25)) (portRef C (instanceRef r_writedata_RNO_0_25)) )) (net (rename writedata_17 "writedata[17]") (joined (portRef Y (instanceRef r_data_RNI9UQG1_17)) (portRef A (instanceRef r_writedata_RNO_1_17)) (portRef A (instanceRef r_writedata_RNO_3_25)) )) (net N_3498 (joined (portRef Y (instanceRef r_writedata_RNO_4_25)) (portRef C (instanceRef r_writedata_RNO_3_25)) )) (net (rename writedata_12_iv_0_1_28 "writedata_12_iv_0_1[28]") (joined (portRef Y (instanceRef r_writedata_RNO_2_28)) (portRef C (instanceRef r_writedata_RNO_28)) )) (net (rename writedata_20 "writedata[20]") (joined (portRef Y (instanceRef r_data_RNIMK8A1_20)) (portRef A (instanceRef r_writedata_RNO_20)) (portRef A (instanceRef r_writedata_RNO_2_28)) )) (net (rename writedata_12_iv_0_0_28 "writedata_12_iv_0_0[28]") (joined (portRef Y (instanceRef r_writedata_RNO_3_28)) (portRef C (instanceRef r_writedata_RNO_2_28)) )) (net (rename writedata_12_iv_0_a2_0_1_28 "writedata_12_iv_0_a2_0_1[28]") (joined (portRef Y (instanceRef r_writedata_RNO_4_28)) (portRef A (instanceRef r_writedata_RNO_3_28)) )) (net busw_1 (joined (portRef Y (instanceRef r_busw_RNI9HJM_1)) (portRef B (instanceRef r_writedata_RNO_1_23)) (portRef A (instanceRef r_busw_RNI4NR91_1)) (portRef B (instanceRef r_writedata_RNO_1_18)) (portRef B (instanceRef r_writedata_RNO_3_31)) (portRef A (instanceRef r_writedata_RNO_1_21)) (portRef B (instanceRef r_writedata_RNO_1_19)) (portRef B (instanceRef r_writedata_RNO_1_17)) (portRef B (instanceRef r_writedata_RNO_16)) (portRef B (instanceRef r_writedata_RNO_20)) (portRef B (instanceRef r_writedata_RNO_22)) (portRef B (instanceRef r_writedata_RNO_5_28)) (portRef B (instanceRef r_writedata_RNO_3_28)) )) (net N_3368 (joined (portRef Y (instanceRef r_writedata_RNO_5_28)) (portRef C (instanceRef r_writedata_RNO_3_28)) )) (net (rename writedata_12_0_iv_0_0_17 "writedata_12_0_iv_0_0[17]") (joined (portRef Y (instanceRef r_writedata_RNO_0_17)) (portRef C (instanceRef r_writedata_RNO_17)) )) (net N_3348 (joined (portRef Y (instanceRef r_writedata_RNO_1_17)) (portRef C (instanceRef r_writedata_RNO_0_17)) )) (net (rename writedata_12_0_iv_0_0_19 "writedata_12_0_iv_0_0[19]") (joined (portRef Y (instanceRef r_writedata_RNO_0_19)) (portRef C (instanceRef r_writedata_RNO_19)) )) (net N_3351 (joined (portRef Y (instanceRef r_writedata_RNO_1_19)) (portRef C (instanceRef r_writedata_RNO_0_19)) )) (net (rename writedata_12_0_iv_0_0_21 "writedata_12_0_iv_0_0[21]") (joined (portRef Y (instanceRef r_writedata_RNO_0_21)) (portRef C (instanceRef r_writedata_RNO_21)) )) (net N_3354 (joined (portRef Y (instanceRef r_writedata_RNO_1_21)) (portRef C (instanceRef r_writedata_RNO_0_21)) )) (net (rename writedata_12_0_iv_0_0_18 "writedata_12_0_iv_0_0[18]") (joined (portRef Y (instanceRef r_writedata_RNO_0_18)) (portRef C (instanceRef r_writedata_RNO_18)) )) (net N_3563 (joined (portRef Y (instanceRef r_writedata_RNO_1_18)) (portRef C (instanceRef r_writedata_RNO_0_18)) )) (net (rename writedata_12_iv_0_1_24 "writedata_12_iv_0_1[24]") (joined (portRef Y (instanceRef r_writedata_RNO_2_24)) (portRef C (instanceRef r_writedata_RNO_24)) )) (net (rename writedata_8 "writedata[8]") (joined (portRef Y (instanceRef r_data_RNI2QV21_8)) (portRef D (instanceRef r_writedata_8)) (portRef A (instanceRef r_writedata_RNO_2_24)) )) (net (rename writedata_12_iv_0_0_24 "writedata_12_iv_0_0[24]") (joined (portRef Y (instanceRef r_writedata_RNO_3_24)) (portRef C (instanceRef r_writedata_RNO_2_24)) )) (net N_3604 (joined (portRef Y (instanceRef r_writedata_RNO_4_24)) (portRef C (instanceRef r_writedata_RNO_3_24)) )) (net (rename writedata_12_iv_0_1_30 "writedata_12_iv_0_1[30]") (joined (portRef Y (instanceRef r_writedata_RNO_2_30)) (portRef C (instanceRef r_writedata_RNO_30)) )) (net (rename writedata_14 "writedata[14]") (joined (portRef Y (instanceRef r_data_RNIRRUR1_14)) (portRef D (instanceRef r_writedata_14)) (portRef A (instanceRef r_writedata_RNO_2_30)) )) (net (rename writedata_12_iv_0_0_30 "writedata_12_iv_0_0[30]") (joined (portRef Y (instanceRef r_writedata_RNO_3_30)) (portRef C (instanceRef r_writedata_RNO_2_30)) )) (net N_3592 (joined (portRef Y (instanceRef r_writedata_RNO_4_30)) (portRef C (instanceRef r_writedata_RNO_3_30)) )) (net (rename ws_3_0_iv_1_3 "ws_3_0_iv_1[3]") (joined (portRef Y (instanceRef r_ws_RNO_0_3)) (portRef B (instanceRef r_ws_RNO_3)) )) (net (rename romwws_m_3 "romwws_m[3]") (joined (portRef Y (instanceRef r_ws_RNO_1_3)) (portRef A (instanceRef r_ws_RNO_0_3)) )) (net (rename iows_m_3 "iows_m[3]") (joined (portRef Y (instanceRef r_ws_RNO_2_3)) (portRef B (instanceRef r_ws_RNO_0_3)) )) (net (rename romrws_m_3 "romrws_m[3]") (joined (portRef Y (instanceRef r_ws_RNO_3_3)) (portRef C (instanceRef r_ws_RNO_0_3)) )) (net (rename writedata_12_0_iv_0_0_16 "writedata_12_0_iv_0_0[16]") (joined (portRef Y (instanceRef r_writedata_RNO_0_16)) (portRef C (instanceRef r_writedata_RNO_16)) )) (net (rename hwdata_0 "hwdata[0]") (joined (portRef (member hwdata 31)) (portRef A (instanceRef r_writedata_RNO_0)) (portRef A (instanceRef r_writedata_RNO_0_16)) (portRef B (instanceRef r_writedata_RNO_3_24)) )) (net N_3562 (joined (portRef Y (instanceRef r_writedata_RNO_1_16)) (portRef C (instanceRef r_writedata_RNO_0_16)) )) (net (rename writedata_12_0_iv_0_0_20 "writedata_12_0_iv_0_0[20]") (joined (portRef Y (instanceRef r_writedata_RNO_0_20)) (portRef C (instanceRef r_writedata_RNO_20)) )) (net N_3568 (joined (portRef Y (instanceRef r_writedata_RNO_1_20)) (portRef C (instanceRef r_writedata_RNO_0_20)) )) (net (rename writedata_12_0_iv_0_0_22 "writedata_12_0_iv_0_0[22]") (joined (portRef Y (instanceRef r_writedata_RNO_0_22)) (portRef C (instanceRef r_writedata_RNO_22)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef (member hwdata 25)) (portRef B (instanceRef r_writedata_RNO_0_6)) (portRef A (instanceRef r_writedata_RNO_1_6)) (portRef A (instanceRef r_writedata_RNO_0_22)) (portRef B (instanceRef r_writedata_RNO_3_30)) )) (net N_3571 (joined (portRef Y (instanceRef r_writedata_RNO_1_22)) (portRef C (instanceRef r_writedata_RNO_0_22)) )) (net (rename writedata_1_iv_i_0_6 "writedata_1_iv_i_0[6]") (joined (portRef Y (instanceRef r_writedata_RNO_1_6)) (portRef C (instanceRef r_writedata_RNO_6)) )) (net N_2554 (joined (portRef Y (instanceRef r_address_RNIR58J_1_0)) (portRef B (instanceRef r_address_RNINAPS_1)) (portRef B (instanceRef r_data_RNIQP8E1_7)) (portRef B (instanceRef r_writedata_RNO_1_6)) )) (net N_3558 (joined (portRef Y (instanceRef r_writedata_RNO_2_6)) (portRef C (instanceRef r_writedata_RNO_1_6)) )) (net (rename writedata_1_iv_0_7 "writedata_1_iv_0[7]") (joined (portRef Y (instanceRef r_data_RNIQP8E1_7)) (portRef C (instanceRef r_data_RNIKIQI2_7)) )) (net (rename hrdata_m_7 "hrdata_m[7]") (joined (portRef Y (instanceRef r_data_RNI62KB_7)) (portRef C (instanceRef r_data_RNIQP8E1_7)) )) (net (rename writedata_0_iv_1_23 "writedata_0_iv_1[23]") (joined (portRef Y (instanceRef r_data_RNIAS3S2_23)) (portRef A (instanceRef r_data_RNIEIUC4_23)) )) (net N_3347 (joined (portRef Y (instanceRef r_address_RNIR58J_0)) (portRef B (instanceRef r_data_RNIAS3S2_23)) )) (net (rename writedata_0_iv_0_23 "writedata_0_iv_0[23]") (joined (portRef Y (instanceRef r_data_RNICE6J1_23)) (portRef C (instanceRef r_data_RNIAS3S2_23)) )) (net writedata_2_sqmuxa (joined (portRef Y (instanceRef r_address_RNIR58J_3_0)) (portRef A (instanceRef r_data_RNIKIQI2_7)) (portRef B (instanceRef r_writedata_RNO_6)) (portRef B (instanceRef r_data_RNIK0G22_10)) (portRef B (instanceRef r_data_RNICE6J1_23)) )) (net (rename hrdata_m_0_23 "hrdata_m_0[23]") (joined (portRef Y (instanceRef r_data_RNI67JP_23)) (portRef C (instanceRef r_data_RNICE6J1_23)) )) (net (rename ws_3_0_iv_1_2 "ws_3_0_iv_1[2]") (joined (portRef Y (instanceRef r_ws_RNO_0_2)) (portRef A (instanceRef r_ws_RNO_2)) )) (net (rename romwws_m_2 "romwws_m[2]") (joined (portRef Y (instanceRef r_ws_RNO_1_2)) (portRef A (instanceRef r_ws_RNO_0_2)) )) (net (rename iows_m_2 "iows_m[2]") (joined (portRef Y (instanceRef r_ws_RNO_2_2)) (portRef B (instanceRef r_ws_RNO_0_2)) )) (net (rename romrws_m_2 "romrws_m[2]") (joined (portRef Y (instanceRef r_ws_RNO_3_2)) (portRef C (instanceRef r_ws_RNO_0_2)) )) (net (rename writedata_0_iv_0_10 "writedata_0_iv_0[10]") (joined (portRef Y (instanceRef r_data_RNIK0G22_10)) (portRef C (instanceRef r_brmw_RNI72FR2)) )) (net (rename hrdata_m_10 "hrdata_m[10]") (joined (portRef Y (instanceRef r_data_RNI03JP_10)) (portRef C (instanceRef r_data_RNIK0G22_10)) )) (net ADD_4x4_fast_I13_Y_0_0 (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I13_Y_0_0)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_m_0)) )) (net (rename ws_3 "ws[3]") (joined (portRef Q (instanceRef r_ws_3)) (portRef A (instanceRef r_ws_RNIV5UE_3)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I13_Y_0_0)) )) (net (rename A_i_i_0_0 "A_i_i_0[0]") (joined (portRef Y (instanceRef r_bstate_RNITK8Q1_6)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I8_Y_0_a2_0)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I0_CO1_i)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_m_3)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I6_Y_0_a3_0)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I11_Y_0_0)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I12_Y_0_0)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I13_Y_0_0)) )) (net (rename writedata_1_iv_0_31 "writedata_1_iv_0[31]") (joined (portRef Y (instanceRef r_writedata_RNO_5_31)) (portRef A (instanceRef r_writedata_RNO_4_31)) )) (net (rename hrdata_m_0_0_31 "hrdata_m_0_0[31]") (joined (portRef Y (instanceRef r_writedata_RNO_7_31)) (portRef A (instanceRef r_writedata_RNO_5_31)) )) (net brmw_i (joined (portRef Y (instanceRef r_brmw_RNIQ9A3)) (portRef B (instanceRef r_address_RNIR58J_2_0)) (portRef B (instanceRef r_address_RNIR58J_1_0)) (portRef C (instanceRef r_address_RNIR58J_0)) (portRef B (instanceRef r_address_RNIR79B_1)) (portRef B (instanceRef r_address_RNIR58J_3_0)) (portRef B (instanceRef r_address_RNIR58J_0_0)) (portRef A (instanceRef r_data_RNI4MQG1_23)) (portRef B (instanceRef r_address_RNIMCQK_0)) (portRef C (instanceRef r_data_RNI43JP_14)) (portRef C (instanceRef r_data_RNI23JP_12)) (portRef A (instanceRef r_brmw_RNI72FR2)) (portRef C (instanceRef r_data_RNI67JP_23)) (portRef C (instanceRef r_writedata_RNO_4_28)) (portRef B (instanceRef r_writedata_RNO_5_31)) )) (net (rename hrdata_m_31 "hrdata_m[31]") (joined (portRef Y (instanceRef r_writedata_RNO_8_31)) (portRef C (instanceRef r_writedata_RNO_5_31)) )) (net ADD_4x4_fast_I12_Y_0_0 (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I12_Y_0_0)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_m_1)) )) (net (rename ws_2 "ws[2]") (joined (portRef Q (instanceRef r_ws_2)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I8_Y_0_a2_0)) (portRef B (instanceRef r_ws_RNIV5UE_1)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I12_Y_0_0)) )) (net (rename ws_3_iv_3_0 "ws_3_iv_3[0]") (joined (portRef Y (instanceRef r_ws_RNO_0_0)) (portRef A (instanceRef r_ws_RNO_0)) )) (net (rename romrws_m_0 "romrws_m[0]") (joined (portRef Y (instanceRef r_ws_RNO_1_0)) (portRef A (instanceRef r_ws_RNO_0_0)) )) (net (rename ws_3_iv_1_0 "ws_3_iv_1[0]") (joined (portRef Y (instanceRef r_ws_RNO_3_0)) (portRef C (instanceRef r_ws_RNO_0_0)) )) (net wsnew_3_sqmuxa (joined (portRef Y (instanceRef r_area_RNI54UA_2)) (portRef A (instanceRef r_ws_RNO_1_3)) (portRef A (instanceRef r_ws_RNO_1_2)) (portRef A (instanceRef r_ws_RNO_3_0)) )) (net (rename ramrws_m_0 "ramrws_m[0]") (joined (portRef Y (instanceRef r_ws_RNO_6_0)) (portRef C (instanceRef r_ws_RNO_3_0)) )) (net (rename ws_0_0_a2_1_0_1 "ws_0_0_a2_1_0[1]") (joined (portRef Y (instanceRef r_ws_RNO_5_1)) (portRef C (instanceRef r_ws_RNO_1_1)) )) (net bstate_3 (joined (portRef Y (instanceRef r_bstate_RNIV8CS_6)) (portRef C (instanceRef un1_v_ws_1_sqmuxa_2_m_0)) (portRef C (instanceRef un1_v_ws_1_sqmuxa_2_m_3)) (portRef C (instanceRef un1_v_ws_1_sqmuxa_2_m_1)) (portRef B (instanceRef r_ws_RNO_5_1)) )) (net bexcen_0_sqmuxa_0 (joined (portRef Y (instanceRef v_mcfg1_bexcen_0_sqmuxa_0)) (portRef A (instanceRef v_mcfg1_bexcen_0_sqmuxa)) )) (net rmw_1_sqmuxa_0 (joined (portRef Y (instanceRef v_mcfg2_rmw_1_sqmuxa_0)) (portRef A (instanceRef v_mcfg2_rmw_1_sqmuxa)) )) (net (rename paddr_0_2 "paddr_0[2]") (joined (portRef (member paddr_0 0)) (portRef A (instanceRef v_mcfg2_rmw_1_sqmuxa_0)) (portRef B (instanceRef v_mcfg1_bexcen_0_sqmuxa_0)) )) (net (rename paddr_3 "paddr[5]") (joined (portRef paddr_3) (portRef B (instanceRef v_mcfg2_rmw_1_sqmuxa_0)) (portRef A (instanceRef v_mcfg1_bexcen_0_sqmuxa_0)) )) (net ADD_4x4_fast_I11_Y_0_0 (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I11_Y_0_0)) (portRef B (instanceRef r_ws_RNO_1_1)) )) (net (rename ws_1 "ws[1]") (joined (portRef Q (instanceRef r_ws_1)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I6_Y_0_a3_0)) (portRef A (instanceRef r_ws_RNIV5UE_1)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I11_Y_0_0)) )) (net wrn_6_sqmuxa_0_2_0 (joined (portRef Y (instanceRef r_srhsel_RNIIH9H)) (portRef A (instanceRef r_srhsel_RNIF7QU)) )) (net wrn_6_sqmuxa_0_0 (joined (portRef Y (instanceRef r_size_RNIR6I1_0_0)) (portRef A (instanceRef r_srhsel_RNIIH9H)) )) (net srhsel (joined (portRef Q (instanceRef r_srhsel)) (portRef B (instanceRef r_srhsel_RNI4CFP)) (portRef A (instanceRef r_srhsel_RNIVG1E)) (portRef A (instanceRef r_srhsel_RNO_1)) (portRef A (instanceRef r_srhsel_RNIVG1E_0)) (portRef A (instanceRef r_srhsel_RNI3I2K3)) (portRef B (instanceRef r_srhsel_RNIIH9H)) )) (net wrn_6_sqmuxa_0_2 (joined (portRef Y (instanceRef r_busw_RNIOAL8_1)) (portRef B (instanceRef r_srhsel_RNI3I2K3)) (portRef C (instanceRef r_srhsel_RNIIH9H)) )) (net (rename size_0 "size[0]") (joined (portRef Q (instanceRef r_size_0)) (portRef B (instanceRef r_size_RNIR6I1_0)) (portRef A (instanceRef r_size_RNIR6I1_0_0)) )) (net (rename size_1 "size[1]") (joined (portRef Q (instanceRef r_size_1)) (portRef B (instanceRef r_size_RNI6VE2_1)) (portRef A (instanceRef r_size_RNIR6I1_0)) (portRef B (instanceRef r_size_RNIR6I1_0_0)) )) (net un1_apbi_0_0 (joined (portRef Y (instanceRef ctrl_un1_apbi_0)) (portRef A (instanceRef ctrl_un1_apbi)) )) (net (rename paddr_6 "paddr[8]") (joined (portRef paddr_6) (portRef A (instanceRef ctrl_un1_apbi_0)) )) (net (rename paddr_7 "paddr[9]") (joined (portRef paddr_7) (portRef B (instanceRef ctrl_un1_apbi_0)) )) (net un1_apbi_0 (joined (portRef un1_apbi_0) (portRef C (instanceRef ctrl_un1_apbi_0)) )) (net (rename writedata_0_iv_0_o3_0_22 "writedata_0_iv_0_o3_0[22]") (joined (portRef Y (instanceRef r_address_RNIS2GH_0)) (portRef A (instanceRef r_address_RNIMCQK_0)) )) (net wrn24_i_0 (joined (portRef Y (instanceRef r_size_RNIR6I1_0)) (portRef B (instanceRef r_address_RNIS4H9_1)) (portRef B (instanceRef r_mcfg2_rmw_RNIBQR4)) (portRef S (instanceRef r_data_RNI9GIT_23)) (portRef S (instanceRef r_data_RNIVI9H_7)) (portRef S (instanceRef r_writedata_RNO_0_6)) (portRef A (instanceRef r_address_RNIS2GH_0)) )) (net writedata_1_sqmuxa_i_o2_0 (joined (portRef Y (instanceRef r_busw_RNIPNK9_1)) (portRef A (instanceRef r_busw_RNI9HJM_0_1)) )) (net (rename buswZ0Z_1 "busw[1]") (joined (portRef Q (instanceRef r_busw_1)) (portRef A (instanceRef r_size_RNI6VE2_1)) (portRef A (instanceRef r_busw_RNIOAL8_1)) (portRef A (instanceRef r_brmw_RNIQ9A3)) (portRef A (instanceRef r_busw_RNIFFB3_0)) (portRef B (instanceRef r_busw_RNIGB9J_1)) (portRef C (instanceRef r_busw_RNI9HJM_1)) (portRef B (instanceRef r_busw_RNIPNK9_1)) )) (net (rename wsZ0Z_1 "ws_1") (joined (portRef Y (instanceRef r_ws_RNIV5UE_1)) (portRef B (instanceRef r_ready_RNIU79J1)) (portRef B (instanceRef r_mcfg1_brdyen_RNIK7961)) )) (net ws_0 (joined (portRef Y (instanceRef r_ws_RNIV5UE_3)) (portRef A (instanceRef r_ready_RNIU79J1)) (portRef A (instanceRef r_mcfg1_brdyen_RNIK7961)) )) (net (rename wsZ0Z_0 "ws[0]") (joined (portRef Q (instanceRef r_ws_0)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I0_CO1_i)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_m_3)) (portRef B (instanceRef r_ws_RNIV5UE_3)) )) (net (rename iosn_99 "iosn[100]") (joined (portRef iosn_99) (portRef D (instanceRef r_area_2)) (portRef B (instanceRef busw_1_sqmuxa)) (portRef B (instanceRef r_busw_RNO_1_0)) (portRef B (instanceRef r_busw_RNO_1_1)) (portRef A (instanceRef r_ramsn_RNO_0)) (portRef C (instanceRef r_mcfg2_rmw_RNI8RMRR)) (portRef A (instanceRef r_ramoen_RNO_2_0)) )) (net N_3433 (joined (portRef Y (instanceRef r_address_RNIR58J_0_0)) (portRef B (instanceRef r_writedata_RNO_4_27)) (portRef B (instanceRef r_busw_RNI4NR91_1)) (portRef B (instanceRef r_writedata_RNO_3_26)) (portRef B (instanceRef r_writedata_RNO_4_25)) (portRef B (instanceRef r_writedata_RNO_4_29)) (portRef B (instanceRef r_writedata_RNO_6_31)) (portRef B (instanceRef r_writedata_RNO_4_24)) (portRef B (instanceRef r_writedata_RNO_4_30)) (portRef A (instanceRef r_writedata_RNO_5_28)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef (member hwdata 3)) (portRef C (instanceRef r_writedata_RNO_5_28)) )) (net (rename writedata_12_28 "writedata_12[28]") (joined (portRef Y (instanceRef r_writedata_RNO_28)) (portRef D (instanceRef r_writedata_28)) )) (net N_3358 (joined (portRef Y (instanceRef r_writedata_RNO_0_28)) (portRef A (instanceRef r_writedata_RNO_28)) )) (net N_3367 (joined (portRef Y (instanceRef r_writedata_RNO_1_28)) (portRef B (instanceRef r_writedata_RNO_28)) )) (net (rename writedata_12_24 "writedata_12[24]") (joined (portRef Y (instanceRef r_writedata_RNO_24)) (portRef D (instanceRef r_writedata_24)) )) (net N_3609 (joined (portRef Y (instanceRef r_writedata_RNO_0_24)) (portRef A (instanceRef r_writedata_RNO_24)) )) (net N_3607 (joined (portRef Y (instanceRef r_writedata_RNO_1_24)) (portRef B (instanceRef r_writedata_RNO_24)) )) (net (rename writedata_12_30 "writedata_12[30]") (joined (portRef Y (instanceRef r_writedata_RNO_30)) (portRef D (instanceRef r_writedata_30)) )) (net N_3593 (joined (portRef Y (instanceRef r_writedata_RNO_0_30)) (portRef A (instanceRef r_writedata_RNO_30)) )) (net N_3596 (joined (portRef Y (instanceRef r_writedata_RNO_1_30)) (portRef B (instanceRef r_writedata_RNO_30)) )) (net (rename romsn_1_0 "romsn_1[0]") (joined (portRef Y (instanceRef r_romsn_RNO_0)) (portRef D (instanceRef r_romsn_0)) )) (net bstate16 (joined (portRef Y (instanceRef ctrl_v_bstate16)) (portRef A (instanceRef v_ramoen_0_sqmuxa)) (portRef B (instanceRef r_oen_RNO_0)) (portRef A (instanceRef r_hwrite_RNITDKB64)) (portRef B (instanceRef r_ramoen_RNO_1_0)) (portRef C (instanceRef r_romsn_RNO_0)) )) (net rmw_1_sqmuxa (joined (portRef Y (instanceRef v_mcfg2_rmw_1_sqmuxa)) (portRef E (instanceRef r_mcfg2_ramwidth_1)) (portRef E (instanceRef r_mcfg2_ramwidth_0)) (portRef E (instanceRef r_mcfg2_rambanksz_3)) (portRef E (instanceRef r_mcfg2_rambanksz_2)) (portRef E (instanceRef r_mcfg2_rambanksz_1)) (portRef E (instanceRef r_mcfg2_rambanksz_0)) (portRef E (instanceRef r_mcfg2_rmw)) (portRef S (instanceRef r_mcfg2_ramrws_RNO_0_1)) (portRef S (instanceRef r_mcfg2_ramwws_RNO_0_1)) (portRef S (instanceRef r_mcfg2_ramwws_RNO_0_0)) (portRef S (instanceRef r_mcfg2_ramrws_RNO_0_0)) )) (net un1_apbi (joined (portRef Y (instanceRef ctrl_un1_apbi)) (portRef C (instanceRef v_mcfg1_bexcen_0_sqmuxa)) (portRef C (instanceRef v_mcfg2_rmw_1_sqmuxa)) )) (net (rename hrdata_23 "hrdata[23]") (joined (portRef Q (instanceRef r_data_23)) (portRef A (instanceRef r_data_RNI9GIT_23)) (portRef A (instanceRef r_data_RNI67JP_23)) (portRef A (instanceRef r_data_RNICE6J1_23)) (portRef (member hrdata 8)) )) (net (rename hrdata_31 "hrdata[31]") (joined (portRef Q (instanceRef r_data_31)) (portRef B (instanceRef r_writedata_RNO_8_31)) (portRef A (instanceRef r_writedata_RNO_7_31)) (portRef (member hrdata 0)) )) (net N_2553 (joined (portRef Y (instanceRef r_address_RNIR79B_1)) (portRef A (instanceRef r_writedata_RNO_2_6)) (portRef B (instanceRef r_data_RNI62KB_7)) (portRef C (instanceRef r_writedata_RNO_8_31)) )) (net (rename writedata_12_31 "writedata_12[31]") (joined (portRef Y (instanceRef r_writedata_RNO_31)) (portRef D (instanceRef r_writedata_31)) )) (net (rename writedata_m_0_23 "writedata_m_0[23]") (joined (portRef Y (instanceRef r_writedata_RNO_0_31)) (portRef A (instanceRef r_writedata_RNO_31)) )) (net (rename writedata_m_0_7 "writedata_m_0[7]") (joined (portRef Y (instanceRef r_writedata_RNO_2_31)) (portRef C (instanceRef r_writedata_RNO_31)) )) (net (rename writedata_23 "writedata[23]") (joined (portRef Y (instanceRef r_data_RNIEIUC4_23)) (portRef A (instanceRef r_writedata_RNO_1_23)) (portRef A (instanceRef r_writedata_RNO_0_31)) )) (net (rename writedata_4_m_23 "writedata_4_m[23]") (joined (portRef Y (instanceRef r_data_RNI4MQG1_23)) (portRef B (instanceRef r_data_RNIEIUC4_23)) )) (net (rename writedata_12_26 "writedata_12[26]") (joined (portRef Y (instanceRef r_writedata_RNO_26)) (portRef D (instanceRef r_writedata_26)) )) (net N_3600 (joined (portRef Y (instanceRef r_writedata_RNO_1_26)) (portRef B (instanceRef r_writedata_RNO_26)) )) (net (rename writedata_12_25 "writedata_12[25]") (joined (portRef Y (instanceRef r_writedata_RNO_25)) (portRef D (instanceRef r_writedata_25)) )) (net N_3519 (joined (portRef Y (instanceRef r_data_RNI4HT51_1)) (portRef D (instanceRef r_writedata_1)) (portRef B (instanceRef r_writedata_RNO_17)) (portRef B (instanceRef r_writedata_RNO_25)) )) (net (rename writedata_12_29 "writedata_12[29]") (joined (portRef Y (instanceRef r_writedata_RNO_29)) (portRef D (instanceRef r_writedata_29)) )) (net N_3489 (joined (portRef Y (instanceRef r_writedata_RNO_2_29)) (portRef C (instanceRef r_writedata_RNO_29)) )) (net (rename un1_sr1_3_265 "un1_sr1_3[265]") (joined (portRef Q (instanceRef r_read)) (portRef B (instanceRef r_read_RNID27A)) (portRef C (instanceRef r_mcfg1_ioen_RNI3MU6)) (portRef A (instanceRef r_read_RNICBI8)) (portRef B (instanceRef r_read_RNIL4LOD2)) (portRef C (instanceRef r_area_RNIAC83_0)) (portRef C (instanceRef r_read_RNIO5O9)) )) (net N_3751 (joined (portRef Y (instanceRef r_area_RNIAC83_0)) (portRef C (instanceRef r_mcfg1_ioen_RNI18K8)) )) (net (rename area_0 "area[0]") (joined (portRef Q (instanceRef r_area_0)) (portRef A (instanceRef r_area_RNIAC83_0)) )) (net romwrite (joined (portRef Q (instanceRef r_mcfg1_romwrite)) (portRef A (instanceRef r_mcfg1_romwrite_RNIQFV1)) (portRef A (instanceRef r_mcfg1_romwrite_RNO_0)) (portRef B (instanceRef r_area_RNIAC83_0)) )) (net (rename writedata_12_22 "writedata_12[22]") (joined (portRef Y (instanceRef r_writedata_RNO_22)) (portRef D (instanceRef r_writedata_22)) )) (net (rename writedata_22 "writedata[22]") (joined (portRef Y (instanceRef r_data_RNISS8A1_22)) (portRef A (instanceRef r_writedata_RNO_1_30)) (portRef A (instanceRef r_writedata_RNO_22)) )) (net (rename writedata_12_20 "writedata_12[20]") (joined (portRef Y (instanceRef r_writedata_RNO_20)) (portRef D (instanceRef r_writedata_20)) )) (net (rename writedata_12_18 "writedata_12[18]") (joined (portRef Y (instanceRef r_writedata_RNO_18)) (portRef D (instanceRef r_writedata_18)) )) (net (rename writedata_12_16 "writedata_12[16]") (joined (portRef Y (instanceRef r_writedata_RNO_16)) (portRef D (instanceRef r_writedata_16)) )) (net (rename writedata_16 "writedata[16]") (joined (portRef Y (instanceRef r_data_RNI6QQG1_16)) (portRef A (instanceRef r_writedata_RNO_0_24)) (portRef A (instanceRef r_writedata_RNO_16)) )) (net (rename writedata_31 "writedata[31]") (joined (portRef Y (instanceRef r_writedata_RNO_4_31)) (portRef A (instanceRef r_writedata_RNO_3_31)) )) (net (rename hwdata_m_31 "hwdata_m[31]") (joined (portRef Y (instanceRef r_writedata_RNO_6_31)) (portRef B (instanceRef r_writedata_RNO_4_31)) )) (net (rename busw_1_0 "busw_1[0]") (joined (portRef Y (instanceRef r_busw_RNO_0)) (portRef D (instanceRef r_busw_0)) )) (net (rename busw_1_sqmuxaZ0 "busw_1_sqmuxa") (joined (portRef Y (instanceRef busw_1_sqmuxa)) (portRef B (instanceRef r_busw_RNO_1)) (portRef B (instanceRef r_busw_RNO_0)) )) (net N_343 (joined (portRef N_343) (portRef B (instanceRef ctrl_un1_apbi)) )) (net (rename paddr_8 "paddr[10]") (joined (portRef paddr_8) (portRef C (instanceRef ctrl_un1_apbi)) )) (net (rename busw_1_1 "busw_1[1]") (joined (portRef Y (instanceRef r_busw_RNO_1)) (portRef D (instanceRef r_busw_1)) )) (net N_3521 (joined (portRef Y (instanceRef r_writedata_RNO_6)) (portRef D (instanceRef r_writedata_6)) )) (net N_3338 (joined (portRef Y (instanceRef r_writedata_RNO_0_6)) (portRef A (instanceRef r_writedata_RNO_6)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef (member hwdata 21)) (portRef B (instanceRef r_brmw_RNI72FR2)) (portRef A (instanceRef r_data_RNIK0G22_10)) )) (net (rename writedata_7 "writedata[7]") (joined (portRef Y (instanceRef r_data_RNIKIQI2_7)) (portRef D (instanceRef r_writedata_7)) (portRef A (instanceRef r_writedata_RNO_2_31)) (portRef A (instanceRef r_writedata_RNO_23)) )) (net (rename writedata_10_7 "writedata_10[7]") (joined (portRef Y (instanceRef r_data_RNIVI9H_7)) (portRef B (instanceRef r_data_RNIKIQI2_7)) )) (net (rename writedata_12_21 "writedata_12[21]") (joined (portRef Y (instanceRef r_writedata_RNO_21)) (portRef D (instanceRef r_writedata_21)) )) (net (rename writedata_5 "writedata[5]") (joined (portRef Y (instanceRef r_data_RNIL6GC1_5)) (portRef D (instanceRef r_writedata_5)) (portRef A (instanceRef r_writedata_RNO_2_29)) (portRef A (instanceRef r_writedata_RNO_21)) )) (net (rename writedata_12_19 "writedata_12[19]") (joined (portRef Y (instanceRef r_writedata_RNO_19)) (portRef D (instanceRef r_writedata_19)) )) (net (rename writedata_3 "writedata[3]") (joined (portRef Y (instanceRef r_data_RNIA1U51_3)) (portRef D (instanceRef r_writedata_3)) (portRef A (instanceRef r_writedata_RNO_2_27)) (portRef A (instanceRef r_writedata_RNO_19)) )) (net (rename writedata_12_17 "writedata_12[17]") (joined (portRef Y (instanceRef r_writedata_RNO_17)) (portRef D (instanceRef r_writedata_17)) )) (net N_3374 (joined (portRef Y (instanceRef r_data_RNI23JP_12)) (portRef C (instanceRef r_data_RNILJUR1_12)) )) (net N_3346 (joined (portRef Y (instanceRef r_data_RNI43JP_14)) (portRef C (instanceRef r_data_RNIRRUR1_14)) )) (net N_3618 (joined (portRef Y (instanceRef r_busw_RNIP5A3_0)) (portRef A (instanceRef r_busw_RNI9HJM_1)) (portRef B (instanceRef r_busw_RNI9HJM_0_1)) )) (net N_3526 (joined (portRef Y (instanceRef r_busw_RNINJK9_0)) (portRef A (instanceRef r_address_RNIOHJH_1)) (portRef C (instanceRef r_busw_RNIGB9J_1)) (portRef C (instanceRef r_busw_RNI9HJM_0_1)) )) (net N_3619 (joined (portRef Y (instanceRef r_address_RNIOHJH_1)) (portRef B (instanceRef r_busw_RNI9HJM_1)) )) (net address_0_sqmuxa (joined (portRef Y (instanceRef r_brmw_RNI59HN1)) (portRef B (instanceRef r_brmw_RNIQ03UN)) )) (net brmw (joined (portRef Q (instanceRef r_brmw)) (portRef A (instanceRef r_brmw_RNI0PU621)) (portRef A (instanceRef r_brmw_RNIARJ33)) (portRef B (instanceRef r_brmw_RNIQ9A3)) (portRef B (instanceRef r_busw_RNIP5A3_0)) (portRef B (instanceRef r_brmw_RNI59HN1)) )) (net ready_0_sqmuxa (joined (portRef Y (instanceRef r_bstate_RNINUMK1_5)) (portRef B (instanceRef r_brmw_RNIARJ33)) (portRef A (instanceRef r_bstate_RNIK3MR1_4)) (portRef C (instanceRef r_brmw_RNI59HN1)) )) (net ramoen_0_sqmuxa_1 (joined (portRef Y (instanceRef r_bstate_RNIUFDH95_6)) (portRef E (instanceRef r_ramoen_0)) (portRef E (instanceRef r_oen)) )) (net un1_iosn (joined (portRef Y (instanceRef r_hwrite_RNITDKB64)) (portRef B (instanceRef r_oen_RNO)) (portRef B (instanceRef r_ramoen_RNO_0)) (portRef B (instanceRef r_bstate_RNIUFDH95_6)) )) (net bstate_4 (joined (portRef Y (instanceRef r_bstate_RNITL9C21_6)) (portRef A (instanceRef r_oen_RNO)) (portRef C (instanceRef r_bstate_RNIUFDH95_6)) )) (net (rename ramsn_1_i_m_0 "ramsn_1_i_m[0]") (joined (portRef Y (instanceRef r_ramoen_RNO_1_0)) (portRef C (instanceRef r_ramoen_RNO_0)) )) (net un18_srhsel (joined (portRef Y (instanceRef r_hwrite_RNI8M25G3)) (portRef C (instanceRef r_mben_RNO_3)) (portRef B (instanceRef r_oen_RNO_1)) (portRef C (instanceRef r_mben_RNO_0)) (portRef C (instanceRef r_mben_RNO_1)) (portRef C (instanceRef r_mben_RNO_2)) (portRef B (instanceRef r_read_RNO)) (portRef B (instanceRef r_hwrite_RNITDKB64)) (portRef C (instanceRef r_ramoen_RNO_1_0)) )) (net (rename writedata_12_27 "writedata_12[27]") (joined (portRef Y (instanceRef r_writedata_RNO_27)) (portRef D (instanceRef r_writedata_27)) )) (net N_3494 (joined (portRef Y (instanceRef r_writedata_RNO_2_27)) (portRef C (instanceRef r_writedata_RNO_27)) )) (net wrn_5_sqmuxa_s6_0_6 (joined (portRef Y (instanceRef r_srhsel_RNI3I2K3)) (portRef B (instanceRef r_address_RNIJM8C5_0)) (portRef B (instanceRef r_srhsel_RNIJM8C5)) (portRef B (instanceRef r_srhsel_RNIUG4H5)) (portRef B (instanceRef r_srhsel_RNIUG4H5_0)) )) (net writen_0_sqmuxa_1_0 (joined (portRef Y (instanceRef r_bstate_RNIC7B43_4)) (portRef S (instanceRef r_wrn_RNIQP73A_3)) (portRef S (instanceRef r_wrn_RNIDVBU9_1)) (portRef S (instanceRef r_wrn_RNICVBU9_0)) (portRef S (instanceRef r_wrn_RNIPP73A_2)) (portRef C (instanceRef r_srhsel_RNI3I2K3)) )) (net wrn_1_sqmuxa_s2_0_3_i_0 (joined (portRef Y (instanceRef r_srhsel_RNI261U)) (portRef C (instanceRef r_address_RNIJM8C5_0)) (portRef C (instanceRef r_srhsel_RNIJM8C5)) (portRef C (instanceRef r_srhsel_RNIUG4H5)) (portRef C (instanceRef r_srhsel_RNIUG4H5_0)) )) (net un1_wrn35_1 (joined (portRef Y (instanceRef r_size_RNIMIO5_1)) (portRef A (instanceRef r_srhsel_RNI261U)) )) (net oen_1_sqmuxa_0 (joined (portRef Y (instanceRef r_srhsel_RNIVG1E_0)) (portRef A (instanceRef r_oen_RNI4CFP)) (portRef B (instanceRef r_srhsel_RNI261U)) )) (net un1_wrn35_0_1 (joined (portRef Y (instanceRef r_size_RNI6VE2_1)) (portRef A (instanceRef r_size_RNIMIO5_1)) )) (net wrn35 (joined (portRef Y (instanceRef r_mcfg2_rmw_RNIGJ93)) (portRef A (instanceRef r_mcfg2_rmw_RNIBQR4)) (portRef B (instanceRef r_srhsel_RNIF7QU)) (portRef B (instanceRef r_size_RNIMIO5_1)) )) (net iosn_0_sqmuxa (joined (portRef Y (instanceRef r_bstate_RNI64QJN_4)) (portRef B (instanceRef r_bstate_RNI5AFHO_6)) (portRef C (instanceRef r_writen_RNO_0)) (portRef B (instanceRef r_bstate_RNIHTN9P_6)) )) (net N_3321 (joined (portRef Y (instanceRef r_address_RNIMCQK_0)) (portRef S (instanceRef r_data_RNIMK8A1_20)) (portRef S (instanceRef r_data_RNI6QQG1_16)) (portRef S (instanceRef r_data_RNI7D9A1_18)) (portRef S (instanceRef r_data_RNISS8A1_22)) (portRef S (instanceRef r_data_RNI9UQG1_17)) (portRef S (instanceRef r_data_RNIF6RG1_19)) (portRef S (instanceRef r_data_RNIUDQG1_21)) )) (net wrn_6_sqmuxa_0 (joined (portRef Y (instanceRef r_srhsel_RNIF7QU)) (portRef S (instanceRef r_wrn_RNIF1OD1_2)) (portRef C (instanceRef r_bstate_RNIC7B43_4)) (portRef S (instanceRef r_wrn_RNIG1OD1_3)) (portRef S (instanceRef r_wrn_RNIE1OD1_1)) (portRef S (instanceRef r_wrn_RNID1OD1_0)) )) (net (rename bstate_RNO_4 "bstate_RNO[4]") (joined (portRef Y (instanceRef r_bstate_RNO_4)) (portRef D (instanceRef r_bstate_4)) )) (net N_3737 (joined (portRef Y (instanceRef r_bstate_RNO_1_4)) (portRef B (instanceRef r_bstate_RNO_4)) )) (net (rename iosn_i_m_1 "iosn_i_m[1]") (joined (portRef Y (instanceRef r_iosn_RNI7HQU41_1)) (portRef D (instanceRef r_iosn_0)) (portRef A (instanceRef r_iosn_RNO_1)) )) (net bstate_6 (joined (portRef Y (instanceRef r_bstate_RNIF6IP31_5)) (portRef B (instanceRef r_iosn_RNI7HQU41_1)) )) (net (rename writedata_12_23 "writedata_12[23]") (joined (portRef Y (instanceRef r_writedata_RNO_23)) (portRef D (instanceRef r_writedata_23)) )) (net N_3691_i_0 (joined (portRef Y (instanceRef r_bstate_RNO_5)) (portRef D (instanceRef r_bstate_5)) )) (net N_3724 (joined (portRef Y (instanceRef r_bstate_RNO_0_5)) (portRef A (instanceRef r_bstate_RNO_5)) )) (net N_3715 (joined (portRef Y (instanceRef r_srhsel_RNIVG1E)) (portRef B (instanceRef r_mcfg1_ioen_RNI0PLM)) (portRef B (instanceRef r_srhsel_RNICJ8O)) (portRef A (instanceRef r_bstate_RNO_0_5)) )) (net N_3719 (joined (portRef Y (instanceRef r_mcfg1_ioen_RNI3MU6)) (portRef B (instanceRef r_oen_RNI5RDB)) (portRef C (instanceRef r_bstate_RNO_0_5)) )) (net bexcen_0_sqmuxa (joined (portRef Y (instanceRef v_mcfg1_bexcen_0_sqmuxa)) (portRef E (instanceRef r_mcfg1_iowidth_1)) (portRef E (instanceRef r_mcfg1_iowidth_0)) (portRef S (instanceRef r_mcfg1_romwws_RNO_0_3)) (portRef S (instanceRef r_mcfg1_romrws_RNO_0_0)) (portRef S (instanceRef r_mcfg1_romrws_RNO_0_1)) (portRef S (instanceRef r_mcfg1_romrws_RNO_0_3)) (portRef S (instanceRef r_mcfg1_iows_RNO_0_0)) (portRef S (instanceRef r_mcfg1_iows_RNO_0_1)) (portRef S (instanceRef r_mcfg1_iows_RNO_0_3)) (portRef S (instanceRef r_mcfg1_romwws_RNO_0_0)) (portRef S (instanceRef r_mcfg1_brdyen_RNO_0)) (portRef S (instanceRef r_mcfg1_romrws_RNO_0_2)) (portRef B (instanceRef v_mcfg1_bexcen_1_sqmuxa)) (portRef S (instanceRef r_mcfg1_iows_RNO_0_2)) (portRef S (instanceRef r_mcfg1_romwws_RNO_0_2)) (portRef S (instanceRef r_mcfg1_romwws_RNO_0_1)) (portRef S (instanceRef r_mcfg1_bexcen_RNO_0)) (portRef S (instanceRef r_mcfg1_ioen_RNO_0)) (portRef S (instanceRef r_mcfg1_romwrite_RNO_0)) )) (net rmw_1_sqmuxa_2 (joined (portRef rmw_1_sqmuxa_2) (portRef B (instanceRef v_mcfg1_bexcen_0_sqmuxa)) (portRef B (instanceRef v_mcfg2_rmw_1_sqmuxa)) )) (net N_3758 (joined (portRef Y (instanceRef r_area_RNIRS5O_1)) (portRef B (instanceRef r_ws_RNO_3_2)) (portRef B (instanceRef r_ws_RNO_3_3)) (portRef B (instanceRef r_ws_RNO_1_0)) (portRef B (instanceRef r_ws_RNO_4_1)) (portRef C (instanceRef r_ws_RNO_6_0)) )) (net N_3745 (joined (portRef Y (instanceRef r_ws_RNO_1_1)) (portRef C (instanceRef r_ws_RNO_1)) )) (net N_4 (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I0_CO1_i)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I6_Y_0_o2)) (portRef A (instanceRef r_ws_RNO_1_1)) )) (net (rename ws_0_0_a2_1_1 "ws_0_0_a2_1[1]") (joined (portRef Y (instanceRef r_ws_RNO_3_1)) (portRef B (instanceRef r_ws_RNO_0_1)) )) (net N_3721 (joined (portRef Y (instanceRef r_bstate_RNI38DL_7)) (portRef A (instanceRef r_ws_RNO_2_3)) (portRef A (instanceRef r_ws_RNO_4_0)) (portRef A (instanceRef r_ws_RNO_2_2)) (portRef C (instanceRef r_ws_RNO_3_1)) )) (net (rename ws_0_0_1_tz_1 "ws_0_0_1_tz[1]") (joined (portRef Y (instanceRef r_ws_RNO_0_1)) (portRef B (instanceRef r_ws_RNO_1)) )) (net (rename ws_0_0_a2_2_0_1 "ws_0_0_a2_2_0[1]") (joined (portRef Y (instanceRef r_ws_RNO_2_1)) (portRef A (instanceRef r_ws_RNO_0_1)) )) (net (rename ws_0_0_a2_0_0_1 "ws_0_0_a2_0_0[1]") (joined (portRef Y (instanceRef r_ws_RNO_4_1)) (portRef C (instanceRef r_ws_RNO_0_1)) )) (net bstate_2_sqmuxa_1_0 (joined (portRef Y (instanceRef r_oen_RNI5RDB)) (portRef A (instanceRef r_srhsel_RNI4CFP)) (portRef B (instanceRef r_oen_RNI4CFP)) )) (net read_8_iv_0_tz (joined (portRef Y (instanceRef r_read_RNIL4LOD2)) (portRef B (instanceRef r_hwrite_RNI8M25G3)) )) (net srhsel_0_sqmuxa (joined (portRef Y (instanceRef v_srhsel_0_sqmuxa)) (portRef E (instanceRef r_busw_1)) (portRef E (instanceRef r_busw_0)) (portRef E (instanceRef r_area_0)) (portRef E (instanceRef r_area_1)) (portRef E (instanceRef r_area_2)) (portRef E (instanceRef r_brmw)) (portRef A (instanceRef r_brmw_RNIQ03UN)) (portRef S (instanceRef r_srhsel_RNO_0)) (portRef B (instanceRef r_iosn_RNO_0_1)) (portRef C (instanceRef r_ramsn_RNO_0)) (portRef A (instanceRef r_read_RNIL4LOD2)) )) (net hwrite_m_0 (joined (portRef hwrite_m_0) (portRef C (instanceRef r_read_RNIL4LOD2)) )) (net N_3718 (joined (portRef Y (instanceRef r_ws_RNO_7_1)) (portRef A (instanceRef r_ws_RNO_4_1)) )) (net N_3717 (joined (portRef Y (instanceRef r_ws_RNO_6_1)) (portRef B (instanceRef r_ws_RNO_2_1)) )) (net (rename ws_RNO_1 "ws_RNO[1]") (joined (portRef Y (instanceRef r_ws_RNO_1)) (portRef D (instanceRef r_ws_1)) )) (net hwrite_0 (joined (portRef Q (instanceRef r_hwrite)) (portRef A (instanceRef r_hwrite_RNI8M25G3)) )) (net iosn_0_sqmuxa_1 (joined (portRef Y (instanceRef r_bstate_RNIU8A521_5)) (portRef A (instanceRef r_bstate_RNITL9C21_6)) (portRef S (instanceRef r_hwrite_RNI8M25G3)) )) (net N_2972 (joined (portRef Y (instanceRef r_mcfg1_romwrite_RNO_0)) (portRef B (instanceRef r_mcfg1_romwrite_RNO)) )) (net N_2973 (joined (portRef Y (instanceRef r_mcfg1_ioen_RNO_0)) (portRef B (instanceRef r_mcfg1_ioen_RNO)) )) (net (rename pwdata_19 "pwdata[19]") (joined (portRef pwdata_19) (portRef B (instanceRef r_mcfg1_ioen_RNO_0)) )) (net N_2975 (joined (portRef Y (instanceRef r_mcfg1_bexcen_RNO_0)) (portRef B (instanceRef r_mcfg1_bexcen_RNO)) )) (net (rename pwdata_25 "pwdata[25]") (joined (portRef pwdata_25) (portRef B (instanceRef r_mcfg1_bexcen_RNO_0)) )) (net N_2949 (joined (portRef Y (instanceRef r_mcfg1_romwws_RNO_0_1)) (portRef B (instanceRef r_mcfg1_romwws_RNO_1)) )) (net (rename pwdata_0_2 "pwdata_0[5]") (joined (portRef pwdata_0_2) (portRef B (instanceRef r_mcfg1_romwws_RNO_0_1)) )) (net N_2950 (joined (portRef Y (instanceRef r_mcfg1_romwws_RNO_0_2)) (portRef B (instanceRef r_mcfg1_romwws_RNO_2)) )) (net N_2958 (joined (portRef Y (instanceRef r_mcfg1_iows_RNO_0_2)) (portRef B (instanceRef r_mcfg1_iows_RNO_2)) )) (net (rename pwdata_22 "pwdata[22]") (joined (portRef pwdata_22) (portRef B (instanceRef r_mcfg1_iows_RNO_0_2)) )) (net (rename iows_2 "iows[2]") (joined (portRef Q (instanceRef r_mcfg1_iows_2)) (portRef C (instanceRef r_ws_RNO_2_2)) (portRef A (instanceRef r_mcfg1_iows_RNO_0_2)) (portRef (member iows 1)) )) (net (rename romwws_2 "romwws[2]") (joined (portRef Q (instanceRef r_mcfg1_romwws_2)) (portRef B (instanceRef r_ws_RNO_1_2)) (portRef A (instanceRef r_mcfg1_romwws_RNO_0_2)) (portRef (member romwws 1)) )) (net (rename ws_1_sqmuxa_2_m_1 "ws_1_sqmuxa_2_m[1]") (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_m_1)) (portRef B (instanceRef r_ws_RNO_2)) )) (net N92 (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I6_Y_0_o2)) (portRef B (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I8_Y_0)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_m_1)) )) (net N_3076_i (joined (portRef Y (instanceRef r_ws_RNO_2)) (portRef D (instanceRef r_ws_2)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef (member hwdata 10)) (portRef B (instanceRef r_data_RNIUDQG1_21)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef (member hwdata 12)) (portRef B (instanceRef r_data_RNIF6RG1_19)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef (member hwdata 14)) (portRef B (instanceRef r_data_RNI9UQG1_17)) )) (net N_3520 (joined (portRef Y (instanceRef r_data_RNIIUFC1_4)) (portRef D (instanceRef r_writedata_4)) (portRef B (instanceRef r_writedata_RNO_0_28)) )) (net (rename writedata_12 "writedata[12]") (joined (portRef Y (instanceRef r_data_RNILJUR1_12)) (portRef D (instanceRef r_writedata_12)) (portRef A (instanceRef r_writedata_RNO_1_28)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef (member hwdata 29)) (portRef A (instanceRef r_data_RNI7PT51_2)) )) (net N_3325 (joined (portRef Y (instanceRef r_address_RNINAPS_1)) (portRef S (instanceRef r_writedata_RNO_0)) (portRef S (instanceRef r_data_RNI4HT51_1)) (portRef S (instanceRef r_data_RNIL6GC1_5)) (portRef S (instanceRef r_data_RNIA1U51_3)) (portRef S (instanceRef r_data_RNIIUFC1_4)) (portRef S (instanceRef r_data_RNI7PT51_2)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef (member hwdata 17)) (portRef A (instanceRef r_data_RNIRRUR1_14)) )) (net N_3431 (joined (portRef Y (instanceRef r_address_RNIR58J_2_0)) (portRef S (instanceRef r_data_RNI278F1_13)) (portRef S (instanceRef r_data_RNIN9M81_11)) (portRef S (instanceRef r_data_RNI52031_9)) (portRef S (instanceRef r_data_RNI8F8F1_15)) (portRef S (instanceRef r_data_RNI2QV21_8)) (portRef B (instanceRef r_data_RNI03JP_10)) (portRef B (instanceRef r_data_RNILJUR1_12)) (portRef B (instanceRef r_data_RNIRRUR1_14)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef (member hwdata 9)) (portRef B (instanceRef r_data_RNISS8A1_22)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef (member hwdata 13)) (portRef B (instanceRef r_data_RNI7D9A1_18)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef (member hwdata 15)) (portRef B (instanceRef r_data_RNI6QQG1_16)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef (member hwdata 19)) (portRef A (instanceRef r_data_RNILJUR1_12)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef (member hwdata 11)) (portRef B (instanceRef r_data_RNIMK8A1_20)) )) (net (rename hrdata_7 "hrdata[7]") (joined (portRef Q (instanceRef r_data_7)) (portRef A (instanceRef r_data_RNIVI9H_7)) (portRef A (instanceRef r_data_RNI62KB_7)) (portRef (member hrdata 24)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef (member hwdata 24)) (portRef B (instanceRef r_data_RNIVI9H_7)) (portRef A (instanceRef r_data_RNIQP8E1_7)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef (member hwdata 23)) (portRef B (instanceRef r_data_RNI2QV21_8)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef (member hwdata 16)) (portRef B (instanceRef r_data_RNI8F8F1_15)) )) (net (rename writedata_9 "writedata[9]") (joined (portRef Y (instanceRef r_data_RNI52031_9)) (portRef D (instanceRef r_writedata_9)) (portRef A (instanceRef r_writedata_RNO_2_25)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef (member hwdata 22)) (portRef B (instanceRef r_data_RNI52031_9)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef (member hwdata 20)) (portRef B (instanceRef r_data_RNIN9M81_11)) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef (member hwdata 18)) (portRef B (instanceRef r_data_RNI278F1_13)) )) (net (rename hrdata_6 "hrdata[6]") (joined (portRef Q (instanceRef r_data_6)) (portRef B (instanceRef r_writedata_RNO_2_6)) (portRef A (instanceRef r_writedata_RNO_0_6)) (portRef (member hrdata 25)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef (member hwdata 1)) (portRef A (instanceRef r_writedata_RNO_0_30)) )) (net N_3517 (joined (portRef Y (instanceRef r_busw_RNI4NR91_1)) (portRef B (instanceRef r_writedata_RNO_3_27)) (portRef B (instanceRef r_writedata_RNO_1_26)) (portRef B (instanceRef r_writedata_RNO_1_25)) (portRef B (instanceRef r_writedata_RNO_3_29)) (portRef B (instanceRef r_writedata_RNO_1_24)) (portRef B (instanceRef r_writedata_RNO_0_30)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef (member hwdata 7)) (portRef A (instanceRef r_writedata_RNO_1_24)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef (member hwdata 27)) (portRef A (instanceRef r_data_RNIIUFC1_4)) (portRef A (instanceRef r_writedata_RNO_0_20)) )) (net writedata_0_sqmuxa (joined (portRef Y (instanceRef r_bdrive_RNICRVB_0_0)) (portRef E (instanceRef r_writedata_31)) (portRef E (instanceRef r_writedata_30)) (portRef E (instanceRef r_writedata_29)) (portRef E (instanceRef r_writedata_28)) (portRef E (instanceRef r_writedata_27)) (portRef E (instanceRef r_writedata_26)) (portRef E (instanceRef r_writedata_25)) (portRef E (instanceRef r_writedata_24)) (portRef E (instanceRef r_writedata_9)) (portRef E (instanceRef r_writedata_8)) (portRef E (instanceRef r_writedata_7)) (portRef E (instanceRef r_writedata_6)) (portRef E (instanceRef r_writedata_5)) (portRef E (instanceRef r_writedata_4)) (portRef E (instanceRef r_writedata_3)) (portRef E (instanceRef r_writedata_2)) )) (net (rename hsel_i_0 "hsel_i[0]") (joined (portRef (member hsel_i 0)) (portRef A (instanceRef ctrl_un1_ahbsi_1)) (portRef A (instanceRef r_bstate_RNI4FAQ01_6)) (portRef A (instanceRef r_srhsel_RNO_0)) (portRef B (instanceRef ctrl_v_bstate16)) (portRef C (instanceRef r_hburst_RNIEPHRG_0)) )) (net (rename ramsn_1_0 "ramsn_1[0]") (joined (portRef Y (instanceRef r_ramsn_RNO_0)) (portRef D (instanceRef r_ramsn_0)) )) (net (rename iosn_RNO_0_1 "iosn_RNO_0[1]") (joined (portRef Y (instanceRef r_iosn_RNO_0_1)) (portRef B (instanceRef r_iosn_RNO_1)) )) (net (rename iosn_1_iv_i_0_1 "iosn_1_iv_i_0[1]") (joined (portRef Y (instanceRef r_iosn_RNO_1)) (portRef D (instanceRef r_iosn_1)) )) (net (rename ramwidth_1 "ramwidth[1]") (joined (portRef Q (instanceRef r_mcfg2_ramwidth_1)) (portRef A (instanceRef r_busw_RNO_1_1)) (portRef B (instanceRef r_mcfg2_rmw_RNIGCGIB)) (portRef (member ramwidth 0)) )) (net bexcen_1_sqmuxa (joined (portRef Y (instanceRef v_mcfg1_bexcen_1_sqmuxa)) (portRef E (instanceRef r_mcfg1_romwidth_1)) (portRef E (instanceRef r_mcfg1_romwidth_0)) )) (net (rename romwidth_1_0 "romwidth_1[0]") (joined (portRef Y (instanceRef r_mcfg1_romwidth_RNO_0)) (portRef D (instanceRef r_mcfg1_romwidth_0)) )) (net (rename pwdata_8 "pwdata[8]") (joined (portRef pwdata_8) (portRef B (instanceRef r_mcfg1_romwidth_RNO_0)) )) (net (rename romwidth_1_1 "romwidth_1[1]") (joined (portRef Y (instanceRef r_mcfg1_romwidth_RNO_1)) (portRef D (instanceRef r_mcfg1_romwidth_1)) )) (net bexcen_RNO (joined (portRef Y (instanceRef r_mcfg1_bexcen_RNO)) (portRef D (instanceRef r_mcfg1_bexcen)) )) (net ioen_RNO (joined (portRef Y (instanceRef r_mcfg1_ioen_RNO)) (portRef D (instanceRef r_mcfg1_ioen)) )) (net romwrite_RNO (joined (portRef Y (instanceRef r_mcfg1_romwrite_RNO)) (portRef D (instanceRef r_mcfg1_romwrite)) )) (net (rename iows_RNO_2 "iows_RNO[2]") (joined (portRef Y (instanceRef r_mcfg1_iows_RNO_2)) (portRef D (instanceRef r_mcfg1_iows_2)) )) (net (rename romwws_RNO_1 "romwws_RNO[1]") (joined (portRef Y (instanceRef r_mcfg1_romwws_RNO_1)) (portRef D (instanceRef r_mcfg1_romwws_1)) )) (net (rename romwws_RNO_2 "romwws_RNO[2]") (joined (portRef Y (instanceRef r_mcfg1_romwws_RNO_2)) (portRef D (instanceRef r_mcfg1_romwws_2)) )) (net N_2523 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNIM19UA_3)) (portRef A (instanceRef r_mcfg2_rambanksz_RNI2G4SL_2)) )) (net (rename haddr_21 "haddr[21]") (joined (portRef (member haddr 7)) (portRef B (instanceRef r_mcfg2_rambanksz_RNIM19UA_3)) )) (net N_2524 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNIQE2TA_3)) (portRef B (instanceRef r_mcfg2_rambanksz_RNI2G4SL_2)) )) (net (rename haddr_25 "haddr[25]") (joined (portRef (member haddr 3)) (portRef B (instanceRef r_mcfg2_rambanksz_RNIQE2TA_3)) )) (net (rename rambanksz_3 "rambanksz[3]") (joined (portRef Q (instanceRef r_mcfg2_rambanksz_3)) (portRef S (instanceRef r_mcfg2_rambanksz_RNIJI1TA_3)) (portRef S (instanceRef r_mcfg2_rambanksz_RNIKU1TA_3)) (portRef S (instanceRef r_mcfg2_rambanksz_RNI0V2TA_3)) (portRef S (instanceRef r_mcfg2_rambanksz_RNISH9UA_3)) (portRef S (instanceRef r_mcfg2_rambanksz_RNI6F3TA_3)) (portRef S (instanceRef r_mcfg2_rambanksz_RNI22AUA_3)) (portRef S (instanceRef r_mcfg2_rambanksz_RNIQE2TA_3)) (portRef S (instanceRef r_mcfg2_rambanksz_RNIM19UA_3)) (portRef rambanksz_3) )) (net N_2525 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNI2G4SL_2)) (portRef A (instanceRef r_mcfg2_rambanksz_RNID04PB1_1)) )) (net (rename rambanksz_2 "rambanksz[2]") (joined (portRef Q (instanceRef r_mcfg2_rambanksz_2)) (portRef B (instanceRef r_mcfg1_romwrite_RNIQFV1)) (portRef S (instanceRef r_mcfg2_rambanksz_RNIPGSQL_2)) (portRef S (instanceRef r_mcfg2_rambanksz_RNIEG5SL_2)) (portRef S (instanceRef r_mcfg2_rambanksz_RNIQG6SL_2)) (portRef S (instanceRef r_mcfg2_rambanksz_RNI2G4SL_2)) )) (net N_2526 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNI22AUA_3)) (portRef A (instanceRef r_mcfg2_rambanksz_RNIQG6SL_2)) )) (net (rename haddr_23 "haddr[23]") (joined (portRef (member haddr 5)) (portRef B (instanceRef r_mcfg2_rambanksz_RNI22AUA_3)) )) (net N_2527 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNI6F3TA_3)) (portRef B (instanceRef r_mcfg2_rambanksz_RNIQG6SL_2)) )) (net (rename haddr_27 "haddr[27]") (joined (portRef (member haddr 1)) (portRef B (instanceRef r_mcfg2_rambanksz_RNI6F3TA_3)) )) (net N_2528 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNIQG6SL_2)) (portRef B (instanceRef r_mcfg2_rambanksz_RNID04PB1_1)) )) (net N_2529 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNID04PB1_1)) (portRef A (instanceRef r_mcfg2_rambanksz_RNIL0OHN2_0)) )) (net N_2530 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNISH9UA_3)) (portRef A (instanceRef r_mcfg2_rambanksz_RNIEG5SL_2)) )) (net (rename haddr_22 "haddr[22]") (joined (portRef (member haddr 6)) (portRef B (instanceRef r_mcfg2_rambanksz_RNISH9UA_3)) )) (net N_2531 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNI0V2TA_3)) (portRef B (instanceRef r_mcfg2_rambanksz_RNIEG5SL_2)) )) (net (rename haddr_26 "haddr[26]") (joined (portRef (member haddr 2)) (portRef B (instanceRef r_mcfg2_rambanksz_RNI0V2TA_3)) )) (net N_2532 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNIEG5SL_2)) (portRef A (instanceRef r_mcfg2_rambanksz_RNIO0RNB1_1)) )) (net N_2533 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNIKU1TA_3)) (portRef A (instanceRef r_mcfg2_rambanksz_RNIPGSQL_2)) )) (net (rename haddr_24 "haddr[24]") (joined (portRef (member haddr 4)) (portRef B (instanceRef r_mcfg2_rambanksz_RNIKU1TA_3)) )) (net N_2534 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNIJI1TA_3)) (portRef B (instanceRef r_mcfg2_rambanksz_RNIPGSQL_2)) )) (net (rename haddr_28 "haddr[28]") (joined (portRef (member haddr 0)) (portRef B (instanceRef r_mcfg2_rambanksz_RNIJI1TA_3)) (portRef B (instanceRef r_romsn_RNO_0_0)) )) (net N_2535 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNIPGSQL_2)) (portRef B (instanceRef r_mcfg2_rambanksz_RNIO0RNB1_1)) )) (net N_2536 (joined (portRef Y (instanceRef r_mcfg2_rambanksz_RNIO0RNB1_1)) (portRef B (instanceRef r_mcfg2_rambanksz_RNIL0OHN2_0)) )) (net (rename rambanksz_1 "rambanksz[1]") (joined (portRef Q (instanceRef r_mcfg2_rambanksz_1)) (portRef S (instanceRef r_mcfg2_rambanksz_RNIO0RNB1_1)) (portRef S (instanceRef r_mcfg2_rambanksz_RNID04PB1_1)) (portRef rambanksz_1) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef (member hwdata 28)) (portRef A (instanceRef r_data_RNIA1U51_3)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef (member hwdata 26)) (portRef A (instanceRef r_data_RNIL6GC1_5)) )) (net (rename writedata_4_23 "writedata_4[23]") (joined (portRef Y (instanceRef r_data_RNI9GIT_23)) (portRef C (instanceRef r_data_RNI4MQG1_23)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef (member hwdata 0)) (portRef A (instanceRef r_writedata_RNO_6_31)) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef (member hwdata 8)) (portRef B (instanceRef r_data_RNI9GIT_23)) (portRef A (instanceRef r_data_RNIAS3S2_23)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef (member hwdata 2)) (portRef A (instanceRef r_writedata_RNO_3_29)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef (member hwdata 6)) (portRef A (instanceRef r_writedata_RNO_1_25)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef (member hwdata 5)) (portRef A (instanceRef r_writedata_RNO_1_26)) )) (net (rename hwdata_1 "hwdata[1]") (joined (portRef (member hwdata 30)) (portRef A (instanceRef r_data_RNI4HT51_1)) )) (net N_3753 (joined (portRef Y (instanceRef r_read_RNICBI8)) (portRef A (instanceRef r_read_RNIF20N)) )) (net N_3712 (joined (portRef Y (instanceRef r_read_RNIF20N)) (portRef A (instanceRef r_area_RNIRS5O_1)) )) (net addrerr_1 (joined (portRef Y (instanceRef r_mcfg1_ioen_RNI18K8)) (portRef A (instanceRef r_read_RNID27A)) (portRef A (instanceRef r_mcfg1_ioen_RNI0PLM)) )) (net ioen (joined (portRef Q (instanceRef r_mcfg1_ioen)) (portRef A (instanceRef r_mcfg1_ioen_RNI3MU6)) (portRef B (instanceRef r_mcfg1_ioen_RNI18K8)) (portRef A (instanceRef r_mcfg1_ioen_RNO_0)) (portRef ioen) )) (net N_3716 (joined (portRef Y (instanceRef r_ready_RNI3NDE)) (portRef A (instanceRef r_bstate_RNI38DL_7)) (portRef B (instanceRef r_read_RNIF20N)) )) (net N_3729 (joined (portRef Y (instanceRef r_mcfg1_romwrite_RNIQFV1)) (portRef N_3729) )) (net (rename paddr_2_2 "paddr_2[2]") (joined (portRef (member paddr_2 0)) (portRef S (instanceRef r_mcfg1_romwrite_RNIQFV1)) )) (net ready_RNO (joined (portRef Y (instanceRef r_ready_RNO)) (portRef D (instanceRef r_ready)) )) (net ready_1_i_0 (joined (portRef Y (instanceRef r_bstate_RNI4FAQ01_6)) (portRef B (instanceRef r_srhsel_RNO_1)) (portRef B (instanceRef r_ready_RNO)) )) (net srhsel_RNO (joined (portRef Y (instanceRef r_srhsel_RNO)) (portRef D (instanceRef r_srhsel)) )) (net srhsel_1 (joined (portRef Y (instanceRef r_srhsel_RNO_0)) (portRef B (instanceRef r_srhsel_RNO)) )) (net srhsel_4 (joined (portRef Y (instanceRef r_srhsel_RNO_1)) (portRef B (instanceRef r_srhsel_RNO_0)) )) (net (rename busw_0 "busw[0]") (joined (portRef Q (instanceRef r_busw_0)) (portRef A (instanceRef r_busw_RNIP5A3_0)) (portRef B (instanceRef r_busw_RNIFFB3_0)) (portRef B (instanceRef r_busw_RNINJK9_0)) )) (net wrn29 (joined (portRef Y (instanceRef r_busw_RNIFFB3_0)) (portRef A (instanceRef r_busw_RNIGB9J_0)) )) (net ready_0_sqmuxa_1_0 (joined (portRef Y (instanceRef r_bstate_RNIK3MR1_4)) (portRef B (instanceRef r_bstate_RNITB965_6)) )) (net (rename bstateZ0Z_4 "bstate[4]") (joined (portRef Q (instanceRef r_bstate_4)) (portRef B (instanceRef r_bstate_RNIHT7K1_4)) (portRef B (instanceRef r_bstate_RNILON62_4)) (portRef B (instanceRef r_bstate_RNO_1_4)) (portRef C (instanceRef r_ready_RNI0SCL)) (portRef A (instanceRef r_bstate_RNIHC8D1_4)) (portRef B (instanceRef r_bstate_RNIK3MR1_4)) )) (net ramoen_0_sqmuxa (joined (portRef Y (instanceRef v_ramoen_0_sqmuxa)) (portRef A (instanceRef r_bstate_RNI64QJN_4)) (portRef A (instanceRef r_mben_RNO_3)) (portRef A (instanceRef r_wrn_RNO_3)) (portRef S (instanceRef r_bstate_RNI4FAQ01_6)) (portRef A (instanceRef r_mben_RNO_0)) (portRef A (instanceRef r_mben_RNO_1)) (portRef A (instanceRef r_mben_RNO_2)) (portRef A (instanceRef r_wrn_RNO_0)) (portRef A (instanceRef r_wrn_RNO_1)) (portRef A (instanceRef r_wrn_RNO_2)) (portRef B (instanceRef r_bstate_0_i_o2_5)) )) (net (rename bstateZ0Z_6 "bstate[6]") (joined (portRef Q (instanceRef r_bstate_6)) (portRef A (instanceRef r_bstate_RNIV8CS_6)) (portRef A (instanceRef r_bstate_RNITK8Q1_6)) (portRef A (instanceRef r_bstate_RNIV5LT_6)) (portRef C (instanceRef r_bstate_RNITB965_6)) (portRef B (instanceRef r_bstate_RNITL9C21_6)) )) (net address_1_sqmuxa (joined (portRef Y (instanceRef r_brmw_RNIQ03UN)) (portRef E (instanceRef r_address_20)) (portRef E (instanceRef r_address_19)) (portRef E (instanceRef r_address_18)) (portRef E (instanceRef r_address_17)) (portRef E (instanceRef r_address_16)) (portRef E (instanceRef r_address_15)) (portRef E (instanceRef r_address_14)) (portRef E (instanceRef r_address_13)) (portRef E (instanceRef r_address_12)) (portRef E (instanceRef r_address_11)) (portRef E (instanceRef r_address_10)) (portRef E (instanceRef r_address_9)) (portRef E (instanceRef r_address_8)) (portRef E (instanceRef r_address_7)) (portRef E (instanceRef r_address_6)) (portRef E (instanceRef r_address_5)) (portRef E (instanceRef r_address_4)) (portRef E (instanceRef r_address_3)) (portRef E (instanceRef r_address_2)) (portRef E (instanceRef r_address_1)) (portRef E (instanceRef r_address_0)) )) (net N_2263 (joined (portRef Y (instanceRef r_brmw_RNIARJ33)) (portRef A (instanceRef r_bstate_RNITB965_6)) )) (net ready10_m (joined (portRef Y (instanceRef r_bstate_RNIHC8D1_4)) (portRef B (instanceRef r_bstate_RNI64QJN_4)) (portRef A (instanceRef r_bstate_RNIC7B43_4)) (portRef C (instanceRef r_brmw_RNIARJ33)) )) (net (rename wrn_RNO_2 "wrn_RNO[2]") (joined (portRef Y (instanceRef r_wrn_RNO_2)) (portRef D (instanceRef r_wrn_2)) )) (net (rename wrn_90_2 "wrn_90[2]") (joined (portRef Y (instanceRef r_wrn_RNIPP73A_2)) (portRef B (instanceRef r_mben_RNO_2)) (portRef C (instanceRef r_wrn_RNO_2)) )) (net (rename wrn_RNO_1 "wrn_RNO[1]") (joined (portRef Y (instanceRef r_wrn_RNO_1)) (portRef D (instanceRef r_wrn_1)) )) (net (rename wrn_90_1 "wrn_90[1]") (joined (portRef Y (instanceRef r_wrn_RNIDVBU9_1)) (portRef B (instanceRef r_mben_RNO_1)) (portRef C (instanceRef r_wrn_RNO_1)) )) (net (rename wrn_RNO_0 "wrn_RNO[0]") (joined (portRef Y (instanceRef r_wrn_RNO_0)) (portRef D (instanceRef r_wrn_0)) )) (net (rename wrn_90_0 "wrn_90[0]") (joined (portRef Y (instanceRef r_wrn_RNICVBU9_0)) (portRef B (instanceRef r_mben_RNO_0)) (portRef C (instanceRef r_wrn_RNO_0)) )) (net read_RNO_0 (joined (portRef Y (instanceRef r_read_RNO)) (portRef D (instanceRef r_read)) )) (net (rename mben_RNO_2 "mben_RNO[2]") (joined (portRef Y (instanceRef r_mben_RNO_2)) (portRef D (instanceRef r_mben_2)) )) (net (rename mben_RNO_1 "mben_RNO[1]") (joined (portRef Y (instanceRef r_mben_RNO_1)) (portRef D (instanceRef r_mben_1)) )) (net (rename mben_RNO_0 "mben_RNO[0]") (joined (portRef Y (instanceRef r_mben_RNO_0)) (portRef D (instanceRef r_mben_0)) )) (net N_2733 (joined (portRef Y (instanceRef r_srhsel_RNIUG4H5_0)) (portRef A (instanceRef r_wrn_RNIPP73A_2)) )) (net N_2739 (joined (portRef Y (instanceRef r_wrn_RNIF1OD1_2)) (portRef B (instanceRef r_wrn_RNIPP73A_2)) )) (net N_2731 (joined (portRef Y (instanceRef r_srhsel_RNIJM8C5)) (portRef A (instanceRef r_wrn_RNICVBU9_0)) )) (net N_2737 (joined (portRef Y (instanceRef r_wrn_RNID1OD1_0)) (portRef B (instanceRef r_wrn_RNICVBU9_0)) )) (net (rename un1_sr1_3_161 "un1_sr1_3[161]") (joined (portRef Q (instanceRef r_wrn_0)) (portRef A (instanceRef r_wrn_RNID1OD1_0)) )) (net ready_10 (joined (portRef Y (instanceRef r_bstate_RNITB965_6)) (portRef B (instanceRef r_bstate_RNI4FAQ01_6)) )) (net (rename ramwws_RNO_0 "ramwws_RNO[0]") (joined (portRef Y (instanceRef r_mcfg2_ramwws_RNO_0)) (portRef D (instanceRef r_mcfg2_ramwws_0)) )) (net N_2964 (joined (portRef Y (instanceRef r_mcfg2_ramwws_RNO_0_0)) (portRef B (instanceRef r_mcfg2_ramwws_RNO_0)) )) (net N_2966 (joined (portRef Y (instanceRef r_mcfg2_ramrws_RNO_0_0)) (portRef B (instanceRef r_mcfg2_ramrws_RNO_0)) )) (net (rename ramrws_0 "ramrws[0]") (joined (portRef Q (instanceRef r_mcfg2_ramrws_0)) (portRef A (instanceRef r_mcfg2_ramrws_RNO_0_0)) (portRef B (instanceRef r_ws_RNO_6_0)) (portRef ramrws_0) )) (net (rename ramwws_0 "ramwws[0]") (joined (portRef Q (instanceRef r_mcfg2_ramwws_0)) (portRef A (instanceRef r_mcfg2_ramwws_RNO_0_0)) (portRef B (instanceRef r_ws_RNO_7_0)) (portRef (member ramwws 1)) )) (net N_2732 (joined (portRef Y (instanceRef r_address_RNIJM8C5_0)) (portRef A (instanceRef r_wrn_RNIDVBU9_1)) )) (net N_2738 (joined (portRef Y (instanceRef r_wrn_RNIE1OD1_1)) (portRef B (instanceRef r_wrn_RNIDVBU9_1)) )) (net (rename un1_sr1_3_162 "un1_sr1_3[162]") (joined (portRef Q (instanceRef r_wrn_1)) (portRef A (instanceRef r_wrn_RNIE1OD1_1)) )) (net oen_c (joined (portRef Q (instanceRef r_oen)) (portRef A (instanceRef r_oen_RNI5RDB)) (portRef B (instanceRef r_bstate_RNO_0_5)) (portRef oen_c) )) (net (rename ramoen_1_iv_i_0_0 "ramoen_1_iv_i_0[0]") (joined (portRef Y (instanceRef r_ramoen_RNO_0)) (portRef D (instanceRef r_ramoen_0)) )) (net ramoen_2_sqmuxa_1 (joined (portRef Y (instanceRef r_oen_RNO)) (portRef D (instanceRef r_oen)) )) (net oen_0_sqmuxa_1 (joined (portRef Y (instanceRef r_oen_RNO_0)) (portRef C (instanceRef r_oen_RNO)) )) (net oen_RNO_1 (joined (portRef Y (instanceRef r_oen_RNO_1)) (portRef A (instanceRef r_oen_RNO_0)) )) (net (rename wrn_RNO_3 "wrn_RNO[3]") (joined (portRef Y (instanceRef r_wrn_RNO_3)) (portRef D (instanceRef r_wrn_3)) )) (net (rename wrn_90_3 "wrn_90[3]") (joined (portRef Y (instanceRef r_wrn_RNIQP73A_3)) (portRef B (instanceRef r_mben_RNO_3)) (portRef C (instanceRef r_wrn_RNO_3)) )) (net (rename mben_RNO_3 "mben_RNO[3]") (joined (portRef Y (instanceRef r_mben_RNO_3)) (portRef D (instanceRef r_mben_3)) )) (net N_2734 (joined (portRef Y (instanceRef r_srhsel_RNIUG4H5)) (portRef A (instanceRef r_wrn_RNIQP73A_3)) )) (net N_2740 (joined (portRef Y (instanceRef r_wrn_RNIG1OD1_3)) (portRef B (instanceRef r_wrn_RNIQP73A_3)) )) (net (rename un1_sr1_3_164 "un1_sr1_3[164]") (joined (portRef Q (instanceRef r_wrn_3)) (portRef A (instanceRef r_wrn_RNIG1OD1_3)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef (member hwdata 4)) (portRef A (instanceRef r_writedata_RNO_3_27)) )) (net N_2874 (joined (portRef Y (instanceRef r_writen_RNO_0)) (portRef B (instanceRef r_writen_RNO)) )) (net (rename un1_sr1_3_163 "un1_sr1_3[163]") (joined (portRef Q (instanceRef r_wrn_2)) (portRef A (instanceRef r_wrn_RNIF1OD1_2)) )) (net writen_RNO (joined (portRef Y (instanceRef r_writen_RNO)) (portRef D (instanceRef r_writen)) )) (net N_3066_i (joined (portRef Y (instanceRef r_bstate_RNO_6)) (portRef D (instanceRef r_bstate_6)) )) (net N_3759 (joined (portRef Y (instanceRef r_mcfg1_ioen_RNI0PLM)) (portRef B (instanceRef r_bstate_RNIV5LT_6)) (portRef A (instanceRef r_bstate_RNO_6)) )) (net N_3622 (joined (portRef Y (instanceRef r_address_RNIS4H9_1)) (portRef A (instanceRef r_address_RNINAPS_1)) )) (net rmw (joined (portRef Q (instanceRef r_mcfg2_rmw)) (portRef A (instanceRef r_mcfg2_rmw_RNIGJ93)) (portRef A (instanceRef r_mcfg2_rmw_RNIGCGIB)) (portRef rmw) )) (net bstate_2_sqmuxa_1_i (joined (portRef Y (instanceRef r_srhsel_RNI4CFP)) (portRef C (instanceRef r_bstate_RNILON62_4)) )) (net ready10 (joined (portRef Y (instanceRef r_mcfg1_brdyen_RNIK7961)) (portRef A (instanceRef r_bstate_RNIHT7K1_4)) (portRef A (instanceRef r_bstate_RNILON62_4)) (portRef A (instanceRef r_ready_RNIPLND1)) (portRef B (instanceRef r_bstate_RNIHC8D1_4)) )) (net N_3714 (joined (portRef Y (instanceRef r_ready_RNI0SCL)) (portRef B (instanceRef r_bstate_RNIV8CS_6)) (portRef C (instanceRef r_ready_RNIU79J1)) )) (net (rename bstate_5 "bstate[5]") (joined (portRef Q (instanceRef r_bstate_5)) (portRef B (instanceRef r_bstate_RNO_2_5)) (portRef A (instanceRef r_ready_RNI3NDE)) (portRef B (instanceRef r_bstate_RNIF6IP31_5)) (portRef B (instanceRef r_bstate_RNIJ12C41_5)) (portRef A (instanceRef r_bstate_RNIU8A521_5)) (portRef A (instanceRef r_bstate_RNINUMK1_5)) (portRef C (instanceRef r_brmw_RNI0PU621)) (portRef B (instanceRef r_ready_RNI0SCL)) )) (net bready2 (joined (portRef Y (instanceRef r_mcfg1_brdyen_RNIMRC8)) (portRef C (instanceRef r_mcfg1_brdyen_RNIK7961)) )) (net (rename ramrws_RNO_0 "ramrws_RNO[0]") (joined (portRef Y (instanceRef r_mcfg2_ramrws_RNO_0)) (portRef D (instanceRef r_mcfg2_ramrws_0)) )) (net N_3739 (joined (portRef Y (instanceRef r_ready_RNIU79J1)) (portRef B (instanceRef r_bstate_RNITK8Q1_6)) )) (net ready6 (joined (portRef Y (instanceRef r_ready_RNIPLND1)) (portRef B (instanceRef r_bstate_RNINUMK1_5)) (portRef C (instanceRef r_ready_RNI00BU11)) )) (net hready (joined (portRef Q (instanceRef r_ready)) (portRef B (instanceRef r_ready_RNI3NDE)) (portRef B (instanceRef r_ready_RNIPLND1)) (portRef A (instanceRef r_ready_RNI0SCL)) (portRef hready) )) (net (rename bstate_RNO_7 "bstate_RNO[7]") (joined (portRef Y (instanceRef r_bstate_RNO_7)) (portRef D (instanceRef r_bstate_7)) )) (net N_3725 (joined (portRef Y (instanceRef r_bstate_RNO_0_7)) (portRef A (instanceRef r_bstate_RNO_7)) )) (net bstate_5_1 (joined (portRef Y (instanceRef r_bstate_RNIHT7K1_4)) (portRef B (instanceRef r_brmw_RNIRH7V41)) (portRef C (instanceRef r_bstate_RNIF6IP31_5)) (portRef A (instanceRef r_bstate_RNO_0_7)) )) (net romsn_1_sqmuxa (joined (portRef Y (instanceRef r_brmw_RNI0PU621)) (portRef A (instanceRef r_brmw_RNIRH7V41)) )) (net bstate_0_sqmuxa (joined (portRef Y (instanceRef r_ready_RNI00BU11)) (portRef A (instanceRef r_bstate_RNIF6IP31_5)) (portRef A (instanceRef r_bstate_RNIJ12C41_5)) (portRef B (instanceRef r_bstate_RNIU8A521_5)) (portRef B (instanceRef r_brmw_RNI0PU621)) )) (net (rename htrans_1 "htrans[1]") (joined (portRef (member htrans 0)) (portRef A (instanceRef v_srhsel_0_sqmuxa)) (portRef B (instanceRef r_ready_RNI00BU11)) (portRef A (instanceRef ctrl_v_bstate16)) )) (net iosn_1_sqmuxa_1 (joined (portRef Y (instanceRef r_bstate_RNILON62_4)) (portRef A (instanceRef r_bstate_RNO_2_5)) (portRef C (instanceRef r_bstate_RNIJ12C41_5)) )) (net (rename romrws_RNO_2 "romrws_RNO[2]") (joined (portRef Y (instanceRef r_mcfg1_romrws_RNO_2)) (portRef D (instanceRef r_mcfg1_romrws_2)) )) (net N_2954 (joined (portRef Y (instanceRef r_mcfg1_romrws_RNO_0_2)) (portRef B (instanceRef r_mcfg1_romrws_RNO_2)) )) (net brdyen_RNO (joined (portRef Y (instanceRef r_mcfg1_brdyen_RNO)) (portRef D (instanceRef r_mcfg1_brdyen)) )) (net N_2974 (joined (portRef Y (instanceRef r_mcfg1_brdyen_RNO_0)) (portRef B (instanceRef r_mcfg1_brdyen_RNO)) )) (net romsn_0_sqmuxa_1 (joined (portRef Y (instanceRef r_brmw_RNIRH7V41)) (portRef E (instanceRef r_romsn_0)) (portRef E (instanceRef r_ramsn_0)) )) (net N_3518 (joined (portRef Y (instanceRef r_writedata_RNO_0)) (portRef D (instanceRef r_writedata_0)) )) (net (rename pwdata_2 "pwdata[2]") (joined (portRef pwdata_2) (portRef B (instanceRef r_mcfg1_romrws_RNO_0_2)) (portRef B (instanceRef r_mcfg2_ramwws_RNO_0_0)) )) (net brdyen (joined (portRef Q (instanceRef r_mcfg1_brdyen)) (portRef A (instanceRef r_mcfg1_brdyen_RNO_0)) (portRef A (instanceRef r_mcfg1_brdyen_RNIMRC8)) (portRef brdyen) )) (net (rename pwdata_26 "pwdata[26]") (joined (portRef pwdata_26) (portRef B (instanceRef r_mcfg1_brdyen_RNO_0)) )) (net un1_ahbsi_1 (joined (portRef Y (instanceRef ctrl_un1_ahbsi_1)) (portRef E (instanceRef r_size_1)) (portRef E (instanceRef r_size_0)) (portRef E (instanceRef r_hburst_0)) (portRef E (instanceRef r_hwrite)) (portRef B (instanceRef v_srhsel_0_sqmuxa)) (portRef un1_ahbsi_1) )) (net (rename iosn_92 "iosn[93]") (joined (portRef iosn_92) (portRef B (instanceRef ctrl_un1_ahbsi_1)) (portRef C (instanceRef r_brmw_RNIRH7V41)) )) (net (rename romwws_RNO_0 "romwws_RNO[0]") (joined (portRef Y (instanceRef r_mcfg1_romwws_RNO_0)) (portRef D (instanceRef r_mcfg1_romwws_0)) )) (net N_2948 (joined (portRef Y (instanceRef r_mcfg1_romwws_RNO_0_0)) (portRef B (instanceRef r_mcfg1_romwws_RNO_0)) )) (net (rename romwws_0 "romwws[0]") (joined (portRef Q (instanceRef r_mcfg1_romwws_0)) (portRef A (instanceRef r_mcfg1_romwws_RNO_0_0)) (portRef B (instanceRef r_ws_RNO_3_0)) (portRef (member romwws 3)) )) (net (rename ramwws_RNO_1 "ramwws_RNO[1]") (joined (portRef Y (instanceRef r_mcfg2_ramwws_RNO_1)) (portRef D (instanceRef r_mcfg2_ramwws_1)) )) (net N_2965 (joined (portRef Y (instanceRef r_mcfg2_ramwws_RNO_0_1)) (portRef B (instanceRef r_mcfg2_ramwws_RNO_1)) )) (net (rename pwdata_0_0 "pwdata_0[3]") (joined (portRef pwdata_0_0) (portRef B (instanceRef r_mcfg2_ramwws_RNO_0_1)) )) (net N_16 (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I6_Y_0_a3_0)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I6_Y_0_o2)) )) (net (rename ws_1_sqmuxa_2_m_3 "ws_1_sqmuxa_2_m[3]") (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_m_3)) (portRef B (instanceRef r_ws_RNO_0)) )) (net (rename ws_RNO_0 "ws_RNO[0]") (joined (portRef Y (instanceRef r_ws_RNO_0)) (portRef D (instanceRef r_ws_0)) )) (net N_3726 (joined (portRef Y (instanceRef r_mcfg2_ramrws_RNITGF9_1)) (portRef N_3726) )) (net (rename romrws_1 "romrws[1]") (joined (portRef Q (instanceRef r_mcfg1_romrws_1)) (portRef A (instanceRef r_mcfg1_romrws_RNO_0_1)) (portRef A (instanceRef r_ws_RNO_7_1)) (portRef A (instanceRef r_mcfg2_ramrws_RNITGF9_1)) )) (net (rename ramrws_1 "ramrws[1]") (joined (portRef Q (instanceRef r_mcfg2_ramrws_1)) (portRef A (instanceRef r_mcfg2_ramrws_RNO_0_1)) (portRef B (instanceRef r_ws_RNO_7_1)) (portRef B (instanceRef r_mcfg2_ramrws_RNITGF9_1)) )) (net (rename paddr_0_d0 "paddr[2]") (joined (portRef paddr_0_d0) (portRef S (instanceRef r_mcfg2_ramrws_RNITGF9_1)) )) (net (rename romwws_1 "romwws[1]") (joined (portRef Q (instanceRef r_mcfg1_romwws_1)) (portRef A (instanceRef r_ws_RNO_6_1)) (portRef A (instanceRef r_mcfg1_romwws_RNO_0_1)) (portRef (member romwws 2)) )) (net (rename ramwws_1 "ramwws[1]") (joined (portRef Q (instanceRef r_mcfg2_ramwws_1)) (portRef B (instanceRef r_ws_RNO_6_1)) (portRef A (instanceRef r_mcfg2_ramwws_RNO_0_1)) (portRef (member ramwws 0)) )) (net (rename romwws_RNO_3 "romwws_RNO[3]") (joined (portRef Y (instanceRef r_mcfg1_romwws_RNO_3)) (portRef D (instanceRef r_mcfg1_romwws_3)) )) (net N_2951 (joined (portRef Y (instanceRef r_mcfg1_romwws_RNO_0_3)) (portRef B (instanceRef r_mcfg1_romwws_RNO_3)) )) (net (rename romrws_RNO_3 "romrws_RNO[3]") (joined (portRef Y (instanceRef r_mcfg1_romrws_RNO_3)) (portRef D (instanceRef r_mcfg1_romrws_3)) )) (net N_2955 (joined (portRef Y (instanceRef r_mcfg1_romrws_RNO_0_3)) (portRef B (instanceRef r_mcfg1_romrws_RNO_3)) )) (net (rename romrws_RNO_1 "romrws_RNO[1]") (joined (portRef Y (instanceRef r_mcfg1_romrws_RNO_1)) (portRef D (instanceRef r_mcfg1_romrws_1)) )) (net N_2953 (joined (portRef Y (instanceRef r_mcfg1_romrws_RNO_0_1)) (portRef B (instanceRef r_mcfg1_romrws_RNO_1)) )) (net (rename romrws_RNO_0 "romrws_RNO[0]") (joined (portRef Y (instanceRef r_mcfg1_romrws_RNO_0)) (portRef D (instanceRef r_mcfg1_romrws_0)) )) (net N_2952 (joined (portRef Y (instanceRef r_mcfg1_romrws_RNO_0_0)) (portRef B (instanceRef r_mcfg1_romrws_RNO_0)) )) (net (rename iows_RNO_3 "iows_RNO[3]") (joined (portRef Y (instanceRef r_mcfg1_iows_RNO_3)) (portRef D (instanceRef r_mcfg1_iows_3)) )) (net N_2959 (joined (portRef Y (instanceRef r_mcfg1_iows_RNO_0_3)) (portRef B (instanceRef r_mcfg1_iows_RNO_3)) )) (net (rename iows_RNO_1 "iows_RNO[1]") (joined (portRef Y (instanceRef r_mcfg1_iows_RNO_1)) (portRef D (instanceRef r_mcfg1_iows_1)) )) (net N_2957 (joined (portRef Y (instanceRef r_mcfg1_iows_RNO_0_1)) (portRef B (instanceRef r_mcfg1_iows_RNO_1)) )) (net (rename iows_RNO_0 "iows_RNO[0]") (joined (portRef Y (instanceRef r_mcfg1_iows_RNO_0)) (portRef D (instanceRef r_mcfg1_iows_0)) )) (net N_2956 (joined (portRef Y (instanceRef r_mcfg1_iows_RNO_0_0)) (portRef B (instanceRef r_mcfg1_iows_RNO_0)) )) (net (rename ramrws_RNO_1 "ramrws_RNO[1]") (joined (portRef Y (instanceRef r_mcfg2_ramrws_RNO_1)) (portRef D (instanceRef r_mcfg2_ramrws_1)) )) (net N_2967 (joined (portRef Y (instanceRef r_mcfg2_ramrws_RNO_0_1)) (portRef B (instanceRef r_mcfg2_ramrws_RNO_1)) )) (net (rename ws_RNO_3 "ws_RNO[3]") (joined (portRef Y (instanceRef r_ws_RNO_3)) (portRef D (instanceRef r_ws_3)) )) (net (rename ws_1_sqmuxa_2_m_0 "ws_1_sqmuxa_2_m[0]") (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_m_0)) (portRef A (instanceRef r_ws_RNO_3)) )) (net N90_i (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I8_Y_0)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_m_0)) )) (net (rename romrws_2 "romrws[2]") (joined (portRef Q (instanceRef r_mcfg1_romrws_2)) (portRef A (instanceRef r_ws_RNO_3_2)) (portRef A (instanceRef r_mcfg1_romrws_RNO_0_2)) (portRef romrws_2) )) (net N_14 (joined (portRef Y (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I8_Y_0_a2_0)) (portRef A (instanceRef un1_v_ws_1_sqmuxa_2_ADD_4x4_fast_I8_Y_0)) )) (net (rename iows_3 "iows[3]") (joined (portRef Q (instanceRef r_mcfg1_iows_3)) (portRef A (instanceRef r_mcfg1_iows_RNO_0_3)) (portRef C (instanceRef r_ws_RNO_2_3)) (portRef (member iows 0)) )) (net (rename pwdata_23 "pwdata[23]") (joined (portRef pwdata_23) (portRef B (instanceRef r_mcfg1_iows_RNO_0_3)) )) (net (rename iows_1 "iows[1]") (joined (portRef Q (instanceRef r_mcfg1_iows_1)) (portRef A (instanceRef r_mcfg1_iows_RNO_0_1)) (portRef B (instanceRef r_ws_RNO_3_1)) (portRef (member iows 2)) )) (net (rename pwdata_21 "pwdata[21]") (joined (portRef pwdata_21) (portRef B (instanceRef r_mcfg1_iows_RNO_0_1)) )) (net (rename iows_0 "iows[0]") (joined (portRef Q (instanceRef r_mcfg1_iows_0)) (portRef A (instanceRef r_mcfg1_iows_RNO_0_0)) (portRef C (instanceRef r_ws_RNO_4_0)) (portRef (member iows 3)) )) (net (rename pwdata_20 "pwdata[20]") (joined (portRef pwdata_20) (portRef B (instanceRef r_mcfg1_iows_RNO_0_0)) )) (net (rename romrws_3 "romrws[3]") (joined (portRef Q (instanceRef r_mcfg1_romrws_3)) (portRef A (instanceRef r_mcfg1_romrws_RNO_0_3)) (portRef A (instanceRef r_ws_RNO_3_3)) (portRef romrws_3) )) (net (rename pwdata_3 "pwdata[3]") (joined (portRef pwdata_3) (portRef B (instanceRef r_mcfg1_romrws_RNO_0_3)) )) (net (rename pwdata_1 "pwdata[1]") (joined (portRef pwdata_1) (portRef B (instanceRef r_mcfg1_romrws_RNO_0_1)) (portRef B (instanceRef r_mcfg2_ramrws_RNO_0_1)) )) (net (rename romrws_0 "romrws[0]") (joined (portRef Q (instanceRef r_mcfg1_romrws_0)) (portRef A (instanceRef r_mcfg1_romrws_RNO_0_0)) (portRef A (instanceRef r_ws_RNO_1_0)) (portRef romrws_0) )) (net (rename pwdata_0_d0 "pwdata[0]") (joined (portRef pwdata_0_d0) (portRef B (instanceRef r_mcfg1_romrws_RNO_0_0)) (portRef B (instanceRef r_mcfg2_ramrws_RNO_0_0)) )) (net (rename romwws_3 "romwws[3]") (joined (portRef Q (instanceRef r_mcfg1_romwws_3)) (portRef A (instanceRef r_mcfg1_romwws_RNO_0_3)) (portRef B (instanceRef r_ws_RNO_1_3)) (portRef (member romwws 0)) )) (net (rename pwdata_7 "pwdata[7]") (joined (portRef pwdata_7) (portRef B (instanceRef r_mcfg1_romwws_RNO_0_3)) )) (net brmw_1 (joined (portRef Y (instanceRef r_mcfg2_rmw_RNI8RMRR)) (portRef D (instanceRef r_brmw)) (portRef brmw_1) )) (net (rename pwdata_6 "pwdata[6]") (joined (portRef pwdata_6) (portRef D (instanceRef r_mcfg2_rmw)) (portRef B (instanceRef r_mcfg1_romwws_RNO_0_2)) )) (net hwrite (joined (portRef hwrite) (portRef D (instanceRef r_hwrite)) (portRef A (instanceRef r_mcfg2_rmw_RNI8RMRR)) )) (net rwen_c_c (joined (portRef Q (instanceRef r_writen)) (portRef A (instanceRef r_writen_RNO_0)) (portRef rwen_c_c) )) (net bexcen (joined (portRef Q (instanceRef r_mcfg1_bexcen)) (portRef A (instanceRef r_mcfg1_bexcen_RNO_0)) (portRef bexcen) )) (net (rename ramben_1_c_3 "ramben_1_c[3]") (joined (portRef Q (instanceRef r_mben_0)) (portRef (member ramben_1_c 0)) )) (net (rename ramben_1_c_2 "ramben_1_c[2]") (joined (portRef Q (instanceRef r_mben_1)) (portRef (member ramben_1_c 1)) )) (net (rename ramben_1_c_1 "ramben_1_c[1]") (joined (portRef Q (instanceRef r_mben_2)) (portRef (member ramben_1_c 2)) )) (net (rename ramben_1_c_0 "ramben_1_c[0]") (joined (portRef Q (instanceRef r_mben_3)) (portRef (member ramben_1_c 3)) )) (net (rename hmbsel_0 "hmbsel[0]") (joined (portRef (member hmbsel 0)) (portRef D (instanceRef r_area_0)) (portRef A (instanceRef r_romsn_RNO_0)) )) (net (rename hburst_0_0 "hburst_0[0]") (joined (portRef (member hburst_0 0)) (portRef D (instanceRef r_hburst_0)) )) (net (rename hsize_0 "hsize[0]") (joined (portRef (member hsize 1)) (portRef D (instanceRef r_size_0)) )) (net (rename hsize_1 "hsize[1]") (joined (portRef (member hsize 0)) (portRef D (instanceRef r_size_1)) (portRef C (instanceRef r_mcfg2_rmw_RNIGCGIB)) )) (net (rename haddr_0 "haddr[0]") (joined (portRef (member haddr 28)) (portRef D (instanceRef r_address_0)) )) (net (rename haddr_1 "haddr[1]") (joined (portRef (member haddr 27)) (portRef D (instanceRef r_address_1)) )) (net (rename address_c_0 "address_c[0]") (joined (portRef Q (instanceRef r_address_2)) (portRef (member address_c 18)) )) (net (rename haddr_2 "haddr[2]") (joined (portRef (member haddr 26)) (portRef D (instanceRef r_address_2)) )) (net (rename address_c_1 "address_c[1]") (joined (portRef Q (instanceRef r_address_3)) (portRef (member address_c 17)) )) (net (rename haddr_3 "haddr[3]") (joined (portRef (member haddr 25)) (portRef D (instanceRef r_address_3)) )) (net (rename address_c_2 "address_c[2]") (joined (portRef Q (instanceRef r_address_4)) (portRef (member address_c 16)) )) (net (rename haddr_4 "haddr[4]") (joined (portRef (member haddr 24)) (portRef D (instanceRef r_address_4)) )) (net (rename address_c_3 "address_c[3]") (joined (portRef Q (instanceRef r_address_5)) (portRef (member address_c 15)) )) (net (rename haddr_5 "haddr[5]") (joined (portRef (member haddr 23)) (portRef D (instanceRef r_address_5)) )) (net (rename address_c_4 "address_c[4]") (joined (portRef Q (instanceRef r_address_6)) (portRef (member address_c 14)) )) (net (rename haddr_6 "haddr[6]") (joined (portRef (member haddr 22)) (portRef D (instanceRef r_address_6)) )) (net (rename address_c_5 "address_c[5]") (joined (portRef Q (instanceRef r_address_7)) (portRef (member address_c 13)) )) (net (rename haddr_7 "haddr[7]") (joined (portRef (member haddr 21)) (portRef D (instanceRef r_address_7)) )) (net (rename address_c_6 "address_c[6]") (joined (portRef Q (instanceRef r_address_8)) (portRef (member address_c 12)) )) (net (rename haddr_8 "haddr[8]") (joined (portRef (member haddr 20)) (portRef D (instanceRef r_address_8)) )) (net (rename address_c_7 "address_c[7]") (joined (portRef Q (instanceRef r_address_9)) (portRef (member address_c 11)) )) (net (rename haddr_9 "haddr[9]") (joined (portRef (member haddr 19)) (portRef D (instanceRef r_address_9)) )) (net (rename address_c_8 "address_c[8]") (joined (portRef Q (instanceRef r_address_10)) (portRef (member address_c 10)) )) (net (rename haddr_10 "haddr[10]") (joined (portRef (member haddr 18)) (portRef D (instanceRef r_address_10)) )) (net (rename address_c_9 "address_c[9]") (joined (portRef Q (instanceRef r_address_11)) (portRef (member address_c 9)) )) (net (rename haddr_11 "haddr[11]") (joined (portRef (member haddr 17)) (portRef D (instanceRef r_address_11)) )) (net (rename address_c_10 "address_c[10]") (joined (portRef Q (instanceRef r_address_12)) (portRef (member address_c 8)) )) (net (rename haddr_12 "haddr[12]") (joined (portRef (member haddr 16)) (portRef D (instanceRef r_address_12)) )) (net (rename address_c_11 "address_c[11]") (joined (portRef Q (instanceRef r_address_13)) (portRef (member address_c 7)) )) (net (rename haddr_13 "haddr[13]") (joined (portRef (member haddr 15)) (portRef D (instanceRef r_address_13)) (portRef A (instanceRef r_mcfg2_rambanksz_RNIM19UA_3)) )) (net (rename address_c_12 "address_c[12]") (joined (portRef Q (instanceRef r_address_14)) (portRef (member address_c 6)) )) (net (rename haddr_14 "haddr[14]") (joined (portRef (member haddr 14)) (portRef D (instanceRef r_address_14)) (portRef A (instanceRef r_mcfg2_rambanksz_RNISH9UA_3)) )) (net (rename address_c_13 "address_c[13]") (joined (portRef Q (instanceRef r_address_15)) (portRef (member address_c 5)) )) (net (rename haddr_15 "haddr[15]") (joined (portRef (member haddr 13)) (portRef D (instanceRef r_address_15)) (portRef A (instanceRef r_mcfg2_rambanksz_RNI22AUA_3)) )) (net (rename address_c_14 "address_c[14]") (joined (portRef Q (instanceRef r_address_16)) (portRef (member address_c 4)) )) (net (rename haddr_16 "haddr[16]") (joined (portRef (member haddr 12)) (portRef D (instanceRef r_address_16)) (portRef A (instanceRef r_mcfg2_rambanksz_RNIKU1TA_3)) )) (net (rename address_c_15 "address_c[15]") (joined (portRef Q (instanceRef r_address_17)) (portRef (member address_c 3)) )) (net (rename haddr_17 "haddr[17]") (joined (portRef (member haddr 11)) (portRef D (instanceRef r_address_17)) (portRef A (instanceRef r_mcfg2_rambanksz_RNIQE2TA_3)) )) (net (rename address_c_16 "address_c[16]") (joined (portRef Q (instanceRef r_address_18)) (portRef (member address_c 2)) )) (net (rename haddr_18 "haddr[18]") (joined (portRef (member haddr 10)) (portRef D (instanceRef r_address_18)) (portRef A (instanceRef r_mcfg2_rambanksz_RNI0V2TA_3)) )) (net (rename address_c_17 "address_c[17]") (joined (portRef Q (instanceRef r_address_19)) (portRef (member address_c 1)) )) (net (rename haddr_19 "haddr[19]") (joined (portRef (member haddr 9)) (portRef D (instanceRef r_address_19)) (portRef A (instanceRef r_mcfg2_rambanksz_RNI6F3TA_3)) )) (net (rename address_c_18 "address_c[18]") (joined (portRef Q (instanceRef r_address_20)) (portRef (member address_c 0)) )) (net (rename haddr_20 "haddr[20]") (joined (portRef (member haddr 8)) (portRef D (instanceRef r_address_20)) (portRef A (instanceRef r_mcfg2_rambanksz_RNIJI1TA_3)) )) (net (rename romwidth_0 "romwidth[0]") (joined (portRef Q (instanceRef r_mcfg1_romwidth_0)) (portRef A (instanceRef r_busw_RNO_0)) (portRef (member romwidth 1)) )) (net (rename romwidth_1 "romwidth[1]") (joined (portRef Q (instanceRef r_mcfg1_romwidth_1)) (portRef A (instanceRef r_busw_RNO_1)) (portRef (member romwidth 0)) )) (net (rename rambanksz_0 "rambanksz[0]") (joined (portRef Q (instanceRef r_mcfg2_rambanksz_0)) (portRef S (instanceRef r_mcfg2_rambanksz_RNIL0OHN2_0)) (portRef rambanksz_0) )) (net (rename pwdata_9 "pwdata[9]") (joined (portRef pwdata_9) (portRef D (instanceRef r_mcfg2_rambanksz_0)) (portRef B (instanceRef r_mcfg1_romwidth_RNO_1)) )) (net (rename pwdata_10 "pwdata[10]") (joined (portRef pwdata_10) (portRef D (instanceRef r_mcfg2_rambanksz_1)) )) (net (rename pwdata_11 "pwdata[11]") (joined (portRef pwdata_11) (portRef D (instanceRef r_mcfg2_rambanksz_2)) (portRef B (instanceRef r_mcfg1_romwrite_RNO_0)) )) (net (rename pwdata_12 "pwdata[12]") (joined (portRef pwdata_12) (portRef D (instanceRef r_mcfg2_rambanksz_3)) )) (net (rename ramwidth_0 "ramwidth[0]") (joined (portRef Q (instanceRef r_mcfg2_ramwidth_0)) (portRef A (instanceRef r_busw_RNO_1_0)) (portRef (member ramwidth 1)) )) (net (rename pwdata_4 "pwdata[4]") (joined (portRef pwdata_4) (portRef D (instanceRef r_mcfg2_ramwidth_0)) (portRef B (instanceRef r_mcfg1_romwws_RNO_0_0)) )) (net (rename pwdata_5 "pwdata[5]") (joined (portRef pwdata_5) (portRef D (instanceRef r_mcfg2_ramwidth_1)) )) (net (rename iowidth_0 "iowidth[0]") (joined (portRef Q (instanceRef r_mcfg1_iowidth_0)) (portRef A (instanceRef r_busw_RNO_0_0)) (portRef (member iowidth 1)) )) (net (rename pwdata_27 "pwdata[27]") (joined (portRef pwdata_27) (portRef D (instanceRef r_mcfg1_iowidth_0)) )) (net (rename iowidth_1 "iowidth[1]") (joined (portRef Q (instanceRef r_mcfg1_iowidth_1)) (portRef A (instanceRef r_busw_RNO_0_1)) (portRef (member iowidth 0)) )) (net (rename pwdata_28 "pwdata[28]") (joined (portRef pwdata_28) (portRef D (instanceRef r_mcfg1_iowidth_1)) )) (net ramoen_c (joined (portRef Q (instanceRef r_ramoen_0)) (portRef ramoen_c) )) (net ramsn_c (joined (portRef Q (instanceRef r_ramsn_0)) (portRef A (instanceRef r_ramoen_RNO_0_0)) (portRef ramsn_c) )) (net (rename data_0 "data[0]") (joined (portRef Q (instanceRef r_writedata_0)) (portRef (member data 31)) )) (net (rename data_1 "data[1]") (joined (portRef Q (instanceRef r_writedata_1)) (portRef (member data 30)) )) (net (rename data_2 "data[2]") (joined (portRef Q (instanceRef r_writedata_2)) (portRef (member data 29)) )) (net (rename data_3 "data[3]") (joined (portRef Q (instanceRef r_writedata_3)) (portRef (member data 28)) )) (net (rename data_4 "data[4]") (joined (portRef Q (instanceRef r_writedata_4)) (portRef (member data 27)) )) (net (rename data_5 "data[5]") (joined (portRef Q (instanceRef r_writedata_5)) (portRef (member data 26)) )) (net (rename data_6 "data[6]") (joined (portRef Q (instanceRef r_writedata_6)) (portRef (member data 25)) )) (net (rename data_7 "data[7]") (joined (portRef Q (instanceRef r_writedata_7)) (portRef (member data 24)) )) (net (rename data_8 "data[8]") (joined (portRef Q (instanceRef r_writedata_8)) (portRef (member data 23)) )) (net (rename data_9 "data[9]") (joined (portRef Q (instanceRef r_writedata_9)) (portRef (member data 22)) )) (net (rename data_10 "data[10]") (joined (portRef Q (instanceRef r_writedata_10)) (portRef (member data 21)) )) (net (rename data_11 "data[11]") (joined (portRef Q (instanceRef r_writedata_11)) (portRef (member data 20)) )) (net (rename data_12 "data[12]") (joined (portRef Q (instanceRef r_writedata_12)) (portRef (member data 19)) )) (net (rename data_13 "data[13]") (joined (portRef Q (instanceRef r_writedata_13)) (portRef (member data 18)) )) (net (rename data_14 "data[14]") (joined (portRef Q (instanceRef r_writedata_14)) (portRef (member data 17)) )) (net (rename data_15 "data[15]") (joined (portRef Q (instanceRef r_writedata_15)) (portRef (member data 16)) )) (net (rename data_16 "data[16]") (joined (portRef Q (instanceRef r_writedata_16)) (portRef A (instanceRef r_writedata_RNO_1_16)) (portRef (member data 15)) )) (net (rename data_17 "data[17]") (joined (portRef Q (instanceRef r_writedata_17)) (portRef A (instanceRef r_writedata_RNO_0_17)) (portRef (member data 14)) )) (net (rename data_18 "data[18]") (joined (portRef Q (instanceRef r_writedata_18)) (portRef A (instanceRef r_writedata_RNO_0_18)) (portRef (member data 13)) )) (net (rename data_19 "data[19]") (joined (portRef Q (instanceRef r_writedata_19)) (portRef A (instanceRef r_writedata_RNO_0_19)) (portRef (member data 12)) )) (net (rename data_20 "data[20]") (joined (portRef Q (instanceRef r_writedata_20)) (portRef A (instanceRef r_writedata_RNO_1_20)) (portRef (member data 11)) )) (net (rename data_21 "data[21]") (joined (portRef Q (instanceRef r_writedata_21)) (portRef A (instanceRef r_writedata_RNO_0_21)) (portRef (member data 10)) )) (net (rename data_22 "data[22]") (joined (portRef Q (instanceRef r_writedata_22)) (portRef A (instanceRef r_writedata_RNO_1_22)) (portRef (member data 9)) )) (net (rename data_23 "data[23]") (joined (portRef Q (instanceRef r_writedata_23)) (portRef A (instanceRef r_writedata_RNO_0_23)) (portRef (member data 8)) )) (net (rename data_24 "data[24]") (joined (portRef Q (instanceRef r_writedata_24)) (portRef (member data 7)) )) (net (rename data_25 "data[25]") (joined (portRef Q (instanceRef r_writedata_25)) (portRef (member data 6)) )) (net (rename data_26 "data[26]") (joined (portRef Q (instanceRef r_writedata_26)) (portRef (member data 5)) )) (net (rename data_27 "data[27]") (joined (portRef Q (instanceRef r_writedata_27)) (portRef (member data 4)) )) (net (rename data_28 "data[28]") (joined (portRef Q (instanceRef r_writedata_28)) (portRef (member data 3)) )) (net (rename data_29 "data[29]") (joined (portRef Q (instanceRef r_writedata_29)) (portRef (member data 2)) )) (net (rename data_30 "data[30]") (joined (portRef Q (instanceRef r_writedata_30)) (portRef (member data 1)) )) (net (rename data_31 "data[31]") (joined (portRef Q (instanceRef r_writedata_31)) (portRef (member data 0)) )) (net romsn_c (joined (portRef Q (instanceRef r_romsn_0)) (portRef romsn_c) )) (net (rename hresp_0 "hresp[0]") (joined (portRef Q (instanceRef r_hresp_0)) (portRef (member hresp 0)) )) (net iosn_c (joined (portRef Q (instanceRef r_iosn_0)) (portRef iosn_c) )) (net (rename hrdata_0 "hrdata[0]") (joined (portRef Q (instanceRef r_data_0)) (portRef B (instanceRef r_writedata_RNO_0)) (portRef (member hrdata 31)) )) (net (rename data_in_0 "data_in[0]") (joined (portRef (member data_in 31)) (portRef D (instanceRef r_data_0)) )) (net (rename hrdata_1 "hrdata[1]") (joined (portRef Q (instanceRef r_data_1)) (portRef B (instanceRef r_data_RNI4HT51_1)) (portRef (member hrdata 30)) )) (net (rename data_in_1 "data_in[1]") (joined (portRef (member data_in 30)) (portRef D (instanceRef r_data_1)) )) (net (rename hrdata_2 "hrdata[2]") (joined (portRef Q (instanceRef r_data_2)) (portRef B (instanceRef r_data_RNI7PT51_2)) (portRef (member hrdata 29)) )) (net (rename data_in_2 "data_in[2]") (joined (portRef (member data_in 29)) (portRef D (instanceRef r_data_2)) )) (net (rename hrdata_3 "hrdata[3]") (joined (portRef Q (instanceRef r_data_3)) (portRef B (instanceRef r_data_RNIA1U51_3)) (portRef (member hrdata 28)) )) (net (rename data_in_3 "data_in[3]") (joined (portRef (member data_in 28)) (portRef D (instanceRef r_data_3)) )) (net (rename hrdata_4 "hrdata[4]") (joined (portRef Q (instanceRef r_data_4)) (portRef B (instanceRef r_data_RNIIUFC1_4)) (portRef (member hrdata 27)) )) (net (rename data_in_4 "data_in[4]") (joined (portRef (member data_in 27)) (portRef D (instanceRef r_data_4)) )) (net (rename hrdata_5 "hrdata[5]") (joined (portRef Q (instanceRef r_data_5)) (portRef B (instanceRef r_data_RNIL6GC1_5)) (portRef (member hrdata 26)) )) (net (rename data_in_5 "data_in[5]") (joined (portRef (member data_in 26)) (portRef D (instanceRef r_data_5)) )) (net (rename data_in_6 "data_in[6]") (joined (portRef (member data_in 25)) (portRef D (instanceRef r_data_6)) )) (net (rename data_in_7 "data_in[7]") (joined (portRef (member data_in 24)) (portRef D (instanceRef r_data_7)) )) (net (rename hrdata_8 "hrdata[8]") (joined (portRef Q (instanceRef r_data_8)) (portRef A (instanceRef r_data_RNI2QV21_8)) (portRef (member hrdata 23)) )) (net (rename data_in_8 "data_in[8]") (joined (portRef (member data_in 23)) (portRef D (instanceRef r_data_8)) )) (net (rename hrdata_9 "hrdata[9]") (joined (portRef Q (instanceRef r_data_9)) (portRef A (instanceRef r_data_RNI52031_9)) (portRef (member hrdata 22)) )) (net (rename data_in_9 "data_in[9]") (joined (portRef (member data_in 22)) (portRef D (instanceRef r_data_9)) )) (net (rename hrdata_10 "hrdata[10]") (joined (portRef Q (instanceRef r_data_10)) (portRef A (instanceRef r_data_RNI03JP_10)) (portRef (member hrdata 21)) )) (net (rename data_in_10 "data_in[10]") (joined (portRef (member data_in 21)) (portRef D (instanceRef r_data_10)) )) (net (rename hrdata_11 "hrdata[11]") (joined (portRef Q (instanceRef r_data_11)) (portRef A (instanceRef r_data_RNIN9M81_11)) (portRef (member hrdata 20)) )) (net (rename data_in_11 "data_in[11]") (joined (portRef (member data_in 20)) (portRef D (instanceRef r_data_11)) )) (net (rename hrdata_12 "hrdata[12]") (joined (portRef Q (instanceRef r_data_12)) (portRef B (instanceRef r_data_RNI23JP_12)) (portRef (member hrdata 19)) )) (net (rename data_in_12 "data_in[12]") (joined (portRef (member data_in 19)) (portRef D (instanceRef r_data_12)) )) (net (rename hrdata_13 "hrdata[13]") (joined (portRef Q (instanceRef r_data_13)) (portRef A (instanceRef r_data_RNI278F1_13)) (portRef (member hrdata 18)) )) (net (rename data_in_13 "data_in[13]") (joined (portRef (member data_in 18)) (portRef D (instanceRef r_data_13)) )) (net (rename hrdata_14 "hrdata[14]") (joined (portRef Q (instanceRef r_data_14)) (portRef B (instanceRef r_data_RNI43JP_14)) (portRef (member hrdata 17)) )) (net (rename data_in_14 "data_in[14]") (joined (portRef (member data_in 17)) (portRef D (instanceRef r_data_14)) )) (net (rename hrdata_15 "hrdata[15]") (joined (portRef Q (instanceRef r_data_15)) (portRef A (instanceRef r_data_RNI8F8F1_15)) (portRef (member hrdata 16)) )) (net (rename data_in_15 "data_in[15]") (joined (portRef (member data_in 16)) (portRef D (instanceRef r_data_15)) )) (net (rename hrdata_16 "hrdata[16]") (joined (portRef Q (instanceRef r_data_16)) (portRef A (instanceRef r_data_RNI6QQG1_16)) (portRef (member hrdata 15)) )) (net (rename data_in_16 "data_in[16]") (joined (portRef (member data_in 15)) (portRef D (instanceRef r_data_16)) )) (net (rename hrdata_17 "hrdata[17]") (joined (portRef Q (instanceRef r_data_17)) (portRef A (instanceRef r_data_RNI9UQG1_17)) (portRef (member hrdata 14)) )) (net (rename data_in_17 "data_in[17]") (joined (portRef (member data_in 14)) (portRef D (instanceRef r_data_17)) )) (net (rename hrdata_18 "hrdata[18]") (joined (portRef Q (instanceRef r_data_18)) (portRef A (instanceRef r_data_RNI7D9A1_18)) (portRef (member hrdata 13)) )) (net (rename data_in_18 "data_in[18]") (joined (portRef (member data_in 13)) (portRef D (instanceRef r_data_18)) )) (net (rename hrdata_19 "hrdata[19]") (joined (portRef Q (instanceRef r_data_19)) (portRef A (instanceRef r_data_RNIF6RG1_19)) (portRef (member hrdata 12)) )) (net (rename data_in_19 "data_in[19]") (joined (portRef (member data_in 12)) (portRef D (instanceRef r_data_19)) )) (net (rename hrdata_20 "hrdata[20]") (joined (portRef Q (instanceRef r_data_20)) (portRef A (instanceRef r_data_RNIMK8A1_20)) (portRef (member hrdata 11)) )) (net (rename data_in_20 "data_in[20]") (joined (portRef (member data_in 11)) (portRef D (instanceRef r_data_20)) )) (net (rename hrdata_21 "hrdata[21]") (joined (portRef Q (instanceRef r_data_21)) (portRef A (instanceRef r_data_RNIUDQG1_21)) (portRef (member hrdata 10)) )) (net (rename data_in_21 "data_in[21]") (joined (portRef (member data_in 10)) (portRef D (instanceRef r_data_21)) )) (net (rename hrdata_22 "hrdata[22]") (joined (portRef Q (instanceRef r_data_22)) (portRef A (instanceRef r_data_RNISS8A1_22)) (portRef (member hrdata 9)) )) (net (rename data_in_22 "data_in[22]") (joined (portRef (member data_in 9)) (portRef D (instanceRef r_data_22)) )) (net (rename data_in_23 "data_in[23]") (joined (portRef (member data_in 8)) (portRef D (instanceRef r_data_23)) )) (net (rename hrdata_24 "hrdata[24]") (joined (portRef Q (instanceRef r_data_24)) (portRef A (instanceRef r_writedata_RNO_4_24)) (portRef (member hrdata 7)) )) (net (rename data_in_24 "data_in[24]") (joined (portRef (member data_in 7)) (portRef D (instanceRef r_data_24)) )) (net (rename hrdata_25 "hrdata[25]") (joined (portRef Q (instanceRef r_data_25)) (portRef A (instanceRef r_writedata_RNO_4_25)) (portRef (member hrdata 6)) )) (net (rename data_in_25 "data_in[25]") (joined (portRef (member data_in 6)) (portRef D (instanceRef r_data_25)) )) (net (rename hrdata_26 "hrdata[26]") (joined (portRef Q (instanceRef r_data_26)) (portRef A (instanceRef r_writedata_RNO_3_26)) (portRef (member hrdata 5)) )) (net (rename data_in_26 "data_in[26]") (joined (portRef (member data_in 5)) (portRef D (instanceRef r_data_26)) )) (net (rename hrdata_27 "hrdata[27]") (joined (portRef Q (instanceRef r_data_27)) (portRef A (instanceRef r_writedata_RNO_4_27)) (portRef (member hrdata 4)) )) (net (rename data_in_27 "data_in[27]") (joined (portRef (member data_in 4)) (portRef D (instanceRef r_data_27)) )) (net (rename hrdata_28 "hrdata[28]") (joined (portRef Q (instanceRef r_data_28)) (portRef B (instanceRef r_writedata_RNO_4_28)) (portRef (member hrdata 3)) )) (net (rename data_in_28 "data_in[28]") (joined (portRef (member data_in 3)) (portRef D (instanceRef r_data_28)) )) (net (rename hrdata_29 "hrdata[29]") (joined (portRef Q (instanceRef r_data_29)) (portRef A (instanceRef r_writedata_RNO_4_29)) (portRef (member hrdata 2)) )) (net (rename data_in_29 "data_in[29]") (joined (portRef (member data_in 2)) (portRef D (instanceRef r_data_29)) )) (net (rename hrdata_30 "hrdata[30]") (joined (portRef Q (instanceRef r_data_30)) (portRef A (instanceRef r_writedata_RNO_4_30)) (portRef (member hrdata 1)) )) (net (rename data_in_30 "data_in[30]") (joined (portRef (member data_in 1)) (portRef D (instanceRef r_data_30)) )) (net (rename data_in_31 "data_in[31]") (joined (portRef (member data_in 0)) (portRef D (instanceRef r_data_31)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property hindex (integer 0)) (property pindex (integer 0)) (property romaddr (integer 0)) (property rommask (integer 3584)) (property ioaddr (integer 512)) (property iomask (integer 3584)) (property ramaddr (integer 1024)) (property rammask (integer 3072)) (property paddr (integer 0)) (property pmask (integer 4095)) (property wprot (integer 0)) (property invclk (integer 0)) (property fast (integer 0)) (property romasel (integer 28)) (property sdrasel (integer 29)) (property srbanks (integer 2)) (property ram8 (integer 0)) (property ram16 (integer 0)) (property sden (integer 0)) (property sepbus (integer 0)) (property sdbits (integer 32)) (property sdlsb (integer 2)) (property oepol (integer 0)) (property syncrst (integer 0)) (property pageburst (integer 0)) (property scantest (integer 0)) (property mobile (integer 0)) ) ) ) (library techmap (edifLevel 0) (technology (numberDefinition )) (cell proasic3_ram4k9_15 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnij6pe91 "dstate_RNIJ6PE91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni5c6e91 "dstate_RNI5C6E91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniuuch91 "dstate_RNIUUCH91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni3jq791 "dstate_RNI3JQ791[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_15_VCC (direction INPUT)) (port proasic3_ram4k9_15_GND (direction INPUT)) (port u0_DOUTA3_1 (direction OUTPUT)) (port u0_DOUTA2_1 (direction OUTPUT)) (port u0_DOUTA1_1 (direction OUTPUT)) (port u0_DOUTA0_1 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_1 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_1) )) (net u0_DOUTA1_1 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_1) )) (net u0_DOUTA2_1 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_1) )) (net u0_DOUTA3_1 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_1) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_20 "un1_u0[20]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_21 "un1_u0[21]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_22 "un1_u0[22]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_23 "un1_u0[23]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_15_GND (joined (portRef proasic3_ram4k9_15_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename dstate_RNI3JQ791_1 "dstate_RNI3JQ791[1]") (joined (portRef (member dstate_rni3jq791 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename dstate_RNIUUCH91_1 "dstate_RNIUUCH91[1]") (joined (portRef (member dstate_rniuuch91 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename dstate_RNI5C6E91_1 "dstate_RNI5C6E91[1]") (joined (portRef (member dstate_rni5c6e91 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename dstate_RNIJ6PE91_1 "dstate_RNIJ6PE91[1]") (joined (portRef (member dstate_rnij6pe91 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_15_VCC (joined (portRef proasic3_ram4k9_15_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_14 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni8bdug1 "dstate_RNI8BDUG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnir83tf1 "dstate_RNIR83TF1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniak9of1 "dstate_RNIAK9OF1[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port read_RNILMNHG1 (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_14_VCC (direction INPUT)) (port proasic3_ram4k9_14_GND (direction INPUT)) (port u0_DOUTA3_0 (direction OUTPUT)) (port u0_DOUTA2_0 (direction OUTPUT)) (port u0_DOUTA1_0 (direction OUTPUT)) (port u0_DOUTA0_0 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_0 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_0) )) (net u0_DOUTA1_0 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_0) )) (net u0_DOUTA2_0 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_0) )) (net u0_DOUTA3_0 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_0) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_24 "un1_u0[24]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_25 "un1_u0[25]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_26 "un1_u0[26]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_27 "un1_u0[27]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_14_GND (joined (portRef proasic3_ram4k9_14_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename dstate_RNIAK9OF1_1 "dstate_RNIAK9OF1[1]") (joined (portRef (member dstate_rniak9of1 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename dstate_RNIR83TF1_1 "dstate_RNIR83TF1[1]") (joined (portRef (member dstate_rnir83tf1 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename dstate_RNI8BDUG1_1 "dstate_RNI8BDUG1[1]") (joined (portRef (member dstate_rni8bdug1 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net read_RNILMNHG1 (joined (portRef read_RNILMNHG1) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_14_VCC (joined (portRef proasic3_ram4k9_14_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_13 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniosstg1 "dstate_RNIOSSTG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnistgfh1 "dstate_RNISTGFH1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni8cbsg1 "dstate_RNI8CBSG1[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port read_RNIV144H1 (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_13_VCC (direction INPUT)) (port proasic3_ram4k9_13_GND (direction INPUT)) (port u0_DOUTA3 (direction OUTPUT)) (port u0_DOUTA2 (direction OUTPUT)) (port u0_DOUTA1 (direction OUTPUT)) (port u0_DOUTA0 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0) )) (net u0_DOUTA1 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1) )) (net u0_DOUTA2 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2) )) (net u0_DOUTA3 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_28 "un1_u0[28]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_29 "un1_u0[29]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_30 "un1_u0[30]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_31 "un1_u0[31]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_13_GND (joined (portRef proasic3_ram4k9_13_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename dstate_RNI8CBSG1_1 "dstate_RNI8CBSG1[1]") (joined (portRef (member dstate_rni8cbsg1 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename dstate_RNISTGFH1_1 "dstate_RNISTGFH1[1]") (joined (portRef (member dstate_rnistgfh1 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net read_RNIV144H1 (joined (portRef read_RNIV144H1) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename dstate_RNIOSSTG1_1 "dstate_RNIOSSTG1[1]") (joined (portRef (member dstate_rniosstg1 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_13_VCC (joined (portRef proasic3_ram4k9_13_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_12 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnia7si91 "dstate_RNIA7SI91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnitlpg91 "dstate_RNITLPG91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniiltr91 "dstate_RNIILTR91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni0v0e91 "dstate_RNI0V0E91[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_12_VCC (direction INPUT)) (port proasic3_ram4k9_12_GND (direction INPUT)) (port u0_DOUTA3_2 (direction OUTPUT)) (port u0_DOUTA2_2 (direction OUTPUT)) (port u0_DOUTA1_2 (direction OUTPUT)) (port u0_DOUTA0_2 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_2 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_2) )) (net u0_DOUTA1_2 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_2) )) (net u0_DOUTA2_2 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_2) )) (net u0_DOUTA3_2 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_2) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_16 "un1_u0[16]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_17 "un1_u0[17]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_18 "un1_u0[18]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_19 "un1_u0[19]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_12_GND (joined (portRef proasic3_ram4k9_12_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename dstate_RNI0V0E91_1 "dstate_RNI0V0E91[1]") (joined (portRef (member dstate_rni0v0e91 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename dstate_RNIILTR91_1 "dstate_RNIILTR91[1]") (joined (portRef (member dstate_rniiltr91 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename dstate_RNITLPG91_1 "dstate_RNITLPG91[1]") (joined (portRef (member dstate_rnitlpg91 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename dstate_RNIA7SI91_1 "dstate_RNIA7SI91[1]") (joined (portRef (member dstate_rnia7si91 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_12_VCC (joined (portRef proasic3_ram4k9_12_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_11 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port (array (rename size_rniq6o4u "size_RNIQ6O4U[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni08ulu "dstate_RNI08ULU[1:1]") 1) (direction INPUT)) (port (array (rename size_rniqafgu "size_RNIQAFGU[1:1]") 1) (direction INPUT)) (port (array (rename size_rniiu3pu "size_RNIIU3PU[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_11_VCC (direction INPUT)) (port proasic3_ram4k9_11_GND (direction INPUT)) (port u0_DOUTA3_5 (direction OUTPUT)) (port u0_DOUTA2_5 (direction OUTPUT)) (port u0_DOUTA1_5 (direction OUTPUT)) (port u0_DOUTA0_5 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_5 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_5) )) (net u0_DOUTA1_5 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_5) )) (net u0_DOUTA2_5 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_5) )) (net u0_DOUTA3_5 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_5) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_4 "un1_u0[4]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_5 "un1_u0[5]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_6 "un1_u0[6]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_7 "un1_u0[7]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_11_GND (joined (portRef proasic3_ram4k9_11_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename size_RNIIU3PU_1 "size_RNIIU3PU[1]") (joined (portRef (member size_rniiu3pu 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename size_RNIQAFGU_1 "size_RNIQAFGU[1]") (joined (portRef (member size_rniqafgu 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename dstate_RNI08ULU_1 "dstate_RNI08ULU[1]") (joined (portRef (member dstate_rni08ulu 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename size_RNIQ6O4U_1 "size_RNIQ6O4U[1]") (joined (portRef (member size_rniq6o4u 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_11_VCC (joined (portRef proasic3_ram4k9_11_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_10 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniophjd1 "dstate_RNIOPHJD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnisu72d1 "dstate_RNISU72D1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnigbkhb1 "dstate_RNIGBKHB1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnivapcb1 "dstate_RNIVAPCB1[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_10_VCC (direction INPUT)) (port proasic3_ram4k9_10_GND (direction INPUT)) (port u0_DOUTA3_4 (direction OUTPUT)) (port u0_DOUTA2_4 (direction OUTPUT)) (port u0_DOUTA1_4 (direction OUTPUT)) (port u0_DOUTA0_4 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_4 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_4) )) (net u0_DOUTA1_4 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_4) )) (net u0_DOUTA2_4 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_4) )) (net u0_DOUTA3_4 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_4) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_8 "un1_u0[8]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_9 "un1_u0[9]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_10 "un1_u0[10]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_11 "un1_u0[11]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_10_GND (joined (portRef proasic3_ram4k9_10_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename dstate_RNIVAPCB1_1 "dstate_RNIVAPCB1[1]") (joined (portRef (member dstate_rnivapcb1 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename dstate_RNIGBKHB1_1 "dstate_RNIGBKHB1[1]") (joined (portRef (member dstate_rnigbkhb1 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename dstate_RNISU72D1_1 "dstate_RNISU72D1[1]") (joined (portRef (member dstate_rnisu72d1 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename dstate_RNIOPHJD1_1 "dstate_RNIOPHJD1[1]") (joined (portRef (member dstate_rniophjd1 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_10_VCC (joined (portRef proasic3_ram4k9_10_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_9 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnipkcmd1 "dstate_RNIPKCMD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnir5pqd1 "dstate_RNIR5PQD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnibj5kd1 "dstate_RNIBJ5KD1[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port read_RNIGQ6ND1 (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_9_VCC (direction INPUT)) (port proasic3_ram4k9_9_GND (direction INPUT)) (port u0_DOUTA3_3 (direction OUTPUT)) (port u0_DOUTA2_3 (direction OUTPUT)) (port u0_DOUTA1_3 (direction OUTPUT)) (port u0_DOUTA0_3 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_3 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_3) )) (net u0_DOUTA1_3 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_3) )) (net u0_DOUTA2_3 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_3) )) (net u0_DOUTA3_3 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_3) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_12 "un1_u0[12]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_13 "un1_u0[13]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_14 "un1_u0[14]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_15 "un1_u0[15]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_9_GND (joined (portRef proasic3_ram4k9_9_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename dstate_RNIBJ5KD1_1 "dstate_RNIBJ5KD1[1]") (joined (portRef (member dstate_rnibj5kd1 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename dstate_RNIR5PQD1_1 "dstate_RNIR5PQD1[1]") (joined (portRef (member dstate_rnir5pqd1 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net read_RNIGQ6ND1 (joined (portRef read_RNIGQ6ND1) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename dstate_RNIPKCMD1_1 "dstate_RNIPKCMD1[1]") (joined (portRef (member dstate_rnipkcmd1 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_9_VCC (joined (portRef proasic3_ram4k9_9_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_8 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port (array (rename size_rni1g16u "size_RNI1G16U[1:1]") 1) (direction INPUT)) (port (array (rename size_rnis2oau "size_RNIS2OAU[1:1]") 1) (direction INPUT)) (port (array (rename size_rnitkmlu "size_RNITKMLU[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni5432u "dstate_RNI5432U[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_8_VCC (direction INPUT)) (port proasic3_ram4k9_8_GND (direction INPUT)) (port u0_DOUTA3_6 (direction OUTPUT)) (port u0_DOUTA2_6 (direction OUTPUT)) (port u0_DOUTA1_6 (direction OUTPUT)) (port u0_DOUTA0_6 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_6 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_6) )) (net u0_DOUTA1_6 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_6) )) (net u0_DOUTA2_6 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_6) )) (net u0_DOUTA3_6 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_6) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_0 "un1_u0[0]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_1 "un1_u0[1]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_2 "un1_u0[2]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_3 "un1_u0[3]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_8_GND (joined (portRef proasic3_ram4k9_8_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename dstate_RNI5432U_1 "dstate_RNI5432U[1]") (joined (portRef (member dstate_rni5432u 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename size_RNITKMLU_1 "size_RNITKMLU[1]") (joined (portRef (member size_rnitkmlu 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename size_RNIS2OAU_1 "size_RNIS2OAU[1]") (joined (portRef (member size_rnis2oau 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename size_RNI1G16U_1 "size_RNI1G16U[1]") (joined (portRef (member size_rni1g16u 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_8_VCC (joined (portRef proasic3_ram4k9_8_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram512x18_7 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename newptag_2_0 "newptag_2_0[27:26]") 2) (direction INPUT)) (port (array (rename un1_p0_2 "un1_p0_2[368:368]") 1) (direction INPUT)) (port addr_3 (direction INPUT)) (port addr_0 (direction INPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[498:498]") 1) (direction INPUT)) (port edata2_iv_0 (direction INPUT)) (port edata2_iv_3 (direction INPUT)) (port edata2_iv_1 (direction INPUT)) (port flush_RNICD8ME (direction INPUT)) (port proasic3_ram512x18_7_VCC (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram512x18_7_GND (direction INPUT)) (port u0_RD5_0 (direction OUTPUT)) (port u0_RD4 (direction OUTPUT)) (port u0_RD3 (direction OUTPUT)) (port u0_RD2 (direction OUTPUT)) (port u0_RD1 (direction OUTPUT)) (port u0_RD0 (direction OUTPUT)) (port N_2745 (direction INPUT)) (port N_2698 (direction INPUT)) (port N_2747 (direction INPUT)) (port N_2748 (direction INPUT)) (port N_2868 (direction INPUT)) (port newptag_2_a2_0_28_m1_e_0 (direction INPUT)) (port flush_0_RNI2N6NQR1 (direction INPUT)) (port newptag_2_a2_0_31_m1_e_0 (direction INPUT)) (port N_2542 (direction INPUT)) ) (contents (instance u0_RNO_5 (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance u0_RNO_7 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance u0_RNO_9 (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance u0_RNO_6 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance u0_RNO_8 (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance u0_RNO_1 (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance u0_RNO_4 (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance u0_RNO_2 (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance u0_RNO_3 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance u0_RNO_0 (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance u0_RNO (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance u0 (viewRef prim (cellRef RAM512X18 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename vdtdatain_0_1_0_21 "vdtdatain_0_1_0[21]") (joined (portRef Y (instanceRef u0_RNO_5)) (portRef B (instanceRef u0_RNO_2)) )) (net (rename edata2_iv_1 "edata2_iv[29]") (joined (portRef edata2_iv_1) (portRef B (instanceRef u0_RNO_5)) )) (net (rename vdtdatain_0_1_1_23 "vdtdatain_0_1_1[23]") (joined (portRef Y (instanceRef u0_RNO_7)) (portRef C (instanceRef u0_RNO_4)) )) (net newptag_2_a2_0_31_m1_e_0 (joined (portRef newptag_2_a2_0_31_m1_e_0) (portRef A (instanceRef u0_RNO_7)) )) (net (rename vdtdatain_0_1_0_23 "vdtdatain_0_1_0[23]") (joined (portRef Y (instanceRef u0_RNO_9)) (portRef C (instanceRef u0_RNO_7)) )) (net N_2542 (joined (portRef N_2542) (portRef A (instanceRef u0_RNO_8)) (portRef A (instanceRef u0_RNO_9)) (portRef A (instanceRef u0_RNO_5)) )) (net (rename edata2_iv_3 "edata2_iv[31]") (joined (portRef edata2_iv_3) (portRef B (instanceRef u0_RNO_9)) )) (net (rename un1_p0_2_0_498 "un1_p0_2_0[498]") (joined (portRef (member un1_p0_2_0 0)) (portRef C (instanceRef u0_RNO)) (portRef C (instanceRef u0_RNO_0)) (portRef B (instanceRef u0_RNO_3)) (portRef C (instanceRef u0_RNO_8)) (portRef C (instanceRef u0_RNO_9)) (portRef C (instanceRef u0_RNO_5)) )) (net (rename vdtdatain_0_1_1_20 "vdtdatain_0_1_1[20]") (joined (portRef Y (instanceRef u0_RNO_6)) (portRef C (instanceRef u0_RNO_1)) )) (net newptag_2_a2_0_28_m1_e_0 (joined (portRef newptag_2_a2_0_28_m1_e_0) (portRef A (instanceRef u0_RNO_6)) )) (net flush_0_RNI2N6NQR1 (joined (portRef flush_0_RNI2N6NQR1) (portRef B (instanceRef u0_RNO_6)) (portRef B (instanceRef u0_RNO_7)) )) (net (rename vdtdatain_0_1_0_20 "vdtdatain_0_1_0[20]") (joined (portRef Y (instanceRef u0_RNO_8)) (portRef C (instanceRef u0_RNO_6)) )) (net (rename edata2_iv_0 "edata2_iv[28]") (joined (portRef edata2_iv_0) (portRef B (instanceRef u0_RNO_8)) )) (net (rename vdtdatain_0_1_20 "vdtdatain_0_1[20]") (joined (portRef Y (instanceRef u0_RNO_1)) (portRef WD2 (instanceRef u0)) )) (net (rename addr_0 "addr[28]") (joined (portRef addr_0) (portRef A (instanceRef u0_RNO_1)) )) (net (rename vdtdatain_0_1_23 "vdtdatain_0_1[23]") (joined (portRef Y (instanceRef u0_RNO_4)) (portRef WD5 (instanceRef u0)) )) (net (rename addr_3 "addr[31]") (joined (portRef addr_3) (portRef A (instanceRef u0_RNO_4)) )) (net N_2868 (joined (portRef N_2868) (portRef B (instanceRef u0_RNO_4)) (portRef B (instanceRef u0_RNO_1)) )) (net (rename vdtdatain_0_1_21 "vdtdatain_0_1[21]") (joined (portRef Y (instanceRef u0_RNO_2)) (portRef WD3 (instanceRef u0)) )) (net N_2748 (joined (portRef N_2748) (portRef A (instanceRef u0_RNO_2)) )) (net N_2747 (joined (portRef N_2747) (portRef C (instanceRef u0_RNO_2)) )) (net (rename vdtdatain_0_1_22 "vdtdatain_0_1[22]") (joined (portRef Y (instanceRef u0_RNO_3)) (portRef WD4 (instanceRef u0)) )) (net (rename un1_p0_2_368 "un1_p0_2[368]") (joined (portRef (member un1_p0_2 0)) (portRef A (instanceRef u0_RNO_3)) )) (net (rename vdtdatain_0_1_19 "vdtdatain_0_1[19]") (joined (portRef Y (instanceRef u0_RNO_0)) (portRef WD1 (instanceRef u0)) )) (net N_2698 (joined (portRef N_2698) (portRef A (instanceRef u0_RNO_0)) )) (net (rename newptag_2_0_27 "newptag_2_0[27]") (joined (portRef (member newptag_2_0 0)) (portRef B (instanceRef u0_RNO_0)) )) (net (rename vdtdatain_0_1_i_18 "vdtdatain_0_1_i[18]") (joined (portRef Y (instanceRef u0_RNO)) (portRef WD0 (instanceRef u0)) )) (net (rename newptag_2_0_26 "newptag_2_0[26]") (joined (portRef (member newptag_2_0 1)) (portRef A (instanceRef u0_RNO)) )) (net N_2745 (joined (portRef N_2745) (portRef B (instanceRef u0_RNO)) )) (net u0_RD0 (joined (portRef RD0 (instanceRef u0)) (portRef u0_RD0) )) (net u0_RD1 (joined (portRef RD1 (instanceRef u0)) (portRef u0_RD1) )) (net u0_RD2 (joined (portRef RD2 (instanceRef u0)) (portRef u0_RD2) )) (net u0_RD3 (joined (portRef RD3 (instanceRef u0)) (portRef u0_RD3) )) (net u0_RD4 (joined (portRef RD4 (instanceRef u0)) (portRef u0_RD4) )) (net u0_RD5_0 (joined (portRef RD5 (instanceRef u0)) (portRef u0_RD5_0) )) (net (rename q2_3_24 "q2_3[24]") (joined (portRef RD6 (instanceRef u0)) )) (net (rename q2_3_25 "q2_3[25]") (joined (portRef RD7 (instanceRef u0)) )) (net (rename q2_3_26 "q2_3[26]") (joined (portRef RD8 (instanceRef u0)) )) (net (rename q2_3_27 "q2_3[27]") (joined (portRef RD9 (instanceRef u0)) )) (net (rename q2_3_28 "q2_3[28]") (joined (portRef RD10 (instanceRef u0)) )) (net (rename q2_3_29 "q2_3[29]") (joined (portRef RD11 (instanceRef u0)) )) (net (rename q2_3_30 "q2_3[30]") (joined (portRef RD12 (instanceRef u0)) )) (net (rename q2_3_31 "q2_3[31]") (joined (portRef RD13 (instanceRef u0)) )) (net (rename q2_3_32 "q2_3[32]") (joined (portRef RD14 (instanceRef u0)) )) (net (rename q2_3_33 "q2_3[33]") (joined (portRef RD15 (instanceRef u0)) )) (net (rename q2_3_34 "q2_3[34]") (joined (portRef RD16 (instanceRef u0)) )) (net (rename q2_3_35 "q2_3[35]") (joined (portRef RD17 (instanceRef u0)) )) (net proasic3_ram512x18_7_GND (joined (portRef proasic3_ram512x18_7_GND) (portRef WW0 (instanceRef u0)) (portRef WD17 (instanceRef u0)) (portRef WD16 (instanceRef u0)) (portRef WD15 (instanceRef u0)) (portRef WD14 (instanceRef u0)) (portRef WD13 (instanceRef u0)) (portRef WD12 (instanceRef u0)) (portRef WD11 (instanceRef u0)) (portRef WD10 (instanceRef u0)) (portRef WD9 (instanceRef u0)) (portRef WD8 (instanceRef u0)) (portRef WD7 (instanceRef u0)) (portRef WD6 (instanceRef u0)) (portRef WADDR8 (instanceRef u0)) (portRef RW0 (instanceRef u0)) (portRef REN (instanceRef u0)) (portRef RADDR8 (instanceRef u0)) (portRef PIPE (instanceRef u0)) )) (net proasic3_ram512x18_7_VCC (joined (portRef proasic3_ram512x18_7_VCC) (portRef WW1 (instanceRef u0)) (portRef RW1 (instanceRef u0)) (portRef RESET (instanceRef u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef WADDR0 (instanceRef u0)) (portRef RADDR0 (instanceRef u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef WADDR1 (instanceRef u0)) (portRef RADDR1 (instanceRef u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef WADDR2 (instanceRef u0)) (portRef RADDR2 (instanceRef u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef WADDR3 (instanceRef u0)) (portRef RADDR3 (instanceRef u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef WADDR4 (instanceRef u0)) (portRef RADDR4 (instanceRef u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef WADDR5 (instanceRef u0)) (portRef RADDR5 (instanceRef u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef WADDR6 (instanceRef u0)) (portRef RADDR6 (instanceRef u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef WADDR7 (instanceRef u0)) (portRef RADDR7 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef WCLK (instanceRef u0)) (portRef RCLK (instanceRef u0)) )) (net flush_RNICD8ME (joined (portRef flush_RNICD8ME) (portRef WEN (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) ) ) (cell proasic3_ram512x18_6 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename xaddress_rnifbr7vm1 "xaddress_RNIFBR7VM1[23:23]") 1) (direction INPUT)) (port (array (rename xaddress_rniqoh8vm1 "xaddress_RNIQOH8VM1[22:22]") 1) (direction INPUT)) (port (array (rename xaddress_rnid0h8vm1 "xaddress_RNID0H8VM1[21:21]") 1) (direction INPUT)) (port (array (rename newptag_2 "newptag_2[20:20]") 1) (direction INPUT)) (port (array (rename xaddress_rnilq8h4r3 "xaddress_RNILQ8H4R3[19:19]") 1) (direction INPUT)) (port (array (rename xaddress_rnie9i8vm1 "xaddress_RNIE9I8VM1[18:18]") 1) (direction INPUT)) (port (array (rename xaddress_rni1hh8vm1 "xaddress_RNI1HH8VM1[17:17]") 1) (direction INPUT)) (port (array (rename xaddress_rnih8g8vm1 "xaddress_RNIH8G8VM1[16:16]") 1) (direction INPUT)) (port (array (rename xaddress_rni1q8h4r3 "xaddress_RNI1Q8H4R3[15:15]") 1) (direction INPUT)) (port (array (rename xaddress_rnifnvh4r3 "xaddress_RNIFNVH4R3[14:14]") 1) (direction INPUT)) (port (array (rename xaddress_rni4p6h4r3 "xaddress_RNI4P6H4R3[13:13]") 1) (direction INPUT)) (port (array (rename xaddress_rnivqeg4r3 "xaddress_RNIVQEG4R3[12:12]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename un1_p0_2 "un1_p0_2[362:362]") 1) (direction INPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[498:498]") 1) (direction INPUT)) (port (array (rename newptag_2_1 "newptag_2_1[25:25]") 1) (direction INPUT)) (port flush_RNICD8ME (direction INPUT)) (port flush_RNIMRRHB22 (direction INPUT)) (port flush_RNID7RHB22 (direction INPUT)) (port flush_RNI4JQHB22 (direction INPUT)) (port flush_RNIRUPHB22 (direction INPUT)) (port proasic3_ram512x18_6_VCC (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram512x18_6_GND (direction INPUT)) (port u0_RD17 (direction OUTPUT)) (port u0_RD16 (direction OUTPUT)) (port u0_RD15 (direction OUTPUT)) (port u0_RD14 (direction OUTPUT)) (port u0_RD13 (direction OUTPUT)) (port u0_RD12 (direction OUTPUT)) (port u0_RD11 (direction OUTPUT)) (port u0_RD10 (direction OUTPUT)) (port u0_RD9 (direction OUTPUT)) (port u0_RD8 (direction OUTPUT)) (port u0_RD7 (direction OUTPUT)) (port u0_RD6 (direction OUTPUT)) (port u0_RD5 (direction OUTPUT)) (port u0_RD4_0 (direction OUTPUT)) (port u0_RD3_0 (direction OUTPUT)) (port u0_RD2_0 (direction OUTPUT)) (port u0_RD1_0 (direction OUTPUT)) (port u0_RD0_0 (direction OUTPUT)) (port N_2766 (direction INPUT)) ) (contents (instance u0_RNO_0 (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance u0_RNO (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance u0 (viewRef prim (cellRef RAM512X18 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename vdtdatain_0_1_17 "vdtdatain_0_1[17]") (joined (portRef Y (instanceRef u0_RNO_0)) (portRef WD17 (instanceRef u0)) )) (net N_2766 (joined (portRef N_2766) (portRef A (instanceRef u0_RNO_0)) )) (net (rename newptag_2_1_25 "newptag_2_1[25]") (joined (portRef (member newptag_2_1 0)) (portRef B (instanceRef u0_RNO_0)) )) (net (rename vdtdatain_0_1_16 "vdtdatain_0_1[16]") (joined (portRef Y (instanceRef u0_RNO)) (portRef WD16 (instanceRef u0)) )) (net (rename un1_p0_2_0_498 "un1_p0_2_0[498]") (joined (portRef (member un1_p0_2_0 0)) (portRef A (instanceRef u0_RNO)) (portRef C (instanceRef u0_RNO_0)) )) (net (rename un1_p0_2_362 "un1_p0_2[362]") (joined (portRef (member un1_p0_2 0)) (portRef B (instanceRef u0_RNO)) )) (net u0_RD0_0 (joined (portRef RD0 (instanceRef u0)) (portRef u0_RD0_0) )) (net u0_RD1_0 (joined (portRef RD1 (instanceRef u0)) (portRef u0_RD1_0) )) (net u0_RD2_0 (joined (portRef RD2 (instanceRef u0)) (portRef u0_RD2_0) )) (net u0_RD3_0 (joined (portRef RD3 (instanceRef u0)) (portRef u0_RD3_0) )) (net u0_RD4_0 (joined (portRef RD4 (instanceRef u0)) (portRef u0_RD4_0) )) (net u0_RD5 (joined (portRef RD5 (instanceRef u0)) (portRef u0_RD5) )) (net u0_RD6 (joined (portRef RD6 (instanceRef u0)) (portRef u0_RD6) )) (net u0_RD7 (joined (portRef RD7 (instanceRef u0)) (portRef u0_RD7) )) (net u0_RD8 (joined (portRef RD8 (instanceRef u0)) (portRef u0_RD8) )) (net u0_RD9 (joined (portRef RD9 (instanceRef u0)) (portRef u0_RD9) )) (net u0_RD10 (joined (portRef RD10 (instanceRef u0)) (portRef u0_RD10) )) (net u0_RD11 (joined (portRef RD11 (instanceRef u0)) (portRef u0_RD11) )) (net u0_RD12 (joined (portRef RD12 (instanceRef u0)) (portRef u0_RD12) )) (net u0_RD13 (joined (portRef RD13 (instanceRef u0)) (portRef u0_RD13) )) (net u0_RD14 (joined (portRef RD14 (instanceRef u0)) (portRef u0_RD14) )) (net u0_RD15 (joined (portRef RD15 (instanceRef u0)) (portRef u0_RD15) )) (net u0_RD16 (joined (portRef RD16 (instanceRef u0)) (portRef u0_RD16) )) (net u0_RD17 (joined (portRef RD17 (instanceRef u0)) (portRef u0_RD17) )) (net proasic3_ram512x18_6_GND (joined (portRef proasic3_ram512x18_6_GND) (portRef WW0 (instanceRef u0)) (portRef WADDR8 (instanceRef u0)) (portRef RW0 (instanceRef u0)) (portRef REN (instanceRef u0)) (portRef RADDR8 (instanceRef u0)) (portRef PIPE (instanceRef u0)) )) (net proasic3_ram512x18_6_VCC (joined (portRef proasic3_ram512x18_6_VCC) (portRef WW1 (instanceRef u0)) (portRef RW1 (instanceRef u0)) (portRef RESET (instanceRef u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef WADDR0 (instanceRef u0)) (portRef RADDR0 (instanceRef u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef WADDR1 (instanceRef u0)) (portRef RADDR1 (instanceRef u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef WADDR2 (instanceRef u0)) (portRef RADDR2 (instanceRef u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef WADDR3 (instanceRef u0)) (portRef RADDR3 (instanceRef u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef WADDR4 (instanceRef u0)) (portRef RADDR4 (instanceRef u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef WADDR5 (instanceRef u0)) (portRef RADDR5 (instanceRef u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef WADDR6 (instanceRef u0)) (portRef RADDR6 (instanceRef u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef WADDR7 (instanceRef u0)) (portRef RADDR7 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef WCLK (instanceRef u0)) (portRef RCLK (instanceRef u0)) )) (net flush_RNIRUPHB22 (joined (portRef flush_RNIRUPHB22) (portRef WD0 (instanceRef u0)) )) (net flush_RNI4JQHB22 (joined (portRef flush_RNI4JQHB22) (portRef WD1 (instanceRef u0)) )) (net flush_RNID7RHB22 (joined (portRef flush_RNID7RHB22) (portRef WD2 (instanceRef u0)) )) (net flush_RNIMRRHB22 (joined (portRef flush_RNIMRRHB22) (portRef WD3 (instanceRef u0)) )) (net (rename xaddress_RNIVQEG4R3_12 "xaddress_RNIVQEG4R3[12]") (joined (portRef (member xaddress_rnivqeg4r3 0)) (portRef WD4 (instanceRef u0)) )) (net (rename xaddress_RNI4P6H4R3_13 "xaddress_RNI4P6H4R3[13]") (joined (portRef (member xaddress_rni4p6h4r3 0)) (portRef WD5 (instanceRef u0)) )) (net (rename xaddress_RNIFNVH4R3_14 "xaddress_RNIFNVH4R3[14]") (joined (portRef (member xaddress_rnifnvh4r3 0)) (portRef WD6 (instanceRef u0)) )) (net (rename xaddress_RNI1Q8H4R3_15 "xaddress_RNI1Q8H4R3[15]") (joined (portRef (member xaddress_rni1q8h4r3 0)) (portRef WD7 (instanceRef u0)) )) (net (rename xaddress_RNIH8G8VM1_16 "xaddress_RNIH8G8VM1[16]") (joined (portRef (member xaddress_rnih8g8vm1 0)) (portRef WD8 (instanceRef u0)) )) (net (rename xaddress_RNI1HH8VM1_17 "xaddress_RNI1HH8VM1[17]") (joined (portRef (member xaddress_rni1hh8vm1 0)) (portRef WD9 (instanceRef u0)) )) (net (rename xaddress_RNIE9I8VM1_18 "xaddress_RNIE9I8VM1[18]") (joined (portRef (member xaddress_rnie9i8vm1 0)) (portRef WD10 (instanceRef u0)) )) (net (rename xaddress_RNILQ8H4R3_19 "xaddress_RNILQ8H4R3[19]") (joined (portRef (member xaddress_rnilq8h4r3 0)) (portRef WD11 (instanceRef u0)) )) (net (rename newptag_2_20 "newptag_2[20]") (joined (portRef (member newptag_2 0)) (portRef WD12 (instanceRef u0)) )) (net (rename xaddress_RNID0H8VM1_21 "xaddress_RNID0H8VM1[21]") (joined (portRef (member xaddress_rnid0h8vm1 0)) (portRef WD13 (instanceRef u0)) )) (net (rename xaddress_RNIQOH8VM1_22 "xaddress_RNIQOH8VM1[22]") (joined (portRef (member xaddress_rniqoh8vm1 0)) (portRef WD14 (instanceRef u0)) )) (net (rename xaddress_RNIFBR7VM1_23 "xaddress_RNIFBR7VM1[23]") (joined (portRef (member xaddress_rnifbr7vm1 0)) (portRef WD15 (instanceRef u0)) )) (net flush_RNICD8ME (joined (portRef flush_RNICD8ME) (portRef WEN (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) ) ) (cell proasic3_ram4k9_7 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port (array (rename istate_rningdn1 "istate_RNINGDN1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnijllk1 "istate_RNIJLLK1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnitkdp1 "istate_RNITKDP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnit9tf1 "istate_RNIT9TF1[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_7_VCC (direction INPUT)) (port proasic3_ram4k9_7_GND (direction INPUT)) (port u0_DOUTA3_9 (direction OUTPUT)) (port u0_DOUTA2_9 (direction OUTPUT)) (port u0_DOUTA1_9 (direction OUTPUT)) (port u0_DOUTA0_9 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_9 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_9) )) (net u0_DOUTA1_9 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_9) )) (net u0_DOUTA2_9 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_9) )) (net u0_DOUTA3_9 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_9) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_20 "un1_u0[20]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_21 "un1_u0[21]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_22 "un1_u0[22]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_23 "un1_u0[23]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_7_GND (joined (portRef proasic3_ram4k9_7_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename istate_RNIT9TF1_1 "istate_RNIT9TF1[1]") (joined (portRef (member istate_rnit9tf1 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename istate_RNITKDP1_1 "istate_RNITKDP1[1]") (joined (portRef (member istate_rnitkdp1 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename istate_RNIJLLK1_1 "istate_RNIJLLK1[1]") (joined (portRef (member istate_rnijllk1 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename istate_RNINGDN1_1 "istate_RNINGDN1[1]") (joined (portRef (member istate_rningdn1 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_7_VCC (joined (portRef proasic3_ram4k9_7_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_6 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniptli1 "istate_RNIPTLI1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni57t02 "istate_RNI57T02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6slv1 "istate_RNI6SLV1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnijmmr1 "istate_RNIJMMR1[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_6_VCC (direction INPUT)) (port proasic3_ram4k9_6_GND (direction INPUT)) (port u0_DOUTA3_8 (direction OUTPUT)) (port u0_DOUTA2_8 (direction OUTPUT)) (port u0_DOUTA1_8 (direction OUTPUT)) (port u0_DOUTA0_8 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_8 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_8) )) (net u0_DOUTA1_8 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_8) )) (net u0_DOUTA2_8 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_8) )) (net u0_DOUTA3_8 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_8) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_24 "un1_u0[24]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_25 "un1_u0[25]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_26 "un1_u0[26]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_27 "un1_u0[27]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_6_GND (joined (portRef proasic3_ram4k9_6_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename istate_RNIJMMR1_1 "istate_RNIJMMR1[1]") (joined (portRef (member istate_rnijmmr1 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename istate_RNI6SLV1_1 "istate_RNI6SLV1[1]") (joined (portRef (member istate_rni6slv1 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename istate_RNI57T02_1 "istate_RNI57T02[1]") (joined (portRef (member istate_rni57t02 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename istate_RNIPTLI1_1 "istate_RNIPTLI1[1]") (joined (portRef (member istate_rniptli1 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_6_VCC (joined (portRef proasic3_ram4k9_6_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_5 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port (array (rename istate_rninas02 "istate_RNINAS02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnil1742 "istate_RNIL1742[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnifuph2 "istate_RNIFUPH2[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnifnt02 "istate_RNIFNT02[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_5_VCC (direction INPUT)) (port proasic3_ram4k9_5_GND (direction INPUT)) (port u0_DOUTA3_7 (direction OUTPUT)) (port u0_DOUTA2_7 (direction OUTPUT)) (port u0_DOUTA1_7 (direction OUTPUT)) (port u0_DOUTA0_7 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_7 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_7) )) (net u0_DOUTA1_7 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_7) )) (net u0_DOUTA2_7 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_7) )) (net u0_DOUTA3_7 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_7) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_28 "un1_u0[28]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_29 "un1_u0[29]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_30 "un1_u0[30]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_31 "un1_u0[31]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_5_GND (joined (portRef proasic3_ram4k9_5_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename istate_RNIFNT02_1 "istate_RNIFNT02[1]") (joined (portRef (member istate_rnifnt02 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename istate_RNIFUPH2_1 "istate_RNIFUPH2[1]") (joined (portRef (member istate_rnifuph2 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename istate_RNIL1742_1 "istate_RNIL1742[1]") (joined (portRef (member istate_rnil1742 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename istate_RNINAS02_1 "istate_RNINAS02[1]") (joined (portRef (member istate_rninas02 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_5_VCC (joined (portRef proasic3_ram4k9_5_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_4 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnij9ep1 "istate_RNIJ9EP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni1pdn1 "istate_RNI1PDN1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnihpj22 "istate_RNIHPJ22[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniq3pk1 "istate_RNIQ3PK1[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_4_VCC (direction INPUT)) (port proasic3_ram4k9_4_GND (direction INPUT)) (port u0_DOUTA3_10 (direction OUTPUT)) (port u0_DOUTA2_10 (direction OUTPUT)) (port u0_DOUTA1_10 (direction OUTPUT)) (port u0_DOUTA0_10 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_10 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_10) )) (net u0_DOUTA1_10 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_10) )) (net u0_DOUTA2_10 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_10) )) (net u0_DOUTA3_10 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_10) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_16 "un1_u0[16]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_17 "un1_u0[17]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_18 "un1_u0[18]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_19 "un1_u0[19]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_4_GND (joined (portRef proasic3_ram4k9_4_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename istate_RNIQ3PK1_1 "istate_RNIQ3PK1[1]") (joined (portRef (member istate_rniq3pk1 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename istate_RNIHPJ22_1 "istate_RNIHPJ22[1]") (joined (portRef (member istate_rnihpj22 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename istate_RNI1PDN1_1 "istate_RNI1PDN1[1]") (joined (portRef (member istate_rni1pdn1 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename istate_RNIJ9EP1_1 "istate_RNIJ9EP1[1]") (joined (portRef (member istate_rnij9ep1 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_4_VCC (joined (portRef proasic3_ram4k9_4_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_3 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnim32a1 "istate_RNIM32A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniltpp1 "istate_RNILTPP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnikgrl1 "istate_RNIKGRL1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnir8hu1 "istate_RNIR8HU1[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_3_VCC (direction INPUT)) (port proasic3_ram4k9_3_GND (direction INPUT)) (port u0_DOUTA3_13 (direction OUTPUT)) (port u0_DOUTA2_13 (direction OUTPUT)) (port u0_DOUTA1_13 (direction OUTPUT)) (port u0_DOUTA0_13 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_13 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_13) )) (net u0_DOUTA1_13 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_13) )) (net u0_DOUTA2_13 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_13) )) (net u0_DOUTA3_13 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_13) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_4 "un1_u0[4]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_5 "un1_u0[5]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_6 "un1_u0[6]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_7 "un1_u0[7]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_3_GND (joined (portRef proasic3_ram4k9_3_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename istate_RNIR8HU1_1 "istate_RNIR8HU1[1]") (joined (portRef (member istate_rnir8hu1 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename istate_RNIKGRL1_1 "istate_RNIKGRL1[1]") (joined (portRef (member istate_rnikgrl1 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename istate_RNILTPP1_1 "istate_RNILTPP1[1]") (joined (portRef (member istate_rniltpp1 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename istate_RNIM32A1_1 "istate_RNIM32A1[1]") (joined (portRef (member istate_rnim32a1 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_3_VCC (joined (portRef proasic3_ram4k9_3_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_2 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnihle12 "istate_RNIHLE12[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniabmh1 "istate_RNIABMH1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnicvqe1 "istate_RNICVQE1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniqf2a1 "istate_RNIQF2A1[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_2_VCC (direction INPUT)) (port proasic3_ram4k9_2_GND (direction INPUT)) (port u0_DOUTA3_12 (direction OUTPUT)) (port u0_DOUTA2_12 (direction OUTPUT)) (port u0_DOUTA1_12 (direction OUTPUT)) (port u0_DOUTA0_12 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_12 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_12) )) (net u0_DOUTA1_12 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_12) )) (net u0_DOUTA2_12 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_12) )) (net u0_DOUTA3_12 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_12) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_8 "un1_u0[8]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_9 "un1_u0[9]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_10 "un1_u0[10]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_11 "un1_u0[11]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_2_GND (joined (portRef proasic3_ram4k9_2_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename istate_RNIQF2A1_1 "istate_RNIQF2A1[1]") (joined (portRef (member istate_rniqf2a1 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename istate_RNICVQE1_1 "istate_RNICVQE1[1]") (joined (portRef (member istate_rnicvqe1 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename istate_RNIABMH1_1 "istate_RNIABMH1[1]") (joined (portRef (member istate_rniabmh1 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename istate_RNIHLE12_1 "istate_RNIHLE12[1]") (joined (portRef (member istate_rnihle12 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_2_VCC (joined (portRef proasic3_ram4k9_2_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6u762 "istate_RNI6U762[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnib7t42 "istate_RNIB7T42[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6poa2 "istate_RNI6POA2[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnibnm52 "istate_RNIBNM52[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_1_VCC (direction INPUT)) (port proasic3_ram4k9_1_GND (direction INPUT)) (port u0_DOUTA3_11 (direction OUTPUT)) (port u0_DOUTA2_11 (direction OUTPUT)) (port u0_DOUTA1_11 (direction OUTPUT)) (port u0_DOUTA0_11 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_11 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_11) )) (net u0_DOUTA1_11 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_11) )) (net u0_DOUTA2_11 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_11) )) (net u0_DOUTA3_11 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_11) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_12 "un1_u0[12]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_13 "un1_u0[13]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_14 "un1_u0[14]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_15 "un1_u0[15]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_1_GND (joined (portRef proasic3_ram4k9_1_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename istate_RNIBNM52_1 "istate_RNIBNM52[1]") (joined (portRef (member istate_rnibnm52 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename istate_RNI6POA2_1 "istate_RNI6POA2[1]") (joined (portRef (member istate_rni6poa2 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename istate_RNIB7T42_1 "istate_RNIB7T42[1]") (joined (portRef (member istate_rnib7t42 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename istate_RNI6U762_1 "istate_RNI6U762[1]") (joined (portRef (member istate_rni6u762 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_1_VCC (joined (portRef proasic3_ram4k9_1_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram4k9 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni4j0a1 "istate_RNI4J0A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnieaoe1 "istate_RNIEAOE1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniu0op1 "istate_RNIU0OP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnilk561 "istate_RNILK561[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram4k9_VCC (direction INPUT)) (port proasic3_ram4k9_GND (direction INPUT)) (port u0_DOUTA3_14 (direction OUTPUT)) (port u0_DOUTA2_14 (direction OUTPUT)) (port u0_DOUTA1_14 (direction OUTPUT)) (port u0_DOUTA0_14 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM4K9 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_DOUTA0_14 (joined (portRef DOUTA0 (instanceRef u0)) (portRef u0_DOUTA0_14) )) (net u0_DOUTA1_14 (joined (portRef DOUTA1 (instanceRef u0)) (portRef u0_DOUTA1_14) )) (net u0_DOUTA2_14 (joined (portRef DOUTA2 (instanceRef u0)) (portRef u0_DOUTA2_14) )) (net u0_DOUTA3_14 (joined (portRef DOUTA3 (instanceRef u0)) (portRef u0_DOUTA3_14) )) (net (rename qa_4 "qa[4]") (joined (portRef DOUTA4 (instanceRef u0)) )) (net (rename qa_5 "qa[5]") (joined (portRef DOUTA5 (instanceRef u0)) )) (net (rename qa_6 "qa[6]") (joined (portRef DOUTA6 (instanceRef u0)) )) (net (rename qa_7 "qa[7]") (joined (portRef DOUTA7 (instanceRef u0)) )) (net (rename qa_8 "qa[8]") (joined (portRef DOUTA8 (instanceRef u0)) )) (net (rename un1_u0_0 "un1_u0[0]") (joined (portRef DOUTB0 (instanceRef u0)) )) (net (rename un1_u0_1 "un1_u0[1]") (joined (portRef DOUTB1 (instanceRef u0)) )) (net (rename un1_u0_2 "un1_u0[2]") (joined (portRef DOUTB2 (instanceRef u0)) )) (net (rename un1_u0_3 "un1_u0[3]") (joined (portRef DOUTB3 (instanceRef u0)) )) (net (rename qb_4 "qb[4]") (joined (portRef DOUTB4 (instanceRef u0)) )) (net (rename qb_5 "qb[5]") (joined (portRef DOUTB5 (instanceRef u0)) )) (net (rename qb_6 "qb[6]") (joined (portRef DOUTB6 (instanceRef u0)) )) (net (rename qb_7 "qb[7]") (joined (portRef DOUTB7 (instanceRef u0)) )) (net (rename qb_8 "qb[8]") (joined (portRef DOUTB8 (instanceRef u0)) )) (net proasic3_ram4k9_GND (joined (portRef proasic3_ram4k9_GND) (portRef WMODEB (instanceRef u0)) (portRef WMODEA (instanceRef u0)) (portRef WIDTHB0 (instanceRef u0)) (portRef WIDTHA0 (instanceRef u0)) (portRef PIPEB (instanceRef u0)) (portRef PIPEA (instanceRef u0)) (portRef DINB8 (instanceRef u0)) (portRef DINB7 (instanceRef u0)) (portRef DINB6 (instanceRef u0)) (portRef DINB5 (instanceRef u0)) (portRef DINB4 (instanceRef u0)) (portRef DINA8 (instanceRef u0)) (portRef DINA7 (instanceRef u0)) (portRef DINA6 (instanceRef u0)) (portRef DINA5 (instanceRef u0)) (portRef DINA4 (instanceRef u0)) (portRef BLKA (instanceRef u0)) (portRef ADDRB11 (instanceRef u0)) (portRef ADDRB10 (instanceRef u0)) (portRef ADDRA11 (instanceRef u0)) (portRef ADDRA10 (instanceRef u0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef ADDRB0 (instanceRef u0)) (portRef ADDRA0 (instanceRef u0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef ADDRB1 (instanceRef u0)) (portRef ADDRA1 (instanceRef u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef ADDRB2 (instanceRef u0)) (portRef ADDRA2 (instanceRef u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef ADDRB3 (instanceRef u0)) (portRef ADDRA3 (instanceRef u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef ADDRB4 (instanceRef u0)) (portRef ADDRA4 (instanceRef u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef ADDRB5 (instanceRef u0)) (portRef ADDRA5 (instanceRef u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef ADDRB6 (instanceRef u0)) (portRef ADDRA6 (instanceRef u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef ADDRB7 (instanceRef u0)) (portRef ADDRA7 (instanceRef u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef ADDRB8 (instanceRef u0)) (portRef ADDRA8 (instanceRef u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef ADDRB9 (instanceRef u0)) (portRef ADDRA9 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef CLKB (instanceRef u0)) (portRef CLKA (instanceRef u0)) )) (net (rename istate_RNILK561_1 "istate_RNILK561[1]") (joined (portRef (member istate_rnilk561 0)) (portRef DINB0 (instanceRef u0)) (portRef DINA0 (instanceRef u0)) )) (net (rename istate_RNIU0OP1_1 "istate_RNIU0OP1[1]") (joined (portRef (member istate_rniu0op1 0)) (portRef DINB1 (instanceRef u0)) (portRef DINA1 (instanceRef u0)) )) (net (rename istate_RNIEAOE1_1 "istate_RNIEAOE1[1]") (joined (portRef (member istate_rnieaoe1 0)) (portRef DINB2 (instanceRef u0)) (portRef DINA2 (instanceRef u0)) )) (net (rename istate_RNI4J0A1_1 "istate_RNI4J0A1[1]") (joined (portRef (member istate_rni4j0a1 0)) (portRef DINB3 (instanceRef u0)) (portRef DINA3 (instanceRef u0)) )) (net proasic3_ram4k9_VCC (joined (portRef proasic3_ram4k9_VCC) (portRef WIDTHB1 (instanceRef u0)) (portRef WIDTHA1 (instanceRef u0)) (portRef WENB (instanceRef u0)) (portRef RESET (instanceRef u0)) (portRef BLKB (instanceRef u0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef WENA (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 4)) ) ) (cell proasic3_ram512x18_5 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port vitdatain_0_1_1 (direction INPUT)) (port vitdatain_0_1_0 (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[148:148]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a0 "vitdatain_0_1_a0[20:20]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a1 "vitdatain_0_1_a1[20:20]") 1) (direction INPUT)) (port vitdatain_0_1_a0_0_2 (direction INPUT)) (port flush2_RNICRKB7 (direction INPUT)) (port proasic3_ram512x18_5_VCC (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram512x18_5_GND (direction INPUT)) (port u0_RD5_2 (direction OUTPUT)) (port u0_RD4_1 (direction OUTPUT)) (port u0_RD3_1 (direction OUTPUT)) (port u0_RD2_1 (direction OUTPUT)) (port u0_RD1_1 (direction OUTPUT)) (port u0_RD0_1 (direction OUTPUT)) (port N_896 (direction INPUT)) (port N_895 (direction INPUT)) (port N_898 (direction INPUT)) (port N_897 (direction INPUT)) (port flush (direction INPUT)) (port un1_addout_28 (direction INPUT)) (port newptag_sn_m2_i_o2_0_m3 (direction INPUT)) (port un1_addout_27 (direction INPUT)) ) (contents (instance u0_RNO_8 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance u0_RNO_1 (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance u0_RNO_2 (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance u0_RNO (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance u0_RNO_0 (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance u0_RNO_3 (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance u0_RNO_5 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance u0_RNO_6 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance u0_RNO_4 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance u0_RNO_7 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance u0 (viewRef prim (cellRef RAM512X18 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename vitdatain_0_1_a0_0_21 "vitdatain_0_1_a0_0[21]") (joined (portRef Y (instanceRef u0_RNO_8)) (portRef B (instanceRef u0_RNO_3)) )) (net (rename vitdatain_0_1_a0_0_2 "vitdatain_0_1_a0_0[23]") (joined (portRef vitdatain_0_1_a0_0_2) (portRef A (instanceRef u0_RNO_8)) )) (net un1_addout_27 (joined (portRef un1_addout_27) (portRef B (instanceRef u0_RNO_8)) )) (net (rename vitdatain_0_1_22 "vitdatain_0_1[22]") (joined (portRef Y (instanceRef u0_RNO_1)) (portRef WD4 (instanceRef u0)) )) (net (rename vitdatain_0_1_0_22 "vitdatain_0_1_0[22]") (joined (portRef Y (instanceRef u0_RNO_5)) (portRef B (instanceRef u0_RNO_1)) )) (net (rename vitdatain_0_1_23 "vitdatain_0_1[23]") (joined (portRef Y (instanceRef u0_RNO_2)) (portRef WD5 (instanceRef u0)) )) (net (rename vitdatain_0_1_a1_20 "vitdatain_0_1_a1[20]") (joined (portRef (member vitdatain_0_1_a1 0)) (portRef C (instanceRef u0_RNO_0)) (portRef A (instanceRef u0_RNO)) (portRef A (instanceRef u0_RNO_2)) (portRef A (instanceRef u0_RNO_1)) )) (net (rename vitdatain_0_1_0_23 "vitdatain_0_1_0[23]") (joined (portRef Y (instanceRef u0_RNO_6)) (portRef B (instanceRef u0_RNO_2)) )) (net (rename vitdatain_0_1_a0_20 "vitdatain_0_1_a0[20]") (joined (portRef (member vitdatain_0_1_a0 0)) (portRef C (instanceRef u0_RNO)) (portRef C (instanceRef u0_RNO_2)) (portRef C (instanceRef u0_RNO_1)) )) (net (rename vitdatain_0_1_20 "vitdatain_0_1[20]") (joined (portRef Y (instanceRef u0_RNO)) (portRef WD2 (instanceRef u0)) )) (net (rename vitdatain_0_1_0_20 "vitdatain_0_1_0[20]") (joined (portRef Y (instanceRef u0_RNO_4)) (portRef B (instanceRef u0_RNO)) )) (net (rename vitdatain_0_1_21 "vitdatain_0_1[21]") (joined (portRef Y (instanceRef u0_RNO_0)) (portRef WD3 (instanceRef u0)) )) (net (rename u0_RNOZ0Z_3 "u0_RNO_3") (joined (portRef Y (instanceRef u0_RNO_3)) (portRef A (instanceRef u0_RNO_0)) )) (net (rename vitdatain_0_1_0_21 "vitdatain_0_1_0[21]") (joined (portRef Y (instanceRef u0_RNO_7)) (portRef B (instanceRef u0_RNO_0)) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef newptag_sn_m2_i_o2_0_m3) (portRef A (instanceRef u0_RNO_3)) )) (net un1_addout_28 (joined (portRef un1_addout_28) (portRef C (instanceRef u0_RNO_3)) )) (net N_897 (joined (portRef N_897) (portRef B (instanceRef u0_RNO_5)) )) (net flush (joined (portRef flush) (portRef A (instanceRef u0_RNO_7)) (portRef A (instanceRef u0_RNO_4)) (portRef A (instanceRef u0_RNO_6)) (portRef A (instanceRef u0_RNO_5)) )) (net N_898 (joined (portRef N_898) (portRef B (instanceRef u0_RNO_6)) )) (net (rename un1_p0_2_0_148 "un1_p0_2_0[148]") (joined (portRef (member un1_p0_2_0 0)) (portRef C (instanceRef u0_RNO_7)) (portRef C (instanceRef u0_RNO_4)) (portRef C (instanceRef u0_RNO_6)) (portRef C (instanceRef u0_RNO_5)) )) (net N_895 (joined (portRef N_895) (portRef B (instanceRef u0_RNO_4)) )) (net N_896 (joined (portRef N_896) (portRef B (instanceRef u0_RNO_7)) )) (net u0_RD0_1 (joined (portRef RD0 (instanceRef u0)) (portRef u0_RD0_1) )) (net u0_RD1_1 (joined (portRef RD1 (instanceRef u0)) (portRef u0_RD1_1) )) (net u0_RD2_1 (joined (portRef RD2 (instanceRef u0)) (portRef u0_RD2_1) )) (net u0_RD3_1 (joined (portRef RD3 (instanceRef u0)) (portRef u0_RD3_1) )) (net u0_RD4_1 (joined (portRef RD4 (instanceRef u0)) (portRef u0_RD4_1) )) (net u0_RD5_2 (joined (portRef RD5 (instanceRef u0)) (portRef u0_RD5_2) )) (net (rename q2_3_24 "q2_3[24]") (joined (portRef RD6 (instanceRef u0)) )) (net (rename q2_3_25 "q2_3[25]") (joined (portRef RD7 (instanceRef u0)) )) (net (rename q2_3_26 "q2_3[26]") (joined (portRef RD8 (instanceRef u0)) )) (net (rename q2_3_27 "q2_3[27]") (joined (portRef RD9 (instanceRef u0)) )) (net (rename q2_3_28 "q2_3[28]") (joined (portRef RD10 (instanceRef u0)) )) (net (rename q2_3_29 "q2_3[29]") (joined (portRef RD11 (instanceRef u0)) )) (net (rename q2_3_30 "q2_3[30]") (joined (portRef RD12 (instanceRef u0)) )) (net (rename q2_3_31 "q2_3[31]") (joined (portRef RD13 (instanceRef u0)) )) (net (rename q2_3_32 "q2_3[32]") (joined (portRef RD14 (instanceRef u0)) )) (net (rename q2_3_33 "q2_3[33]") (joined (portRef RD15 (instanceRef u0)) )) (net (rename q2_3_34 "q2_3[34]") (joined (portRef RD16 (instanceRef u0)) )) (net (rename q2_3_35 "q2_3[35]") (joined (portRef RD17 (instanceRef u0)) )) (net proasic3_ram512x18_5_GND (joined (portRef proasic3_ram512x18_5_GND) (portRef WW0 (instanceRef u0)) (portRef WD17 (instanceRef u0)) (portRef WD16 (instanceRef u0)) (portRef WD15 (instanceRef u0)) (portRef WD14 (instanceRef u0)) (portRef WD13 (instanceRef u0)) (portRef WD12 (instanceRef u0)) (portRef WD11 (instanceRef u0)) (portRef WD10 (instanceRef u0)) (portRef WD9 (instanceRef u0)) (portRef WD8 (instanceRef u0)) (portRef WD7 (instanceRef u0)) (portRef WD6 (instanceRef u0)) (portRef WADDR8 (instanceRef u0)) (portRef RW0 (instanceRef u0)) (portRef REN (instanceRef u0)) (portRef RADDR8 (instanceRef u0)) (portRef PIPE (instanceRef u0)) )) (net proasic3_ram512x18_5_VCC (joined (portRef proasic3_ram512x18_5_VCC) (portRef WW1 (instanceRef u0)) (portRef RW1 (instanceRef u0)) (portRef RESET (instanceRef u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef WADDR0 (instanceRef u0)) (portRef RADDR0 (instanceRef u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef WADDR1 (instanceRef u0)) (portRef RADDR1 (instanceRef u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef WADDR2 (instanceRef u0)) (portRef RADDR2 (instanceRef u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef WADDR3 (instanceRef u0)) (portRef RADDR3 (instanceRef u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef WADDR4 (instanceRef u0)) (portRef RADDR4 (instanceRef u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef WADDR5 (instanceRef u0)) (portRef RADDR5 (instanceRef u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef WADDR6 (instanceRef u0)) (portRef RADDR6 (instanceRef u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef WADDR7 (instanceRef u0)) (portRef RADDR7 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef WCLK (instanceRef u0)) (portRef RCLK (instanceRef u0)) )) (net (rename vitdatain_0_1_0 "vitdatain_0_1[18]") (joined (portRef vitdatain_0_1_0) (portRef WD0 (instanceRef u0)) )) (net (rename vitdatain_0_1_1 "vitdatain_0_1[19]") (joined (portRef vitdatain_0_1_1) (portRef WD1 (instanceRef u0)) )) (net flush2_RNICRKB7 (joined (portRef flush2_RNICRKB7) (portRef WEN (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) ) ) (cell proasic3_ram512x18_4 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename vitdatain_0_1 "vitdatain_0_1[17:16]") 2) (direction INPUT)) (port (array (rename vaddress_rniiagp4s1 "vaddress_RNIIAGP4S1[23:23]") 1) (direction INPUT)) (port (array (rename vaddress_rnigagp4s1 "vaddress_RNIGAGP4S1[22:22]") 1) (direction INPUT)) (port (array (rename vaddress_rnieagp4s1 "vaddress_RNIEAGP4S1[21:21]") 1) (direction INPUT)) (port (array (rename vaddress_rnicagp4s1 "vaddress_RNICAGP4S1[20:20]") 1) (direction INPUT)) (port (array (rename vaddress_rnio2gp4s1 "vaddress_RNIO2GP4S1[19:19]") 1) (direction INPUT)) (port (array (rename vaddress_rnim2gp4s1 "vaddress_RNIM2GP4S1[18:18]") 1) (direction INPUT)) (port (array (rename vaddress_rnik2gp4s1 "vaddress_RNIK2GP4S1[17:17]") 1) (direction INPUT)) (port (array (rename vaddress_rnii2gp4s1 "vaddress_RNII2GP4S1[16:16]") 1) (direction INPUT)) (port (array (rename vaddress_rnig2gp4s1 "vaddress_RNIG2GP4S1[15:15]") 1) (direction INPUT)) (port (array (rename vaddress_rnie2gp4s1 "vaddress_RNIE2GP4S1[14:14]") 1) (direction INPUT)) (port (array (rename vaddress_rnic2gp4s1 "vaddress_RNIC2GP4S1[13:13]") 1) (direction INPUT)) (port (array (rename vaddress_rnia2gp4s1 "vaddress_RNIA2GP4S1[12:12]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port flush2_RNICRKB7 (direction INPUT)) (port flush2_RNI5NKK2 (direction INPUT)) (port flush2_RNI3JKK2 (direction INPUT)) (port flush2_RNI1FKK2 (direction INPUT)) (port flush2_RNIVAKK2 (direction INPUT)) (port proasic3_ram512x18_4_VCC (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram512x18_4_GND (direction INPUT)) (port u0_RD17_0 (direction OUTPUT)) (port u0_RD16_0 (direction OUTPUT)) (port u0_RD15_0 (direction OUTPUT)) (port u0_RD14_0 (direction OUTPUT)) (port u0_RD13_0 (direction OUTPUT)) (port u0_RD12_0 (direction OUTPUT)) (port u0_RD11_0 (direction OUTPUT)) (port u0_RD10_0 (direction OUTPUT)) (port u0_RD9_0 (direction OUTPUT)) (port u0_RD8_0 (direction OUTPUT)) (port u0_RD7_0 (direction OUTPUT)) (port u0_RD6_0 (direction OUTPUT)) (port u0_RD5_1 (direction OUTPUT)) (port u0_RD4_2 (direction OUTPUT)) (port u0_RD3_2 (direction OUTPUT)) (port u0_RD2_2 (direction OUTPUT)) (port u0_RD1_2 (direction OUTPUT)) (port u0_RD0_2 (direction OUTPUT)) ) (contents (instance u0 (viewRef prim (cellRef RAM512X18 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net u0_RD0_2 (joined (portRef RD0 (instanceRef u0)) (portRef u0_RD0_2) )) (net u0_RD1_2 (joined (portRef RD1 (instanceRef u0)) (portRef u0_RD1_2) )) (net u0_RD2_2 (joined (portRef RD2 (instanceRef u0)) (portRef u0_RD2_2) )) (net u0_RD3_2 (joined (portRef RD3 (instanceRef u0)) (portRef u0_RD3_2) )) (net u0_RD4_2 (joined (portRef RD4 (instanceRef u0)) (portRef u0_RD4_2) )) (net u0_RD5_1 (joined (portRef RD5 (instanceRef u0)) (portRef u0_RD5_1) )) (net u0_RD6_0 (joined (portRef RD6 (instanceRef u0)) (portRef u0_RD6_0) )) (net u0_RD7_0 (joined (portRef RD7 (instanceRef u0)) (portRef u0_RD7_0) )) (net u0_RD8_0 (joined (portRef RD8 (instanceRef u0)) (portRef u0_RD8_0) )) (net u0_RD9_0 (joined (portRef RD9 (instanceRef u0)) (portRef u0_RD9_0) )) (net u0_RD10_0 (joined (portRef RD10 (instanceRef u0)) (portRef u0_RD10_0) )) (net u0_RD11_0 (joined (portRef RD11 (instanceRef u0)) (portRef u0_RD11_0) )) (net u0_RD12_0 (joined (portRef RD12 (instanceRef u0)) (portRef u0_RD12_0) )) (net u0_RD13_0 (joined (portRef RD13 (instanceRef u0)) (portRef u0_RD13_0) )) (net u0_RD14_0 (joined (portRef RD14 (instanceRef u0)) (portRef u0_RD14_0) )) (net u0_RD15_0 (joined (portRef RD15 (instanceRef u0)) (portRef u0_RD15_0) )) (net u0_RD16_0 (joined (portRef RD16 (instanceRef u0)) (portRef u0_RD16_0) )) (net u0_RD17_0 (joined (portRef RD17 (instanceRef u0)) (portRef u0_RD17_0) )) (net proasic3_ram512x18_4_GND (joined (portRef proasic3_ram512x18_4_GND) (portRef WW0 (instanceRef u0)) (portRef WADDR8 (instanceRef u0)) (portRef RW0 (instanceRef u0)) (portRef REN (instanceRef u0)) (portRef RADDR8 (instanceRef u0)) (portRef PIPE (instanceRef u0)) )) (net proasic3_ram512x18_4_VCC (joined (portRef proasic3_ram512x18_4_VCC) (portRef WW1 (instanceRef u0)) (portRef RW1 (instanceRef u0)) (portRef RESET (instanceRef u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef WADDR0 (instanceRef u0)) (portRef RADDR0 (instanceRef u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef WADDR1 (instanceRef u0)) (portRef RADDR1 (instanceRef u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef WADDR2 (instanceRef u0)) (portRef RADDR2 (instanceRef u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef WADDR3 (instanceRef u0)) (portRef RADDR3 (instanceRef u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef WADDR4 (instanceRef u0)) (portRef RADDR4 (instanceRef u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef WADDR5 (instanceRef u0)) (portRef RADDR5 (instanceRef u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef WADDR6 (instanceRef u0)) (portRef RADDR6 (instanceRef u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef WADDR7 (instanceRef u0)) (portRef RADDR7 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef WCLK (instanceRef u0)) (portRef RCLK (instanceRef u0)) )) (net flush2_RNIVAKK2 (joined (portRef flush2_RNIVAKK2) (portRef WD0 (instanceRef u0)) )) (net flush2_RNI1FKK2 (joined (portRef flush2_RNI1FKK2) (portRef WD1 (instanceRef u0)) )) (net flush2_RNI3JKK2 (joined (portRef flush2_RNI3JKK2) (portRef WD2 (instanceRef u0)) )) (net flush2_RNI5NKK2 (joined (portRef flush2_RNI5NKK2) (portRef WD3 (instanceRef u0)) )) (net (rename vaddress_RNIA2GP4S1_12 "vaddress_RNIA2GP4S1[12]") (joined (portRef (member vaddress_rnia2gp4s1 0)) (portRef WD4 (instanceRef u0)) )) (net (rename vaddress_RNIC2GP4S1_13 "vaddress_RNIC2GP4S1[13]") (joined (portRef (member vaddress_rnic2gp4s1 0)) (portRef WD5 (instanceRef u0)) )) (net (rename vaddress_RNIE2GP4S1_14 "vaddress_RNIE2GP4S1[14]") (joined (portRef (member vaddress_rnie2gp4s1 0)) (portRef WD6 (instanceRef u0)) )) (net (rename vaddress_RNIG2GP4S1_15 "vaddress_RNIG2GP4S1[15]") (joined (portRef (member vaddress_rnig2gp4s1 0)) (portRef WD7 (instanceRef u0)) )) (net (rename vaddress_RNII2GP4S1_16 "vaddress_RNII2GP4S1[16]") (joined (portRef (member vaddress_rnii2gp4s1 0)) (portRef WD8 (instanceRef u0)) )) (net (rename vaddress_RNIK2GP4S1_17 "vaddress_RNIK2GP4S1[17]") (joined (portRef (member vaddress_rnik2gp4s1 0)) (portRef WD9 (instanceRef u0)) )) (net (rename vaddress_RNIM2GP4S1_18 "vaddress_RNIM2GP4S1[18]") (joined (portRef (member vaddress_rnim2gp4s1 0)) (portRef WD10 (instanceRef u0)) )) (net (rename vaddress_RNIO2GP4S1_19 "vaddress_RNIO2GP4S1[19]") (joined (portRef (member vaddress_rnio2gp4s1 0)) (portRef WD11 (instanceRef u0)) )) (net (rename vaddress_RNICAGP4S1_20 "vaddress_RNICAGP4S1[20]") (joined (portRef (member vaddress_rnicagp4s1 0)) (portRef WD12 (instanceRef u0)) )) (net (rename vaddress_RNIEAGP4S1_21 "vaddress_RNIEAGP4S1[21]") (joined (portRef (member vaddress_rnieagp4s1 0)) (portRef WD13 (instanceRef u0)) )) (net (rename vaddress_RNIGAGP4S1_22 "vaddress_RNIGAGP4S1[22]") (joined (portRef (member vaddress_rnigagp4s1 0)) (portRef WD14 (instanceRef u0)) )) (net (rename vaddress_RNIIAGP4S1_23 "vaddress_RNIIAGP4S1[23]") (joined (portRef (member vaddress_rniiagp4s1 0)) (portRef WD15 (instanceRef u0)) )) (net (rename vitdatain_0_1_16 "vitdatain_0_1[16]") (joined (portRef (member vitdatain_0_1 1)) (portRef WD16 (instanceRef u0)) )) (net (rename vitdatain_0_1_17 "vitdatain_0_1[17]") (joined (portRef (member vitdatain_0_1 0)) (portRef WD17 (instanceRef u0)) )) (net flush2_RNICRKB7 (joined (portRef flush2_RNICRKB7) (portRef WEN (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) ) ) (cell proasic3_ram512x18_3 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename wdata "wdata[31:18]") 14) (direction INPUT)) (port (array (rename waddr "waddr[6:1]") 6) (direction INPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction INPUT)) (port (array (rename raddr2 "raddr2[6:0]") 7) (direction INPUT)) (port (array (rename datain "datain[31:18]") 14) (direction INPUT)) (port (array (rename data2 "data2[31:18]") 14) (direction OUTPUT)) (port wren_i (direction INPUT)) (port proasic3_ram512x18_3_VCC (direction INPUT)) (port ren2 (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram512x18_3_GND (direction INPUT)) (port un4_scantestbp (direction INPUT)) (port un4_scantestbp_0_0 (direction INPUT)) ) (contents (instance u0_RNI0E192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI1E192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI2E192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI3E192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIUH192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIVH192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI1A192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNISD192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIUD192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNITD192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI0A192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI4E192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIVD192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIRD192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0 (viewRef prim (cellRef RAM512X18 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename data2_25 "data2[25]") (joined (portRef Y (instanceRef u0_RNI0E192)) (portRef (member data2 6)) )) (net (rename dataoutx_25 "dataoutx[25]") (joined (portRef RD7 (instanceRef u0)) (portRef A (instanceRef u0_RNI0E192)) )) (net (rename datain_25 "datain[25]") (joined (portRef (member datain 6)) (portRef B (instanceRef u0_RNI0E192)) )) (net (rename data2_26 "data2[26]") (joined (portRef Y (instanceRef u0_RNI1E192)) (portRef (member data2 5)) )) (net (rename dataoutx_26 "dataoutx[26]") (joined (portRef RD8 (instanceRef u0)) (portRef A (instanceRef u0_RNI1E192)) )) (net (rename datain_26 "datain[26]") (joined (portRef (member datain 5)) (portRef B (instanceRef u0_RNI1E192)) )) (net un4_scantestbp_0_0 (joined (portRef un4_scantestbp_0_0) (portRef S (instanceRef u0_RNIUD192)) (portRef S (instanceRef u0_RNISD192)) (portRef S (instanceRef u0_RNI1A192)) (portRef S (instanceRef u0_RNIVH192)) (portRef S (instanceRef u0_RNIUH192)) (portRef S (instanceRef u0_RNI3E192)) (portRef S (instanceRef u0_RNI2E192)) (portRef S (instanceRef u0_RNI1E192)) (portRef S (instanceRef u0_RNI0E192)) )) (net (rename data2_27 "data2[27]") (joined (portRef Y (instanceRef u0_RNI2E192)) (portRef (member data2 4)) )) (net (rename dataoutx_27 "dataoutx[27]") (joined (portRef RD9 (instanceRef u0)) (portRef A (instanceRef u0_RNI2E192)) )) (net (rename datain_27 "datain[27]") (joined (portRef (member datain 4)) (portRef B (instanceRef u0_RNI2E192)) )) (net (rename data2_28 "data2[28]") (joined (portRef Y (instanceRef u0_RNI3E192)) (portRef (member data2 3)) )) (net (rename dataoutx_28 "dataoutx[28]") (joined (portRef RD10 (instanceRef u0)) (portRef A (instanceRef u0_RNI3E192)) )) (net (rename datain_28 "datain[28]") (joined (portRef (member datain 3)) (portRef B (instanceRef u0_RNI3E192)) )) (net (rename data2_30 "data2[30]") (joined (portRef Y (instanceRef u0_RNIUH192)) (portRef (member data2 1)) )) (net (rename dataoutx_30 "dataoutx[30]") (joined (portRef RD12 (instanceRef u0)) (portRef A (instanceRef u0_RNIUH192)) )) (net (rename datain_30 "datain[30]") (joined (portRef (member datain 1)) (portRef B (instanceRef u0_RNIUH192)) )) (net (rename data2_31 "data2[31]") (joined (portRef Y (instanceRef u0_RNIVH192)) (portRef (member data2 0)) )) (net (rename dataoutx_31 "dataoutx[31]") (joined (portRef RD13 (instanceRef u0)) (portRef A (instanceRef u0_RNIVH192)) )) (net (rename datain_31 "datain[31]") (joined (portRef (member datain 0)) (portRef B (instanceRef u0_RNIVH192)) )) (net (rename data2_19 "data2[19]") (joined (portRef Y (instanceRef u0_RNI1A192)) (portRef (member data2 12)) )) (net (rename dataoutx_19 "dataoutx[19]") (joined (portRef RD1 (instanceRef u0)) (portRef A (instanceRef u0_RNI1A192)) )) (net (rename datain_19 "datain[19]") (joined (portRef (member datain 12)) (portRef B (instanceRef u0_RNI1A192)) )) (net (rename data2_21 "data2[21]") (joined (portRef Y (instanceRef u0_RNISD192)) (portRef (member data2 10)) )) (net (rename dataoutx_21 "dataoutx[21]") (joined (portRef RD3 (instanceRef u0)) (portRef A (instanceRef u0_RNISD192)) )) (net (rename datain_21 "datain[21]") (joined (portRef (member datain 10)) (portRef B (instanceRef u0_RNISD192)) )) (net (rename data2_23 "data2[23]") (joined (portRef Y (instanceRef u0_RNIUD192)) (portRef (member data2 8)) )) (net (rename dataoutx_23 "dataoutx[23]") (joined (portRef RD5 (instanceRef u0)) (portRef A (instanceRef u0_RNIUD192)) )) (net (rename datain_23 "datain[23]") (joined (portRef (member datain 8)) (portRef B (instanceRef u0_RNIUD192)) )) (net (rename data2_22 "data2[22]") (joined (portRef Y (instanceRef u0_RNITD192)) (portRef (member data2 9)) )) (net (rename dataoutx_22 "dataoutx[22]") (joined (portRef RD4 (instanceRef u0)) (portRef A (instanceRef u0_RNITD192)) )) (net (rename datain_22 "datain[22]") (joined (portRef (member datain 9)) (portRef B (instanceRef u0_RNITD192)) )) (net (rename data2_18 "data2[18]") (joined (portRef Y (instanceRef u0_RNI0A192)) (portRef (member data2 13)) )) (net (rename dataoutx_18 "dataoutx[18]") (joined (portRef RD0 (instanceRef u0)) (portRef A (instanceRef u0_RNI0A192)) )) (net (rename datain_18 "datain[18]") (joined (portRef (member datain 13)) (portRef B (instanceRef u0_RNI0A192)) )) (net un4_scantestbp (joined (portRef un4_scantestbp) (portRef S (instanceRef u0_RNIRD192)) (portRef S (instanceRef u0_RNIVD192)) (portRef S (instanceRef u0_RNI4E192)) (portRef S (instanceRef u0_RNI0A192)) (portRef S (instanceRef u0_RNITD192)) )) (net (rename data2_29 "data2[29]") (joined (portRef Y (instanceRef u0_RNI4E192)) (portRef (member data2 2)) )) (net (rename dataoutx_29 "dataoutx[29]") (joined (portRef RD11 (instanceRef u0)) (portRef A (instanceRef u0_RNI4E192)) )) (net (rename datain_29 "datain[29]") (joined (portRef (member datain 2)) (portRef B (instanceRef u0_RNI4E192)) )) (net (rename data2_24 "data2[24]") (joined (portRef Y (instanceRef u0_RNIVD192)) (portRef (member data2 7)) )) (net (rename dataoutx_24 "dataoutx[24]") (joined (portRef RD6 (instanceRef u0)) (portRef A (instanceRef u0_RNIVD192)) )) (net (rename datain_24 "datain[24]") (joined (portRef (member datain 7)) (portRef B (instanceRef u0_RNIVD192)) )) (net (rename data2_20 "data2[20]") (joined (portRef Y (instanceRef u0_RNIRD192)) (portRef (member data2 11)) )) (net (rename dataoutx_20 "dataoutx[20]") (joined (portRef RD2 (instanceRef u0)) (portRef A (instanceRef u0_RNIRD192)) )) (net (rename datain_20 "datain[20]") (joined (portRef (member datain 11)) (portRef B (instanceRef u0_RNIRD192)) )) (net (rename q2_3_32 "q2_3[32]") (joined (portRef RD14 (instanceRef u0)) )) (net (rename q2_3_33 "q2_3[33]") (joined (portRef RD15 (instanceRef u0)) )) (net (rename q2_3_34 "q2_3[34]") (joined (portRef RD16 (instanceRef u0)) )) (net (rename q2_3_35 "q2_3[35]") (joined (portRef RD17 (instanceRef u0)) )) (net (rename raddr2_0 "raddr2[0]") (joined (portRef (member raddr2 6)) (portRef RADDR0 (instanceRef u0)) )) (net (rename raddr2_1 "raddr2[1]") (joined (portRef (member raddr2 5)) (portRef RADDR1 (instanceRef u0)) )) (net (rename raddr2_2 "raddr2[2]") (joined (portRef (member raddr2 4)) (portRef RADDR2 (instanceRef u0)) )) (net (rename raddr2_3 "raddr2[3]") (joined (portRef (member raddr2 3)) (portRef RADDR3 (instanceRef u0)) )) (net (rename raddr2_4 "raddr2[4]") (joined (portRef (member raddr2 2)) (portRef RADDR4 (instanceRef u0)) )) (net (rename raddr2_5 "raddr2[5]") (joined (portRef (member raddr2 1)) (portRef RADDR5 (instanceRef u0)) )) (net (rename raddr2_6 "raddr2[6]") (joined (portRef (member raddr2 0)) (portRef RADDR6 (instanceRef u0)) )) (net proasic3_ram512x18_3_GND (joined (portRef proasic3_ram512x18_3_GND) (portRef WW0 (instanceRef u0)) (portRef WD17 (instanceRef u0)) (portRef WD16 (instanceRef u0)) (portRef WD15 (instanceRef u0)) (portRef WD14 (instanceRef u0)) (portRef WADDR8 (instanceRef u0)) (portRef WADDR7 (instanceRef u0)) (portRef RW0 (instanceRef u0)) (portRef RADDR8 (instanceRef u0)) (portRef RADDR7 (instanceRef u0)) (portRef PIPE (instanceRef u0)) )) (net ren2 (joined (portRef ren2) (portRef REN (instanceRef u0)) )) (net proasic3_ram512x18_3_VCC (joined (portRef proasic3_ram512x18_3_VCC) (portRef WW1 (instanceRef u0)) (portRef RW1 (instanceRef u0)) (portRef RESET (instanceRef u0)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0)) (portRef WADDR0 (instanceRef u0)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef (member waddr 5)) (portRef WADDR1 (instanceRef u0)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef (member waddr 4)) (portRef WADDR2 (instanceRef u0)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef (member waddr 3)) (portRef WADDR3 (instanceRef u0)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef (member waddr 2)) (portRef WADDR4 (instanceRef u0)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef (member waddr 1)) (portRef WADDR5 (instanceRef u0)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef (member waddr 0)) (portRef WADDR6 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef WCLK (instanceRef u0)) (portRef RCLK (instanceRef u0)) )) (net (rename wdata_18 "wdata[18]") (joined (portRef (member wdata 13)) (portRef WD0 (instanceRef u0)) )) (net (rename wdata_19 "wdata[19]") (joined (portRef (member wdata 12)) (portRef WD1 (instanceRef u0)) )) (net (rename wdata_20 "wdata[20]") (joined (portRef (member wdata 11)) (portRef WD2 (instanceRef u0)) )) (net (rename wdata_21 "wdata[21]") (joined (portRef (member wdata 10)) (portRef WD3 (instanceRef u0)) )) (net (rename wdata_22 "wdata[22]") (joined (portRef (member wdata 9)) (portRef WD4 (instanceRef u0)) )) (net (rename wdata_23 "wdata[23]") (joined (portRef (member wdata 8)) (portRef WD5 (instanceRef u0)) )) (net (rename wdata_24 "wdata[24]") (joined (portRef (member wdata 7)) (portRef WD6 (instanceRef u0)) )) (net (rename wdata_25 "wdata[25]") (joined (portRef (member wdata 6)) (portRef WD7 (instanceRef u0)) )) (net (rename wdata_26 "wdata[26]") (joined (portRef (member wdata 5)) (portRef WD8 (instanceRef u0)) )) (net (rename wdata_27 "wdata[27]") (joined (portRef (member wdata 4)) (portRef WD9 (instanceRef u0)) )) (net (rename wdata_28 "wdata[28]") (joined (portRef (member wdata 3)) (portRef WD10 (instanceRef u0)) )) (net (rename wdata_29 "wdata[29]") (joined (portRef (member wdata 2)) (portRef WD11 (instanceRef u0)) )) (net (rename wdata_30 "wdata[30]") (joined (portRef (member wdata 1)) (portRef WD12 (instanceRef u0)) )) (net (rename wdata_31 "wdata[31]") (joined (portRef (member wdata 0)) (portRef WD13 (instanceRef u0)) )) (net wren_i (joined (portRef wren_i) (portRef WEN (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) ) ) (cell proasic3_ram512x18_2 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename wdata "wdata[17:0]") 18) (direction INPUT)) (port (array (rename waddr "waddr[6:1]") 6) (direction INPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction INPUT)) (port (array (rename raddr2 "raddr2[6:0]") 7) (direction INPUT)) (port (array (rename datain "datain[17:0]") 18) (direction INPUT)) (port (array (rename data2 "data2[17:0]") 18) (direction OUTPUT)) (port wren_i (direction INPUT)) (port proasic3_ram512x18_2_VCC (direction INPUT)) (port ren2 (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram512x18_2_GND (direction INPUT)) (port un4_scantestbp (direction INPUT)) (port un4_scantestbp_0_0 (direction INPUT)) ) (contents (instance u0_RNIO9192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIP9192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIQ9192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIS9192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIT9192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIU9192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIDJKB2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIENKB2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIFRKB2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIGVKB2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIH3LB2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIN9192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIA7KB2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIR9192 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNICFKB2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIBBKB2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI93KB2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI8VJB2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0 (viewRef prim (cellRef RAM512X18 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename data2_11 "data2[11]") (joined (portRef Y (instanceRef u0_RNIO9192)) (portRef (member data2 6)) )) (net (rename dataoutx_11 "dataoutx[11]") (joined (portRef RD11 (instanceRef u0)) (portRef A (instanceRef u0_RNIO9192)) )) (net (rename datain_11 "datain[11]") (joined (portRef (member datain 6)) (portRef B (instanceRef u0_RNIO9192)) )) (net (rename data2_12 "data2[12]") (joined (portRef Y (instanceRef u0_RNIP9192)) (portRef (member data2 5)) )) (net (rename dataoutx_12 "dataoutx[12]") (joined (portRef RD12 (instanceRef u0)) (portRef A (instanceRef u0_RNIP9192)) )) (net (rename datain_12 "datain[12]") (joined (portRef (member datain 5)) (portRef B (instanceRef u0_RNIP9192)) )) (net un4_scantestbp_0_0 (joined (portRef un4_scantestbp_0_0) (portRef S (instanceRef u0_RNIDJKB2)) (portRef S (instanceRef u0_RNIU9192)) (portRef S (instanceRef u0_RNIT9192)) (portRef S (instanceRef u0_RNIS9192)) (portRef S (instanceRef u0_RNIQ9192)) (portRef S (instanceRef u0_RNIP9192)) (portRef S (instanceRef u0_RNIO9192)) )) (net (rename data2_13 "data2[13]") (joined (portRef Y (instanceRef u0_RNIQ9192)) (portRef (member data2 4)) )) (net (rename dataoutx_13 "dataoutx[13]") (joined (portRef RD13 (instanceRef u0)) (portRef A (instanceRef u0_RNIQ9192)) )) (net (rename datain_13 "datain[13]") (joined (portRef (member datain 4)) (portRef B (instanceRef u0_RNIQ9192)) )) (net (rename data2_15 "data2[15]") (joined (portRef Y (instanceRef u0_RNIS9192)) (portRef (member data2 2)) )) (net (rename dataoutx_15 "dataoutx[15]") (joined (portRef RD15 (instanceRef u0)) (portRef A (instanceRef u0_RNIS9192)) )) (net (rename datain_15 "datain[15]") (joined (portRef (member datain 2)) (portRef B (instanceRef u0_RNIS9192)) )) (net (rename data2_16 "data2[16]") (joined (portRef Y (instanceRef u0_RNIT9192)) (portRef (member data2 1)) )) (net (rename dataoutx_16 "dataoutx[16]") (joined (portRef RD16 (instanceRef u0)) (portRef A (instanceRef u0_RNIT9192)) )) (net (rename datain_16 "datain[16]") (joined (portRef (member datain 1)) (portRef B (instanceRef u0_RNIT9192)) )) (net (rename data2_17 "data2[17]") (joined (portRef Y (instanceRef u0_RNIU9192)) (portRef (member data2 0)) )) (net (rename dataoutx_17 "dataoutx[17]") (joined (portRef RD17 (instanceRef u0)) (portRef A (instanceRef u0_RNIU9192)) )) (net (rename datain_17 "datain[17]") (joined (portRef (member datain 0)) (portRef B (instanceRef u0_RNIU9192)) )) (net (rename data2_5 "data2[5]") (joined (portRef Y (instanceRef u0_RNIDJKB2)) (portRef (member data2 12)) )) (net (rename dataoutx_5 "dataoutx[5]") (joined (portRef RD5 (instanceRef u0)) (portRef A (instanceRef u0_RNIDJKB2)) )) (net (rename datain_5 "datain[5]") (joined (portRef (member datain 12)) (portRef B (instanceRef u0_RNIDJKB2)) )) (net (rename data2_6 "data2[6]") (joined (portRef Y (instanceRef u0_RNIENKB2)) (portRef (member data2 11)) )) (net (rename dataoutx_6 "dataoutx[6]") (joined (portRef RD6 (instanceRef u0)) (portRef A (instanceRef u0_RNIENKB2)) )) (net (rename datain_6 "datain[6]") (joined (portRef (member datain 11)) (portRef B (instanceRef u0_RNIENKB2)) )) (net (rename data2_7 "data2[7]") (joined (portRef Y (instanceRef u0_RNIFRKB2)) (portRef (member data2 10)) )) (net (rename dataoutx_7 "dataoutx[7]") (joined (portRef RD7 (instanceRef u0)) (portRef A (instanceRef u0_RNIFRKB2)) )) (net (rename datain_7 "datain[7]") (joined (portRef (member datain 10)) (portRef B (instanceRef u0_RNIFRKB2)) )) (net un4_scantestbp (joined (portRef un4_scantestbp) (portRef S (instanceRef u0_RNI8VJB2)) (portRef S (instanceRef u0_RNI93KB2)) (portRef S (instanceRef u0_RNIBBKB2)) (portRef S (instanceRef u0_RNICFKB2)) (portRef S (instanceRef u0_RNIR9192)) (portRef S (instanceRef u0_RNIA7KB2)) (portRef S (instanceRef u0_RNIN9192)) (portRef S (instanceRef u0_RNIH3LB2)) (portRef S (instanceRef u0_RNIGVKB2)) (portRef S (instanceRef u0_RNIFRKB2)) (portRef S (instanceRef u0_RNIENKB2)) )) (net (rename data2_8 "data2[8]") (joined (portRef Y (instanceRef u0_RNIGVKB2)) (portRef (member data2 9)) )) (net (rename dataoutx_8 "dataoutx[8]") (joined (portRef RD8 (instanceRef u0)) (portRef A (instanceRef u0_RNIGVKB2)) )) (net (rename datain_8 "datain[8]") (joined (portRef (member datain 9)) (portRef B (instanceRef u0_RNIGVKB2)) )) (net (rename data2_9 "data2[9]") (joined (portRef Y (instanceRef u0_RNIH3LB2)) (portRef (member data2 8)) )) (net (rename dataoutx_9 "dataoutx[9]") (joined (portRef RD9 (instanceRef u0)) (portRef A (instanceRef u0_RNIH3LB2)) )) (net (rename datain_9 "datain[9]") (joined (portRef (member datain 8)) (portRef B (instanceRef u0_RNIH3LB2)) )) (net (rename data2_10 "data2[10]") (joined (portRef Y (instanceRef u0_RNIN9192)) (portRef (member data2 7)) )) (net (rename dataoutx_10 "dataoutx[10]") (joined (portRef RD10 (instanceRef u0)) (portRef A (instanceRef u0_RNIN9192)) )) (net (rename datain_10 "datain[10]") (joined (portRef (member datain 7)) (portRef B (instanceRef u0_RNIN9192)) )) (net (rename data2_2 "data2[2]") (joined (portRef Y (instanceRef u0_RNIA7KB2)) (portRef (member data2 15)) )) (net (rename dataoutx_2 "dataoutx[2]") (joined (portRef RD2 (instanceRef u0)) (portRef A (instanceRef u0_RNIA7KB2)) )) (net (rename datain_2 "datain[2]") (joined (portRef (member datain 15)) (portRef B (instanceRef u0_RNIA7KB2)) )) (net (rename data2_14 "data2[14]") (joined (portRef Y (instanceRef u0_RNIR9192)) (portRef (member data2 3)) )) (net (rename dataoutx_14 "dataoutx[14]") (joined (portRef RD14 (instanceRef u0)) (portRef A (instanceRef u0_RNIR9192)) )) (net (rename datain_14 "datain[14]") (joined (portRef (member datain 3)) (portRef B (instanceRef u0_RNIR9192)) )) (net (rename data2_4 "data2[4]") (joined (portRef Y (instanceRef u0_RNICFKB2)) (portRef (member data2 13)) )) (net (rename dataoutx_4 "dataoutx[4]") (joined (portRef RD4 (instanceRef u0)) (portRef A (instanceRef u0_RNICFKB2)) )) (net (rename datain_4 "datain[4]") (joined (portRef (member datain 13)) (portRef B (instanceRef u0_RNICFKB2)) )) (net (rename data2_3 "data2[3]") (joined (portRef Y (instanceRef u0_RNIBBKB2)) (portRef (member data2 14)) )) (net (rename dataoutx_3 "dataoutx[3]") (joined (portRef RD3 (instanceRef u0)) (portRef A (instanceRef u0_RNIBBKB2)) )) (net (rename datain_3 "datain[3]") (joined (portRef (member datain 14)) (portRef B (instanceRef u0_RNIBBKB2)) )) (net (rename data2_1 "data2[1]") (joined (portRef Y (instanceRef u0_RNI93KB2)) (portRef (member data2 16)) )) (net (rename dataoutx_1 "dataoutx[1]") (joined (portRef RD1 (instanceRef u0)) (portRef A (instanceRef u0_RNI93KB2)) )) (net (rename datain_1 "datain[1]") (joined (portRef (member datain 16)) (portRef B (instanceRef u0_RNI93KB2)) )) (net (rename data2_0 "data2[0]") (joined (portRef Y (instanceRef u0_RNI8VJB2)) (portRef (member data2 17)) )) (net (rename dataoutx_0 "dataoutx[0]") (joined (portRef RD0 (instanceRef u0)) (portRef A (instanceRef u0_RNI8VJB2)) )) (net (rename datain_0 "datain[0]") (joined (portRef (member datain 17)) (portRef B (instanceRef u0_RNI8VJB2)) )) (net (rename raddr2_0 "raddr2[0]") (joined (portRef (member raddr2 6)) (portRef RADDR0 (instanceRef u0)) )) (net (rename raddr2_1 "raddr2[1]") (joined (portRef (member raddr2 5)) (portRef RADDR1 (instanceRef u0)) )) (net (rename raddr2_2 "raddr2[2]") (joined (portRef (member raddr2 4)) (portRef RADDR2 (instanceRef u0)) )) (net (rename raddr2_3 "raddr2[3]") (joined (portRef (member raddr2 3)) (portRef RADDR3 (instanceRef u0)) )) (net (rename raddr2_4 "raddr2[4]") (joined (portRef (member raddr2 2)) (portRef RADDR4 (instanceRef u0)) )) (net (rename raddr2_5 "raddr2[5]") (joined (portRef (member raddr2 1)) (portRef RADDR5 (instanceRef u0)) )) (net (rename raddr2_6 "raddr2[6]") (joined (portRef (member raddr2 0)) (portRef RADDR6 (instanceRef u0)) )) (net proasic3_ram512x18_2_GND (joined (portRef proasic3_ram512x18_2_GND) (portRef WW0 (instanceRef u0)) (portRef WADDR8 (instanceRef u0)) (portRef WADDR7 (instanceRef u0)) (portRef RW0 (instanceRef u0)) (portRef RADDR8 (instanceRef u0)) (portRef RADDR7 (instanceRef u0)) (portRef PIPE (instanceRef u0)) )) (net ren2 (joined (portRef ren2) (portRef REN (instanceRef u0)) )) (net proasic3_ram512x18_2_VCC (joined (portRef proasic3_ram512x18_2_VCC) (portRef WW1 (instanceRef u0)) (portRef RW1 (instanceRef u0)) (portRef RESET (instanceRef u0)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0)) (portRef WADDR0 (instanceRef u0)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef (member waddr 5)) (portRef WADDR1 (instanceRef u0)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef (member waddr 4)) (portRef WADDR2 (instanceRef u0)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef (member waddr 3)) (portRef WADDR3 (instanceRef u0)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef (member waddr 2)) (portRef WADDR4 (instanceRef u0)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef (member waddr 1)) (portRef WADDR5 (instanceRef u0)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef (member waddr 0)) (portRef WADDR6 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef WCLK (instanceRef u0)) (portRef RCLK (instanceRef u0)) )) (net (rename wdata_0 "wdata[0]") (joined (portRef (member wdata 17)) (portRef WD0 (instanceRef u0)) )) (net (rename wdata_1 "wdata[1]") (joined (portRef (member wdata 16)) (portRef WD1 (instanceRef u0)) )) (net (rename wdata_2 "wdata[2]") (joined (portRef (member wdata 15)) (portRef WD2 (instanceRef u0)) )) (net (rename wdata_3 "wdata[3]") (joined (portRef (member wdata 14)) (portRef WD3 (instanceRef u0)) )) (net (rename wdata_4 "wdata[4]") (joined (portRef (member wdata 13)) (portRef WD4 (instanceRef u0)) )) (net (rename wdata_5 "wdata[5]") (joined (portRef (member wdata 12)) (portRef WD5 (instanceRef u0)) )) (net (rename wdata_6 "wdata[6]") (joined (portRef (member wdata 11)) (portRef WD6 (instanceRef u0)) )) (net (rename wdata_7 "wdata[7]") (joined (portRef (member wdata 10)) (portRef WD7 (instanceRef u0)) )) (net (rename wdata_8 "wdata[8]") (joined (portRef (member wdata 9)) (portRef WD8 (instanceRef u0)) )) (net (rename wdata_9 "wdata[9]") (joined (portRef (member wdata 8)) (portRef WD9 (instanceRef u0)) )) (net (rename wdata_10 "wdata[10]") (joined (portRef (member wdata 7)) (portRef WD10 (instanceRef u0)) )) (net (rename wdata_11 "wdata[11]") (joined (portRef (member wdata 6)) (portRef WD11 (instanceRef u0)) )) (net (rename wdata_12 "wdata[12]") (joined (portRef (member wdata 5)) (portRef WD12 (instanceRef u0)) )) (net (rename wdata_13 "wdata[13]") (joined (portRef (member wdata 4)) (portRef WD13 (instanceRef u0)) )) (net (rename wdata_14 "wdata[14]") (joined (portRef (member wdata 3)) (portRef WD14 (instanceRef u0)) )) (net (rename wdata_15 "wdata[15]") (joined (portRef (member wdata 2)) (portRef WD15 (instanceRef u0)) )) (net (rename wdata_16 "wdata[16]") (joined (portRef (member wdata 1)) (portRef WD16 (instanceRef u0)) )) (net (rename wdata_17 "wdata[17]") (joined (portRef (member wdata 0)) (portRef WD17 (instanceRef u0)) )) (net wren_i (joined (portRef wren_i) (portRef WEN (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) ) ) (cell proasic3_ram512x18_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename wdata "wdata[31:18]") 14) (direction INPUT)) (port (array (rename waddr "waddr[6:1]") 6) (direction INPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction INPUT)) (port (array (rename raddr1 "raddr1[6:0]") 7) (direction INPUT)) (port (array (rename datain "datain[31:18]") 14) (direction INPUT)) (port (array (rename data1 "data1[31:18]") 14) (direction OUTPUT)) (port wren_i (direction INPUT)) (port proasic3_ram512x18_1_VCC (direction INPUT)) (port renable_i_1 (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram512x18_1_GND (direction INPUT)) (port un4_scantestbp (direction INPUT)) (port un4_scantestbp_0_0 (direction INPUT)) ) (contents (instance u0_RNIJL2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIGP2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIIP2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIHP2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIFP2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIEP2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIKL2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIIT2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIHT2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNINP2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIMP2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNILP2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIKP2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIJP2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0 (viewRef prim (cellRef RAM512X18 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename data1_18 "data1[18]") (joined (portRef Y (instanceRef u0_RNIJL2A2)) (portRef (member data1 13)) )) (net (rename dataoutx_18 "dataoutx[18]") (joined (portRef RD0 (instanceRef u0)) (portRef A (instanceRef u0_RNIJL2A2)) )) (net (rename datain_18 "datain[18]") (joined (portRef (member datain 13)) (portRef B (instanceRef u0_RNIJL2A2)) )) (net (rename data1_22 "data1[22]") (joined (portRef Y (instanceRef u0_RNIGP2A2)) (portRef (member data1 9)) )) (net (rename dataoutx_22 "dataoutx[22]") (joined (portRef RD4 (instanceRef u0)) (portRef A (instanceRef u0_RNIGP2A2)) )) (net (rename datain_22 "datain[22]") (joined (portRef (member datain 9)) (portRef B (instanceRef u0_RNIGP2A2)) )) (net un4_scantestbp_0_0 (joined (portRef un4_scantestbp_0_0) (portRef S (instanceRef u0_RNIGP2A2)) (portRef S (instanceRef u0_RNIJL2A2)) )) (net (rename data1_24 "data1[24]") (joined (portRef Y (instanceRef u0_RNIIP2A2)) (portRef (member data1 7)) )) (net (rename dataoutx_24 "dataoutx[24]") (joined (portRef RD6 (instanceRef u0)) (portRef A (instanceRef u0_RNIIP2A2)) )) (net (rename datain_24 "datain[24]") (joined (portRef (member datain 7)) (portRef B (instanceRef u0_RNIIP2A2)) )) (net (rename data1_23 "data1[23]") (joined (portRef Y (instanceRef u0_RNIHP2A2)) (portRef (member data1 8)) )) (net (rename dataoutx_23 "dataoutx[23]") (joined (portRef RD5 (instanceRef u0)) (portRef A (instanceRef u0_RNIHP2A2)) )) (net (rename datain_23 "datain[23]") (joined (portRef (member datain 8)) (portRef B (instanceRef u0_RNIHP2A2)) )) (net un4_scantestbp (joined (portRef un4_scantestbp) (portRef S (instanceRef u0_RNIJP2A2)) (portRef S (instanceRef u0_RNIKP2A2)) (portRef S (instanceRef u0_RNILP2A2)) (portRef S (instanceRef u0_RNIMP2A2)) (portRef S (instanceRef u0_RNINP2A2)) (portRef S (instanceRef u0_RNIHT2A2)) (portRef S (instanceRef u0_RNIIT2A2)) (portRef S (instanceRef u0_RNIKL2A2)) (portRef S (instanceRef u0_RNIEP2A2)) (portRef S (instanceRef u0_RNIFP2A2)) (portRef S (instanceRef u0_RNIHP2A2)) (portRef S (instanceRef u0_RNIIP2A2)) )) (net (rename data1_21 "data1[21]") (joined (portRef Y (instanceRef u0_RNIFP2A2)) (portRef (member data1 10)) )) (net (rename dataoutx_21 "dataoutx[21]") (joined (portRef RD3 (instanceRef u0)) (portRef A (instanceRef u0_RNIFP2A2)) )) (net (rename datain_21 "datain[21]") (joined (portRef (member datain 10)) (portRef B (instanceRef u0_RNIFP2A2)) )) (net (rename data1_20 "data1[20]") (joined (portRef Y (instanceRef u0_RNIEP2A2)) (portRef (member data1 11)) )) (net (rename dataoutx_20 "dataoutx[20]") (joined (portRef RD2 (instanceRef u0)) (portRef A (instanceRef u0_RNIEP2A2)) )) (net (rename datain_20 "datain[20]") (joined (portRef (member datain 11)) (portRef B (instanceRef u0_RNIEP2A2)) )) (net (rename data1_19 "data1[19]") (joined (portRef Y (instanceRef u0_RNIKL2A2)) (portRef (member data1 12)) )) (net (rename dataoutx_19 "dataoutx[19]") (joined (portRef RD1 (instanceRef u0)) (portRef A (instanceRef u0_RNIKL2A2)) )) (net (rename datain_19 "datain[19]") (joined (portRef (member datain 12)) (portRef B (instanceRef u0_RNIKL2A2)) )) (net (rename data1_31 "data1[31]") (joined (portRef Y (instanceRef u0_RNIIT2A2)) (portRef (member data1 0)) )) (net (rename dataoutx_31 "dataoutx[31]") (joined (portRef RD13 (instanceRef u0)) (portRef A (instanceRef u0_RNIIT2A2)) )) (net (rename datain_31 "datain[31]") (joined (portRef (member datain 0)) (portRef B (instanceRef u0_RNIIT2A2)) )) (net (rename data1_30 "data1[30]") (joined (portRef Y (instanceRef u0_RNIHT2A2)) (portRef (member data1 1)) )) (net (rename dataoutx_30 "dataoutx[30]") (joined (portRef RD12 (instanceRef u0)) (portRef A (instanceRef u0_RNIHT2A2)) )) (net (rename datain_30 "datain[30]") (joined (portRef (member datain 1)) (portRef B (instanceRef u0_RNIHT2A2)) )) (net (rename data1_29 "data1[29]") (joined (portRef Y (instanceRef u0_RNINP2A2)) (portRef (member data1 2)) )) (net (rename dataoutx_29 "dataoutx[29]") (joined (portRef RD11 (instanceRef u0)) (portRef A (instanceRef u0_RNINP2A2)) )) (net (rename datain_29 "datain[29]") (joined (portRef (member datain 2)) (portRef B (instanceRef u0_RNINP2A2)) )) (net (rename data1_28 "data1[28]") (joined (portRef Y (instanceRef u0_RNIMP2A2)) (portRef (member data1 3)) )) (net (rename dataoutx_28 "dataoutx[28]") (joined (portRef RD10 (instanceRef u0)) (portRef A (instanceRef u0_RNIMP2A2)) )) (net (rename datain_28 "datain[28]") (joined (portRef (member datain 3)) (portRef B (instanceRef u0_RNIMP2A2)) )) (net (rename data1_27 "data1[27]") (joined (portRef Y (instanceRef u0_RNILP2A2)) (portRef (member data1 4)) )) (net (rename dataoutx_27 "dataoutx[27]") (joined (portRef RD9 (instanceRef u0)) (portRef A (instanceRef u0_RNILP2A2)) )) (net (rename datain_27 "datain[27]") (joined (portRef (member datain 4)) (portRef B (instanceRef u0_RNILP2A2)) )) (net (rename data1_26 "data1[26]") (joined (portRef Y (instanceRef u0_RNIKP2A2)) (portRef (member data1 5)) )) (net (rename dataoutx_26 "dataoutx[26]") (joined (portRef RD8 (instanceRef u0)) (portRef A (instanceRef u0_RNIKP2A2)) )) (net (rename datain_26 "datain[26]") (joined (portRef (member datain 5)) (portRef B (instanceRef u0_RNIKP2A2)) )) (net (rename data1_25 "data1[25]") (joined (portRef Y (instanceRef u0_RNIJP2A2)) (portRef (member data1 6)) )) (net (rename dataoutx_25 "dataoutx[25]") (joined (portRef RD7 (instanceRef u0)) (portRef A (instanceRef u0_RNIJP2A2)) )) (net (rename datain_25 "datain[25]") (joined (portRef (member datain 6)) (portRef B (instanceRef u0_RNIJP2A2)) )) (net (rename q2_3_32 "q2_3[32]") (joined (portRef RD14 (instanceRef u0)) )) (net (rename q2_3_33 "q2_3[33]") (joined (portRef RD15 (instanceRef u0)) )) (net (rename q2_3_34 "q2_3[34]") (joined (portRef RD16 (instanceRef u0)) )) (net (rename q2_3_35 "q2_3[35]") (joined (portRef RD17 (instanceRef u0)) )) (net (rename raddr1_0 "raddr1[0]") (joined (portRef (member raddr1 6)) (portRef RADDR0 (instanceRef u0)) )) (net (rename raddr1_1 "raddr1[1]") (joined (portRef (member raddr1 5)) (portRef RADDR1 (instanceRef u0)) )) (net (rename raddr1_2 "raddr1[2]") (joined (portRef (member raddr1 4)) (portRef RADDR2 (instanceRef u0)) )) (net (rename raddr1_3 "raddr1[3]") (joined (portRef (member raddr1 3)) (portRef RADDR3 (instanceRef u0)) )) (net (rename raddr1_4 "raddr1[4]") (joined (portRef (member raddr1 2)) (portRef RADDR4 (instanceRef u0)) )) (net (rename raddr1_5 "raddr1[5]") (joined (portRef (member raddr1 1)) (portRef RADDR5 (instanceRef u0)) )) (net (rename raddr1_6 "raddr1[6]") (joined (portRef (member raddr1 0)) (portRef RADDR6 (instanceRef u0)) )) (net proasic3_ram512x18_1_GND (joined (portRef proasic3_ram512x18_1_GND) (portRef WW0 (instanceRef u0)) (portRef WD17 (instanceRef u0)) (portRef WD16 (instanceRef u0)) (portRef WD15 (instanceRef u0)) (portRef WD14 (instanceRef u0)) (portRef WADDR8 (instanceRef u0)) (portRef WADDR7 (instanceRef u0)) (portRef RW0 (instanceRef u0)) (portRef RADDR8 (instanceRef u0)) (portRef RADDR7 (instanceRef u0)) (portRef PIPE (instanceRef u0)) )) (net renable_i_1 (joined (portRef renable_i_1) (portRef REN (instanceRef u0)) )) (net proasic3_ram512x18_1_VCC (joined (portRef proasic3_ram512x18_1_VCC) (portRef WW1 (instanceRef u0)) (portRef RW1 (instanceRef u0)) (portRef RESET (instanceRef u0)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0)) (portRef WADDR0 (instanceRef u0)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef (member waddr 5)) (portRef WADDR1 (instanceRef u0)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef (member waddr 4)) (portRef WADDR2 (instanceRef u0)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef (member waddr 3)) (portRef WADDR3 (instanceRef u0)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef (member waddr 2)) (portRef WADDR4 (instanceRef u0)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef (member waddr 1)) (portRef WADDR5 (instanceRef u0)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef (member waddr 0)) (portRef WADDR6 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef WCLK (instanceRef u0)) (portRef RCLK (instanceRef u0)) )) (net (rename wdata_18 "wdata[18]") (joined (portRef (member wdata 13)) (portRef WD0 (instanceRef u0)) )) (net (rename wdata_19 "wdata[19]") (joined (portRef (member wdata 12)) (portRef WD1 (instanceRef u0)) )) (net (rename wdata_20 "wdata[20]") (joined (portRef (member wdata 11)) (portRef WD2 (instanceRef u0)) )) (net (rename wdata_21 "wdata[21]") (joined (portRef (member wdata 10)) (portRef WD3 (instanceRef u0)) )) (net (rename wdata_22 "wdata[22]") (joined (portRef (member wdata 9)) (portRef WD4 (instanceRef u0)) )) (net (rename wdata_23 "wdata[23]") (joined (portRef (member wdata 8)) (portRef WD5 (instanceRef u0)) )) (net (rename wdata_24 "wdata[24]") (joined (portRef (member wdata 7)) (portRef WD6 (instanceRef u0)) )) (net (rename wdata_25 "wdata[25]") (joined (portRef (member wdata 6)) (portRef WD7 (instanceRef u0)) )) (net (rename wdata_26 "wdata[26]") (joined (portRef (member wdata 5)) (portRef WD8 (instanceRef u0)) )) (net (rename wdata_27 "wdata[27]") (joined (portRef (member wdata 4)) (portRef WD9 (instanceRef u0)) )) (net (rename wdata_28 "wdata[28]") (joined (portRef (member wdata 3)) (portRef WD10 (instanceRef u0)) )) (net (rename wdata_29 "wdata[29]") (joined (portRef (member wdata 2)) (portRef WD11 (instanceRef u0)) )) (net (rename wdata_30 "wdata[30]") (joined (portRef (member wdata 1)) (portRef WD12 (instanceRef u0)) )) (net (rename wdata_31 "wdata[31]") (joined (portRef (member wdata 0)) (portRef WD13 (instanceRef u0)) )) (net wren_i (joined (portRef wren_i) (portRef WEN (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) ) ) (cell proasic3_ram512x18 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename wdata "wdata[17:0]") 18) (direction INPUT)) (port (array (rename waddr "waddr[6:1]") 6) (direction INPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction INPUT)) (port (array (rename raddr1 "raddr1[6:0]") 7) (direction INPUT)) (port (array (rename datain "datain[17:0]") 18) (direction INPUT)) (port (array (rename data1 "data1[17:0]") 18) (direction OUTPUT)) (port wren_i (direction INPUT)) (port proasic3_ram512x18_VCC (direction INPUT)) (port renable_i_1 (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_ram512x18_GND (direction INPUT)) (port un4_scantestbp (direction INPUT)) (port un4_scantestbp_0_0 (direction INPUT)) ) (contents (instance u0_RNITILC2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIEL2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIAL2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI4FMC2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI3BMC2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI27MC2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI13MC2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNI0VLC2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIVQLC2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIHL2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIGL2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIFL2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIDL2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNICL2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIBL2A2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIUMLC2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNISELC2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0_RNIRALC2 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance u0 (viewRef prim (cellRef RAM512X18 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename data1_2 "data1[2]") (joined (portRef Y (instanceRef u0_RNITILC2)) (portRef (member data1 15)) )) (net (rename dataoutx_2 "dataoutx[2]") (joined (portRef RD2 (instanceRef u0)) (portRef A (instanceRef u0_RNITILC2)) )) (net (rename datain_2 "datain[2]") (joined (portRef (member datain 15)) (portRef B (instanceRef u0_RNITILC2)) )) (net (rename data1_14 "data1[14]") (joined (portRef Y (instanceRef u0_RNIEL2A2)) (portRef (member data1 3)) )) (net (rename dataoutx_14 "dataoutx[14]") (joined (portRef RD14 (instanceRef u0)) (portRef A (instanceRef u0_RNIEL2A2)) )) (net (rename datain_14 "datain[14]") (joined (portRef (member datain 3)) (portRef B (instanceRef u0_RNIEL2A2)) )) (net un4_scantestbp_0_0 (joined (portRef un4_scantestbp_0_0) (portRef S (instanceRef u0_RNICL2A2)) (portRef S (instanceRef u0_RNIDL2A2)) (portRef S (instanceRef u0_RNIFL2A2)) (portRef S (instanceRef u0_RNIGL2A2)) (portRef S (instanceRef u0_RNIHL2A2)) (portRef S (instanceRef u0_RNIVQLC2)) (portRef S (instanceRef u0_RNI0VLC2)) (portRef S (instanceRef u0_RNI13MC2)) (portRef S (instanceRef u0_RNI27MC2)) (portRef S (instanceRef u0_RNI3BMC2)) (portRef S (instanceRef u0_RNI4FMC2)) (portRef S (instanceRef u0_RNIAL2A2)) (portRef S (instanceRef u0_RNIEL2A2)) (portRef S (instanceRef u0_RNITILC2)) )) (net (rename data1_10 "data1[10]") (joined (portRef Y (instanceRef u0_RNIAL2A2)) (portRef (member data1 7)) )) (net (rename dataoutx_10 "dataoutx[10]") (joined (portRef RD10 (instanceRef u0)) (portRef A (instanceRef u0_RNIAL2A2)) )) (net (rename datain_10 "datain[10]") (joined (portRef (member datain 7)) (portRef B (instanceRef u0_RNIAL2A2)) )) (net (rename data1_9 "data1[9]") (joined (portRef Y (instanceRef u0_RNI4FMC2)) (portRef (member data1 8)) )) (net (rename dataoutx_9 "dataoutx[9]") (joined (portRef RD9 (instanceRef u0)) (portRef A (instanceRef u0_RNI4FMC2)) )) (net (rename datain_9 "datain[9]") (joined (portRef (member datain 8)) (portRef B (instanceRef u0_RNI4FMC2)) )) (net (rename data1_8 "data1[8]") (joined (portRef Y (instanceRef u0_RNI3BMC2)) (portRef (member data1 9)) )) (net (rename dataoutx_8 "dataoutx[8]") (joined (portRef RD8 (instanceRef u0)) (portRef A (instanceRef u0_RNI3BMC2)) )) (net (rename datain_8 "datain[8]") (joined (portRef (member datain 9)) (portRef B (instanceRef u0_RNI3BMC2)) )) (net (rename data1_7 "data1[7]") (joined (portRef Y (instanceRef u0_RNI27MC2)) (portRef (member data1 10)) )) (net (rename dataoutx_7 "dataoutx[7]") (joined (portRef RD7 (instanceRef u0)) (portRef A (instanceRef u0_RNI27MC2)) )) (net (rename datain_7 "datain[7]") (joined (portRef (member datain 10)) (portRef B (instanceRef u0_RNI27MC2)) )) (net (rename data1_6 "data1[6]") (joined (portRef Y (instanceRef u0_RNI13MC2)) (portRef (member data1 11)) )) (net (rename dataoutx_6 "dataoutx[6]") (joined (portRef RD6 (instanceRef u0)) (portRef A (instanceRef u0_RNI13MC2)) )) (net (rename datain_6 "datain[6]") (joined (portRef (member datain 11)) (portRef B (instanceRef u0_RNI13MC2)) )) (net (rename data1_5 "data1[5]") (joined (portRef Y (instanceRef u0_RNI0VLC2)) (portRef (member data1 12)) )) (net (rename dataoutx_5 "dataoutx[5]") (joined (portRef RD5 (instanceRef u0)) (portRef A (instanceRef u0_RNI0VLC2)) )) (net (rename datain_5 "datain[5]") (joined (portRef (member datain 12)) (portRef B (instanceRef u0_RNI0VLC2)) )) (net (rename data1_4 "data1[4]") (joined (portRef Y (instanceRef u0_RNIVQLC2)) (portRef (member data1 13)) )) (net (rename dataoutx_4 "dataoutx[4]") (joined (portRef RD4 (instanceRef u0)) (portRef A (instanceRef u0_RNIVQLC2)) )) (net (rename datain_4 "datain[4]") (joined (portRef (member datain 13)) (portRef B (instanceRef u0_RNIVQLC2)) )) (net (rename data1_17 "data1[17]") (joined (portRef Y (instanceRef u0_RNIHL2A2)) (portRef (member data1 0)) )) (net (rename dataoutx_17 "dataoutx[17]") (joined (portRef RD17 (instanceRef u0)) (portRef A (instanceRef u0_RNIHL2A2)) )) (net (rename datain_17 "datain[17]") (joined (portRef (member datain 0)) (portRef B (instanceRef u0_RNIHL2A2)) )) (net (rename data1_16 "data1[16]") (joined (portRef Y (instanceRef u0_RNIGL2A2)) (portRef (member data1 1)) )) (net (rename dataoutx_16 "dataoutx[16]") (joined (portRef RD16 (instanceRef u0)) (portRef A (instanceRef u0_RNIGL2A2)) )) (net (rename datain_16 "datain[16]") (joined (portRef (member datain 1)) (portRef B (instanceRef u0_RNIGL2A2)) )) (net (rename data1_15 "data1[15]") (joined (portRef Y (instanceRef u0_RNIFL2A2)) (portRef (member data1 2)) )) (net (rename dataoutx_15 "dataoutx[15]") (joined (portRef RD15 (instanceRef u0)) (portRef A (instanceRef u0_RNIFL2A2)) )) (net (rename datain_15 "datain[15]") (joined (portRef (member datain 2)) (portRef B (instanceRef u0_RNIFL2A2)) )) (net (rename data1_13 "data1[13]") (joined (portRef Y (instanceRef u0_RNIDL2A2)) (portRef (member data1 4)) )) (net (rename dataoutx_13 "dataoutx[13]") (joined (portRef RD13 (instanceRef u0)) (portRef A (instanceRef u0_RNIDL2A2)) )) (net (rename datain_13 "datain[13]") (joined (portRef (member datain 4)) (portRef B (instanceRef u0_RNIDL2A2)) )) (net (rename data1_12 "data1[12]") (joined (portRef Y (instanceRef u0_RNICL2A2)) (portRef (member data1 5)) )) (net (rename dataoutx_12 "dataoutx[12]") (joined (portRef RD12 (instanceRef u0)) (portRef A (instanceRef u0_RNICL2A2)) )) (net (rename datain_12 "datain[12]") (joined (portRef (member datain 5)) (portRef B (instanceRef u0_RNICL2A2)) )) (net (rename data1_11 "data1[11]") (joined (portRef Y (instanceRef u0_RNIBL2A2)) (portRef (member data1 6)) )) (net (rename dataoutx_11 "dataoutx[11]") (joined (portRef RD11 (instanceRef u0)) (portRef A (instanceRef u0_RNIBL2A2)) )) (net (rename datain_11 "datain[11]") (joined (portRef (member datain 6)) (portRef B (instanceRef u0_RNIBL2A2)) )) (net (rename data1_3 "data1[3]") (joined (portRef Y (instanceRef u0_RNIUMLC2)) (portRef (member data1 14)) )) (net (rename dataoutx_3 "dataoutx[3]") (joined (portRef RD3 (instanceRef u0)) (portRef A (instanceRef u0_RNIUMLC2)) )) (net (rename datain_3 "datain[3]") (joined (portRef (member datain 14)) (portRef B (instanceRef u0_RNIUMLC2)) )) (net un4_scantestbp (joined (portRef un4_scantestbp) (portRef S (instanceRef u0_RNIRALC2)) (portRef S (instanceRef u0_RNISELC2)) (portRef S (instanceRef u0_RNIUMLC2)) (portRef S (instanceRef u0_RNIBL2A2)) )) (net (rename data1_1 "data1[1]") (joined (portRef Y (instanceRef u0_RNISELC2)) (portRef (member data1 16)) )) (net (rename dataoutx_1 "dataoutx[1]") (joined (portRef RD1 (instanceRef u0)) (portRef A (instanceRef u0_RNISELC2)) )) (net (rename datain_1 "datain[1]") (joined (portRef (member datain 16)) (portRef B (instanceRef u0_RNISELC2)) )) (net (rename data1_0 "data1[0]") (joined (portRef Y (instanceRef u0_RNIRALC2)) (portRef (member data1 17)) )) (net (rename dataoutx_0 "dataoutx[0]") (joined (portRef RD0 (instanceRef u0)) (portRef A (instanceRef u0_RNIRALC2)) )) (net (rename datain_0 "datain[0]") (joined (portRef (member datain 17)) (portRef B (instanceRef u0_RNIRALC2)) )) (net (rename raddr1_0 "raddr1[0]") (joined (portRef (member raddr1 6)) (portRef RADDR0 (instanceRef u0)) )) (net (rename raddr1_1 "raddr1[1]") (joined (portRef (member raddr1 5)) (portRef RADDR1 (instanceRef u0)) )) (net (rename raddr1_2 "raddr1[2]") (joined (portRef (member raddr1 4)) (portRef RADDR2 (instanceRef u0)) )) (net (rename raddr1_3 "raddr1[3]") (joined (portRef (member raddr1 3)) (portRef RADDR3 (instanceRef u0)) )) (net (rename raddr1_4 "raddr1[4]") (joined (portRef (member raddr1 2)) (portRef RADDR4 (instanceRef u0)) )) (net (rename raddr1_5 "raddr1[5]") (joined (portRef (member raddr1 1)) (portRef RADDR5 (instanceRef u0)) )) (net (rename raddr1_6 "raddr1[6]") (joined (portRef (member raddr1 0)) (portRef RADDR6 (instanceRef u0)) )) (net proasic3_ram512x18_GND (joined (portRef proasic3_ram512x18_GND) (portRef WW0 (instanceRef u0)) (portRef WADDR8 (instanceRef u0)) (portRef WADDR7 (instanceRef u0)) (portRef RW0 (instanceRef u0)) (portRef RADDR8 (instanceRef u0)) (portRef RADDR7 (instanceRef u0)) (portRef PIPE (instanceRef u0)) )) (net renable_i_1 (joined (portRef renable_i_1) (portRef REN (instanceRef u0)) )) (net proasic3_ram512x18_VCC (joined (portRef proasic3_ram512x18_VCC) (portRef WW1 (instanceRef u0)) (portRef RW1 (instanceRef u0)) (portRef RESET (instanceRef u0)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0)) (portRef WADDR0 (instanceRef u0)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef (member waddr 5)) (portRef WADDR1 (instanceRef u0)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef (member waddr 4)) (portRef WADDR2 (instanceRef u0)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef (member waddr 3)) (portRef WADDR3 (instanceRef u0)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef (member waddr 2)) (portRef WADDR4 (instanceRef u0)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef (member waddr 1)) (portRef WADDR5 (instanceRef u0)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef (member waddr 0)) (portRef WADDR6 (instanceRef u0)) )) (net ramclk (joined (portRef ramclk) (portRef WCLK (instanceRef u0)) (portRef RCLK (instanceRef u0)) )) (net (rename wdata_0 "wdata[0]") (joined (portRef (member wdata 17)) (portRef WD0 (instanceRef u0)) )) (net (rename wdata_1 "wdata[1]") (joined (portRef (member wdata 16)) (portRef WD1 (instanceRef u0)) )) (net (rename wdata_2 "wdata[2]") (joined (portRef (member wdata 15)) (portRef WD2 (instanceRef u0)) )) (net (rename wdata_3 "wdata[3]") (joined (portRef (member wdata 14)) (portRef WD3 (instanceRef u0)) )) (net (rename wdata_4 "wdata[4]") (joined (portRef (member wdata 13)) (portRef WD4 (instanceRef u0)) )) (net (rename wdata_5 "wdata[5]") (joined (portRef (member wdata 12)) (portRef WD5 (instanceRef u0)) )) (net (rename wdata_6 "wdata[6]") (joined (portRef (member wdata 11)) (portRef WD6 (instanceRef u0)) )) (net (rename wdata_7 "wdata[7]") (joined (portRef (member wdata 10)) (portRef WD7 (instanceRef u0)) )) (net (rename wdata_8 "wdata[8]") (joined (portRef (member wdata 9)) (portRef WD8 (instanceRef u0)) )) (net (rename wdata_9 "wdata[9]") (joined (portRef (member wdata 8)) (portRef WD9 (instanceRef u0)) )) (net (rename wdata_10 "wdata[10]") (joined (portRef (member wdata 7)) (portRef WD10 (instanceRef u0)) )) (net (rename wdata_11 "wdata[11]") (joined (portRef (member wdata 6)) (portRef WD11 (instanceRef u0)) )) (net (rename wdata_12 "wdata[12]") (joined (portRef (member wdata 5)) (portRef WD12 (instanceRef u0)) )) (net (rename wdata_13 "wdata[13]") (joined (portRef (member wdata 4)) (portRef WD13 (instanceRef u0)) )) (net (rename wdata_14 "wdata[14]") (joined (portRef (member wdata 3)) (portRef WD14 (instanceRef u0)) )) (net (rename wdata_15 "wdata[15]") (joined (portRef (member wdata 2)) (portRef WD15 (instanceRef u0)) )) (net (rename wdata_16 "wdata[16]") (joined (portRef (member wdata 1)) (portRef WD16 (instanceRef u0)) )) (net (rename wdata_17 "wdata[17]") (joined (portRef (member wdata 0)) (portRef WD17 (instanceRef u0)) )) (net wren_i (joined (portRef wren_i) (portRef WEN (instanceRef u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) ) ) (cell proasic3_syncram_dp_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rni3jq791 "dstate_RNI3JQ791[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniuuch91 "dstate_RNIUUCH91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni5c6e91 "dstate_RNI5C6E91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnij6pe91 "dstate_RNIJ6PE91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniak9of1 "dstate_RNIAK9OF1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnir83tf1 "dstate_RNIR83TF1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni8bdug1 "dstate_RNI8BDUG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni8cbsg1 "dstate_RNI8CBSG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnistgfh1 "dstate_RNISTGFH1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniosstg1 "dstate_RNIOSSTG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni0v0e91 "dstate_RNI0V0E91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniiltr91 "dstate_RNIILTR91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnitlpg91 "dstate_RNITLPG91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnia7si91 "dstate_RNIA7SI91[1:1]") 1) (direction INPUT)) (port (array (rename size_rniiu3pu "size_RNIIU3PU[1:1]") 1) (direction INPUT)) (port (array (rename size_rniqafgu "size_RNIQAFGU[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni08ulu "dstate_RNI08ULU[1:1]") 1) (direction INPUT)) (port (array (rename size_rniq6o4u "size_RNIQ6O4U[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnivapcb1 "dstate_RNIVAPCB1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnigbkhb1 "dstate_RNIGBKHB1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnisu72d1 "dstate_RNISU72D1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniophjd1 "dstate_RNIOPHJD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnibj5kd1 "dstate_RNIBJ5KD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnir5pqd1 "dstate_RNIR5PQD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnipkcmd1 "dstate_RNIPKCMD1[1:1]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename dstate_rni5432u "dstate_RNI5432U[1:1]") 1) (direction INPUT)) (port (array (rename size_rnitkmlu "size_RNITKMLU[1:1]") 1) (direction INPUT)) (port (array (rename size_rnis2oau "size_RNIS2OAU[1:1]") 1) (direction INPUT)) (port (array (rename size_rni1g16u "size_RNI1G16U[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port u0_DOUTA0_1 (direction OUTPUT)) (port u0_DOUTA1_1 (direction OUTPUT)) (port u0_DOUTA2_1 (direction OUTPUT)) (port u0_DOUTA3_1 (direction OUTPUT)) (port u0_DOUTA0_0 (direction OUTPUT)) (port u0_DOUTA1_0 (direction OUTPUT)) (port u0_DOUTA2_0 (direction OUTPUT)) (port u0_DOUTA3_0 (direction OUTPUT)) (port read_RNILMNHG1 (direction INPUT)) (port u0_DOUTA0 (direction OUTPUT)) (port u0_DOUTA1 (direction OUTPUT)) (port u0_DOUTA2 (direction OUTPUT)) (port u0_DOUTA3 (direction OUTPUT)) (port read_RNIV144H1 (direction INPUT)) (port u0_DOUTA0_2 (direction OUTPUT)) (port u0_DOUTA1_2 (direction OUTPUT)) (port u0_DOUTA2_2 (direction OUTPUT)) (port u0_DOUTA3_2 (direction OUTPUT)) (port u0_DOUTA0_5 (direction OUTPUT)) (port u0_DOUTA1_5 (direction OUTPUT)) (port u0_DOUTA2_5 (direction OUTPUT)) (port u0_DOUTA3_5 (direction OUTPUT)) (port u0_DOUTA0_4 (direction OUTPUT)) (port u0_DOUTA1_4 (direction OUTPUT)) (port u0_DOUTA2_4 (direction OUTPUT)) (port u0_DOUTA3_4 (direction OUTPUT)) (port u0_DOUTA0_3 (direction OUTPUT)) (port u0_DOUTA1_3 (direction OUTPUT)) (port u0_DOUTA2_3 (direction OUTPUT)) (port u0_DOUTA3_3 (direction OUTPUT)) (port read_RNIGQ6ND1 (direction INPUT)) (port u0_DOUTA0_6 (direction OUTPUT)) (port u0_DOUTA1_6 (direction OUTPUT)) (port u0_DOUTA2_6 (direction OUTPUT)) (port u0_DOUTA3_6 (direction OUTPUT)) (port proasic3_syncram_dp_1_GND (direction INPUT)) (port proasic3_syncram_dp_1_VCC (direction INPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename a10_x_0_u0 "a10.x.0.u0") (viewRef netlist (cellRef proasic3_ram4k9_8)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_3_u0 "a10.x.3.u0") (viewRef netlist (cellRef proasic3_ram4k9_9)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_2_u0 "a10.x.2.u0") (viewRef netlist (cellRef proasic3_ram4k9_10)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_1_u0 "a10.x.1.u0") (viewRef netlist (cellRef proasic3_ram4k9_11)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_4_u0 "a10.x.4.u0") (viewRef netlist (cellRef proasic3_ram4k9_12)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_7_u0 "a10.x.7.u0") (viewRef netlist (cellRef proasic3_ram4k9_13)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_6_u0 "a10.x.6.u0") (viewRef netlist (cellRef proasic3_ram4k9_14)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_5_u0 "a10.x.5.u0") (viewRef netlist (cellRef proasic3_ram4k9_15)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename size_RNI1G16U_1 "size_RNI1G16U[1]") (joined (portRef (member size_rni1g16u 0)) (portRef (member size_rni1g16u 0) (instanceRef a10_x_0_u0)) )) (net (rename size_RNIS2OAU_1 "size_RNIS2OAU[1]") (joined (portRef (member size_rnis2oau 0)) (portRef (member size_rnis2oau 0) (instanceRef a10_x_0_u0)) )) (net (rename size_RNITKMLU_1 "size_RNITKMLU[1]") (joined (portRef (member size_rnitkmlu 0)) (portRef (member size_rnitkmlu 0) (instanceRef a10_x_0_u0)) )) (net (rename dstate_RNI5432U_1 "dstate_RNI5432U[1]") (joined (portRef (member dstate_rni5432u 0)) (portRef (member dstate_rni5432u 0) (instanceRef a10_x_0_u0)) )) (net u0_DOUTA3_6 (joined (portRef u0_DOUTA3_6 (instanceRef a10_x_0_u0)) (portRef u0_DOUTA3_6) )) (net u0_DOUTA2_6 (joined (portRef u0_DOUTA2_6 (instanceRef a10_x_0_u0)) (portRef u0_DOUTA2_6) )) (net u0_DOUTA1_6 (joined (portRef u0_DOUTA1_6 (instanceRef a10_x_0_u0)) (portRef u0_DOUTA1_6) )) (net u0_DOUTA0_6 (joined (portRef u0_DOUTA0_6 (instanceRef a10_x_0_u0)) (portRef u0_DOUTA0_6) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef a10_x_5_u0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef a10_x_6_u0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef a10_x_7_u0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef a10_x_4_u0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef a10_x_1_u0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef a10_x_2_u0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef a10_x_3_u0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef a10_x_0_u0)) )) (net (rename dstate_RNIPKCMD1_1 "dstate_RNIPKCMD1[1]") (joined (portRef (member dstate_rnipkcmd1 0)) (portRef (member dstate_rnipkcmd1 0) (instanceRef a10_x_3_u0)) )) (net (rename dstate_RNIR5PQD1_1 "dstate_RNIR5PQD1[1]") (joined (portRef (member dstate_rnir5pqd1 0)) (portRef (member dstate_rnir5pqd1 0) (instanceRef a10_x_3_u0)) )) (net (rename dstate_RNIBJ5KD1_1 "dstate_RNIBJ5KD1[1]") (joined (portRef (member dstate_rnibj5kd1 0)) (portRef (member dstate_rnibj5kd1 0) (instanceRef a10_x_3_u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef (member faddr_rnimvm8u 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rnimvm8u 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rnimvm8u 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rnimvm8u 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rnimvm8u 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rnimvm8u 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rnimvm8u 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rnimvm8u 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef (member faddr_rni49a0q 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rni49a0q 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rni49a0q 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rni49a0q 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rni49a0q 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rni49a0q 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rni49a0q 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rni49a0q 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef (member faddr_rniin4om 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rniin4om 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rniin4om 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rniin4om 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rniin4om 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rniin4om 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rniin4om 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rniin4om 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef (member faddr_rni6usbi 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rni6usbi 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rni6usbi 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rni6usbi 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rni6usbi 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rni6usbi 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rni6usbi 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rni6usbi 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef (member faddr_rnivnqnh 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rnivnqnh 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rnivnqnh 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rnivnqnh 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rnivnqnh 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rnivnqnh 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rnivnqnh 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rnivnqnh 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef (member faddr_rni1entg 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rni1entg 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rni1entg 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rni1entg 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rni1entg 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rni1entg 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rni1entg 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rni1entg 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef (member faddr_rnig8pkf 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rnig8pkf 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rnig8pkf 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rnig8pkf 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rnig8pkf 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rnig8pkf 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rnig8pkf 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rnig8pkf 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef (member faddr_rnik42hd 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rnik42hd 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rnik42hd 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rnik42hd 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rnik42hd 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rnik42hd 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rnik42hd 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rnik42hd 0) (instanceRef a10_x_0_u0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef a10_x_5_u0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef a10_x_6_u0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef a10_x_7_u0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef a10_x_4_u0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef a10_x_1_u0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef a10_x_2_u0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef a10_x_3_u0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef a10_x_0_u0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef (member xaddress_rni9p28c 0) (instanceRef a10_x_5_u0)) (portRef (member xaddress_rni9p28c 0) (instanceRef a10_x_6_u0)) (portRef (member xaddress_rni9p28c 0) (instanceRef a10_x_7_u0)) (portRef (member xaddress_rni9p28c 0) (instanceRef a10_x_4_u0)) (portRef (member xaddress_rni9p28c 0) (instanceRef a10_x_1_u0)) (portRef (member xaddress_rni9p28c 0) (instanceRef a10_x_2_u0)) (portRef (member xaddress_rni9p28c 0) (instanceRef a10_x_3_u0)) (portRef (member xaddress_rni9p28c 0) (instanceRef a10_x_0_u0)) )) (net read_RNIGQ6ND1 (joined (portRef read_RNIGQ6ND1) (portRef read_RNIGQ6ND1 (instanceRef a10_x_3_u0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef a10_x_5_u0)) (portRef ramclk (instanceRef a10_x_6_u0)) (portRef ramclk (instanceRef a10_x_7_u0)) (portRef ramclk (instanceRef a10_x_4_u0)) (portRef ramclk (instanceRef a10_x_1_u0)) (portRef ramclk (instanceRef a10_x_2_u0)) (portRef ramclk (instanceRef a10_x_3_u0)) (portRef ramclk (instanceRef a10_x_0_u0)) )) (net proasic3_syncram_dp_1_VCC (joined (portRef proasic3_syncram_dp_1_VCC) (portRef proasic3_ram4k9_15_VCC (instanceRef a10_x_5_u0)) (portRef proasic3_ram4k9_14_VCC (instanceRef a10_x_6_u0)) (portRef proasic3_ram4k9_13_VCC (instanceRef a10_x_7_u0)) (portRef proasic3_ram4k9_12_VCC (instanceRef a10_x_4_u0)) (portRef proasic3_ram4k9_11_VCC (instanceRef a10_x_1_u0)) (portRef proasic3_ram4k9_10_VCC (instanceRef a10_x_2_u0)) (portRef proasic3_ram4k9_9_VCC (instanceRef a10_x_3_u0)) (portRef proasic3_ram4k9_8_VCC (instanceRef a10_x_0_u0)) )) (net proasic3_syncram_dp_1_GND (joined (portRef proasic3_syncram_dp_1_GND) (portRef proasic3_ram4k9_15_GND (instanceRef a10_x_5_u0)) (portRef proasic3_ram4k9_14_GND (instanceRef a10_x_6_u0)) (portRef proasic3_ram4k9_13_GND (instanceRef a10_x_7_u0)) (portRef proasic3_ram4k9_12_GND (instanceRef a10_x_4_u0)) (portRef proasic3_ram4k9_11_GND (instanceRef a10_x_1_u0)) (portRef proasic3_ram4k9_10_GND (instanceRef a10_x_2_u0)) (portRef proasic3_ram4k9_9_GND (instanceRef a10_x_3_u0)) (portRef proasic3_ram4k9_8_GND (instanceRef a10_x_0_u0)) )) (net u0_DOUTA3_3 (joined (portRef u0_DOUTA3_3 (instanceRef a10_x_3_u0)) (portRef u0_DOUTA3_3) )) (net u0_DOUTA2_3 (joined (portRef u0_DOUTA2_3 (instanceRef a10_x_3_u0)) (portRef u0_DOUTA2_3) )) (net u0_DOUTA1_3 (joined (portRef u0_DOUTA1_3 (instanceRef a10_x_3_u0)) (portRef u0_DOUTA1_3) )) (net u0_DOUTA0_3 (joined (portRef u0_DOUTA0_3 (instanceRef a10_x_3_u0)) (portRef u0_DOUTA0_3) )) (net (rename dstate_RNIOPHJD1_1 "dstate_RNIOPHJD1[1]") (joined (portRef (member dstate_rniophjd1 0)) (portRef (member dstate_rniophjd1 0) (instanceRef a10_x_2_u0)) )) (net (rename dstate_RNISU72D1_1 "dstate_RNISU72D1[1]") (joined (portRef (member dstate_rnisu72d1 0)) (portRef (member dstate_rnisu72d1 0) (instanceRef a10_x_2_u0)) )) (net (rename dstate_RNIGBKHB1_1 "dstate_RNIGBKHB1[1]") (joined (portRef (member dstate_rnigbkhb1 0)) (portRef (member dstate_rnigbkhb1 0) (instanceRef a10_x_2_u0)) )) (net (rename dstate_RNIVAPCB1_1 "dstate_RNIVAPCB1[1]") (joined (portRef (member dstate_rnivapcb1 0)) (portRef (member dstate_rnivapcb1 0) (instanceRef a10_x_2_u0)) )) (net u0_DOUTA3_4 (joined (portRef u0_DOUTA3_4 (instanceRef a10_x_2_u0)) (portRef u0_DOUTA3_4) )) (net u0_DOUTA2_4 (joined (portRef u0_DOUTA2_4 (instanceRef a10_x_2_u0)) (portRef u0_DOUTA2_4) )) (net u0_DOUTA1_4 (joined (portRef u0_DOUTA1_4 (instanceRef a10_x_2_u0)) (portRef u0_DOUTA1_4) )) (net u0_DOUTA0_4 (joined (portRef u0_DOUTA0_4 (instanceRef a10_x_2_u0)) (portRef u0_DOUTA0_4) )) (net (rename size_RNIQ6O4U_1 "size_RNIQ6O4U[1]") (joined (portRef (member size_rniq6o4u 0)) (portRef (member size_rniq6o4u 0) (instanceRef a10_x_1_u0)) )) (net (rename dstate_RNI08ULU_1 "dstate_RNI08ULU[1]") (joined (portRef (member dstate_rni08ulu 0)) (portRef (member dstate_rni08ulu 0) (instanceRef a10_x_1_u0)) )) (net (rename size_RNIQAFGU_1 "size_RNIQAFGU[1]") (joined (portRef (member size_rniqafgu 0)) (portRef (member size_rniqafgu 0) (instanceRef a10_x_1_u0)) )) (net (rename size_RNIIU3PU_1 "size_RNIIU3PU[1]") (joined (portRef (member size_rniiu3pu 0)) (portRef (member size_rniiu3pu 0) (instanceRef a10_x_1_u0)) )) (net u0_DOUTA3_5 (joined (portRef u0_DOUTA3_5 (instanceRef a10_x_1_u0)) (portRef u0_DOUTA3_5) )) (net u0_DOUTA2_5 (joined (portRef u0_DOUTA2_5 (instanceRef a10_x_1_u0)) (portRef u0_DOUTA2_5) )) (net u0_DOUTA1_5 (joined (portRef u0_DOUTA1_5 (instanceRef a10_x_1_u0)) (portRef u0_DOUTA1_5) )) (net u0_DOUTA0_5 (joined (portRef u0_DOUTA0_5 (instanceRef a10_x_1_u0)) (portRef u0_DOUTA0_5) )) (net (rename dstate_RNIA7SI91_1 "dstate_RNIA7SI91[1]") (joined (portRef (member dstate_rnia7si91 0)) (portRef (member dstate_rnia7si91 0) (instanceRef a10_x_4_u0)) )) (net (rename dstate_RNITLPG91_1 "dstate_RNITLPG91[1]") (joined (portRef (member dstate_rnitlpg91 0)) (portRef (member dstate_rnitlpg91 0) (instanceRef a10_x_4_u0)) )) (net (rename dstate_RNIILTR91_1 "dstate_RNIILTR91[1]") (joined (portRef (member dstate_rniiltr91 0)) (portRef (member dstate_rniiltr91 0) (instanceRef a10_x_4_u0)) )) (net (rename dstate_RNI0V0E91_1 "dstate_RNI0V0E91[1]") (joined (portRef (member dstate_rni0v0e91 0)) (portRef (member dstate_rni0v0e91 0) (instanceRef a10_x_4_u0)) )) (net u0_DOUTA3_2 (joined (portRef u0_DOUTA3_2 (instanceRef a10_x_4_u0)) (portRef u0_DOUTA3_2) )) (net u0_DOUTA2_2 (joined (portRef u0_DOUTA2_2 (instanceRef a10_x_4_u0)) (portRef u0_DOUTA2_2) )) (net u0_DOUTA1_2 (joined (portRef u0_DOUTA1_2 (instanceRef a10_x_4_u0)) (portRef u0_DOUTA1_2) )) (net u0_DOUTA0_2 (joined (portRef u0_DOUTA0_2 (instanceRef a10_x_4_u0)) (portRef u0_DOUTA0_2) )) (net (rename dstate_RNIOSSTG1_1 "dstate_RNIOSSTG1[1]") (joined (portRef (member dstate_rniosstg1 0)) (portRef (member dstate_rniosstg1 0) (instanceRef a10_x_7_u0)) )) (net (rename dstate_RNISTGFH1_1 "dstate_RNISTGFH1[1]") (joined (portRef (member dstate_rnistgfh1 0)) (portRef (member dstate_rnistgfh1 0) (instanceRef a10_x_7_u0)) )) (net (rename dstate_RNI8CBSG1_1 "dstate_RNI8CBSG1[1]") (joined (portRef (member dstate_rni8cbsg1 0)) (portRef (member dstate_rni8cbsg1 0) (instanceRef a10_x_7_u0)) )) (net read_RNIV144H1 (joined (portRef read_RNIV144H1) (portRef read_RNIV144H1 (instanceRef a10_x_7_u0)) )) (net u0_DOUTA3 (joined (portRef u0_DOUTA3 (instanceRef a10_x_7_u0)) (portRef u0_DOUTA3) )) (net u0_DOUTA2 (joined (portRef u0_DOUTA2 (instanceRef a10_x_7_u0)) (portRef u0_DOUTA2) )) (net u0_DOUTA1 (joined (portRef u0_DOUTA1 (instanceRef a10_x_7_u0)) (portRef u0_DOUTA1) )) (net u0_DOUTA0 (joined (portRef u0_DOUTA0 (instanceRef a10_x_7_u0)) (portRef u0_DOUTA0) )) (net (rename dstate_RNI8BDUG1_1 "dstate_RNI8BDUG1[1]") (joined (portRef (member dstate_rni8bdug1 0)) (portRef (member dstate_rni8bdug1 0) (instanceRef a10_x_6_u0)) )) (net (rename dstate_RNIR83TF1_1 "dstate_RNIR83TF1[1]") (joined (portRef (member dstate_rnir83tf1 0)) (portRef (member dstate_rnir83tf1 0) (instanceRef a10_x_6_u0)) )) (net (rename dstate_RNIAK9OF1_1 "dstate_RNIAK9OF1[1]") (joined (portRef (member dstate_rniak9of1 0)) (portRef (member dstate_rniak9of1 0) (instanceRef a10_x_6_u0)) )) (net read_RNILMNHG1 (joined (portRef read_RNILMNHG1) (portRef read_RNILMNHG1 (instanceRef a10_x_6_u0)) )) (net u0_DOUTA3_0 (joined (portRef u0_DOUTA3_0 (instanceRef a10_x_6_u0)) (portRef u0_DOUTA3_0) )) (net u0_DOUTA2_0 (joined (portRef u0_DOUTA2_0 (instanceRef a10_x_6_u0)) (portRef u0_DOUTA2_0) )) (net u0_DOUTA1_0 (joined (portRef u0_DOUTA1_0 (instanceRef a10_x_6_u0)) (portRef u0_DOUTA1_0) )) (net u0_DOUTA0_0 (joined (portRef u0_DOUTA0_0 (instanceRef a10_x_6_u0)) (portRef u0_DOUTA0_0) )) (net (rename dstate_RNIJ6PE91_1 "dstate_RNIJ6PE91[1]") (joined (portRef (member dstate_rnij6pe91 0)) (portRef (member dstate_rnij6pe91 0) (instanceRef a10_x_5_u0)) )) (net (rename dstate_RNI5C6E91_1 "dstate_RNI5C6E91[1]") (joined (portRef (member dstate_rni5c6e91 0)) (portRef (member dstate_rni5c6e91 0) (instanceRef a10_x_5_u0)) )) (net (rename dstate_RNIUUCH91_1 "dstate_RNIUUCH91[1]") (joined (portRef (member dstate_rniuuch91 0)) (portRef (member dstate_rniuuch91 0) (instanceRef a10_x_5_u0)) )) (net (rename dstate_RNI3JQ791_1 "dstate_RNI3JQ791[1]") (joined (portRef (member dstate_rni3jq791 0)) (portRef (member dstate_rni3jq791 0) (instanceRef a10_x_5_u0)) )) (net u0_DOUTA3_1 (joined (portRef u0_DOUTA3_1 (instanceRef a10_x_5_u0)) (portRef u0_DOUTA3_1) )) (net u0_DOUTA2_1 (joined (portRef u0_DOUTA2_1 (instanceRef a10_x_5_u0)) (portRef u0_DOUTA2_1) )) (net u0_DOUTA1_1 (joined (portRef u0_DOUTA1_1 (instanceRef a10_x_5_u0)) (portRef u0_DOUTA1_1) )) (net u0_DOUTA0_1 (joined (portRef u0_DOUTA0_1 (instanceRef a10_x_5_u0)) (portRef u0_DOUTA0_1) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 32)) ) ) (cell proasic3_syncram_2p_8_24_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port edata2_iv_1 (direction INPUT)) (port edata2_iv_3 (direction INPUT)) (port edata2_iv_0 (direction INPUT)) (port addr_0 (direction INPUT)) (port addr_3 (direction INPUT)) (port (array (rename newptag_2_0 "newptag_2_0[27:26]") 2) (direction INPUT)) (port (array (rename newptag_2_1 "newptag_2_1[25:25]") 1) (direction INPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[498:498]") 1) (direction INPUT)) (port un1_p0_2_6 (direction INPUT)) (port un1_p0_2_0_d0 (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename xaddress_rnivqeg4r3 "xaddress_RNIVQEG4R3[12:12]") 1) (direction INPUT)) (port (array (rename xaddress_rni4p6h4r3 "xaddress_RNI4P6H4R3[13:13]") 1) (direction INPUT)) (port (array (rename xaddress_rnifnvh4r3 "xaddress_RNIFNVH4R3[14:14]") 1) (direction INPUT)) (port (array (rename xaddress_rni1q8h4r3 "xaddress_RNI1Q8H4R3[15:15]") 1) (direction INPUT)) (port (array (rename xaddress_rnih8g8vm1 "xaddress_RNIH8G8VM1[16:16]") 1) (direction INPUT)) (port (array (rename xaddress_rni1hh8vm1 "xaddress_RNI1HH8VM1[17:17]") 1) (direction INPUT)) (port (array (rename xaddress_rnie9i8vm1 "xaddress_RNIE9I8VM1[18:18]") 1) (direction INPUT)) (port (array (rename xaddress_rnilq8h4r3 "xaddress_RNILQ8H4R3[19:19]") 1) (direction INPUT)) (port (array (rename newptag_2 "newptag_2[20:20]") 1) (direction INPUT)) (port (array (rename xaddress_rnid0h8vm1 "xaddress_RNID0H8VM1[21:21]") 1) (direction INPUT)) (port (array (rename xaddress_rniqoh8vm1 "xaddress_RNIQOH8VM1[22:22]") 1) (direction INPUT)) (port (array (rename xaddress_rnifbr7vm1 "xaddress_RNIFBR7VM1[23:23]") 1) (direction INPUT)) (port N_2542 (direction INPUT)) (port newptag_2_a2_0_31_m1_e_0 (direction INPUT)) (port flush_0_RNI2N6NQR1 (direction INPUT)) (port newptag_2_a2_0_28_m1_e_0 (direction INPUT)) (port N_2868 (direction INPUT)) (port N_2748 (direction INPUT)) (port N_2747 (direction INPUT)) (port N_2698 (direction INPUT)) (port N_2745 (direction INPUT)) (port u0_RD0 (direction OUTPUT)) (port u0_RD1 (direction OUTPUT)) (port u0_RD2 (direction OUTPUT)) (port u0_RD3 (direction OUTPUT)) (port u0_RD4 (direction OUTPUT)) (port u0_RD5_0 (direction OUTPUT)) (port N_2766 (direction INPUT)) (port u0_RD0_0 (direction OUTPUT)) (port u0_RD1_0 (direction OUTPUT)) (port u0_RD2_0 (direction OUTPUT)) (port u0_RD3_0 (direction OUTPUT)) (port u0_RD4_0 (direction OUTPUT)) (port u0_RD5 (direction OUTPUT)) (port u0_RD6 (direction OUTPUT)) (port u0_RD7 (direction OUTPUT)) (port u0_RD8 (direction OUTPUT)) (port u0_RD9 (direction OUTPUT)) (port u0_RD10 (direction OUTPUT)) (port u0_RD11 (direction OUTPUT)) (port u0_RD12 (direction OUTPUT)) (port u0_RD13 (direction OUTPUT)) (port u0_RD14 (direction OUTPUT)) (port u0_RD15 (direction OUTPUT)) (port u0_RD16 (direction OUTPUT)) (port u0_RD17 (direction OUTPUT)) (port proasic3_syncram_2p_8_24_1_GND (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_syncram_2p_8_24_1_VCC (direction INPUT)) (port flush_RNIRUPHB22 (direction INPUT)) (port flush_RNI4JQHB22 (direction INPUT)) (port flush_RNID7RHB22 (direction INPUT)) (port flush_RNIMRRHB22 (direction INPUT)) (port flush_RNICD8ME (direction INPUT)) ) (contents (instance (rename a8_x_0_u0 "a8.x.0.u0") (viewRef netlist (cellRef proasic3_ram512x18_6)) ) (instance (rename a8_x_1_u0 "a8.x.1.u0") (viewRef netlist (cellRef proasic3_ram512x18_7)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename xaddress_RNIFBR7VM1_23 "xaddress_RNIFBR7VM1[23]") (joined (portRef (member xaddress_rnifbr7vm1 0)) (portRef (member xaddress_rnifbr7vm1 0) (instanceRef a8_x_0_u0)) )) (net (rename xaddress_RNIQOH8VM1_22 "xaddress_RNIQOH8VM1[22]") (joined (portRef (member xaddress_rniqoh8vm1 0)) (portRef (member xaddress_rniqoh8vm1 0) (instanceRef a8_x_0_u0)) )) (net (rename xaddress_RNID0H8VM1_21 "xaddress_RNID0H8VM1[21]") (joined (portRef (member xaddress_rnid0h8vm1 0)) (portRef (member xaddress_rnid0h8vm1 0) (instanceRef a8_x_0_u0)) )) (net (rename newptag_2_20 "newptag_2[20]") (joined (portRef (member newptag_2 0)) (portRef (member newptag_2 0) (instanceRef a8_x_0_u0)) )) (net (rename xaddress_RNILQ8H4R3_19 "xaddress_RNILQ8H4R3[19]") (joined (portRef (member xaddress_rnilq8h4r3 0)) (portRef (member xaddress_rnilq8h4r3 0) (instanceRef a8_x_0_u0)) )) (net (rename xaddress_RNIE9I8VM1_18 "xaddress_RNIE9I8VM1[18]") (joined (portRef (member xaddress_rnie9i8vm1 0)) (portRef (member xaddress_rnie9i8vm1 0) (instanceRef a8_x_0_u0)) )) (net (rename xaddress_RNI1HH8VM1_17 "xaddress_RNI1HH8VM1[17]") (joined (portRef (member xaddress_rni1hh8vm1 0)) (portRef (member xaddress_rni1hh8vm1 0) (instanceRef a8_x_0_u0)) )) (net (rename xaddress_RNIH8G8VM1_16 "xaddress_RNIH8G8VM1[16]") (joined (portRef (member xaddress_rnih8g8vm1 0)) (portRef (member xaddress_rnih8g8vm1 0) (instanceRef a8_x_0_u0)) )) (net (rename xaddress_RNI1Q8H4R3_15 "xaddress_RNI1Q8H4R3[15]") (joined (portRef (member xaddress_rni1q8h4r3 0)) (portRef (member xaddress_rni1q8h4r3 0) (instanceRef a8_x_0_u0)) )) (net (rename xaddress_RNIFNVH4R3_14 "xaddress_RNIFNVH4R3[14]") (joined (portRef (member xaddress_rnifnvh4r3 0)) (portRef (member xaddress_rnifnvh4r3 0) (instanceRef a8_x_0_u0)) )) (net (rename xaddress_RNI4P6H4R3_13 "xaddress_RNI4P6H4R3[13]") (joined (portRef (member xaddress_rni4p6h4r3 0)) (portRef (member xaddress_rni4p6h4r3 0) (instanceRef a8_x_0_u0)) )) (net (rename xaddress_RNIVQEG4R3_12 "xaddress_RNIVQEG4R3[12]") (joined (portRef (member xaddress_rnivqeg4r3 0)) (portRef (member xaddress_rnivqeg4r3 0) (instanceRef a8_x_0_u0)) )) (net (rename un1_p0_2_0_d0 "un1_p0_2[362]") (joined (portRef un1_p0_2_0_d0) (portRef (member un1_p0_2 0) (instanceRef a8_x_0_u0)) )) (net (rename newptag_2_1_25 "newptag_2_1[25]") (joined (portRef (member newptag_2_1 0)) (portRef (member newptag_2_1 0) (instanceRef a8_x_0_u0)) )) (net flush_RNIMRRHB22 (joined (portRef flush_RNIMRRHB22) (portRef flush_RNIMRRHB22 (instanceRef a8_x_0_u0)) )) (net flush_RNID7RHB22 (joined (portRef flush_RNID7RHB22) (portRef flush_RNID7RHB22 (instanceRef a8_x_0_u0)) )) (net flush_RNI4JQHB22 (joined (portRef flush_RNI4JQHB22) (portRef flush_RNI4JQHB22 (instanceRef a8_x_0_u0)) )) (net flush_RNIRUPHB22 (joined (portRef flush_RNIRUPHB22) (portRef flush_RNIRUPHB22 (instanceRef a8_x_0_u0)) )) (net u0_RD17 (joined (portRef u0_RD17 (instanceRef a8_x_0_u0)) (portRef u0_RD17) )) (net u0_RD16 (joined (portRef u0_RD16 (instanceRef a8_x_0_u0)) (portRef u0_RD16) )) (net u0_RD15 (joined (portRef u0_RD15 (instanceRef a8_x_0_u0)) (portRef u0_RD15) )) (net u0_RD14 (joined (portRef u0_RD14 (instanceRef a8_x_0_u0)) (portRef u0_RD14) )) (net u0_RD13 (joined (portRef u0_RD13 (instanceRef a8_x_0_u0)) (portRef u0_RD13) )) (net u0_RD12 (joined (portRef u0_RD12 (instanceRef a8_x_0_u0)) (portRef u0_RD12) )) (net u0_RD11 (joined (portRef u0_RD11 (instanceRef a8_x_0_u0)) (portRef u0_RD11) )) (net u0_RD10 (joined (portRef u0_RD10 (instanceRef a8_x_0_u0)) (portRef u0_RD10) )) (net u0_RD9 (joined (portRef u0_RD9 (instanceRef a8_x_0_u0)) (portRef u0_RD9) )) (net u0_RD8 (joined (portRef u0_RD8 (instanceRef a8_x_0_u0)) (portRef u0_RD8) )) (net u0_RD7 (joined (portRef u0_RD7 (instanceRef a8_x_0_u0)) (portRef u0_RD7) )) (net u0_RD6 (joined (portRef u0_RD6 (instanceRef a8_x_0_u0)) (portRef u0_RD6) )) (net u0_RD5 (joined (portRef u0_RD5 (instanceRef a8_x_0_u0)) (portRef u0_RD5) )) (net u0_RD4_0 (joined (portRef u0_RD4_0 (instanceRef a8_x_0_u0)) (portRef u0_RD4_0) )) (net u0_RD3_0 (joined (portRef u0_RD3_0 (instanceRef a8_x_0_u0)) (portRef u0_RD3_0) )) (net u0_RD2_0 (joined (portRef u0_RD2_0 (instanceRef a8_x_0_u0)) (portRef u0_RD2_0) )) (net u0_RD1_0 (joined (portRef u0_RD1_0 (instanceRef a8_x_0_u0)) (portRef u0_RD1_0) )) (net u0_RD0_0 (joined (portRef u0_RD0_0 (instanceRef a8_x_0_u0)) (portRef u0_RD0_0) )) (net N_2766 (joined (portRef N_2766) (portRef N_2766 (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef (member faddr_rnimvm8u 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rnimvm8u 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef (member faddr_rni49a0q 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rni49a0q 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef (member faddr_rniin4om 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rniin4om 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef (member faddr_rni6usbi 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rni6usbi 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef (member faddr_rnivnqnh 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rnivnqnh 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef (member faddr_rni1entg 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rni1entg 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef (member faddr_rnig8pkf 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rnig8pkf 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef (member faddr_rnik42hd 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rnik42hd 0) (instanceRef a8_x_0_u0)) )) (net (rename newptag_2_0_26 "newptag_2_0[26]") (joined (portRef (member newptag_2_0 1)) (portRef (member newptag_2_0 1) (instanceRef a8_x_1_u0)) )) (net (rename newptag_2_0_27 "newptag_2_0[27]") (joined (portRef (member newptag_2_0 0)) (portRef (member newptag_2_0 0) (instanceRef a8_x_1_u0)) )) (net (rename un1_p0_2_6 "un1_p0_2[368]") (joined (portRef un1_p0_2_6) (portRef (member un1_p0_2 0) (instanceRef a8_x_1_u0)) )) (net (rename addr_3 "addr[31]") (joined (portRef addr_3) (portRef addr_3 (instanceRef a8_x_1_u0)) )) (net (rename addr_0 "addr[28]") (joined (portRef addr_0) (portRef addr_0 (instanceRef a8_x_1_u0)) )) (net (rename un1_p0_2_0_498 "un1_p0_2_0[498]") (joined (portRef (member un1_p0_2_0 0)) (portRef (member un1_p0_2_0 0) (instanceRef a8_x_1_u0)) (portRef (member un1_p0_2_0 0) (instanceRef a8_x_0_u0)) )) (net (rename edata2_iv_0 "edata2_iv[28]") (joined (portRef edata2_iv_0) (portRef edata2_iv_0 (instanceRef a8_x_1_u0)) )) (net (rename edata2_iv_3 "edata2_iv[31]") (joined (portRef edata2_iv_3) (portRef edata2_iv_3 (instanceRef a8_x_1_u0)) )) (net (rename edata2_iv_1 "edata2_iv[29]") (joined (portRef edata2_iv_1) (portRef edata2_iv_1 (instanceRef a8_x_1_u0)) )) (net flush_RNICD8ME (joined (portRef flush_RNICD8ME) (portRef flush_RNICD8ME (instanceRef a8_x_1_u0)) (portRef flush_RNICD8ME (instanceRef a8_x_0_u0)) )) (net proasic3_syncram_2p_8_24_1_VCC (joined (portRef proasic3_syncram_2p_8_24_1_VCC) (portRef proasic3_ram512x18_7_VCC (instanceRef a8_x_1_u0)) (portRef proasic3_ram512x18_6_VCC (instanceRef a8_x_0_u0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef a8_x_1_u0)) (portRef ramclk (instanceRef a8_x_0_u0)) )) (net proasic3_syncram_2p_8_24_1_GND (joined (portRef proasic3_syncram_2p_8_24_1_GND) (portRef proasic3_ram512x18_7_GND (instanceRef a8_x_1_u0)) (portRef proasic3_ram512x18_6_GND (instanceRef a8_x_0_u0)) )) (net u0_RD5_0 (joined (portRef u0_RD5_0 (instanceRef a8_x_1_u0)) (portRef u0_RD5_0) )) (net u0_RD4 (joined (portRef u0_RD4 (instanceRef a8_x_1_u0)) (portRef u0_RD4) )) (net u0_RD3 (joined (portRef u0_RD3 (instanceRef a8_x_1_u0)) (portRef u0_RD3) )) (net u0_RD2 (joined (portRef u0_RD2 (instanceRef a8_x_1_u0)) (portRef u0_RD2) )) (net u0_RD1 (joined (portRef u0_RD1 (instanceRef a8_x_1_u0)) (portRef u0_RD1) )) (net u0_RD0 (joined (portRef u0_RD0 (instanceRef a8_x_1_u0)) (portRef u0_RD0) )) (net N_2745 (joined (portRef N_2745) (portRef N_2745 (instanceRef a8_x_1_u0)) )) (net N_2698 (joined (portRef N_2698) (portRef N_2698 (instanceRef a8_x_1_u0)) )) (net N_2747 (joined (portRef N_2747) (portRef N_2747 (instanceRef a8_x_1_u0)) )) (net N_2748 (joined (portRef N_2748) (portRef N_2748 (instanceRef a8_x_1_u0)) )) (net N_2868 (joined (portRef N_2868) (portRef N_2868 (instanceRef a8_x_1_u0)) )) (net newptag_2_a2_0_28_m1_e_0 (joined (portRef newptag_2_a2_0_28_m1_e_0) (portRef newptag_2_a2_0_28_m1_e_0 (instanceRef a8_x_1_u0)) )) (net flush_0_RNI2N6NQR1 (joined (portRef flush_0_RNI2N6NQR1) (portRef flush_0_RNI2N6NQR1 (instanceRef a8_x_1_u0)) )) (net newptag_2_a2_0_31_m1_e_0 (joined (portRef newptag_2_a2_0_31_m1_e_0) (portRef newptag_2_a2_0_31_m1_e_0 (instanceRef a8_x_1_u0)) )) (net N_2542 (joined (portRef N_2542) (portRef N_2542 (instanceRef a8_x_1_u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 8)) (property dbits (integer 24)) ) ) (cell proasic3_syncram_dp (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rnit9tf1 "istate_RNIT9TF1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnitkdp1 "istate_RNITKDP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnijllk1 "istate_RNIJLLK1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rningdn1 "istate_RNINGDN1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnijmmr1 "istate_RNIJMMR1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6slv1 "istate_RNI6SLV1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni57t02 "istate_RNI57T02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniptli1 "istate_RNIPTLI1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnifnt02 "istate_RNIFNT02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnifuph2 "istate_RNIFUPH2[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnil1742 "istate_RNIL1742[1:1]") 1) (direction INPUT)) (port (array (rename istate_rninas02 "istate_RNINAS02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniq3pk1 "istate_RNIQ3PK1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnihpj22 "istate_RNIHPJ22[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni1pdn1 "istate_RNI1PDN1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnij9ep1 "istate_RNIJ9EP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnir8hu1 "istate_RNIR8HU1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnikgrl1 "istate_RNIKGRL1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniltpp1 "istate_RNILTPP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnim32a1 "istate_RNIM32A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniqf2a1 "istate_RNIQF2A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnicvqe1 "istate_RNICVQE1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniabmh1 "istate_RNIABMH1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnihle12 "istate_RNIHLE12[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnibnm52 "istate_RNIBNM52[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6poa2 "istate_RNI6POA2[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnib7t42 "istate_RNIB7T42[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6u762 "istate_RNI6U762[1:1]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename istate_rnilk561 "istate_RNILK561[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniu0op1 "istate_RNIU0OP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnieaoe1 "istate_RNIEAOE1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni4j0a1 "istate_RNI4J0A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port u0_DOUTA0_9 (direction OUTPUT)) (port u0_DOUTA1_9 (direction OUTPUT)) (port u0_DOUTA2_9 (direction OUTPUT)) (port u0_DOUTA3_9 (direction OUTPUT)) (port u0_DOUTA0_8 (direction OUTPUT)) (port u0_DOUTA1_8 (direction OUTPUT)) (port u0_DOUTA2_8 (direction OUTPUT)) (port u0_DOUTA3_8 (direction OUTPUT)) (port u0_DOUTA0_7 (direction OUTPUT)) (port u0_DOUTA1_7 (direction OUTPUT)) (port u0_DOUTA2_7 (direction OUTPUT)) (port u0_DOUTA3_7 (direction OUTPUT)) (port u0_DOUTA0_10 (direction OUTPUT)) (port u0_DOUTA1_10 (direction OUTPUT)) (port u0_DOUTA2_10 (direction OUTPUT)) (port u0_DOUTA3_10 (direction OUTPUT)) (port u0_DOUTA0_13 (direction OUTPUT)) (port u0_DOUTA1_13 (direction OUTPUT)) (port u0_DOUTA2_13 (direction OUTPUT)) (port u0_DOUTA3_13 (direction OUTPUT)) (port u0_DOUTA0_12 (direction OUTPUT)) (port u0_DOUTA1_12 (direction OUTPUT)) (port u0_DOUTA2_12 (direction OUTPUT)) (port u0_DOUTA3_12 (direction OUTPUT)) (port u0_DOUTA0_11 (direction OUTPUT)) (port u0_DOUTA1_11 (direction OUTPUT)) (port u0_DOUTA2_11 (direction OUTPUT)) (port u0_DOUTA3_11 (direction OUTPUT)) (port u0_DOUTA0_14 (direction OUTPUT)) (port u0_DOUTA1_14 (direction OUTPUT)) (port u0_DOUTA2_14 (direction OUTPUT)) (port u0_DOUTA3_14 (direction OUTPUT)) (port proasic3_syncram_dp_GND (direction INPUT)) (port proasic3_syncram_dp_VCC (direction INPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename a10_x_0_u0 "a10.x.0.u0") (viewRef netlist (cellRef proasic3_ram4k9)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_3_u0 "a10.x.3.u0") (viewRef netlist (cellRef proasic3_ram4k9_1)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_2_u0 "a10.x.2.u0") (viewRef netlist (cellRef proasic3_ram4k9_2)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_1_u0 "a10.x.1.u0") (viewRef netlist (cellRef proasic3_ram4k9_3)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_4_u0 "a10.x.4.u0") (viewRef netlist (cellRef proasic3_ram4k9_4)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_7_u0 "a10.x.7.u0") (viewRef netlist (cellRef proasic3_ram4k9_5)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_6_u0 "a10.x.6.u0") (viewRef netlist (cellRef proasic3_ram4k9_6)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance (rename a10_x_5_u0 "a10.x.5.u0") (viewRef netlist (cellRef proasic3_ram4k9_7)) (property dbits (integer 4)) (property abits (integer 10)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename istate_RNI4J0A1_1 "istate_RNI4J0A1[1]") (joined (portRef (member istate_rni4j0a1 0)) (portRef (member istate_rni4j0a1 0) (instanceRef a10_x_0_u0)) )) (net (rename istate_RNIEAOE1_1 "istate_RNIEAOE1[1]") (joined (portRef (member istate_rnieaoe1 0)) (portRef (member istate_rnieaoe1 0) (instanceRef a10_x_0_u0)) )) (net (rename istate_RNIU0OP1_1 "istate_RNIU0OP1[1]") (joined (portRef (member istate_rniu0op1 0)) (portRef (member istate_rniu0op1 0) (instanceRef a10_x_0_u0)) )) (net (rename istate_RNILK561_1 "istate_RNILK561[1]") (joined (portRef (member istate_rnilk561 0)) (portRef (member istate_rnilk561 0) (instanceRef a10_x_0_u0)) )) (net u0_DOUTA3_14 (joined (portRef u0_DOUTA3_14 (instanceRef a10_x_0_u0)) (portRef u0_DOUTA3_14) )) (net u0_DOUTA2_14 (joined (portRef u0_DOUTA2_14 (instanceRef a10_x_0_u0)) (portRef u0_DOUTA2_14) )) (net u0_DOUTA1_14 (joined (portRef u0_DOUTA1_14 (instanceRef a10_x_0_u0)) (portRef u0_DOUTA1_14) )) (net u0_DOUTA0_14 (joined (portRef u0_DOUTA0_14 (instanceRef a10_x_0_u0)) (portRef u0_DOUTA0_14) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef (member istate_rniql7e6 0) (instanceRef a10_x_5_u0)) (portRef (member istate_rniql7e6 0) (instanceRef a10_x_6_u0)) (portRef (member istate_rniql7e6 0) (instanceRef a10_x_7_u0)) (portRef (member istate_rniql7e6 0) (instanceRef a10_x_4_u0)) (portRef (member istate_rniql7e6 0) (instanceRef a10_x_1_u0)) (portRef (member istate_rniql7e6 0) (instanceRef a10_x_2_u0)) (portRef (member istate_rniql7e6 0) (instanceRef a10_x_3_u0)) (portRef (member istate_rniql7e6 0) (instanceRef a10_x_0_u0)) )) (net (rename istate_RNI6U762_1 "istate_RNI6U762[1]") (joined (portRef (member istate_rni6u762 0)) (portRef (member istate_rni6u762 0) (instanceRef a10_x_3_u0)) )) (net (rename istate_RNIB7T42_1 "istate_RNIB7T42[1]") (joined (portRef (member istate_rnib7t42 0)) (portRef (member istate_rnib7t42 0) (instanceRef a10_x_3_u0)) )) (net (rename istate_RNI6POA2_1 "istate_RNI6POA2[1]") (joined (portRef (member istate_rni6poa2 0)) (portRef (member istate_rni6poa2 0) (instanceRef a10_x_3_u0)) )) (net (rename istate_RNIBNM52_1 "istate_RNIBNM52[1]") (joined (portRef (member istate_rnibnm52 0)) (portRef (member istate_rnibnm52 0) (instanceRef a10_x_3_u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef (member faddr_rnifa34ud 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rnifa34ud 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rnifa34ud 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rnifa34ud 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rnifa34ud 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rnifa34ud 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rnifa34ud 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rnifa34ud 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef (member faddr_rnic5grid 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rnic5grid 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rnic5grid 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rnic5grid 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rnic5grid 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rnic5grid 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rnic5grid 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rnic5grid 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef (member faddr_rniom2ubd 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rniom2ubd 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rniom2ubd 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rniom2ubd 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rniom2ubd 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rniom2ubd 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rniom2ubd 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rniom2ubd 0) (instanceRef a10_x_0_u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef a10_x_5_u0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef a10_x_6_u0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef a10_x_7_u0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef a10_x_4_u0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef a10_x_1_u0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef a10_x_2_u0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef a10_x_3_u0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef (member faddr_rnir9j23d 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rnir9j23d 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rnir9j23d 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rnir9j23d 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rnir9j23d 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rnir9j23d 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rnir9j23d 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rnir9j23d 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef (member faddr_rniuag1tc 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rniuag1tc 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rniuag1tc 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rniuag1tc 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rniuag1tc 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rniuag1tc 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rniuag1tc 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rniuag1tc 0) (instanceRef a10_x_0_u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef a10_x_5_u0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef a10_x_6_u0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef a10_x_7_u0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef a10_x_4_u0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef a10_x_1_u0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef a10_x_2_u0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef a10_x_3_u0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef a10_x_0_u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef (member faddr_rnitu19lc 0) (instanceRef a10_x_5_u0)) (portRef (member faddr_rnitu19lc 0) (instanceRef a10_x_6_u0)) (portRef (member faddr_rnitu19lc 0) (instanceRef a10_x_7_u0)) (portRef (member faddr_rnitu19lc 0) (instanceRef a10_x_4_u0)) (portRef (member faddr_rnitu19lc 0) (instanceRef a10_x_1_u0)) (portRef (member faddr_rnitu19lc 0) (instanceRef a10_x_2_u0)) (portRef (member faddr_rnitu19lc 0) (instanceRef a10_x_3_u0)) (portRef (member faddr_rnitu19lc 0) (instanceRef a10_x_0_u0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef a10_x_5_u0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef a10_x_6_u0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef a10_x_7_u0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef a10_x_4_u0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef a10_x_1_u0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef a10_x_2_u0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef a10_x_3_u0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef a10_x_0_u0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef a10_x_5_u0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef a10_x_6_u0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef a10_x_7_u0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef a10_x_4_u0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef a10_x_1_u0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef a10_x_2_u0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef a10_x_3_u0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef a10_x_0_u0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef a10_x_5_u0)) (portRef ramclk (instanceRef a10_x_6_u0)) (portRef ramclk (instanceRef a10_x_7_u0)) (portRef ramclk (instanceRef a10_x_4_u0)) (portRef ramclk (instanceRef a10_x_1_u0)) (portRef ramclk (instanceRef a10_x_2_u0)) (portRef ramclk (instanceRef a10_x_3_u0)) (portRef ramclk (instanceRef a10_x_0_u0)) )) (net proasic3_syncram_dp_VCC (joined (portRef proasic3_syncram_dp_VCC) (portRef proasic3_ram4k9_7_VCC (instanceRef a10_x_5_u0)) (portRef proasic3_ram4k9_6_VCC (instanceRef a10_x_6_u0)) (portRef proasic3_ram4k9_5_VCC (instanceRef a10_x_7_u0)) (portRef proasic3_ram4k9_4_VCC (instanceRef a10_x_4_u0)) (portRef proasic3_ram4k9_3_VCC (instanceRef a10_x_1_u0)) (portRef proasic3_ram4k9_2_VCC (instanceRef a10_x_2_u0)) (portRef proasic3_ram4k9_1_VCC (instanceRef a10_x_3_u0)) (portRef proasic3_ram4k9_VCC (instanceRef a10_x_0_u0)) )) (net proasic3_syncram_dp_GND (joined (portRef proasic3_syncram_dp_GND) (portRef proasic3_ram4k9_7_GND (instanceRef a10_x_5_u0)) (portRef proasic3_ram4k9_6_GND (instanceRef a10_x_6_u0)) (portRef proasic3_ram4k9_5_GND (instanceRef a10_x_7_u0)) (portRef proasic3_ram4k9_4_GND (instanceRef a10_x_4_u0)) (portRef proasic3_ram4k9_3_GND (instanceRef a10_x_1_u0)) (portRef proasic3_ram4k9_2_GND (instanceRef a10_x_2_u0)) (portRef proasic3_ram4k9_1_GND (instanceRef a10_x_3_u0)) (portRef proasic3_ram4k9_GND (instanceRef a10_x_0_u0)) )) (net u0_DOUTA3_11 (joined (portRef u0_DOUTA3_11 (instanceRef a10_x_3_u0)) (portRef u0_DOUTA3_11) )) (net u0_DOUTA2_11 (joined (portRef u0_DOUTA2_11 (instanceRef a10_x_3_u0)) (portRef u0_DOUTA2_11) )) (net u0_DOUTA1_11 (joined (portRef u0_DOUTA1_11 (instanceRef a10_x_3_u0)) (portRef u0_DOUTA1_11) )) (net u0_DOUTA0_11 (joined (portRef u0_DOUTA0_11 (instanceRef a10_x_3_u0)) (portRef u0_DOUTA0_11) )) (net (rename istate_RNIHLE12_1 "istate_RNIHLE12[1]") (joined (portRef (member istate_rnihle12 0)) (portRef (member istate_rnihle12 0) (instanceRef a10_x_2_u0)) )) (net (rename istate_RNIABMH1_1 "istate_RNIABMH1[1]") (joined (portRef (member istate_rniabmh1 0)) (portRef (member istate_rniabmh1 0) (instanceRef a10_x_2_u0)) )) (net (rename istate_RNICVQE1_1 "istate_RNICVQE1[1]") (joined (portRef (member istate_rnicvqe1 0)) (portRef (member istate_rnicvqe1 0) (instanceRef a10_x_2_u0)) )) (net (rename istate_RNIQF2A1_1 "istate_RNIQF2A1[1]") (joined (portRef (member istate_rniqf2a1 0)) (portRef (member istate_rniqf2a1 0) (instanceRef a10_x_2_u0)) )) (net u0_DOUTA3_12 (joined (portRef u0_DOUTA3_12 (instanceRef a10_x_2_u0)) (portRef u0_DOUTA3_12) )) (net u0_DOUTA2_12 (joined (portRef u0_DOUTA2_12 (instanceRef a10_x_2_u0)) (portRef u0_DOUTA2_12) )) (net u0_DOUTA1_12 (joined (portRef u0_DOUTA1_12 (instanceRef a10_x_2_u0)) (portRef u0_DOUTA1_12) )) (net u0_DOUTA0_12 (joined (portRef u0_DOUTA0_12 (instanceRef a10_x_2_u0)) (portRef u0_DOUTA0_12) )) (net (rename istate_RNIM32A1_1 "istate_RNIM32A1[1]") (joined (portRef (member istate_rnim32a1 0)) (portRef (member istate_rnim32a1 0) (instanceRef a10_x_1_u0)) )) (net (rename istate_RNILTPP1_1 "istate_RNILTPP1[1]") (joined (portRef (member istate_rniltpp1 0)) (portRef (member istate_rniltpp1 0) (instanceRef a10_x_1_u0)) )) (net (rename istate_RNIKGRL1_1 "istate_RNIKGRL1[1]") (joined (portRef (member istate_rnikgrl1 0)) (portRef (member istate_rnikgrl1 0) (instanceRef a10_x_1_u0)) )) (net (rename istate_RNIR8HU1_1 "istate_RNIR8HU1[1]") (joined (portRef (member istate_rnir8hu1 0)) (portRef (member istate_rnir8hu1 0) (instanceRef a10_x_1_u0)) )) (net u0_DOUTA3_13 (joined (portRef u0_DOUTA3_13 (instanceRef a10_x_1_u0)) (portRef u0_DOUTA3_13) )) (net u0_DOUTA2_13 (joined (portRef u0_DOUTA2_13 (instanceRef a10_x_1_u0)) (portRef u0_DOUTA2_13) )) (net u0_DOUTA1_13 (joined (portRef u0_DOUTA1_13 (instanceRef a10_x_1_u0)) (portRef u0_DOUTA1_13) )) (net u0_DOUTA0_13 (joined (portRef u0_DOUTA0_13 (instanceRef a10_x_1_u0)) (portRef u0_DOUTA0_13) )) (net (rename istate_RNIJ9EP1_1 "istate_RNIJ9EP1[1]") (joined (portRef (member istate_rnij9ep1 0)) (portRef (member istate_rnij9ep1 0) (instanceRef a10_x_4_u0)) )) (net (rename istate_RNI1PDN1_1 "istate_RNI1PDN1[1]") (joined (portRef (member istate_rni1pdn1 0)) (portRef (member istate_rni1pdn1 0) (instanceRef a10_x_4_u0)) )) (net (rename istate_RNIHPJ22_1 "istate_RNIHPJ22[1]") (joined (portRef (member istate_rnihpj22 0)) (portRef (member istate_rnihpj22 0) (instanceRef a10_x_4_u0)) )) (net (rename istate_RNIQ3PK1_1 "istate_RNIQ3PK1[1]") (joined (portRef (member istate_rniq3pk1 0)) (portRef (member istate_rniq3pk1 0) (instanceRef a10_x_4_u0)) )) (net u0_DOUTA3_10 (joined (portRef u0_DOUTA3_10 (instanceRef a10_x_4_u0)) (portRef u0_DOUTA3_10) )) (net u0_DOUTA2_10 (joined (portRef u0_DOUTA2_10 (instanceRef a10_x_4_u0)) (portRef u0_DOUTA2_10) )) (net u0_DOUTA1_10 (joined (portRef u0_DOUTA1_10 (instanceRef a10_x_4_u0)) (portRef u0_DOUTA1_10) )) (net u0_DOUTA0_10 (joined (portRef u0_DOUTA0_10 (instanceRef a10_x_4_u0)) (portRef u0_DOUTA0_10) )) (net (rename istate_RNINAS02_1 "istate_RNINAS02[1]") (joined (portRef (member istate_rninas02 0)) (portRef (member istate_rninas02 0) (instanceRef a10_x_7_u0)) )) (net (rename istate_RNIL1742_1 "istate_RNIL1742[1]") (joined (portRef (member istate_rnil1742 0)) (portRef (member istate_rnil1742 0) (instanceRef a10_x_7_u0)) )) (net (rename istate_RNIFUPH2_1 "istate_RNIFUPH2[1]") (joined (portRef (member istate_rnifuph2 0)) (portRef (member istate_rnifuph2 0) (instanceRef a10_x_7_u0)) )) (net (rename istate_RNIFNT02_1 "istate_RNIFNT02[1]") (joined (portRef (member istate_rnifnt02 0)) (portRef (member istate_rnifnt02 0) (instanceRef a10_x_7_u0)) )) (net u0_DOUTA3_7 (joined (portRef u0_DOUTA3_7 (instanceRef a10_x_7_u0)) (portRef u0_DOUTA3_7) )) (net u0_DOUTA2_7 (joined (portRef u0_DOUTA2_7 (instanceRef a10_x_7_u0)) (portRef u0_DOUTA2_7) )) (net u0_DOUTA1_7 (joined (portRef u0_DOUTA1_7 (instanceRef a10_x_7_u0)) (portRef u0_DOUTA1_7) )) (net u0_DOUTA0_7 (joined (portRef u0_DOUTA0_7 (instanceRef a10_x_7_u0)) (portRef u0_DOUTA0_7) )) (net (rename istate_RNIPTLI1_1 "istate_RNIPTLI1[1]") (joined (portRef (member istate_rniptli1 0)) (portRef (member istate_rniptli1 0) (instanceRef a10_x_6_u0)) )) (net (rename istate_RNI57T02_1 "istate_RNI57T02[1]") (joined (portRef (member istate_rni57t02 0)) (portRef (member istate_rni57t02 0) (instanceRef a10_x_6_u0)) )) (net (rename istate_RNI6SLV1_1 "istate_RNI6SLV1[1]") (joined (portRef (member istate_rni6slv1 0)) (portRef (member istate_rni6slv1 0) (instanceRef a10_x_6_u0)) )) (net (rename istate_RNIJMMR1_1 "istate_RNIJMMR1[1]") (joined (portRef (member istate_rnijmmr1 0)) (portRef (member istate_rnijmmr1 0) (instanceRef a10_x_6_u0)) )) (net u0_DOUTA3_8 (joined (portRef u0_DOUTA3_8 (instanceRef a10_x_6_u0)) (portRef u0_DOUTA3_8) )) (net u0_DOUTA2_8 (joined (portRef u0_DOUTA2_8 (instanceRef a10_x_6_u0)) (portRef u0_DOUTA2_8) )) (net u0_DOUTA1_8 (joined (portRef u0_DOUTA1_8 (instanceRef a10_x_6_u0)) (portRef u0_DOUTA1_8) )) (net u0_DOUTA0_8 (joined (portRef u0_DOUTA0_8 (instanceRef a10_x_6_u0)) (portRef u0_DOUTA0_8) )) (net (rename istate_RNINGDN1_1 "istate_RNINGDN1[1]") (joined (portRef (member istate_rningdn1 0)) (portRef (member istate_rningdn1 0) (instanceRef a10_x_5_u0)) )) (net (rename istate_RNIJLLK1_1 "istate_RNIJLLK1[1]") (joined (portRef (member istate_rnijllk1 0)) (portRef (member istate_rnijllk1 0) (instanceRef a10_x_5_u0)) )) (net (rename istate_RNITKDP1_1 "istate_RNITKDP1[1]") (joined (portRef (member istate_rnitkdp1 0)) (portRef (member istate_rnitkdp1 0) (instanceRef a10_x_5_u0)) )) (net (rename istate_RNIT9TF1_1 "istate_RNIT9TF1[1]") (joined (portRef (member istate_rnit9tf1 0)) (portRef (member istate_rnit9tf1 0) (instanceRef a10_x_5_u0)) )) (net u0_DOUTA3_9 (joined (portRef u0_DOUTA3_9 (instanceRef a10_x_5_u0)) (portRef u0_DOUTA3_9) )) (net u0_DOUTA2_9 (joined (portRef u0_DOUTA2_9 (instanceRef a10_x_5_u0)) (portRef u0_DOUTA2_9) )) (net u0_DOUTA1_9 (joined (portRef u0_DOUTA1_9 (instanceRef a10_x_5_u0)) (portRef u0_DOUTA1_9) )) (net u0_DOUTA0_9 (joined (portRef u0_DOUTA0_9 (instanceRef a10_x_5_u0)) (portRef u0_DOUTA0_9) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 32)) ) ) (cell proasic3_syncram_2p_8_24 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename vitdatain_0_1_a0_0 "vitdatain_0_1_a0_0[23:23]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a1 "vitdatain_0_1_a1[20:20]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a0 "vitdatain_0_1_a0[20:20]") 1) (direction INPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[148:148]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename vaddress_rnia2gp4s1 "vaddress_RNIA2GP4S1[12:12]") 1) (direction INPUT)) (port (array (rename vaddress_rnic2gp4s1 "vaddress_RNIC2GP4S1[13:13]") 1) (direction INPUT)) (port (array (rename vaddress_rnie2gp4s1 "vaddress_RNIE2GP4S1[14:14]") 1) (direction INPUT)) (port (array (rename vaddress_rnig2gp4s1 "vaddress_RNIG2GP4S1[15:15]") 1) (direction INPUT)) (port (array (rename vaddress_rnii2gp4s1 "vaddress_RNII2GP4S1[16:16]") 1) (direction INPUT)) (port (array (rename vaddress_rnik2gp4s1 "vaddress_RNIK2GP4S1[17:17]") 1) (direction INPUT)) (port (array (rename vaddress_rnim2gp4s1 "vaddress_RNIM2GP4S1[18:18]") 1) (direction INPUT)) (port (array (rename vaddress_rnio2gp4s1 "vaddress_RNIO2GP4S1[19:19]") 1) (direction INPUT)) (port (array (rename vaddress_rnicagp4s1 "vaddress_RNICAGP4S1[20:20]") 1) (direction INPUT)) (port (array (rename vaddress_rnieagp4s1 "vaddress_RNIEAGP4S1[21:21]") 1) (direction INPUT)) (port (array (rename vaddress_rnigagp4s1 "vaddress_RNIGAGP4S1[22:22]") 1) (direction INPUT)) (port (array (rename vaddress_rniiagp4s1 "vaddress_RNIIAGP4S1[23:23]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1 "vitdatain_0_1[19:16]") 4) (direction INPUT)) (port un1_addout_27 (direction INPUT)) (port newptag_sn_m2_i_o2_0_m3 (direction INPUT)) (port un1_addout_28 (direction INPUT)) (port flush (direction INPUT)) (port N_897 (direction INPUT)) (port N_898 (direction INPUT)) (port N_895 (direction INPUT)) (port N_896 (direction INPUT)) (port u0_RD0_1 (direction OUTPUT)) (port u0_RD1_1 (direction OUTPUT)) (port u0_RD2_1 (direction OUTPUT)) (port u0_RD3_1 (direction OUTPUT)) (port u0_RD4_1 (direction OUTPUT)) (port u0_RD5_2 (direction OUTPUT)) (port u0_RD0_2 (direction OUTPUT)) (port u0_RD1_2 (direction OUTPUT)) (port u0_RD2_2 (direction OUTPUT)) (port u0_RD3_2 (direction OUTPUT)) (port u0_RD4_2 (direction OUTPUT)) (port u0_RD5_1 (direction OUTPUT)) (port u0_RD6_0 (direction OUTPUT)) (port u0_RD7_0 (direction OUTPUT)) (port u0_RD8_0 (direction OUTPUT)) (port u0_RD9_0 (direction OUTPUT)) (port u0_RD10_0 (direction OUTPUT)) (port u0_RD11_0 (direction OUTPUT)) (port u0_RD12_0 (direction OUTPUT)) (port u0_RD13_0 (direction OUTPUT)) (port u0_RD14_0 (direction OUTPUT)) (port u0_RD15_0 (direction OUTPUT)) (port u0_RD16_0 (direction OUTPUT)) (port u0_RD17_0 (direction OUTPUT)) (port proasic3_syncram_2p_8_24_GND (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_syncram_2p_8_24_VCC (direction INPUT)) (port flush2_RNIVAKK2 (direction INPUT)) (port flush2_RNI1FKK2 (direction INPUT)) (port flush2_RNI3JKK2 (direction INPUT)) (port flush2_RNI5NKK2 (direction INPUT)) (port flush2_RNICRKB7 (direction INPUT)) ) (contents (instance (rename a8_x_0_u0 "a8.x.0.u0") (viewRef netlist (cellRef proasic3_ram512x18_4)) ) (instance (rename a8_x_1_u0 "a8.x.1.u0") (viewRef netlist (cellRef proasic3_ram512x18_5)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename vitdatain_0_1_16 "vitdatain_0_1[16]") (joined (portRef (member vitdatain_0_1 3)) (portRef (member vitdatain_0_1 1) (instanceRef a8_x_0_u0)) )) (net (rename vitdatain_0_1_17 "vitdatain_0_1[17]") (joined (portRef (member vitdatain_0_1 2)) (portRef (member vitdatain_0_1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIIAGP4S1_23 "vaddress_RNIIAGP4S1[23]") (joined (portRef (member vaddress_rniiagp4s1 0)) (portRef (member vaddress_rniiagp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIGAGP4S1_22 "vaddress_RNIGAGP4S1[22]") (joined (portRef (member vaddress_rnigagp4s1 0)) (portRef (member vaddress_rnigagp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIEAGP4S1_21 "vaddress_RNIEAGP4S1[21]") (joined (portRef (member vaddress_rnieagp4s1 0)) (portRef (member vaddress_rnieagp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNICAGP4S1_20 "vaddress_RNICAGP4S1[20]") (joined (portRef (member vaddress_rnicagp4s1 0)) (portRef (member vaddress_rnicagp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIO2GP4S1_19 "vaddress_RNIO2GP4S1[19]") (joined (portRef (member vaddress_rnio2gp4s1 0)) (portRef (member vaddress_rnio2gp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIM2GP4S1_18 "vaddress_RNIM2GP4S1[18]") (joined (portRef (member vaddress_rnim2gp4s1 0)) (portRef (member vaddress_rnim2gp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIK2GP4S1_17 "vaddress_RNIK2GP4S1[17]") (joined (portRef (member vaddress_rnik2gp4s1 0)) (portRef (member vaddress_rnik2gp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNII2GP4S1_16 "vaddress_RNII2GP4S1[16]") (joined (portRef (member vaddress_rnii2gp4s1 0)) (portRef (member vaddress_rnii2gp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIG2GP4S1_15 "vaddress_RNIG2GP4S1[15]") (joined (portRef (member vaddress_rnig2gp4s1 0)) (portRef (member vaddress_rnig2gp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIE2GP4S1_14 "vaddress_RNIE2GP4S1[14]") (joined (portRef (member vaddress_rnie2gp4s1 0)) (portRef (member vaddress_rnie2gp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIC2GP4S1_13 "vaddress_RNIC2GP4S1[13]") (joined (portRef (member vaddress_rnic2gp4s1 0)) (portRef (member vaddress_rnic2gp4s1 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIA2GP4S1_12 "vaddress_RNIA2GP4S1[12]") (joined (portRef (member vaddress_rnia2gp4s1 0)) (portRef (member vaddress_rnia2gp4s1 0) (instanceRef a8_x_0_u0)) )) (net flush2_RNI5NKK2 (joined (portRef flush2_RNI5NKK2) (portRef flush2_RNI5NKK2 (instanceRef a8_x_0_u0)) )) (net flush2_RNI3JKK2 (joined (portRef flush2_RNI3JKK2) (portRef flush2_RNI3JKK2 (instanceRef a8_x_0_u0)) )) (net flush2_RNI1FKK2 (joined (portRef flush2_RNI1FKK2) (portRef flush2_RNI1FKK2 (instanceRef a8_x_0_u0)) )) (net flush2_RNIVAKK2 (joined (portRef flush2_RNIVAKK2) (portRef flush2_RNIVAKK2 (instanceRef a8_x_0_u0)) )) (net u0_RD17_0 (joined (portRef u0_RD17_0 (instanceRef a8_x_0_u0)) (portRef u0_RD17_0) )) (net u0_RD16_0 (joined (portRef u0_RD16_0 (instanceRef a8_x_0_u0)) (portRef u0_RD16_0) )) (net u0_RD15_0 (joined (portRef u0_RD15_0 (instanceRef a8_x_0_u0)) (portRef u0_RD15_0) )) (net u0_RD14_0 (joined (portRef u0_RD14_0 (instanceRef a8_x_0_u0)) (portRef u0_RD14_0) )) (net u0_RD13_0 (joined (portRef u0_RD13_0 (instanceRef a8_x_0_u0)) (portRef u0_RD13_0) )) (net u0_RD12_0 (joined (portRef u0_RD12_0 (instanceRef a8_x_0_u0)) (portRef u0_RD12_0) )) (net u0_RD11_0 (joined (portRef u0_RD11_0 (instanceRef a8_x_0_u0)) (portRef u0_RD11_0) )) (net u0_RD10_0 (joined (portRef u0_RD10_0 (instanceRef a8_x_0_u0)) (portRef u0_RD10_0) )) (net u0_RD9_0 (joined (portRef u0_RD9_0 (instanceRef a8_x_0_u0)) (portRef u0_RD9_0) )) (net u0_RD8_0 (joined (portRef u0_RD8_0 (instanceRef a8_x_0_u0)) (portRef u0_RD8_0) )) (net u0_RD7_0 (joined (portRef u0_RD7_0 (instanceRef a8_x_0_u0)) (portRef u0_RD7_0) )) (net u0_RD6_0 (joined (portRef u0_RD6_0 (instanceRef a8_x_0_u0)) (portRef u0_RD6_0) )) (net u0_RD5_1 (joined (portRef u0_RD5_1 (instanceRef a8_x_0_u0)) (portRef u0_RD5_1) )) (net u0_RD4_2 (joined (portRef u0_RD4_2 (instanceRef a8_x_0_u0)) (portRef u0_RD4_2) )) (net u0_RD3_2 (joined (portRef u0_RD3_2 (instanceRef a8_x_0_u0)) (portRef u0_RD3_2) )) (net u0_RD2_2 (joined (portRef u0_RD2_2 (instanceRef a8_x_0_u0)) (portRef u0_RD2_2) )) (net u0_RD1_2 (joined (portRef u0_RD1_2 (instanceRef a8_x_0_u0)) (portRef u0_RD1_2) )) (net u0_RD0_2 (joined (portRef u0_RD0_2 (instanceRef a8_x_0_u0)) (portRef u0_RD0_2) )) (net (rename vitdatain_0_1_19 "vitdatain_0_1[19]") (joined (portRef (member vitdatain_0_1 0)) (portRef vitdatain_0_1_1 (instanceRef a8_x_1_u0)) )) (net (rename vitdatain_0_1_18 "vitdatain_0_1[18]") (joined (portRef (member vitdatain_0_1 1)) (portRef vitdatain_0_1_0 (instanceRef a8_x_1_u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef (member faddr_rnifa34ud 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rnifa34ud 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef (member faddr_rnic5grid 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rnic5grid 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef (member faddr_rniom2ubd 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rniom2ubd 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef a8_x_1_u0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef (member faddr_rnir9j23d 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rnir9j23d 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef (member faddr_rniuag1tc 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rniuag1tc 0) (instanceRef a8_x_0_u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef a8_x_1_u0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef a8_x_0_u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef (member faddr_rnitu19lc 0) (instanceRef a8_x_1_u0)) (portRef (member faddr_rnitu19lc 0) (instanceRef a8_x_0_u0)) )) (net (rename un1_p0_2_0_148 "un1_p0_2_0[148]") (joined (portRef (member un1_p0_2_0 0)) (portRef (member un1_p0_2_0 0) (instanceRef a8_x_1_u0)) )) (net (rename vitdatain_0_1_a0_20 "vitdatain_0_1_a0[20]") (joined (portRef (member vitdatain_0_1_a0 0)) (portRef (member vitdatain_0_1_a0 0) (instanceRef a8_x_1_u0)) )) (net (rename vitdatain_0_1_a1_20 "vitdatain_0_1_a1[20]") (joined (portRef (member vitdatain_0_1_a1 0)) (portRef (member vitdatain_0_1_a1 0) (instanceRef a8_x_1_u0)) )) (net (rename vitdatain_0_1_a0_0_23 "vitdatain_0_1_a0_0[23]") (joined (portRef (member vitdatain_0_1_a0_0 0)) (portRef vitdatain_0_1_a0_0_2 (instanceRef a8_x_1_u0)) )) (net flush2_RNICRKB7 (joined (portRef flush2_RNICRKB7) (portRef flush2_RNICRKB7 (instanceRef a8_x_1_u0)) (portRef flush2_RNICRKB7 (instanceRef a8_x_0_u0)) )) (net proasic3_syncram_2p_8_24_VCC (joined (portRef proasic3_syncram_2p_8_24_VCC) (portRef proasic3_ram512x18_5_VCC (instanceRef a8_x_1_u0)) (portRef proasic3_ram512x18_4_VCC (instanceRef a8_x_0_u0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef a8_x_1_u0)) (portRef ramclk (instanceRef a8_x_0_u0)) )) (net proasic3_syncram_2p_8_24_GND (joined (portRef proasic3_syncram_2p_8_24_GND) (portRef proasic3_ram512x18_5_GND (instanceRef a8_x_1_u0)) (portRef proasic3_ram512x18_4_GND (instanceRef a8_x_0_u0)) )) (net u0_RD5_2 (joined (portRef u0_RD5_2 (instanceRef a8_x_1_u0)) (portRef u0_RD5_2) )) (net u0_RD4_1 (joined (portRef u0_RD4_1 (instanceRef a8_x_1_u0)) (portRef u0_RD4_1) )) (net u0_RD3_1 (joined (portRef u0_RD3_1 (instanceRef a8_x_1_u0)) (portRef u0_RD3_1) )) (net u0_RD2_1 (joined (portRef u0_RD2_1 (instanceRef a8_x_1_u0)) (portRef u0_RD2_1) )) (net u0_RD1_1 (joined (portRef u0_RD1_1 (instanceRef a8_x_1_u0)) (portRef u0_RD1_1) )) (net u0_RD0_1 (joined (portRef u0_RD0_1 (instanceRef a8_x_1_u0)) (portRef u0_RD0_1) )) (net N_896 (joined (portRef N_896) (portRef N_896 (instanceRef a8_x_1_u0)) )) (net N_895 (joined (portRef N_895) (portRef N_895 (instanceRef a8_x_1_u0)) )) (net N_898 (joined (portRef N_898) (portRef N_898 (instanceRef a8_x_1_u0)) )) (net N_897 (joined (portRef N_897) (portRef N_897 (instanceRef a8_x_1_u0)) )) (net flush (joined (portRef flush) (portRef flush (instanceRef a8_x_1_u0)) )) (net un1_addout_28 (joined (portRef un1_addout_28) (portRef un1_addout_28 (instanceRef a8_x_1_u0)) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef newptag_sn_m2_i_o2_0_m3) (portRef newptag_sn_m2_i_o2_0_m3 (instanceRef a8_x_1_u0)) )) (net un1_addout_27 (joined (portRef un1_addout_27) (portRef un1_addout_27 (instanceRef a8_x_1_u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 8)) (property dbits (integer 24)) ) ) (cell proasic3_syncram_2p_7_32_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename data2 "data2[31:0]") 32) (direction OUTPUT)) (port (array (rename datain "datain[31:0]") 32) (direction INPUT)) (port (array (rename raddr2 "raddr2[6:0]") 7) (direction INPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction INPUT)) (port (array (rename waddr "waddr[6:1]") 6) (direction INPUT)) (port (array (rename wdata "wdata[31:0]") 32) (direction INPUT)) (port un4_scantestbp_0_0 (direction INPUT)) (port un4_scantestbp (direction INPUT)) (port proasic3_syncram_2p_7_32_1_GND (direction INPUT)) (port ramclk (direction INPUT)) (port ren2 (direction INPUT)) (port proasic3_syncram_2p_7_32_1_VCC (direction INPUT)) (port wren_i (direction INPUT)) ) (contents (instance (rename a8_x_0_u0 "a8.x.0.u0") (viewRef netlist (cellRef proasic3_ram512x18_2)) ) (instance (rename a8_x_1_u0 "a8.x.1.u0") (viewRef netlist (cellRef proasic3_ram512x18_3)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename wdata_0 "wdata[0]") (joined (portRef (member wdata 31)) (portRef (member wdata 17) (instanceRef a8_x_0_u0)) )) (net (rename wdata_1 "wdata[1]") (joined (portRef (member wdata 30)) (portRef (member wdata 16) (instanceRef a8_x_0_u0)) )) (net (rename wdata_2 "wdata[2]") (joined (portRef (member wdata 29)) (portRef (member wdata 15) (instanceRef a8_x_0_u0)) )) (net (rename wdata_3 "wdata[3]") (joined (portRef (member wdata 28)) (portRef (member wdata 14) (instanceRef a8_x_0_u0)) )) (net (rename wdata_4 "wdata[4]") (joined (portRef (member wdata 27)) (portRef (member wdata 13) (instanceRef a8_x_0_u0)) )) (net (rename wdata_5 "wdata[5]") (joined (portRef (member wdata 26)) (portRef (member wdata 12) (instanceRef a8_x_0_u0)) )) (net (rename wdata_6 "wdata[6]") (joined (portRef (member wdata 25)) (portRef (member wdata 11) (instanceRef a8_x_0_u0)) )) (net (rename wdata_7 "wdata[7]") (joined (portRef (member wdata 24)) (portRef (member wdata 10) (instanceRef a8_x_0_u0)) )) (net (rename wdata_8 "wdata[8]") (joined (portRef (member wdata 23)) (portRef (member wdata 9) (instanceRef a8_x_0_u0)) )) (net (rename wdata_9 "wdata[9]") (joined (portRef (member wdata 22)) (portRef (member wdata 8) (instanceRef a8_x_0_u0)) )) (net (rename wdata_10 "wdata[10]") (joined (portRef (member wdata 21)) (portRef (member wdata 7) (instanceRef a8_x_0_u0)) )) (net (rename wdata_11 "wdata[11]") (joined (portRef (member wdata 20)) (portRef (member wdata 6) (instanceRef a8_x_0_u0)) )) (net (rename wdata_12 "wdata[12]") (joined (portRef (member wdata 19)) (portRef (member wdata 5) (instanceRef a8_x_0_u0)) )) (net (rename wdata_13 "wdata[13]") (joined (portRef (member wdata 18)) (portRef (member wdata 4) (instanceRef a8_x_0_u0)) )) (net (rename wdata_14 "wdata[14]") (joined (portRef (member wdata 17)) (portRef (member wdata 3) (instanceRef a8_x_0_u0)) )) (net (rename wdata_15 "wdata[15]") (joined (portRef (member wdata 16)) (portRef (member wdata 2) (instanceRef a8_x_0_u0)) )) (net (rename wdata_16 "wdata[16]") (joined (portRef (member wdata 15)) (portRef (member wdata 1) (instanceRef a8_x_0_u0)) )) (net (rename wdata_17 "wdata[17]") (joined (portRef (member wdata 14)) (portRef (member wdata 0) (instanceRef a8_x_0_u0)) )) (net (rename datain_0 "datain[0]") (joined (portRef (member datain 31)) (portRef (member datain 17) (instanceRef a8_x_0_u0)) )) (net (rename datain_1 "datain[1]") (joined (portRef (member datain 30)) (portRef (member datain 16) (instanceRef a8_x_0_u0)) )) (net (rename datain_2 "datain[2]") (joined (portRef (member datain 29)) (portRef (member datain 15) (instanceRef a8_x_0_u0)) )) (net (rename datain_3 "datain[3]") (joined (portRef (member datain 28)) (portRef (member datain 14) (instanceRef a8_x_0_u0)) )) (net (rename datain_4 "datain[4]") (joined (portRef (member datain 27)) (portRef (member datain 13) (instanceRef a8_x_0_u0)) )) (net (rename datain_5 "datain[5]") (joined (portRef (member datain 26)) (portRef (member datain 12) (instanceRef a8_x_0_u0)) )) (net (rename datain_6 "datain[6]") (joined (portRef (member datain 25)) (portRef (member datain 11) (instanceRef a8_x_0_u0)) )) (net (rename datain_7 "datain[7]") (joined (portRef (member datain 24)) (portRef (member datain 10) (instanceRef a8_x_0_u0)) )) (net (rename datain_8 "datain[8]") (joined (portRef (member datain 23)) (portRef (member datain 9) (instanceRef a8_x_0_u0)) )) (net (rename datain_9 "datain[9]") (joined (portRef (member datain 22)) (portRef (member datain 8) (instanceRef a8_x_0_u0)) )) (net (rename datain_10 "datain[10]") (joined (portRef (member datain 21)) (portRef (member datain 7) (instanceRef a8_x_0_u0)) )) (net (rename datain_11 "datain[11]") (joined (portRef (member datain 20)) (portRef (member datain 6) (instanceRef a8_x_0_u0)) )) (net (rename datain_12 "datain[12]") (joined (portRef (member datain 19)) (portRef (member datain 5) (instanceRef a8_x_0_u0)) )) (net (rename datain_13 "datain[13]") (joined (portRef (member datain 18)) (portRef (member datain 4) (instanceRef a8_x_0_u0)) )) (net (rename datain_14 "datain[14]") (joined (portRef (member datain 17)) (portRef (member datain 3) (instanceRef a8_x_0_u0)) )) (net (rename datain_15 "datain[15]") (joined (portRef (member datain 16)) (portRef (member datain 2) (instanceRef a8_x_0_u0)) )) (net (rename datain_16 "datain[16]") (joined (portRef (member datain 15)) (portRef (member datain 1) (instanceRef a8_x_0_u0)) )) (net (rename datain_17 "datain[17]") (joined (portRef (member datain 14)) (portRef (member datain 0) (instanceRef a8_x_0_u0)) )) (net (rename data2_0 "data2[0]") (joined (portRef (member data2 17) (instanceRef a8_x_0_u0)) (portRef (member data2 31)) )) (net (rename data2_1 "data2[1]") (joined (portRef (member data2 16) (instanceRef a8_x_0_u0)) (portRef (member data2 30)) )) (net (rename data2_2 "data2[2]") (joined (portRef (member data2 15) (instanceRef a8_x_0_u0)) (portRef (member data2 29)) )) (net (rename data2_3 "data2[3]") (joined (portRef (member data2 14) (instanceRef a8_x_0_u0)) (portRef (member data2 28)) )) (net (rename data2_4 "data2[4]") (joined (portRef (member data2 13) (instanceRef a8_x_0_u0)) (portRef (member data2 27)) )) (net (rename data2_5 "data2[5]") (joined (portRef (member data2 12) (instanceRef a8_x_0_u0)) (portRef (member data2 26)) )) (net (rename data2_6 "data2[6]") (joined (portRef (member data2 11) (instanceRef a8_x_0_u0)) (portRef (member data2 25)) )) (net (rename data2_7 "data2[7]") (joined (portRef (member data2 10) (instanceRef a8_x_0_u0)) (portRef (member data2 24)) )) (net (rename data2_8 "data2[8]") (joined (portRef (member data2 9) (instanceRef a8_x_0_u0)) (portRef (member data2 23)) )) (net (rename data2_9 "data2[9]") (joined (portRef (member data2 8) (instanceRef a8_x_0_u0)) (portRef (member data2 22)) )) (net (rename data2_10 "data2[10]") (joined (portRef (member data2 7) (instanceRef a8_x_0_u0)) (portRef (member data2 21)) )) (net (rename data2_11 "data2[11]") (joined (portRef (member data2 6) (instanceRef a8_x_0_u0)) (portRef (member data2 20)) )) (net (rename data2_12 "data2[12]") (joined (portRef (member data2 5) (instanceRef a8_x_0_u0)) (portRef (member data2 19)) )) (net (rename data2_13 "data2[13]") (joined (portRef (member data2 4) (instanceRef a8_x_0_u0)) (portRef (member data2 18)) )) (net (rename data2_14 "data2[14]") (joined (portRef (member data2 3) (instanceRef a8_x_0_u0)) (portRef (member data2 17)) )) (net (rename data2_15 "data2[15]") (joined (portRef (member data2 2) (instanceRef a8_x_0_u0)) (portRef (member data2 16)) )) (net (rename data2_16 "data2[16]") (joined (portRef (member data2 1) (instanceRef a8_x_0_u0)) (portRef (member data2 15)) )) (net (rename data2_17 "data2[17]") (joined (portRef (member data2 0) (instanceRef a8_x_0_u0)) (portRef (member data2 14)) )) (net (rename wdata_18 "wdata[18]") (joined (portRef (member wdata 13)) (portRef (member wdata 13) (instanceRef a8_x_1_u0)) )) (net (rename wdata_19 "wdata[19]") (joined (portRef (member wdata 12)) (portRef (member wdata 12) (instanceRef a8_x_1_u0)) )) (net (rename wdata_20 "wdata[20]") (joined (portRef (member wdata 11)) (portRef (member wdata 11) (instanceRef a8_x_1_u0)) )) (net (rename wdata_21 "wdata[21]") (joined (portRef (member wdata 10)) (portRef (member wdata 10) (instanceRef a8_x_1_u0)) )) (net (rename wdata_22 "wdata[22]") (joined (portRef (member wdata 9)) (portRef (member wdata 9) (instanceRef a8_x_1_u0)) )) (net (rename wdata_23 "wdata[23]") (joined (portRef (member wdata 8)) (portRef (member wdata 8) (instanceRef a8_x_1_u0)) )) (net (rename wdata_24 "wdata[24]") (joined (portRef (member wdata 7)) (portRef (member wdata 7) (instanceRef a8_x_1_u0)) )) (net (rename wdata_25 "wdata[25]") (joined (portRef (member wdata 6)) (portRef (member wdata 6) (instanceRef a8_x_1_u0)) )) (net (rename wdata_26 "wdata[26]") (joined (portRef (member wdata 5)) (portRef (member wdata 5) (instanceRef a8_x_1_u0)) )) (net (rename wdata_27 "wdata[27]") (joined (portRef (member wdata 4)) (portRef (member wdata 4) (instanceRef a8_x_1_u0)) )) (net (rename wdata_28 "wdata[28]") (joined (portRef (member wdata 3)) (portRef (member wdata 3) (instanceRef a8_x_1_u0)) )) (net (rename wdata_29 "wdata[29]") (joined (portRef (member wdata 2)) (portRef (member wdata 2) (instanceRef a8_x_1_u0)) )) (net (rename wdata_30 "wdata[30]") (joined (portRef (member wdata 1)) (portRef (member wdata 1) (instanceRef a8_x_1_u0)) )) (net (rename wdata_31 "wdata[31]") (joined (portRef (member wdata 0)) (portRef (member wdata 0) (instanceRef a8_x_1_u0)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef (member waddr 5)) (portRef (member waddr 5) (instanceRef a8_x_1_u0)) (portRef (member waddr 5) (instanceRef a8_x_0_u0)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef (member waddr 4)) (portRef (member waddr 4) (instanceRef a8_x_1_u0)) (portRef (member waddr 4) (instanceRef a8_x_0_u0)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef (member waddr 3)) (portRef (member waddr 3) (instanceRef a8_x_1_u0)) (portRef (member waddr 3) (instanceRef a8_x_0_u0)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef (member waddr 2)) (portRef (member waddr 2) (instanceRef a8_x_1_u0)) (portRef (member waddr 2) (instanceRef a8_x_0_u0)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef (member waddr 1)) (portRef (member waddr 1) (instanceRef a8_x_1_u0)) (portRef (member waddr 1) (instanceRef a8_x_0_u0)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef (member waddr 0)) (portRef (member waddr 0) (instanceRef a8_x_1_u0)) (portRef (member waddr 0) (instanceRef a8_x_0_u0)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0)) (portRef (member wa_0_iv 0) (instanceRef a8_x_1_u0)) (portRef (member wa_0_iv 0) (instanceRef a8_x_0_u0)) )) (net (rename raddr2_0 "raddr2[0]") (joined (portRef (member raddr2 6)) (portRef (member raddr2 6) (instanceRef a8_x_1_u0)) (portRef (member raddr2 6) (instanceRef a8_x_0_u0)) )) (net (rename raddr2_1 "raddr2[1]") (joined (portRef (member raddr2 5)) (portRef (member raddr2 5) (instanceRef a8_x_1_u0)) (portRef (member raddr2 5) (instanceRef a8_x_0_u0)) )) (net (rename raddr2_2 "raddr2[2]") (joined (portRef (member raddr2 4)) (portRef (member raddr2 4) (instanceRef a8_x_1_u0)) (portRef (member raddr2 4) (instanceRef a8_x_0_u0)) )) (net (rename raddr2_3 "raddr2[3]") (joined (portRef (member raddr2 3)) (portRef (member raddr2 3) (instanceRef a8_x_1_u0)) (portRef (member raddr2 3) (instanceRef a8_x_0_u0)) )) (net (rename raddr2_4 "raddr2[4]") (joined (portRef (member raddr2 2)) (portRef (member raddr2 2) (instanceRef a8_x_1_u0)) (portRef (member raddr2 2) (instanceRef a8_x_0_u0)) )) (net (rename raddr2_5 "raddr2[5]") (joined (portRef (member raddr2 1)) (portRef (member raddr2 1) (instanceRef a8_x_1_u0)) (portRef (member raddr2 1) (instanceRef a8_x_0_u0)) )) (net (rename raddr2_6 "raddr2[6]") (joined (portRef (member raddr2 0)) (portRef (member raddr2 0) (instanceRef a8_x_1_u0)) (portRef (member raddr2 0) (instanceRef a8_x_0_u0)) )) (net (rename datain_18 "datain[18]") (joined (portRef (member datain 13)) (portRef (member datain 13) (instanceRef a8_x_1_u0)) )) (net (rename datain_19 "datain[19]") (joined (portRef (member datain 12)) (portRef (member datain 12) (instanceRef a8_x_1_u0)) )) (net (rename datain_20 "datain[20]") (joined (portRef (member datain 11)) (portRef (member datain 11) (instanceRef a8_x_1_u0)) )) (net (rename datain_21 "datain[21]") (joined (portRef (member datain 10)) (portRef (member datain 10) (instanceRef a8_x_1_u0)) )) (net (rename datain_22 "datain[22]") (joined (portRef (member datain 9)) (portRef (member datain 9) (instanceRef a8_x_1_u0)) )) (net (rename datain_23 "datain[23]") (joined (portRef (member datain 8)) (portRef (member datain 8) (instanceRef a8_x_1_u0)) )) (net (rename datain_24 "datain[24]") (joined (portRef (member datain 7)) (portRef (member datain 7) (instanceRef a8_x_1_u0)) )) (net (rename datain_25 "datain[25]") (joined (portRef (member datain 6)) (portRef (member datain 6) (instanceRef a8_x_1_u0)) )) (net (rename datain_26 "datain[26]") (joined (portRef (member datain 5)) (portRef (member datain 5) (instanceRef a8_x_1_u0)) )) (net (rename datain_27 "datain[27]") (joined (portRef (member datain 4)) (portRef (member datain 4) (instanceRef a8_x_1_u0)) )) (net (rename datain_28 "datain[28]") (joined (portRef (member datain 3)) (portRef (member datain 3) (instanceRef a8_x_1_u0)) )) (net (rename datain_29 "datain[29]") (joined (portRef (member datain 2)) (portRef (member datain 2) (instanceRef a8_x_1_u0)) )) (net (rename datain_30 "datain[30]") (joined (portRef (member datain 1)) (portRef (member datain 1) (instanceRef a8_x_1_u0)) )) (net (rename datain_31 "datain[31]") (joined (portRef (member datain 0)) (portRef (member datain 0) (instanceRef a8_x_1_u0)) )) (net (rename data2_18 "data2[18]") (joined (portRef (member data2 13) (instanceRef a8_x_1_u0)) (portRef (member data2 13)) )) (net (rename data2_19 "data2[19]") (joined (portRef (member data2 12) (instanceRef a8_x_1_u0)) (portRef (member data2 12)) )) (net (rename data2_20 "data2[20]") (joined (portRef (member data2 11) (instanceRef a8_x_1_u0)) (portRef (member data2 11)) )) (net (rename data2_21 "data2[21]") (joined (portRef (member data2 10) (instanceRef a8_x_1_u0)) (portRef (member data2 10)) )) (net (rename data2_22 "data2[22]") (joined (portRef (member data2 9) (instanceRef a8_x_1_u0)) (portRef (member data2 9)) )) (net (rename data2_23 "data2[23]") (joined (portRef (member data2 8) (instanceRef a8_x_1_u0)) (portRef (member data2 8)) )) (net (rename data2_24 "data2[24]") (joined (portRef (member data2 7) (instanceRef a8_x_1_u0)) (portRef (member data2 7)) )) (net (rename data2_25 "data2[25]") (joined (portRef (member data2 6) (instanceRef a8_x_1_u0)) (portRef (member data2 6)) )) (net (rename data2_26 "data2[26]") (joined (portRef (member data2 5) (instanceRef a8_x_1_u0)) (portRef (member data2 5)) )) (net (rename data2_27 "data2[27]") (joined (portRef (member data2 4) (instanceRef a8_x_1_u0)) (portRef (member data2 4)) )) (net (rename data2_28 "data2[28]") (joined (portRef (member data2 3) (instanceRef a8_x_1_u0)) (portRef (member data2 3)) )) (net (rename data2_29 "data2[29]") (joined (portRef (member data2 2) (instanceRef a8_x_1_u0)) (portRef (member data2 2)) )) (net (rename data2_30 "data2[30]") (joined (portRef (member data2 1) (instanceRef a8_x_1_u0)) (portRef (member data2 1)) )) (net (rename data2_31 "data2[31]") (joined (portRef (member data2 0) (instanceRef a8_x_1_u0)) (portRef (member data2 0)) )) (net wren_i (joined (portRef wren_i) (portRef wren_i (instanceRef a8_x_1_u0)) (portRef wren_i (instanceRef a8_x_0_u0)) )) (net proasic3_syncram_2p_7_32_1_VCC (joined (portRef proasic3_syncram_2p_7_32_1_VCC) (portRef proasic3_ram512x18_3_VCC (instanceRef a8_x_1_u0)) (portRef proasic3_ram512x18_2_VCC (instanceRef a8_x_0_u0)) )) (net ren2 (joined (portRef ren2) (portRef ren2 (instanceRef a8_x_1_u0)) (portRef ren2 (instanceRef a8_x_0_u0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef a8_x_1_u0)) (portRef ramclk (instanceRef a8_x_0_u0)) )) (net proasic3_syncram_2p_7_32_1_GND (joined (portRef proasic3_syncram_2p_7_32_1_GND) (portRef proasic3_ram512x18_3_GND (instanceRef a8_x_1_u0)) (portRef proasic3_ram512x18_2_GND (instanceRef a8_x_0_u0)) )) (net un4_scantestbp (joined (portRef un4_scantestbp) (portRef un4_scantestbp (instanceRef a8_x_1_u0)) (portRef un4_scantestbp (instanceRef a8_x_0_u0)) )) (net un4_scantestbp_0_0 (joined (portRef un4_scantestbp_0_0) (portRef un4_scantestbp_0_0 (instanceRef a8_x_1_u0)) (portRef un4_scantestbp_0_0 (instanceRef a8_x_0_u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 7)) (property dbits (integer 32)) ) ) (cell proasic3_syncram_2p_7_32 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename data1 "data1[31:0]") 32) (direction OUTPUT)) (port (array (rename datain "datain[31:0]") 32) (direction INPUT)) (port (array (rename raddr1 "raddr1[6:0]") 7) (direction INPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction INPUT)) (port (array (rename waddr "waddr[6:1]") 6) (direction INPUT)) (port (array (rename wdata "wdata[31:0]") 32) (direction INPUT)) (port un4_scantestbp_0_0 (direction INPUT)) (port un4_scantestbp (direction INPUT)) (port proasic3_syncram_2p_7_32_GND (direction INPUT)) (port ramclk (direction INPUT)) (port renable_i_1 (direction INPUT)) (port proasic3_syncram_2p_7_32_VCC (direction INPUT)) (port wren_i (direction INPUT)) ) (contents (instance (rename a8_x_0_u0 "a8.x.0.u0") (viewRef netlist (cellRef proasic3_ram512x18)) ) (instance (rename a8_x_1_u0 "a8.x.1.u0") (viewRef netlist (cellRef proasic3_ram512x18_1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename wdata_0 "wdata[0]") (joined (portRef (member wdata 31)) (portRef (member wdata 17) (instanceRef a8_x_0_u0)) )) (net (rename wdata_1 "wdata[1]") (joined (portRef (member wdata 30)) (portRef (member wdata 16) (instanceRef a8_x_0_u0)) )) (net (rename wdata_2 "wdata[2]") (joined (portRef (member wdata 29)) (portRef (member wdata 15) (instanceRef a8_x_0_u0)) )) (net (rename wdata_3 "wdata[3]") (joined (portRef (member wdata 28)) (portRef (member wdata 14) (instanceRef a8_x_0_u0)) )) (net (rename wdata_4 "wdata[4]") (joined (portRef (member wdata 27)) (portRef (member wdata 13) (instanceRef a8_x_0_u0)) )) (net (rename wdata_5 "wdata[5]") (joined (portRef (member wdata 26)) (portRef (member wdata 12) (instanceRef a8_x_0_u0)) )) (net (rename wdata_6 "wdata[6]") (joined (portRef (member wdata 25)) (portRef (member wdata 11) (instanceRef a8_x_0_u0)) )) (net (rename wdata_7 "wdata[7]") (joined (portRef (member wdata 24)) (portRef (member wdata 10) (instanceRef a8_x_0_u0)) )) (net (rename wdata_8 "wdata[8]") (joined (portRef (member wdata 23)) (portRef (member wdata 9) (instanceRef a8_x_0_u0)) )) (net (rename wdata_9 "wdata[9]") (joined (portRef (member wdata 22)) (portRef (member wdata 8) (instanceRef a8_x_0_u0)) )) (net (rename wdata_10 "wdata[10]") (joined (portRef (member wdata 21)) (portRef (member wdata 7) (instanceRef a8_x_0_u0)) )) (net (rename wdata_11 "wdata[11]") (joined (portRef (member wdata 20)) (portRef (member wdata 6) (instanceRef a8_x_0_u0)) )) (net (rename wdata_12 "wdata[12]") (joined (portRef (member wdata 19)) (portRef (member wdata 5) (instanceRef a8_x_0_u0)) )) (net (rename wdata_13 "wdata[13]") (joined (portRef (member wdata 18)) (portRef (member wdata 4) (instanceRef a8_x_0_u0)) )) (net (rename wdata_14 "wdata[14]") (joined (portRef (member wdata 17)) (portRef (member wdata 3) (instanceRef a8_x_0_u0)) )) (net (rename wdata_15 "wdata[15]") (joined (portRef (member wdata 16)) (portRef (member wdata 2) (instanceRef a8_x_0_u0)) )) (net (rename wdata_16 "wdata[16]") (joined (portRef (member wdata 15)) (portRef (member wdata 1) (instanceRef a8_x_0_u0)) )) (net (rename wdata_17 "wdata[17]") (joined (portRef (member wdata 14)) (portRef (member wdata 0) (instanceRef a8_x_0_u0)) )) (net (rename datain_0 "datain[0]") (joined (portRef (member datain 31)) (portRef (member datain 17) (instanceRef a8_x_0_u0)) )) (net (rename datain_1 "datain[1]") (joined (portRef (member datain 30)) (portRef (member datain 16) (instanceRef a8_x_0_u0)) )) (net (rename datain_2 "datain[2]") (joined (portRef (member datain 29)) (portRef (member datain 15) (instanceRef a8_x_0_u0)) )) (net (rename datain_3 "datain[3]") (joined (portRef (member datain 28)) (portRef (member datain 14) (instanceRef a8_x_0_u0)) )) (net (rename datain_4 "datain[4]") (joined (portRef (member datain 27)) (portRef (member datain 13) (instanceRef a8_x_0_u0)) )) (net (rename datain_5 "datain[5]") (joined (portRef (member datain 26)) (portRef (member datain 12) (instanceRef a8_x_0_u0)) )) (net (rename datain_6 "datain[6]") (joined (portRef (member datain 25)) (portRef (member datain 11) (instanceRef a8_x_0_u0)) )) (net (rename datain_7 "datain[7]") (joined (portRef (member datain 24)) (portRef (member datain 10) (instanceRef a8_x_0_u0)) )) (net (rename datain_8 "datain[8]") (joined (portRef (member datain 23)) (portRef (member datain 9) (instanceRef a8_x_0_u0)) )) (net (rename datain_9 "datain[9]") (joined (portRef (member datain 22)) (portRef (member datain 8) (instanceRef a8_x_0_u0)) )) (net (rename datain_10 "datain[10]") (joined (portRef (member datain 21)) (portRef (member datain 7) (instanceRef a8_x_0_u0)) )) (net (rename datain_11 "datain[11]") (joined (portRef (member datain 20)) (portRef (member datain 6) (instanceRef a8_x_0_u0)) )) (net (rename datain_12 "datain[12]") (joined (portRef (member datain 19)) (portRef (member datain 5) (instanceRef a8_x_0_u0)) )) (net (rename datain_13 "datain[13]") (joined (portRef (member datain 18)) (portRef (member datain 4) (instanceRef a8_x_0_u0)) )) (net (rename datain_14 "datain[14]") (joined (portRef (member datain 17)) (portRef (member datain 3) (instanceRef a8_x_0_u0)) )) (net (rename datain_15 "datain[15]") (joined (portRef (member datain 16)) (portRef (member datain 2) (instanceRef a8_x_0_u0)) )) (net (rename datain_16 "datain[16]") (joined (portRef (member datain 15)) (portRef (member datain 1) (instanceRef a8_x_0_u0)) )) (net (rename datain_17 "datain[17]") (joined (portRef (member datain 14)) (portRef (member datain 0) (instanceRef a8_x_0_u0)) )) (net (rename data1_0 "data1[0]") (joined (portRef (member data1 17) (instanceRef a8_x_0_u0)) (portRef (member data1 31)) )) (net (rename data1_1 "data1[1]") (joined (portRef (member data1 16) (instanceRef a8_x_0_u0)) (portRef (member data1 30)) )) (net (rename data1_2 "data1[2]") (joined (portRef (member data1 15) (instanceRef a8_x_0_u0)) (portRef (member data1 29)) )) (net (rename data1_3 "data1[3]") (joined (portRef (member data1 14) (instanceRef a8_x_0_u0)) (portRef (member data1 28)) )) (net (rename data1_4 "data1[4]") (joined (portRef (member data1 13) (instanceRef a8_x_0_u0)) (portRef (member data1 27)) )) (net (rename data1_5 "data1[5]") (joined (portRef (member data1 12) (instanceRef a8_x_0_u0)) (portRef (member data1 26)) )) (net (rename data1_6 "data1[6]") (joined (portRef (member data1 11) (instanceRef a8_x_0_u0)) (portRef (member data1 25)) )) (net (rename data1_7 "data1[7]") (joined (portRef (member data1 10) (instanceRef a8_x_0_u0)) (portRef (member data1 24)) )) (net (rename data1_8 "data1[8]") (joined (portRef (member data1 9) (instanceRef a8_x_0_u0)) (portRef (member data1 23)) )) (net (rename data1_9 "data1[9]") (joined (portRef (member data1 8) (instanceRef a8_x_0_u0)) (portRef (member data1 22)) )) (net (rename data1_10 "data1[10]") (joined (portRef (member data1 7) (instanceRef a8_x_0_u0)) (portRef (member data1 21)) )) (net (rename data1_11 "data1[11]") (joined (portRef (member data1 6) (instanceRef a8_x_0_u0)) (portRef (member data1 20)) )) (net (rename data1_12 "data1[12]") (joined (portRef (member data1 5) (instanceRef a8_x_0_u0)) (portRef (member data1 19)) )) (net (rename data1_13 "data1[13]") (joined (portRef (member data1 4) (instanceRef a8_x_0_u0)) (portRef (member data1 18)) )) (net (rename data1_14 "data1[14]") (joined (portRef (member data1 3) (instanceRef a8_x_0_u0)) (portRef (member data1 17)) )) (net (rename data1_15 "data1[15]") (joined (portRef (member data1 2) (instanceRef a8_x_0_u0)) (portRef (member data1 16)) )) (net (rename data1_16 "data1[16]") (joined (portRef (member data1 1) (instanceRef a8_x_0_u0)) (portRef (member data1 15)) )) (net (rename data1_17 "data1[17]") (joined (portRef (member data1 0) (instanceRef a8_x_0_u0)) (portRef (member data1 14)) )) (net (rename wdata_18 "wdata[18]") (joined (portRef (member wdata 13)) (portRef (member wdata 13) (instanceRef a8_x_1_u0)) )) (net (rename wdata_19 "wdata[19]") (joined (portRef (member wdata 12)) (portRef (member wdata 12) (instanceRef a8_x_1_u0)) )) (net (rename wdata_20 "wdata[20]") (joined (portRef (member wdata 11)) (portRef (member wdata 11) (instanceRef a8_x_1_u0)) )) (net (rename wdata_21 "wdata[21]") (joined (portRef (member wdata 10)) (portRef (member wdata 10) (instanceRef a8_x_1_u0)) )) (net (rename wdata_22 "wdata[22]") (joined (portRef (member wdata 9)) (portRef (member wdata 9) (instanceRef a8_x_1_u0)) )) (net (rename wdata_23 "wdata[23]") (joined (portRef (member wdata 8)) (portRef (member wdata 8) (instanceRef a8_x_1_u0)) )) (net (rename wdata_24 "wdata[24]") (joined (portRef (member wdata 7)) (portRef (member wdata 7) (instanceRef a8_x_1_u0)) )) (net (rename wdata_25 "wdata[25]") (joined (portRef (member wdata 6)) (portRef (member wdata 6) (instanceRef a8_x_1_u0)) )) (net (rename wdata_26 "wdata[26]") (joined (portRef (member wdata 5)) (portRef (member wdata 5) (instanceRef a8_x_1_u0)) )) (net (rename wdata_27 "wdata[27]") (joined (portRef (member wdata 4)) (portRef (member wdata 4) (instanceRef a8_x_1_u0)) )) (net (rename wdata_28 "wdata[28]") (joined (portRef (member wdata 3)) (portRef (member wdata 3) (instanceRef a8_x_1_u0)) )) (net (rename wdata_29 "wdata[29]") (joined (portRef (member wdata 2)) (portRef (member wdata 2) (instanceRef a8_x_1_u0)) )) (net (rename wdata_30 "wdata[30]") (joined (portRef (member wdata 1)) (portRef (member wdata 1) (instanceRef a8_x_1_u0)) )) (net (rename wdata_31 "wdata[31]") (joined (portRef (member wdata 0)) (portRef (member wdata 0) (instanceRef a8_x_1_u0)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef (member waddr 5)) (portRef (member waddr 5) (instanceRef a8_x_1_u0)) (portRef (member waddr 5) (instanceRef a8_x_0_u0)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef (member waddr 4)) (portRef (member waddr 4) (instanceRef a8_x_1_u0)) (portRef (member waddr 4) (instanceRef a8_x_0_u0)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef (member waddr 3)) (portRef (member waddr 3) (instanceRef a8_x_1_u0)) (portRef (member waddr 3) (instanceRef a8_x_0_u0)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef (member waddr 2)) (portRef (member waddr 2) (instanceRef a8_x_1_u0)) (portRef (member waddr 2) (instanceRef a8_x_0_u0)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef (member waddr 1)) (portRef (member waddr 1) (instanceRef a8_x_1_u0)) (portRef (member waddr 1) (instanceRef a8_x_0_u0)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef (member waddr 0)) (portRef (member waddr 0) (instanceRef a8_x_1_u0)) (portRef (member waddr 0) (instanceRef a8_x_0_u0)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0)) (portRef (member wa_0_iv 0) (instanceRef a8_x_1_u0)) (portRef (member wa_0_iv 0) (instanceRef a8_x_0_u0)) )) (net (rename raddr1_0 "raddr1[0]") (joined (portRef (member raddr1 6)) (portRef (member raddr1 6) (instanceRef a8_x_1_u0)) (portRef (member raddr1 6) (instanceRef a8_x_0_u0)) )) (net (rename raddr1_1 "raddr1[1]") (joined (portRef (member raddr1 5)) (portRef (member raddr1 5) (instanceRef a8_x_1_u0)) (portRef (member raddr1 5) (instanceRef a8_x_0_u0)) )) (net (rename raddr1_2 "raddr1[2]") (joined (portRef (member raddr1 4)) (portRef (member raddr1 4) (instanceRef a8_x_1_u0)) (portRef (member raddr1 4) (instanceRef a8_x_0_u0)) )) (net (rename raddr1_3 "raddr1[3]") (joined (portRef (member raddr1 3)) (portRef (member raddr1 3) (instanceRef a8_x_1_u0)) (portRef (member raddr1 3) (instanceRef a8_x_0_u0)) )) (net (rename raddr1_4 "raddr1[4]") (joined (portRef (member raddr1 2)) (portRef (member raddr1 2) (instanceRef a8_x_1_u0)) (portRef (member raddr1 2) (instanceRef a8_x_0_u0)) )) (net (rename raddr1_5 "raddr1[5]") (joined (portRef (member raddr1 1)) (portRef (member raddr1 1) (instanceRef a8_x_1_u0)) (portRef (member raddr1 1) (instanceRef a8_x_0_u0)) )) (net (rename raddr1_6 "raddr1[6]") (joined (portRef (member raddr1 0)) (portRef (member raddr1 0) (instanceRef a8_x_1_u0)) (portRef (member raddr1 0) (instanceRef a8_x_0_u0)) )) (net (rename datain_18 "datain[18]") (joined (portRef (member datain 13)) (portRef (member datain 13) (instanceRef a8_x_1_u0)) )) (net (rename datain_19 "datain[19]") (joined (portRef (member datain 12)) (portRef (member datain 12) (instanceRef a8_x_1_u0)) )) (net (rename datain_20 "datain[20]") (joined (portRef (member datain 11)) (portRef (member datain 11) (instanceRef a8_x_1_u0)) )) (net (rename datain_21 "datain[21]") (joined (portRef (member datain 10)) (portRef (member datain 10) (instanceRef a8_x_1_u0)) )) (net (rename datain_22 "datain[22]") (joined (portRef (member datain 9)) (portRef (member datain 9) (instanceRef a8_x_1_u0)) )) (net (rename datain_23 "datain[23]") (joined (portRef (member datain 8)) (portRef (member datain 8) (instanceRef a8_x_1_u0)) )) (net (rename datain_24 "datain[24]") (joined (portRef (member datain 7)) (portRef (member datain 7) (instanceRef a8_x_1_u0)) )) (net (rename datain_25 "datain[25]") (joined (portRef (member datain 6)) (portRef (member datain 6) (instanceRef a8_x_1_u0)) )) (net (rename datain_26 "datain[26]") (joined (portRef (member datain 5)) (portRef (member datain 5) (instanceRef a8_x_1_u0)) )) (net (rename datain_27 "datain[27]") (joined (portRef (member datain 4)) (portRef (member datain 4) (instanceRef a8_x_1_u0)) )) (net (rename datain_28 "datain[28]") (joined (portRef (member datain 3)) (portRef (member datain 3) (instanceRef a8_x_1_u0)) )) (net (rename datain_29 "datain[29]") (joined (portRef (member datain 2)) (portRef (member datain 2) (instanceRef a8_x_1_u0)) )) (net (rename datain_30 "datain[30]") (joined (portRef (member datain 1)) (portRef (member datain 1) (instanceRef a8_x_1_u0)) )) (net (rename datain_31 "datain[31]") (joined (portRef (member datain 0)) (portRef (member datain 0) (instanceRef a8_x_1_u0)) )) (net (rename data1_18 "data1[18]") (joined (portRef (member data1 13) (instanceRef a8_x_1_u0)) (portRef (member data1 13)) )) (net (rename data1_19 "data1[19]") (joined (portRef (member data1 12) (instanceRef a8_x_1_u0)) (portRef (member data1 12)) )) (net (rename data1_20 "data1[20]") (joined (portRef (member data1 11) (instanceRef a8_x_1_u0)) (portRef (member data1 11)) )) (net (rename data1_21 "data1[21]") (joined (portRef (member data1 10) (instanceRef a8_x_1_u0)) (portRef (member data1 10)) )) (net (rename data1_22 "data1[22]") (joined (portRef (member data1 9) (instanceRef a8_x_1_u0)) (portRef (member data1 9)) )) (net (rename data1_23 "data1[23]") (joined (portRef (member data1 8) (instanceRef a8_x_1_u0)) (portRef (member data1 8)) )) (net (rename data1_24 "data1[24]") (joined (portRef (member data1 7) (instanceRef a8_x_1_u0)) (portRef (member data1 7)) )) (net (rename data1_25 "data1[25]") (joined (portRef (member data1 6) (instanceRef a8_x_1_u0)) (portRef (member data1 6)) )) (net (rename data1_26 "data1[26]") (joined (portRef (member data1 5) (instanceRef a8_x_1_u0)) (portRef (member data1 5)) )) (net (rename data1_27 "data1[27]") (joined (portRef (member data1 4) (instanceRef a8_x_1_u0)) (portRef (member data1 4)) )) (net (rename data1_28 "data1[28]") (joined (portRef (member data1 3) (instanceRef a8_x_1_u0)) (portRef (member data1 3)) )) (net (rename data1_29 "data1[29]") (joined (portRef (member data1 2) (instanceRef a8_x_1_u0)) (portRef (member data1 2)) )) (net (rename data1_30 "data1[30]") (joined (portRef (member data1 1) (instanceRef a8_x_1_u0)) (portRef (member data1 1)) )) (net (rename data1_31 "data1[31]") (joined (portRef (member data1 0) (instanceRef a8_x_1_u0)) (portRef (member data1 0)) )) (net wren_i (joined (portRef wren_i) (portRef wren_i (instanceRef a8_x_1_u0)) (portRef wren_i (instanceRef a8_x_0_u0)) )) (net proasic3_syncram_2p_7_32_VCC (joined (portRef proasic3_syncram_2p_7_32_VCC) (portRef proasic3_ram512x18_1_VCC (instanceRef a8_x_1_u0)) (portRef proasic3_ram512x18_VCC (instanceRef a8_x_0_u0)) )) (net renable_i_1 (joined (portRef renable_i_1) (portRef renable_i_1 (instanceRef a8_x_1_u0)) (portRef renable_i_1 (instanceRef a8_x_0_u0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef a8_x_1_u0)) (portRef ramclk (instanceRef a8_x_0_u0)) )) (net proasic3_syncram_2p_7_32_GND (joined (portRef proasic3_syncram_2p_7_32_GND) (portRef proasic3_ram512x18_1_GND (instanceRef a8_x_1_u0)) (portRef proasic3_ram512x18_GND (instanceRef a8_x_0_u0)) )) (net un4_scantestbp (joined (portRef un4_scantestbp) (portRef un4_scantestbp (instanceRef a8_x_1_u0)) (portRef un4_scantestbp (instanceRef a8_x_0_u0)) )) (net un4_scantestbp_0_0 (joined (portRef un4_scantestbp_0_0) (portRef un4_scantestbp_0_0 (instanceRef a8_x_1_u0)) (portRef un4_scantestbp_0_0 (instanceRef a8_x_0_u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 7)) (property dbits (integer 32)) ) ) (cell proasic3_syncram_10_32_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port (array (rename size_rni1g16u "size_RNI1G16U[1:1]") 1) (direction INPUT)) (port (array (rename size_rnis2oau "size_RNIS2OAU[1:1]") 1) (direction INPUT)) (port (array (rename size_rnitkmlu "size_RNITKMLU[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni5432u "dstate_RNI5432U[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port (array (rename dstate_rnipkcmd1 "dstate_RNIPKCMD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnir5pqd1 "dstate_RNIR5PQD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnibj5kd1 "dstate_RNIBJ5KD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniophjd1 "dstate_RNIOPHJD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnisu72d1 "dstate_RNISU72D1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnigbkhb1 "dstate_RNIGBKHB1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnivapcb1 "dstate_RNIVAPCB1[1:1]") 1) (direction INPUT)) (port (array (rename size_rniq6o4u "size_RNIQ6O4U[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni08ulu "dstate_RNI08ULU[1:1]") 1) (direction INPUT)) (port (array (rename size_rniqafgu "size_RNIQAFGU[1:1]") 1) (direction INPUT)) (port (array (rename size_rniiu3pu "size_RNIIU3PU[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnia7si91 "dstate_RNIA7SI91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnitlpg91 "dstate_RNITLPG91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniiltr91 "dstate_RNIILTR91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni0v0e91 "dstate_RNI0V0E91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniosstg1 "dstate_RNIOSSTG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnistgfh1 "dstate_RNISTGFH1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni8cbsg1 "dstate_RNI8CBSG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni8bdug1 "dstate_RNI8BDUG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnir83tf1 "dstate_RNIR83TF1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniak9of1 "dstate_RNIAK9OF1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnij6pe91 "dstate_RNIJ6PE91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni5c6e91 "dstate_RNI5C6E91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniuuch91 "dstate_RNIUUCH91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni3jq791 "dstate_RNI3JQ791[1:1]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_syncram_10_32_1_VCC (direction INPUT)) (port proasic3_syncram_10_32_1_GND (direction INPUT)) (port u0_DOUTA3_6 (direction OUTPUT)) (port u0_DOUTA2_6 (direction OUTPUT)) (port u0_DOUTA1_6 (direction OUTPUT)) (port u0_DOUTA0_6 (direction OUTPUT)) (port read_RNIGQ6ND1 (direction INPUT)) (port u0_DOUTA3_3 (direction OUTPUT)) (port u0_DOUTA2_3 (direction OUTPUT)) (port u0_DOUTA1_3 (direction OUTPUT)) (port u0_DOUTA0_3 (direction OUTPUT)) (port u0_DOUTA3_4 (direction OUTPUT)) (port u0_DOUTA2_4 (direction OUTPUT)) (port u0_DOUTA1_4 (direction OUTPUT)) (port u0_DOUTA0_4 (direction OUTPUT)) (port u0_DOUTA3_5 (direction OUTPUT)) (port u0_DOUTA2_5 (direction OUTPUT)) (port u0_DOUTA1_5 (direction OUTPUT)) (port u0_DOUTA0_5 (direction OUTPUT)) (port u0_DOUTA3_2 (direction OUTPUT)) (port u0_DOUTA2_2 (direction OUTPUT)) (port u0_DOUTA1_2 (direction OUTPUT)) (port u0_DOUTA0_2 (direction OUTPUT)) (port read_RNIV144H1 (direction INPUT)) (port u0_DOUTA3 (direction OUTPUT)) (port u0_DOUTA2 (direction OUTPUT)) (port u0_DOUTA1 (direction OUTPUT)) (port u0_DOUTA0 (direction OUTPUT)) (port read_RNILMNHG1 (direction INPUT)) (port u0_DOUTA3_0 (direction OUTPUT)) (port u0_DOUTA2_0 (direction OUTPUT)) (port u0_DOUTA1_0 (direction OUTPUT)) (port u0_DOUTA0_0 (direction OUTPUT)) (port u0_DOUTA3_1 (direction OUTPUT)) (port u0_DOUTA2_1 (direction OUTPUT)) (port u0_DOUTA1_1 (direction OUTPUT)) (port u0_DOUTA0_1 (direction OUTPUT)) ) (contents (instance (rename rdp_u0 "rdp.u0") (viewRef netlist (cellRef proasic3_syncram_dp_1)) (property dbits (integer 32)) (property abits (integer 10)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename dstate_RNI3JQ791_1 "dstate_RNI3JQ791[1]") (joined (portRef (member dstate_rni3jq791 0)) (portRef (member dstate_rni3jq791 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIUUCH91_1 "dstate_RNIUUCH91[1]") (joined (portRef (member dstate_rniuuch91 0)) (portRef (member dstate_rniuuch91 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNI5C6E91_1 "dstate_RNI5C6E91[1]") (joined (portRef (member dstate_rni5c6e91 0)) (portRef (member dstate_rni5c6e91 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIJ6PE91_1 "dstate_RNIJ6PE91[1]") (joined (portRef (member dstate_rnij6pe91 0)) (portRef (member dstate_rnij6pe91 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIAK9OF1_1 "dstate_RNIAK9OF1[1]") (joined (portRef (member dstate_rniak9of1 0)) (portRef (member dstate_rniak9of1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIR83TF1_1 "dstate_RNIR83TF1[1]") (joined (portRef (member dstate_rnir83tf1 0)) (portRef (member dstate_rnir83tf1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNI8BDUG1_1 "dstate_RNI8BDUG1[1]") (joined (portRef (member dstate_rni8bdug1 0)) (portRef (member dstate_rni8bdug1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNI8CBSG1_1 "dstate_RNI8CBSG1[1]") (joined (portRef (member dstate_rni8cbsg1 0)) (portRef (member dstate_rni8cbsg1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNISTGFH1_1 "dstate_RNISTGFH1[1]") (joined (portRef (member dstate_rnistgfh1 0)) (portRef (member dstate_rnistgfh1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIOSSTG1_1 "dstate_RNIOSSTG1[1]") (joined (portRef (member dstate_rniosstg1 0)) (portRef (member dstate_rniosstg1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNI0V0E91_1 "dstate_RNI0V0E91[1]") (joined (portRef (member dstate_rni0v0e91 0)) (portRef (member dstate_rni0v0e91 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIILTR91_1 "dstate_RNIILTR91[1]") (joined (portRef (member dstate_rniiltr91 0)) (portRef (member dstate_rniiltr91 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNITLPG91_1 "dstate_RNITLPG91[1]") (joined (portRef (member dstate_rnitlpg91 0)) (portRef (member dstate_rnitlpg91 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIA7SI91_1 "dstate_RNIA7SI91[1]") (joined (portRef (member dstate_rnia7si91 0)) (portRef (member dstate_rnia7si91 0) (instanceRef rdp_u0)) )) (net (rename size_RNIIU3PU_1 "size_RNIIU3PU[1]") (joined (portRef (member size_rniiu3pu 0)) (portRef (member size_rniiu3pu 0) (instanceRef rdp_u0)) )) (net (rename size_RNIQAFGU_1 "size_RNIQAFGU[1]") (joined (portRef (member size_rniqafgu 0)) (portRef (member size_rniqafgu 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNI08ULU_1 "dstate_RNI08ULU[1]") (joined (portRef (member dstate_rni08ulu 0)) (portRef (member dstate_rni08ulu 0) (instanceRef rdp_u0)) )) (net (rename size_RNIQ6O4U_1 "size_RNIQ6O4U[1]") (joined (portRef (member size_rniq6o4u 0)) (portRef (member size_rniq6o4u 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIVAPCB1_1 "dstate_RNIVAPCB1[1]") (joined (portRef (member dstate_rnivapcb1 0)) (portRef (member dstate_rnivapcb1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIGBKHB1_1 "dstate_RNIGBKHB1[1]") (joined (portRef (member dstate_rnigbkhb1 0)) (portRef (member dstate_rnigbkhb1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNISU72D1_1 "dstate_RNISU72D1[1]") (joined (portRef (member dstate_rnisu72d1 0)) (portRef (member dstate_rnisu72d1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIOPHJD1_1 "dstate_RNIOPHJD1[1]") (joined (portRef (member dstate_rniophjd1 0)) (portRef (member dstate_rniophjd1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIBJ5KD1_1 "dstate_RNIBJ5KD1[1]") (joined (portRef (member dstate_rnibj5kd1 0)) (portRef (member dstate_rnibj5kd1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIR5PQD1_1 "dstate_RNIR5PQD1[1]") (joined (portRef (member dstate_rnir5pqd1 0)) (portRef (member dstate_rnir5pqd1 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIPKCMD1_1 "dstate_RNIPKCMD1[1]") (joined (portRef (member dstate_rnipkcmd1 0)) (portRef (member dstate_rnipkcmd1 0) (instanceRef rdp_u0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef (member xaddress_rni9p28c 0) (instanceRef rdp_u0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef (member faddr_rnik42hd 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef (member faddr_rnig8pkf 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef (member faddr_rni1entg 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef (member faddr_rnivnqnh 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef (member faddr_rni6usbi 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef (member faddr_rniin4om 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef (member faddr_rni49a0q 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef (member faddr_rnimvm8u 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNI5432U_1 "dstate_RNI5432U[1]") (joined (portRef (member dstate_rni5432u 0)) (portRef (member dstate_rni5432u 0) (instanceRef rdp_u0)) )) (net (rename size_RNITKMLU_1 "size_RNITKMLU[1]") (joined (portRef (member size_rnitkmlu 0)) (portRef (member size_rnitkmlu 0) (instanceRef rdp_u0)) )) (net (rename size_RNIS2OAU_1 "size_RNIS2OAU[1]") (joined (portRef (member size_rnis2oau 0)) (portRef (member size_rnis2oau 0) (instanceRef rdp_u0)) )) (net (rename size_RNI1G16U_1 "size_RNI1G16U[1]") (joined (portRef (member size_rni1g16u 0)) (portRef (member size_rni1g16u 0) (instanceRef rdp_u0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef rdp_u0)) )) (net u0_DOUTA0_1 (joined (portRef u0_DOUTA0_1 (instanceRef rdp_u0)) (portRef u0_DOUTA0_1) )) (net u0_DOUTA1_1 (joined (portRef u0_DOUTA1_1 (instanceRef rdp_u0)) (portRef u0_DOUTA1_1) )) (net u0_DOUTA2_1 (joined (portRef u0_DOUTA2_1 (instanceRef rdp_u0)) (portRef u0_DOUTA2_1) )) (net u0_DOUTA3_1 (joined (portRef u0_DOUTA3_1 (instanceRef rdp_u0)) (portRef u0_DOUTA3_1) )) (net u0_DOUTA0_0 (joined (portRef u0_DOUTA0_0 (instanceRef rdp_u0)) (portRef u0_DOUTA0_0) )) (net u0_DOUTA1_0 (joined (portRef u0_DOUTA1_0 (instanceRef rdp_u0)) (portRef u0_DOUTA1_0) )) (net u0_DOUTA2_0 (joined (portRef u0_DOUTA2_0 (instanceRef rdp_u0)) (portRef u0_DOUTA2_0) )) (net u0_DOUTA3_0 (joined (portRef u0_DOUTA3_0 (instanceRef rdp_u0)) (portRef u0_DOUTA3_0) )) (net read_RNILMNHG1 (joined (portRef read_RNILMNHG1) (portRef read_RNILMNHG1 (instanceRef rdp_u0)) )) (net u0_DOUTA0 (joined (portRef u0_DOUTA0 (instanceRef rdp_u0)) (portRef u0_DOUTA0) )) (net u0_DOUTA1 (joined (portRef u0_DOUTA1 (instanceRef rdp_u0)) (portRef u0_DOUTA1) )) (net u0_DOUTA2 (joined (portRef u0_DOUTA2 (instanceRef rdp_u0)) (portRef u0_DOUTA2) )) (net u0_DOUTA3 (joined (portRef u0_DOUTA3 (instanceRef rdp_u0)) (portRef u0_DOUTA3) )) (net read_RNIV144H1 (joined (portRef read_RNIV144H1) (portRef read_RNIV144H1 (instanceRef rdp_u0)) )) (net u0_DOUTA0_2 (joined (portRef u0_DOUTA0_2 (instanceRef rdp_u0)) (portRef u0_DOUTA0_2) )) (net u0_DOUTA1_2 (joined (portRef u0_DOUTA1_2 (instanceRef rdp_u0)) (portRef u0_DOUTA1_2) )) (net u0_DOUTA2_2 (joined (portRef u0_DOUTA2_2 (instanceRef rdp_u0)) (portRef u0_DOUTA2_2) )) (net u0_DOUTA3_2 (joined (portRef u0_DOUTA3_2 (instanceRef rdp_u0)) (portRef u0_DOUTA3_2) )) (net u0_DOUTA0_5 (joined (portRef u0_DOUTA0_5 (instanceRef rdp_u0)) (portRef u0_DOUTA0_5) )) (net u0_DOUTA1_5 (joined (portRef u0_DOUTA1_5 (instanceRef rdp_u0)) (portRef u0_DOUTA1_5) )) (net u0_DOUTA2_5 (joined (portRef u0_DOUTA2_5 (instanceRef rdp_u0)) (portRef u0_DOUTA2_5) )) (net u0_DOUTA3_5 (joined (portRef u0_DOUTA3_5 (instanceRef rdp_u0)) (portRef u0_DOUTA3_5) )) (net u0_DOUTA0_4 (joined (portRef u0_DOUTA0_4 (instanceRef rdp_u0)) (portRef u0_DOUTA0_4) )) (net u0_DOUTA1_4 (joined (portRef u0_DOUTA1_4 (instanceRef rdp_u0)) (portRef u0_DOUTA1_4) )) (net u0_DOUTA2_4 (joined (portRef u0_DOUTA2_4 (instanceRef rdp_u0)) (portRef u0_DOUTA2_4) )) (net u0_DOUTA3_4 (joined (portRef u0_DOUTA3_4 (instanceRef rdp_u0)) (portRef u0_DOUTA3_4) )) (net u0_DOUTA0_3 (joined (portRef u0_DOUTA0_3 (instanceRef rdp_u0)) (portRef u0_DOUTA0_3) )) (net u0_DOUTA1_3 (joined (portRef u0_DOUTA1_3 (instanceRef rdp_u0)) (portRef u0_DOUTA1_3) )) (net u0_DOUTA2_3 (joined (portRef u0_DOUTA2_3 (instanceRef rdp_u0)) (portRef u0_DOUTA2_3) )) (net u0_DOUTA3_3 (joined (portRef u0_DOUTA3_3 (instanceRef rdp_u0)) (portRef u0_DOUTA3_3) )) (net read_RNIGQ6ND1 (joined (portRef read_RNIGQ6ND1) (portRef read_RNIGQ6ND1 (instanceRef rdp_u0)) )) (net u0_DOUTA0_6 (joined (portRef u0_DOUTA0_6 (instanceRef rdp_u0)) (portRef u0_DOUTA0_6) )) (net u0_DOUTA1_6 (joined (portRef u0_DOUTA1_6 (instanceRef rdp_u0)) (portRef u0_DOUTA1_6) )) (net u0_DOUTA2_6 (joined (portRef u0_DOUTA2_6 (instanceRef rdp_u0)) (portRef u0_DOUTA2_6) )) (net u0_DOUTA3_6 (joined (portRef u0_DOUTA3_6 (instanceRef rdp_u0)) (portRef u0_DOUTA3_6) )) (net proasic3_syncram_10_32_1_GND (joined (portRef proasic3_syncram_10_32_1_GND) (portRef proasic3_syncram_dp_1_GND (instanceRef rdp_u0)) )) (net proasic3_syncram_10_32_1_VCC (joined (portRef proasic3_syncram_10_32_1_VCC) (portRef proasic3_syncram_dp_1_VCC (instanceRef rdp_u0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef rdp_u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 32)) ) ) (cell proasic3_syncram_8_24_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename xaddress_rnifbr7vm1 "xaddress_RNIFBR7VM1[23:23]") 1) (direction INPUT)) (port (array (rename xaddress_rniqoh8vm1 "xaddress_RNIQOH8VM1[22:22]") 1) (direction INPUT)) (port (array (rename xaddress_rnid0h8vm1 "xaddress_RNID0H8VM1[21:21]") 1) (direction INPUT)) (port (array (rename newptag_2 "newptag_2[20:20]") 1) (direction INPUT)) (port (array (rename xaddress_rnilq8h4r3 "xaddress_RNILQ8H4R3[19:19]") 1) (direction INPUT)) (port (array (rename xaddress_rnie9i8vm1 "xaddress_RNIE9I8VM1[18:18]") 1) (direction INPUT)) (port (array (rename xaddress_rni1hh8vm1 "xaddress_RNI1HH8VM1[17:17]") 1) (direction INPUT)) (port (array (rename xaddress_rnih8g8vm1 "xaddress_RNIH8G8VM1[16:16]") 1) (direction INPUT)) (port (array (rename xaddress_rni1q8h4r3 "xaddress_RNI1Q8H4R3[15:15]") 1) (direction INPUT)) (port (array (rename xaddress_rnifnvh4r3 "xaddress_RNIFNVH4R3[14:14]") 1) (direction INPUT)) (port (array (rename xaddress_rni4p6h4r3 "xaddress_RNI4P6H4R3[13:13]") 1) (direction INPUT)) (port (array (rename xaddress_rnivqeg4r3 "xaddress_RNIVQEG4R3[12:12]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port un1_p0_2_0_d0 (direction INPUT)) (port un1_p0_2_6 (direction INPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[498:498]") 1) (direction INPUT)) (port (array (rename newptag_2_1 "newptag_2_1[25:25]") 1) (direction INPUT)) (port (array (rename newptag_2_0 "newptag_2_0[27:26]") 2) (direction INPUT)) (port addr_3 (direction INPUT)) (port addr_0 (direction INPUT)) (port edata2_iv_0 (direction INPUT)) (port edata2_iv_3 (direction INPUT)) (port edata2_iv_1 (direction INPUT)) (port flush_RNICD8ME (direction INPUT)) (port flush_RNIMRRHB22 (direction INPUT)) (port flush_RNID7RHB22 (direction INPUT)) (port flush_RNI4JQHB22 (direction INPUT)) (port flush_RNIRUPHB22 (direction INPUT)) (port proasic3_syncram_8_24_1_VCC (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_syncram_8_24_1_GND (direction INPUT)) (port u0_RD17 (direction OUTPUT)) (port u0_RD16 (direction OUTPUT)) (port u0_RD15 (direction OUTPUT)) (port u0_RD14 (direction OUTPUT)) (port u0_RD13 (direction OUTPUT)) (port u0_RD12 (direction OUTPUT)) (port u0_RD11 (direction OUTPUT)) (port u0_RD10 (direction OUTPUT)) (port u0_RD9 (direction OUTPUT)) (port u0_RD8 (direction OUTPUT)) (port u0_RD7 (direction OUTPUT)) (port u0_RD6 (direction OUTPUT)) (port u0_RD5 (direction OUTPUT)) (port u0_RD4_0 (direction OUTPUT)) (port u0_RD3_0 (direction OUTPUT)) (port u0_RD2_0 (direction OUTPUT)) (port u0_RD1_0 (direction OUTPUT)) (port u0_RD0_0 (direction OUTPUT)) (port N_2766 (direction INPUT)) (port u0_RD5_0 (direction OUTPUT)) (port u0_RD4 (direction OUTPUT)) (port u0_RD3 (direction OUTPUT)) (port u0_RD2 (direction OUTPUT)) (port u0_RD1 (direction OUTPUT)) (port u0_RD0 (direction OUTPUT)) (port N_2745 (direction INPUT)) (port N_2698 (direction INPUT)) (port N_2747 (direction INPUT)) (port N_2748 (direction INPUT)) (port N_2868 (direction INPUT)) (port newptag_2_a2_0_28_m1_e_0 (direction INPUT)) (port flush_0_RNI2N6NQR1 (direction INPUT)) (port newptag_2_a2_0_31_m1_e_0 (direction INPUT)) (port N_2542 (direction INPUT)) ) (contents (instance (rename r2p_u0 "r2p.u0") (viewRef netlist (cellRef proasic3_syncram_2p_8_24_1)) (property dbits (integer 24)) (property abits (integer 8)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename edata2_iv_1 "edata2_iv[29]") (joined (portRef edata2_iv_1) (portRef edata2_iv_1 (instanceRef r2p_u0)) )) (net (rename edata2_iv_3 "edata2_iv[31]") (joined (portRef edata2_iv_3) (portRef edata2_iv_3 (instanceRef r2p_u0)) )) (net (rename edata2_iv_0 "edata2_iv[28]") (joined (portRef edata2_iv_0) (portRef edata2_iv_0 (instanceRef r2p_u0)) )) (net (rename addr_0 "addr[28]") (joined (portRef addr_0) (portRef addr_0 (instanceRef r2p_u0)) )) (net (rename addr_3 "addr[31]") (joined (portRef addr_3) (portRef addr_3 (instanceRef r2p_u0)) )) (net (rename newptag_2_0_26 "newptag_2_0[26]") (joined (portRef (member newptag_2_0 1)) (portRef (member newptag_2_0 1) (instanceRef r2p_u0)) )) (net (rename newptag_2_0_27 "newptag_2_0[27]") (joined (portRef (member newptag_2_0 0)) (portRef (member newptag_2_0 0) (instanceRef r2p_u0)) )) (net (rename newptag_2_1_25 "newptag_2_1[25]") (joined (portRef (member newptag_2_1 0)) (portRef (member newptag_2_1 0) (instanceRef r2p_u0)) )) (net (rename un1_p0_2_0_498 "un1_p0_2_0[498]") (joined (portRef (member un1_p0_2_0 0)) (portRef (member un1_p0_2_0 0) (instanceRef r2p_u0)) )) (net (rename un1_p0_2_6 "un1_p0_2[368]") (joined (portRef un1_p0_2_6) (portRef un1_p0_2_6 (instanceRef r2p_u0)) )) (net (rename un1_p0_2_0_d0 "un1_p0_2[362]") (joined (portRef un1_p0_2_0_d0) (portRef un1_p0_2_0_d0 (instanceRef r2p_u0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef (member faddr_rnik42hd 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef (member faddr_rnig8pkf 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef (member faddr_rni1entg 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef (member faddr_rnivnqnh 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef (member faddr_rni6usbi 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef (member faddr_rniin4om 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef (member faddr_rni49a0q 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef (member faddr_rnimvm8u 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNIVQEG4R3_12 "xaddress_RNIVQEG4R3[12]") (joined (portRef (member xaddress_rnivqeg4r3 0)) (portRef (member xaddress_rnivqeg4r3 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNI4P6H4R3_13 "xaddress_RNI4P6H4R3[13]") (joined (portRef (member xaddress_rni4p6h4r3 0)) (portRef (member xaddress_rni4p6h4r3 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNIFNVH4R3_14 "xaddress_RNIFNVH4R3[14]") (joined (portRef (member xaddress_rnifnvh4r3 0)) (portRef (member xaddress_rnifnvh4r3 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNI1Q8H4R3_15 "xaddress_RNI1Q8H4R3[15]") (joined (portRef (member xaddress_rni1q8h4r3 0)) (portRef (member xaddress_rni1q8h4r3 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNIH8G8VM1_16 "xaddress_RNIH8G8VM1[16]") (joined (portRef (member xaddress_rnih8g8vm1 0)) (portRef (member xaddress_rnih8g8vm1 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNI1HH8VM1_17 "xaddress_RNI1HH8VM1[17]") (joined (portRef (member xaddress_rni1hh8vm1 0)) (portRef (member xaddress_rni1hh8vm1 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNIE9I8VM1_18 "xaddress_RNIE9I8VM1[18]") (joined (portRef (member xaddress_rnie9i8vm1 0)) (portRef (member xaddress_rnie9i8vm1 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNILQ8H4R3_19 "xaddress_RNILQ8H4R3[19]") (joined (portRef (member xaddress_rnilq8h4r3 0)) (portRef (member xaddress_rnilq8h4r3 0) (instanceRef r2p_u0)) )) (net (rename newptag_2_20 "newptag_2[20]") (joined (portRef (member newptag_2 0)) (portRef (member newptag_2 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNID0H8VM1_21 "xaddress_RNID0H8VM1[21]") (joined (portRef (member xaddress_rnid0h8vm1 0)) (portRef (member xaddress_rnid0h8vm1 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNIQOH8VM1_22 "xaddress_RNIQOH8VM1[22]") (joined (portRef (member xaddress_rniqoh8vm1 0)) (portRef (member xaddress_rniqoh8vm1 0) (instanceRef r2p_u0)) )) (net (rename xaddress_RNIFBR7VM1_23 "xaddress_RNIFBR7VM1[23]") (joined (portRef (member xaddress_rnifbr7vm1 0)) (portRef (member xaddress_rnifbr7vm1 0) (instanceRef r2p_u0)) )) (net N_2542 (joined (portRef N_2542) (portRef N_2542 (instanceRef r2p_u0)) )) (net newptag_2_a2_0_31_m1_e_0 (joined (portRef newptag_2_a2_0_31_m1_e_0) (portRef newptag_2_a2_0_31_m1_e_0 (instanceRef r2p_u0)) )) (net flush_0_RNI2N6NQR1 (joined (portRef flush_0_RNI2N6NQR1) (portRef flush_0_RNI2N6NQR1 (instanceRef r2p_u0)) )) (net newptag_2_a2_0_28_m1_e_0 (joined (portRef newptag_2_a2_0_28_m1_e_0) (portRef newptag_2_a2_0_28_m1_e_0 (instanceRef r2p_u0)) )) (net N_2868 (joined (portRef N_2868) (portRef N_2868 (instanceRef r2p_u0)) )) (net N_2748 (joined (portRef N_2748) (portRef N_2748 (instanceRef r2p_u0)) )) (net N_2747 (joined (portRef N_2747) (portRef N_2747 (instanceRef r2p_u0)) )) (net N_2698 (joined (portRef N_2698) (portRef N_2698 (instanceRef r2p_u0)) )) (net N_2745 (joined (portRef N_2745) (portRef N_2745 (instanceRef r2p_u0)) )) (net u0_RD0 (joined (portRef u0_RD0 (instanceRef r2p_u0)) (portRef u0_RD0) )) (net u0_RD1 (joined (portRef u0_RD1 (instanceRef r2p_u0)) (portRef u0_RD1) )) (net u0_RD2 (joined (portRef u0_RD2 (instanceRef r2p_u0)) (portRef u0_RD2) )) (net u0_RD3 (joined (portRef u0_RD3 (instanceRef r2p_u0)) (portRef u0_RD3) )) (net u0_RD4 (joined (portRef u0_RD4 (instanceRef r2p_u0)) (portRef u0_RD4) )) (net u0_RD5_0 (joined (portRef u0_RD5_0 (instanceRef r2p_u0)) (portRef u0_RD5_0) )) (net N_2766 (joined (portRef N_2766) (portRef N_2766 (instanceRef r2p_u0)) )) (net u0_RD0_0 (joined (portRef u0_RD0_0 (instanceRef r2p_u0)) (portRef u0_RD0_0) )) (net u0_RD1_0 (joined (portRef u0_RD1_0 (instanceRef r2p_u0)) (portRef u0_RD1_0) )) (net u0_RD2_0 (joined (portRef u0_RD2_0 (instanceRef r2p_u0)) (portRef u0_RD2_0) )) (net u0_RD3_0 (joined (portRef u0_RD3_0 (instanceRef r2p_u0)) (portRef u0_RD3_0) )) (net u0_RD4_0 (joined (portRef u0_RD4_0 (instanceRef r2p_u0)) (portRef u0_RD4_0) )) (net u0_RD5 (joined (portRef u0_RD5 (instanceRef r2p_u0)) (portRef u0_RD5) )) (net u0_RD6 (joined (portRef u0_RD6 (instanceRef r2p_u0)) (portRef u0_RD6) )) (net u0_RD7 (joined (portRef u0_RD7 (instanceRef r2p_u0)) (portRef u0_RD7) )) (net u0_RD8 (joined (portRef u0_RD8 (instanceRef r2p_u0)) (portRef u0_RD8) )) (net u0_RD9 (joined (portRef u0_RD9 (instanceRef r2p_u0)) (portRef u0_RD9) )) (net u0_RD10 (joined (portRef u0_RD10 (instanceRef r2p_u0)) (portRef u0_RD10) )) (net u0_RD11 (joined (portRef u0_RD11 (instanceRef r2p_u0)) (portRef u0_RD11) )) (net u0_RD12 (joined (portRef u0_RD12 (instanceRef r2p_u0)) (portRef u0_RD12) )) (net u0_RD13 (joined (portRef u0_RD13 (instanceRef r2p_u0)) (portRef u0_RD13) )) (net u0_RD14 (joined (portRef u0_RD14 (instanceRef r2p_u0)) (portRef u0_RD14) )) (net u0_RD15 (joined (portRef u0_RD15 (instanceRef r2p_u0)) (portRef u0_RD15) )) (net u0_RD16 (joined (portRef u0_RD16 (instanceRef r2p_u0)) (portRef u0_RD16) )) (net u0_RD17 (joined (portRef u0_RD17 (instanceRef r2p_u0)) (portRef u0_RD17) )) (net proasic3_syncram_8_24_1_GND (joined (portRef proasic3_syncram_8_24_1_GND) (portRef proasic3_syncram_2p_8_24_1_GND (instanceRef r2p_u0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef r2p_u0)) )) (net proasic3_syncram_8_24_1_VCC (joined (portRef proasic3_syncram_8_24_1_VCC) (portRef proasic3_syncram_2p_8_24_1_VCC (instanceRef r2p_u0)) )) (net flush_RNIRUPHB22 (joined (portRef flush_RNIRUPHB22) (portRef flush_RNIRUPHB22 (instanceRef r2p_u0)) )) (net flush_RNI4JQHB22 (joined (portRef flush_RNI4JQHB22) (portRef flush_RNI4JQHB22 (instanceRef r2p_u0)) )) (net flush_RNID7RHB22 (joined (portRef flush_RNID7RHB22) (portRef flush_RNID7RHB22 (instanceRef r2p_u0)) )) (net flush_RNIMRRHB22 (joined (portRef flush_RNIMRRHB22) (portRef flush_RNIMRRHB22 (instanceRef r2p_u0)) )) (net flush_RNICD8ME (joined (portRef flush_RNICD8ME) (portRef flush_RNICD8ME (instanceRef r2p_u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 8)) (property dbits (integer 24)) ) ) (cell proasic3_syncram_10_32 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni4j0a1 "istate_RNI4J0A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnieaoe1 "istate_RNIEAOE1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniu0op1 "istate_RNIU0OP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnilk561 "istate_RNILK561[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port (array (rename istate_rni6u762 "istate_RNI6U762[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnib7t42 "istate_RNIB7T42[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6poa2 "istate_RNI6POA2[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnibnm52 "istate_RNIBNM52[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnihle12 "istate_RNIHLE12[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniabmh1 "istate_RNIABMH1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnicvqe1 "istate_RNICVQE1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniqf2a1 "istate_RNIQF2A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnim32a1 "istate_RNIM32A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniltpp1 "istate_RNILTPP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnikgrl1 "istate_RNIKGRL1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnir8hu1 "istate_RNIR8HU1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnij9ep1 "istate_RNIJ9EP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni1pdn1 "istate_RNI1PDN1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnihpj22 "istate_RNIHPJ22[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniq3pk1 "istate_RNIQ3PK1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rninas02 "istate_RNINAS02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnil1742 "istate_RNIL1742[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnifuph2 "istate_RNIFUPH2[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnifnt02 "istate_RNIFNT02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniptli1 "istate_RNIPTLI1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni57t02 "istate_RNI57T02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6slv1 "istate_RNI6SLV1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnijmmr1 "istate_RNIJMMR1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rningdn1 "istate_RNINGDN1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnijllk1 "istate_RNIJLLK1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnitkdp1 "istate_RNITKDP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnit9tf1 "istate_RNIT9TF1[1:1]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_syncram_10_32_VCC (direction INPUT)) (port proasic3_syncram_10_32_GND (direction INPUT)) (port u0_DOUTA3_14 (direction OUTPUT)) (port u0_DOUTA2_14 (direction OUTPUT)) (port u0_DOUTA1_14 (direction OUTPUT)) (port u0_DOUTA0_14 (direction OUTPUT)) (port u0_DOUTA3_11 (direction OUTPUT)) (port u0_DOUTA2_11 (direction OUTPUT)) (port u0_DOUTA1_11 (direction OUTPUT)) (port u0_DOUTA0_11 (direction OUTPUT)) (port u0_DOUTA3_12 (direction OUTPUT)) (port u0_DOUTA2_12 (direction OUTPUT)) (port u0_DOUTA1_12 (direction OUTPUT)) (port u0_DOUTA0_12 (direction OUTPUT)) (port u0_DOUTA3_13 (direction OUTPUT)) (port u0_DOUTA2_13 (direction OUTPUT)) (port u0_DOUTA1_13 (direction OUTPUT)) (port u0_DOUTA0_13 (direction OUTPUT)) (port u0_DOUTA3_10 (direction OUTPUT)) (port u0_DOUTA2_10 (direction OUTPUT)) (port u0_DOUTA1_10 (direction OUTPUT)) (port u0_DOUTA0_10 (direction OUTPUT)) (port u0_DOUTA3_7 (direction OUTPUT)) (port u0_DOUTA2_7 (direction OUTPUT)) (port u0_DOUTA1_7 (direction OUTPUT)) (port u0_DOUTA0_7 (direction OUTPUT)) (port u0_DOUTA3_8 (direction OUTPUT)) (port u0_DOUTA2_8 (direction OUTPUT)) (port u0_DOUTA1_8 (direction OUTPUT)) (port u0_DOUTA0_8 (direction OUTPUT)) (port u0_DOUTA3_9 (direction OUTPUT)) (port u0_DOUTA2_9 (direction OUTPUT)) (port u0_DOUTA1_9 (direction OUTPUT)) (port u0_DOUTA0_9 (direction OUTPUT)) ) (contents (instance (rename rdp_u0 "rdp.u0") (viewRef netlist (cellRef proasic3_syncram_dp)) (property dbits (integer 32)) (property abits (integer 10)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename istate_RNIT9TF1_1 "istate_RNIT9TF1[1]") (joined (portRef (member istate_rnit9tf1 0)) (portRef (member istate_rnit9tf1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNITKDP1_1 "istate_RNITKDP1[1]") (joined (portRef (member istate_rnitkdp1 0)) (portRef (member istate_rnitkdp1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIJLLK1_1 "istate_RNIJLLK1[1]") (joined (portRef (member istate_rnijllk1 0)) (portRef (member istate_rnijllk1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNINGDN1_1 "istate_RNINGDN1[1]") (joined (portRef (member istate_rningdn1 0)) (portRef (member istate_rningdn1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIJMMR1_1 "istate_RNIJMMR1[1]") (joined (portRef (member istate_rnijmmr1 0)) (portRef (member istate_rnijmmr1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNI6SLV1_1 "istate_RNI6SLV1[1]") (joined (portRef (member istate_rni6slv1 0)) (portRef (member istate_rni6slv1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNI57T02_1 "istate_RNI57T02[1]") (joined (portRef (member istate_rni57t02 0)) (portRef (member istate_rni57t02 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIPTLI1_1 "istate_RNIPTLI1[1]") (joined (portRef (member istate_rniptli1 0)) (portRef (member istate_rniptli1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIFNT02_1 "istate_RNIFNT02[1]") (joined (portRef (member istate_rnifnt02 0)) (portRef (member istate_rnifnt02 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIFUPH2_1 "istate_RNIFUPH2[1]") (joined (portRef (member istate_rnifuph2 0)) (portRef (member istate_rnifuph2 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIL1742_1 "istate_RNIL1742[1]") (joined (portRef (member istate_rnil1742 0)) (portRef (member istate_rnil1742 0) (instanceRef rdp_u0)) )) (net (rename istate_RNINAS02_1 "istate_RNINAS02[1]") (joined (portRef (member istate_rninas02 0)) (portRef (member istate_rninas02 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIQ3PK1_1 "istate_RNIQ3PK1[1]") (joined (portRef (member istate_rniq3pk1 0)) (portRef (member istate_rniq3pk1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIHPJ22_1 "istate_RNIHPJ22[1]") (joined (portRef (member istate_rnihpj22 0)) (portRef (member istate_rnihpj22 0) (instanceRef rdp_u0)) )) (net (rename istate_RNI1PDN1_1 "istate_RNI1PDN1[1]") (joined (portRef (member istate_rni1pdn1 0)) (portRef (member istate_rni1pdn1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIJ9EP1_1 "istate_RNIJ9EP1[1]") (joined (portRef (member istate_rnij9ep1 0)) (portRef (member istate_rnij9ep1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIR8HU1_1 "istate_RNIR8HU1[1]") (joined (portRef (member istate_rnir8hu1 0)) (portRef (member istate_rnir8hu1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIKGRL1_1 "istate_RNIKGRL1[1]") (joined (portRef (member istate_rnikgrl1 0)) (portRef (member istate_rnikgrl1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNILTPP1_1 "istate_RNILTPP1[1]") (joined (portRef (member istate_rniltpp1 0)) (portRef (member istate_rniltpp1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIM32A1_1 "istate_RNIM32A1[1]") (joined (portRef (member istate_rnim32a1 0)) (portRef (member istate_rnim32a1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIQF2A1_1 "istate_RNIQF2A1[1]") (joined (portRef (member istate_rniqf2a1 0)) (portRef (member istate_rniqf2a1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNICVQE1_1 "istate_RNICVQE1[1]") (joined (portRef (member istate_rnicvqe1 0)) (portRef (member istate_rnicvqe1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIABMH1_1 "istate_RNIABMH1[1]") (joined (portRef (member istate_rniabmh1 0)) (portRef (member istate_rniabmh1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIHLE12_1 "istate_RNIHLE12[1]") (joined (portRef (member istate_rnihle12 0)) (portRef (member istate_rnihle12 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIBNM52_1 "istate_RNIBNM52[1]") (joined (portRef (member istate_rnibnm52 0)) (portRef (member istate_rnibnm52 0) (instanceRef rdp_u0)) )) (net (rename istate_RNI6POA2_1 "istate_RNI6POA2[1]") (joined (portRef (member istate_rni6poa2 0)) (portRef (member istate_rni6poa2 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIB7T42_1 "istate_RNIB7T42[1]") (joined (portRef (member istate_rnib7t42 0)) (portRef (member istate_rnib7t42 0) (instanceRef rdp_u0)) )) (net (rename istate_RNI6U762_1 "istate_RNI6U762[1]") (joined (portRef (member istate_rni6u762 0)) (portRef (member istate_rni6u762 0) (instanceRef rdp_u0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef rdp_u0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef (member faddr_rnitu19lc 0) (instanceRef rdp_u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef (member faddr_rniuag1tc 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef (member faddr_rnir9j23d 0) (instanceRef rdp_u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef (member faddr_rniom2ubd 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef (member faddr_rnic5grid 0) (instanceRef rdp_u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef (member faddr_rnifa34ud 0) (instanceRef rdp_u0)) )) (net (rename istate_RNILK561_1 "istate_RNILK561[1]") (joined (portRef (member istate_rnilk561 0)) (portRef (member istate_rnilk561 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIU0OP1_1 "istate_RNIU0OP1[1]") (joined (portRef (member istate_rniu0op1 0)) (portRef (member istate_rniu0op1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIEAOE1_1 "istate_RNIEAOE1[1]") (joined (portRef (member istate_rnieaoe1 0)) (portRef (member istate_rnieaoe1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNI4J0A1_1 "istate_RNI4J0A1[1]") (joined (portRef (member istate_rni4j0a1 0)) (portRef (member istate_rni4j0a1 0) (instanceRef rdp_u0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef (member istate_rniql7e6 0) (instanceRef rdp_u0)) )) (net u0_DOUTA0_9 (joined (portRef u0_DOUTA0_9 (instanceRef rdp_u0)) (portRef u0_DOUTA0_9) )) (net u0_DOUTA1_9 (joined (portRef u0_DOUTA1_9 (instanceRef rdp_u0)) (portRef u0_DOUTA1_9) )) (net u0_DOUTA2_9 (joined (portRef u0_DOUTA2_9 (instanceRef rdp_u0)) (portRef u0_DOUTA2_9) )) (net u0_DOUTA3_9 (joined (portRef u0_DOUTA3_9 (instanceRef rdp_u0)) (portRef u0_DOUTA3_9) )) (net u0_DOUTA0_8 (joined (portRef u0_DOUTA0_8 (instanceRef rdp_u0)) (portRef u0_DOUTA0_8) )) (net u0_DOUTA1_8 (joined (portRef u0_DOUTA1_8 (instanceRef rdp_u0)) (portRef u0_DOUTA1_8) )) (net u0_DOUTA2_8 (joined (portRef u0_DOUTA2_8 (instanceRef rdp_u0)) (portRef u0_DOUTA2_8) )) (net u0_DOUTA3_8 (joined (portRef u0_DOUTA3_8 (instanceRef rdp_u0)) (portRef u0_DOUTA3_8) )) (net u0_DOUTA0_7 (joined (portRef u0_DOUTA0_7 (instanceRef rdp_u0)) (portRef u0_DOUTA0_7) )) (net u0_DOUTA1_7 (joined (portRef u0_DOUTA1_7 (instanceRef rdp_u0)) (portRef u0_DOUTA1_7) )) (net u0_DOUTA2_7 (joined (portRef u0_DOUTA2_7 (instanceRef rdp_u0)) (portRef u0_DOUTA2_7) )) (net u0_DOUTA3_7 (joined (portRef u0_DOUTA3_7 (instanceRef rdp_u0)) (portRef u0_DOUTA3_7) )) (net u0_DOUTA0_10 (joined (portRef u0_DOUTA0_10 (instanceRef rdp_u0)) (portRef u0_DOUTA0_10) )) (net u0_DOUTA1_10 (joined (portRef u0_DOUTA1_10 (instanceRef rdp_u0)) (portRef u0_DOUTA1_10) )) (net u0_DOUTA2_10 (joined (portRef u0_DOUTA2_10 (instanceRef rdp_u0)) (portRef u0_DOUTA2_10) )) (net u0_DOUTA3_10 (joined (portRef u0_DOUTA3_10 (instanceRef rdp_u0)) (portRef u0_DOUTA3_10) )) (net u0_DOUTA0_13 (joined (portRef u0_DOUTA0_13 (instanceRef rdp_u0)) (portRef u0_DOUTA0_13) )) (net u0_DOUTA1_13 (joined (portRef u0_DOUTA1_13 (instanceRef rdp_u0)) (portRef u0_DOUTA1_13) )) (net u0_DOUTA2_13 (joined (portRef u0_DOUTA2_13 (instanceRef rdp_u0)) (portRef u0_DOUTA2_13) )) (net u0_DOUTA3_13 (joined (portRef u0_DOUTA3_13 (instanceRef rdp_u0)) (portRef u0_DOUTA3_13) )) (net u0_DOUTA0_12 (joined (portRef u0_DOUTA0_12 (instanceRef rdp_u0)) (portRef u0_DOUTA0_12) )) (net u0_DOUTA1_12 (joined (portRef u0_DOUTA1_12 (instanceRef rdp_u0)) (portRef u0_DOUTA1_12) )) (net u0_DOUTA2_12 (joined (portRef u0_DOUTA2_12 (instanceRef rdp_u0)) (portRef u0_DOUTA2_12) )) (net u0_DOUTA3_12 (joined (portRef u0_DOUTA3_12 (instanceRef rdp_u0)) (portRef u0_DOUTA3_12) )) (net u0_DOUTA0_11 (joined (portRef u0_DOUTA0_11 (instanceRef rdp_u0)) (portRef u0_DOUTA0_11) )) (net u0_DOUTA1_11 (joined (portRef u0_DOUTA1_11 (instanceRef rdp_u0)) (portRef u0_DOUTA1_11) )) (net u0_DOUTA2_11 (joined (portRef u0_DOUTA2_11 (instanceRef rdp_u0)) (portRef u0_DOUTA2_11) )) (net u0_DOUTA3_11 (joined (portRef u0_DOUTA3_11 (instanceRef rdp_u0)) (portRef u0_DOUTA3_11) )) (net u0_DOUTA0_14 (joined (portRef u0_DOUTA0_14 (instanceRef rdp_u0)) (portRef u0_DOUTA0_14) )) (net u0_DOUTA1_14 (joined (portRef u0_DOUTA1_14 (instanceRef rdp_u0)) (portRef u0_DOUTA1_14) )) (net u0_DOUTA2_14 (joined (portRef u0_DOUTA2_14 (instanceRef rdp_u0)) (portRef u0_DOUTA2_14) )) (net u0_DOUTA3_14 (joined (portRef u0_DOUTA3_14 (instanceRef rdp_u0)) (portRef u0_DOUTA3_14) )) (net proasic3_syncram_10_32_GND (joined (portRef proasic3_syncram_10_32_GND) (portRef proasic3_syncram_dp_GND (instanceRef rdp_u0)) )) (net proasic3_syncram_10_32_VCC (joined (portRef proasic3_syncram_10_32_VCC) (portRef proasic3_syncram_dp_VCC (instanceRef rdp_u0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef rdp_u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 10)) (property dbits (integer 32)) ) ) (cell proasic3_syncram_8_24 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename vitdatain_0_1 "vitdatain_0_1[19:16]") 4) (direction INPUT)) (port (array (rename vaddress_rniiagp4s1 "vaddress_RNIIAGP4S1[23:23]") 1) (direction INPUT)) (port (array (rename vaddress_rnigagp4s1 "vaddress_RNIGAGP4S1[22:22]") 1) (direction INPUT)) (port (array (rename vaddress_rnieagp4s1 "vaddress_RNIEAGP4S1[21:21]") 1) (direction INPUT)) (port (array (rename vaddress_rnicagp4s1 "vaddress_RNICAGP4S1[20:20]") 1) (direction INPUT)) (port (array (rename vaddress_rnio2gp4s1 "vaddress_RNIO2GP4S1[19:19]") 1) (direction INPUT)) (port (array (rename vaddress_rnim2gp4s1 "vaddress_RNIM2GP4S1[18:18]") 1) (direction INPUT)) (port (array (rename vaddress_rnik2gp4s1 "vaddress_RNIK2GP4S1[17:17]") 1) (direction INPUT)) (port (array (rename vaddress_rnii2gp4s1 "vaddress_RNII2GP4S1[16:16]") 1) (direction INPUT)) (port (array (rename vaddress_rnig2gp4s1 "vaddress_RNIG2GP4S1[15:15]") 1) (direction INPUT)) (port (array (rename vaddress_rnie2gp4s1 "vaddress_RNIE2GP4S1[14:14]") 1) (direction INPUT)) (port (array (rename vaddress_rnic2gp4s1 "vaddress_RNIC2GP4S1[13:13]") 1) (direction INPUT)) (port (array (rename vaddress_rnia2gp4s1 "vaddress_RNIA2GP4S1[12:12]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[148:148]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a0 "vitdatain_0_1_a0[20:20]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a1 "vitdatain_0_1_a1[20:20]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a0_0 "vitdatain_0_1_a0_0[23:23]") 1) (direction INPUT)) (port flush2_RNICRKB7 (direction INPUT)) (port flush2_RNI5NKK2 (direction INPUT)) (port flush2_RNI3JKK2 (direction INPUT)) (port flush2_RNI1FKK2 (direction INPUT)) (port flush2_RNIVAKK2 (direction INPUT)) (port proasic3_syncram_8_24_VCC (direction INPUT)) (port ramclk (direction INPUT)) (port proasic3_syncram_8_24_GND (direction INPUT)) (port u0_RD17_0 (direction OUTPUT)) (port u0_RD16_0 (direction OUTPUT)) (port u0_RD15_0 (direction OUTPUT)) (port u0_RD14_0 (direction OUTPUT)) (port u0_RD13_0 (direction OUTPUT)) (port u0_RD12_0 (direction OUTPUT)) (port u0_RD11_0 (direction OUTPUT)) (port u0_RD10_0 (direction OUTPUT)) (port u0_RD9_0 (direction OUTPUT)) (port u0_RD8_0 (direction OUTPUT)) (port u0_RD7_0 (direction OUTPUT)) (port u0_RD6_0 (direction OUTPUT)) (port u0_RD5_1 (direction OUTPUT)) (port u0_RD4_2 (direction OUTPUT)) (port u0_RD3_2 (direction OUTPUT)) (port u0_RD2_2 (direction OUTPUT)) (port u0_RD1_2 (direction OUTPUT)) (port u0_RD0_2 (direction OUTPUT)) (port u0_RD5_2 (direction OUTPUT)) (port u0_RD4_1 (direction OUTPUT)) (port u0_RD3_1 (direction OUTPUT)) (port u0_RD2_1 (direction OUTPUT)) (port u0_RD1_1 (direction OUTPUT)) (port u0_RD0_1 (direction OUTPUT)) (port N_896 (direction INPUT)) (port N_895 (direction INPUT)) (port N_898 (direction INPUT)) (port N_897 (direction INPUT)) (port flush (direction INPUT)) (port un1_addout_28 (direction INPUT)) (port newptag_sn_m2_i_o2_0_m3 (direction INPUT)) (port un1_addout_27 (direction INPUT)) ) (contents (instance (rename r2p_u0 "r2p.u0") (viewRef netlist (cellRef proasic3_syncram_2p_8_24)) (property dbits (integer 24)) (property abits (integer 8)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename vitdatain_0_1_a0_0_23 "vitdatain_0_1_a0_0[23]") (joined (portRef (member vitdatain_0_1_a0_0 0)) (portRef (member vitdatain_0_1_a0_0 0) (instanceRef r2p_u0)) )) (net (rename vitdatain_0_1_a1_20 "vitdatain_0_1_a1[20]") (joined (portRef (member vitdatain_0_1_a1 0)) (portRef (member vitdatain_0_1_a1 0) (instanceRef r2p_u0)) )) (net (rename vitdatain_0_1_a0_20 "vitdatain_0_1_a0[20]") (joined (portRef (member vitdatain_0_1_a0 0)) (portRef (member vitdatain_0_1_a0 0) (instanceRef r2p_u0)) )) (net (rename un1_p0_2_0_148 "un1_p0_2_0[148]") (joined (portRef (member un1_p0_2_0 0)) (portRef (member un1_p0_2_0 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef (member faddr_rnitu19lc 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef (member faddr_rniuag1tc 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef (member faddr_rnir9j23d 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef (member faddr_rniom2ubd 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef (member faddr_rnic5grid 0) (instanceRef r2p_u0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef (member faddr_rnifa34ud 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIA2GP4S1_12 "vaddress_RNIA2GP4S1[12]") (joined (portRef (member vaddress_rnia2gp4s1 0)) (portRef (member vaddress_rnia2gp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIC2GP4S1_13 "vaddress_RNIC2GP4S1[13]") (joined (portRef (member vaddress_rnic2gp4s1 0)) (portRef (member vaddress_rnic2gp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIE2GP4S1_14 "vaddress_RNIE2GP4S1[14]") (joined (portRef (member vaddress_rnie2gp4s1 0)) (portRef (member vaddress_rnie2gp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIG2GP4S1_15 "vaddress_RNIG2GP4S1[15]") (joined (portRef (member vaddress_rnig2gp4s1 0)) (portRef (member vaddress_rnig2gp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNII2GP4S1_16 "vaddress_RNII2GP4S1[16]") (joined (portRef (member vaddress_rnii2gp4s1 0)) (portRef (member vaddress_rnii2gp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIK2GP4S1_17 "vaddress_RNIK2GP4S1[17]") (joined (portRef (member vaddress_rnik2gp4s1 0)) (portRef (member vaddress_rnik2gp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIM2GP4S1_18 "vaddress_RNIM2GP4S1[18]") (joined (portRef (member vaddress_rnim2gp4s1 0)) (portRef (member vaddress_rnim2gp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIO2GP4S1_19 "vaddress_RNIO2GP4S1[19]") (joined (portRef (member vaddress_rnio2gp4s1 0)) (portRef (member vaddress_rnio2gp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNICAGP4S1_20 "vaddress_RNICAGP4S1[20]") (joined (portRef (member vaddress_rnicagp4s1 0)) (portRef (member vaddress_rnicagp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIEAGP4S1_21 "vaddress_RNIEAGP4S1[21]") (joined (portRef (member vaddress_rnieagp4s1 0)) (portRef (member vaddress_rnieagp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIGAGP4S1_22 "vaddress_RNIGAGP4S1[22]") (joined (portRef (member vaddress_rnigagp4s1 0)) (portRef (member vaddress_rnigagp4s1 0) (instanceRef r2p_u0)) )) (net (rename vaddress_RNIIAGP4S1_23 "vaddress_RNIIAGP4S1[23]") (joined (portRef (member vaddress_rniiagp4s1 0)) (portRef (member vaddress_rniiagp4s1 0) (instanceRef r2p_u0)) )) (net (rename vitdatain_0_1_16 "vitdatain_0_1[16]") (joined (portRef (member vitdatain_0_1 3)) (portRef (member vitdatain_0_1 3) (instanceRef r2p_u0)) )) (net (rename vitdatain_0_1_17 "vitdatain_0_1[17]") (joined (portRef (member vitdatain_0_1 2)) (portRef (member vitdatain_0_1 2) (instanceRef r2p_u0)) )) (net (rename vitdatain_0_1_18 "vitdatain_0_1[18]") (joined (portRef (member vitdatain_0_1 1)) (portRef (member vitdatain_0_1 1) (instanceRef r2p_u0)) )) (net (rename vitdatain_0_1_19 "vitdatain_0_1[19]") (joined (portRef (member vitdatain_0_1 0)) (portRef (member vitdatain_0_1 0) (instanceRef r2p_u0)) )) (net un1_addout_27 (joined (portRef un1_addout_27) (portRef un1_addout_27 (instanceRef r2p_u0)) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef newptag_sn_m2_i_o2_0_m3) (portRef newptag_sn_m2_i_o2_0_m3 (instanceRef r2p_u0)) )) (net un1_addout_28 (joined (portRef un1_addout_28) (portRef un1_addout_28 (instanceRef r2p_u0)) )) (net flush (joined (portRef flush) (portRef flush (instanceRef r2p_u0)) )) (net N_897 (joined (portRef N_897) (portRef N_897 (instanceRef r2p_u0)) )) (net N_898 (joined (portRef N_898) (portRef N_898 (instanceRef r2p_u0)) )) (net N_895 (joined (portRef N_895) (portRef N_895 (instanceRef r2p_u0)) )) (net N_896 (joined (portRef N_896) (portRef N_896 (instanceRef r2p_u0)) )) (net u0_RD0_1 (joined (portRef u0_RD0_1 (instanceRef r2p_u0)) (portRef u0_RD0_1) )) (net u0_RD1_1 (joined (portRef u0_RD1_1 (instanceRef r2p_u0)) (portRef u0_RD1_1) )) (net u0_RD2_1 (joined (portRef u0_RD2_1 (instanceRef r2p_u0)) (portRef u0_RD2_1) )) (net u0_RD3_1 (joined (portRef u0_RD3_1 (instanceRef r2p_u0)) (portRef u0_RD3_1) )) (net u0_RD4_1 (joined (portRef u0_RD4_1 (instanceRef r2p_u0)) (portRef u0_RD4_1) )) (net u0_RD5_2 (joined (portRef u0_RD5_2 (instanceRef r2p_u0)) (portRef u0_RD5_2) )) (net u0_RD0_2 (joined (portRef u0_RD0_2 (instanceRef r2p_u0)) (portRef u0_RD0_2) )) (net u0_RD1_2 (joined (portRef u0_RD1_2 (instanceRef r2p_u0)) (portRef u0_RD1_2) )) (net u0_RD2_2 (joined (portRef u0_RD2_2 (instanceRef r2p_u0)) (portRef u0_RD2_2) )) (net u0_RD3_2 (joined (portRef u0_RD3_2 (instanceRef r2p_u0)) (portRef u0_RD3_2) )) (net u0_RD4_2 (joined (portRef u0_RD4_2 (instanceRef r2p_u0)) (portRef u0_RD4_2) )) (net u0_RD5_1 (joined (portRef u0_RD5_1 (instanceRef r2p_u0)) (portRef u0_RD5_1) )) (net u0_RD6_0 (joined (portRef u0_RD6_0 (instanceRef r2p_u0)) (portRef u0_RD6_0) )) (net u0_RD7_0 (joined (portRef u0_RD7_0 (instanceRef r2p_u0)) (portRef u0_RD7_0) )) (net u0_RD8_0 (joined (portRef u0_RD8_0 (instanceRef r2p_u0)) (portRef u0_RD8_0) )) (net u0_RD9_0 (joined (portRef u0_RD9_0 (instanceRef r2p_u0)) (portRef u0_RD9_0) )) (net u0_RD10_0 (joined (portRef u0_RD10_0 (instanceRef r2p_u0)) (portRef u0_RD10_0) )) (net u0_RD11_0 (joined (portRef u0_RD11_0 (instanceRef r2p_u0)) (portRef u0_RD11_0) )) (net u0_RD12_0 (joined (portRef u0_RD12_0 (instanceRef r2p_u0)) (portRef u0_RD12_0) )) (net u0_RD13_0 (joined (portRef u0_RD13_0 (instanceRef r2p_u0)) (portRef u0_RD13_0) )) (net u0_RD14_0 (joined (portRef u0_RD14_0 (instanceRef r2p_u0)) (portRef u0_RD14_0) )) (net u0_RD15_0 (joined (portRef u0_RD15_0 (instanceRef r2p_u0)) (portRef u0_RD15_0) )) (net u0_RD16_0 (joined (portRef u0_RD16_0 (instanceRef r2p_u0)) (portRef u0_RD16_0) )) (net u0_RD17_0 (joined (portRef u0_RD17_0 (instanceRef r2p_u0)) (portRef u0_RD17_0) )) (net proasic3_syncram_8_24_GND (joined (portRef proasic3_syncram_8_24_GND) (portRef proasic3_syncram_2p_8_24_GND (instanceRef r2p_u0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef r2p_u0)) )) (net proasic3_syncram_8_24_VCC (joined (portRef proasic3_syncram_8_24_VCC) (portRef proasic3_syncram_2p_8_24_VCC (instanceRef r2p_u0)) )) (net flush2_RNIVAKK2 (joined (portRef flush2_RNIVAKK2) (portRef flush2_RNIVAKK2 (instanceRef r2p_u0)) )) (net flush2_RNI1FKK2 (joined (portRef flush2_RNI1FKK2) (portRef flush2_RNI1FKK2 (instanceRef r2p_u0)) )) (net flush2_RNI3JKK2 (joined (portRef flush2_RNI3JKK2) (portRef flush2_RNI3JKK2 (instanceRef r2p_u0)) )) (net flush2_RNI5NKK2 (joined (portRef flush2_RNI5NKK2) (portRef flush2_RNI5NKK2 (instanceRef r2p_u0)) )) (net flush2_RNICRKB7 (joined (portRef flush2_RNICRKB7) (portRef flush2_RNICRKB7 (instanceRef r2p_u0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property abits (integer 8)) (property dbits (integer 24)) ) ) (cell syncram_2p_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename wdata "wdata[31:0]") 32) (direction INPUT)) (port (array (rename waddr_0 "waddr_0[6:1]") 6) (direction INPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction INPUT)) (port (array (rename raddr2 "raddr2[6:0]") 7) (direction INPUT)) (port (array (rename datain "datain[31:0]") 32) (direction INPUT)) (port (array (rename data2 "data2[31:0]") 32) (direction OUTPUT)) (port (array (rename waddr "waddr[6:0]") 7) (direction INPUT)) (port (array (rename rfa2 "rfa2[6:0]") 7) (direction INPUT)) (port wren_i (direction INPUT)) (port syncram_2p_1_VCC (direction INPUT)) (port ren2 (direction INPUT)) (port ramclk (direction INPUT)) (port syncram_2p_1_GND (direction INPUT)) (port write (direction INPUT)) (port rfe2 (direction INPUT)) ) (contents (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_0_0 "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un4_scantestbp_0_0") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_5 "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un4_scantestbp_5") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_4 "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un4_scantestbp_4") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_3 "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un4_scantestbp_3") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_2 "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un4_scantestbp_2") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_0 "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un4_scantestbp_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un4_scantestbp") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_6_0 "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un5_scantestbp_6_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_4_0 "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un5_scantestbp_4_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_2_0 "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un5_scantestbp_2_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_0_0 "wrfst_gen.no_contention_check.wfrstblocknoc.comb.un5_scantestbp_0_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename proa3_x0 "proa3.x0") (viewRef netlist (cellRef proasic3_syncram_2p_7_32_1)) (property dbits (integer 32)) (property abits (integer 7)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net un4_scantestbp_0_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_0_0)) (portRef un4_scantestbp_0_0 (instanceRef proa3_x0)) )) (net un4_scantestbp_4 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_4)) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp)) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_0_0)) )) (net un4_scantestbp_3 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_3)) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp)) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_0_0)) )) (net un4_scantestbp_5 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_5)) (portRef C (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp)) (portRef C (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_0_0)) )) (net un5_scantestbp_0_i_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_0_0)) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_5)) )) (net un4_scantestbp_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_0)) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_5)) )) (net un4_scantestbp_2 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_2)) (portRef C (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_5)) )) (net (rename rfa2_5 "rfa2[5]") (joined (portRef (member rfa2 1)) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_4)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef (member waddr 1)) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_4)) )) (net un5_scantestbp_6_i_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_6_0)) (portRef C (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_4)) )) (net (rename rfa2_3 "rfa2[3]") (joined (portRef (member rfa2 3)) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_3)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef (member waddr 3)) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_3)) )) (net un5_scantestbp_4_i_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_4_0)) (portRef C (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_3)) )) (net (rename rfa2_1 "rfa2[1]") (joined (portRef (member rfa2 5)) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_2)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef (member waddr 5)) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_2)) )) (net un5_scantestbp_2_i_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_2_0)) (portRef C (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_2)) )) (net rfe2 (joined (portRef rfe2) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_0)) )) (net write (joined (portRef write) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp_0)) )) (net un4_scantestbp (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un4_scantestbp)) (portRef un4_scantestbp (instanceRef proa3_x0)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef (member waddr 0)) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_6_0)) )) (net (rename rfa2_6 "rfa2[6]") (joined (portRef (member rfa2 0)) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_6_0)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef (member waddr 2)) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_4_0)) )) (net (rename rfa2_4 "rfa2[4]") (joined (portRef (member rfa2 2)) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_4_0)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef (member waddr 4)) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_2_0)) )) (net (rename rfa2_2 "rfa2[2]") (joined (portRef (member rfa2 4)) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_2_0)) )) (net (rename waddr_0_p "waddr[0]") (joined (portRef (member waddr 6)) (portRef A (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_0_0)) )) (net (rename rfa2_0 "rfa2[0]") (joined (portRef (member rfa2 6)) (portRef B (instanceRef wrfst_gen_no_contention_check_wfrstblocknoc_comb_un5_scantestbp_0_0)) )) (net (rename data2_0 "data2[0]") (joined (portRef (member data2 31) (instanceRef proa3_x0)) (portRef (member data2 31)) )) (net (rename data2_1 "data2[1]") (joined (portRef (member data2 30) (instanceRef proa3_x0)) (portRef (member data2 30)) )) (net (rename data2_2 "data2[2]") (joined (portRef (member data2 29) (instanceRef proa3_x0)) (portRef (member data2 29)) )) (net (rename data2_3 "data2[3]") (joined (portRef (member data2 28) (instanceRef proa3_x0)) (portRef (member data2 28)) )) (net (rename data2_4 "data2[4]") (joined (portRef (member data2 27) (instanceRef proa3_x0)) (portRef (member data2 27)) )) (net (rename data2_5 "data2[5]") (joined (portRef (member data2 26) (instanceRef proa3_x0)) (portRef (member data2 26)) )) (net (rename data2_6 "data2[6]") (joined (portRef (member data2 25) (instanceRef proa3_x0)) (portRef (member data2 25)) )) (net (rename data2_7 "data2[7]") (joined (portRef (member data2 24) (instanceRef proa3_x0)) (portRef (member data2 24)) )) (net (rename data2_8 "data2[8]") (joined (portRef (member data2 23) (instanceRef proa3_x0)) (portRef (member data2 23)) )) (net (rename data2_9 "data2[9]") (joined (portRef (member data2 22) (instanceRef proa3_x0)) (portRef (member data2 22)) )) (net (rename data2_10 "data2[10]") (joined (portRef (member data2 21) (instanceRef proa3_x0)) (portRef (member data2 21)) )) (net (rename data2_11 "data2[11]") (joined (portRef (member data2 20) (instanceRef proa3_x0)) (portRef (member data2 20)) )) (net (rename data2_12 "data2[12]") (joined (portRef (member data2 19) (instanceRef proa3_x0)) (portRef (member data2 19)) )) (net (rename data2_13 "data2[13]") (joined (portRef (member data2 18) (instanceRef proa3_x0)) (portRef (member data2 18)) )) (net (rename data2_14 "data2[14]") (joined (portRef (member data2 17) (instanceRef proa3_x0)) (portRef (member data2 17)) )) (net (rename data2_15 "data2[15]") (joined (portRef (member data2 16) (instanceRef proa3_x0)) (portRef (member data2 16)) )) (net (rename data2_16 "data2[16]") (joined (portRef (member data2 15) (instanceRef proa3_x0)) (portRef (member data2 15)) )) (net (rename data2_17 "data2[17]") (joined (portRef (member data2 14) (instanceRef proa3_x0)) (portRef (member data2 14)) )) (net (rename data2_18 "data2[18]") (joined (portRef (member data2 13) (instanceRef proa3_x0)) (portRef (member data2 13)) )) (net (rename data2_19 "data2[19]") (joined (portRef (member data2 12) (instanceRef proa3_x0)) (portRef (member data2 12)) )) (net (rename data2_20 "data2[20]") (joined (portRef (member data2 11) (instanceRef proa3_x0)) (portRef (member data2 11)) )) (net (rename data2_21 "data2[21]") (joined (portRef (member data2 10) (instanceRef proa3_x0)) (portRef (member data2 10)) )) (net (rename data2_22 "data2[22]") (joined (portRef (member data2 9) (instanceRef proa3_x0)) (portRef (member data2 9)) )) (net (rename data2_23 "data2[23]") (joined (portRef (member data2 8) (instanceRef proa3_x0)) (portRef (member data2 8)) )) (net (rename data2_24 "data2[24]") (joined (portRef (member data2 7) (instanceRef proa3_x0)) (portRef (member data2 7)) )) (net (rename data2_25 "data2[25]") (joined (portRef (member data2 6) (instanceRef proa3_x0)) (portRef (member data2 6)) )) (net (rename data2_26 "data2[26]") (joined (portRef (member data2 5) (instanceRef proa3_x0)) (portRef (member data2 5)) )) (net (rename data2_27 "data2[27]") (joined (portRef (member data2 4) (instanceRef proa3_x0)) (portRef (member data2 4)) )) (net (rename data2_28 "data2[28]") (joined (portRef (member data2 3) (instanceRef proa3_x0)) (portRef (member data2 3)) )) (net (rename data2_29 "data2[29]") (joined (portRef (member data2 2) (instanceRef proa3_x0)) (portRef (member data2 2)) )) (net (rename data2_30 "data2[30]") (joined (portRef (member data2 1) (instanceRef proa3_x0)) (portRef (member data2 1)) )) (net (rename data2_31 "data2[31]") (joined (portRef (member data2 0) (instanceRef proa3_x0)) (portRef (member data2 0)) )) (net (rename datain_0 "datain[0]") (joined (portRef (member datain 31)) (portRef (member datain 31) (instanceRef proa3_x0)) )) (net (rename datain_1 "datain[1]") (joined (portRef (member datain 30)) (portRef (member datain 30) (instanceRef proa3_x0)) )) (net (rename datain_2 "datain[2]") (joined (portRef (member datain 29)) (portRef (member datain 29) (instanceRef proa3_x0)) )) (net (rename datain_3 "datain[3]") (joined (portRef (member datain 28)) (portRef (member datain 28) (instanceRef proa3_x0)) )) (net (rename datain_4 "datain[4]") (joined (portRef (member datain 27)) (portRef (member datain 27) (instanceRef proa3_x0)) )) (net (rename datain_5 "datain[5]") (joined (portRef (member datain 26)) (portRef (member datain 26) (instanceRef proa3_x0)) )) (net (rename datain_6 "datain[6]") (joined (portRef (member datain 25)) (portRef (member datain 25) (instanceRef proa3_x0)) )) (net (rename datain_7 "datain[7]") (joined (portRef (member datain 24)) (portRef (member datain 24) (instanceRef proa3_x0)) )) (net (rename datain_8 "datain[8]") (joined (portRef (member datain 23)) (portRef (member datain 23) (instanceRef proa3_x0)) )) (net (rename datain_9 "datain[9]") (joined (portRef (member datain 22)) (portRef (member datain 22) (instanceRef proa3_x0)) )) (net (rename datain_10 "datain[10]") (joined (portRef (member datain 21)) (portRef (member datain 21) (instanceRef proa3_x0)) )) (net (rename datain_11 "datain[11]") (joined (portRef (member datain 20)) (portRef (member datain 20) (instanceRef proa3_x0)) )) (net (rename datain_12 "datain[12]") (joined (portRef (member datain 19)) (portRef (member datain 19) (instanceRef proa3_x0)) )) (net (rename datain_13 "datain[13]") (joined (portRef (member datain 18)) (portRef (member datain 18) (instanceRef proa3_x0)) )) (net (rename datain_14 "datain[14]") (joined (portRef (member datain 17)) (portRef (member datain 17) (instanceRef proa3_x0)) )) (net (rename datain_15 "datain[15]") (joined (portRef (member datain 16)) (portRef (member datain 16) (instanceRef proa3_x0)) )) (net (rename datain_16 "datain[16]") (joined (portRef (member datain 15)) (portRef (member datain 15) (instanceRef proa3_x0)) )) (net (rename datain_17 "datain[17]") (joined (portRef (member datain 14)) (portRef (member datain 14) (instanceRef proa3_x0)) )) (net (rename datain_18 "datain[18]") (joined (portRef (member datain 13)) (portRef (member datain 13) (instanceRef proa3_x0)) )) (net (rename datain_19 "datain[19]") (joined (portRef (member datain 12)) (portRef (member datain 12) (instanceRef proa3_x0)) )) (net (rename datain_20 "datain[20]") (joined (portRef (member datain 11)) (portRef (member datain 11) (instanceRef proa3_x0)) )) (net (rename datain_21 "datain[21]") (joined (portRef (member datain 10)) (portRef (member datain 10) (instanceRef proa3_x0)) )) (net (rename datain_22 "datain[22]") (joined (portRef (member datain 9)) (portRef (member datain 9) (instanceRef proa3_x0)) )) (net (rename datain_23 "datain[23]") (joined (portRef (member datain 8)) (portRef (member datain 8) (instanceRef proa3_x0)) )) (net (rename datain_24 "datain[24]") (joined (portRef (member datain 7)) (portRef (member datain 7) (instanceRef proa3_x0)) )) (net (rename datain_25 "datain[25]") (joined (portRef (member datain 6)) (portRef (member datain 6) (instanceRef proa3_x0)) )) (net (rename datain_26 "datain[26]") (joined (portRef (member datain 5)) (portRef (member datain 5) (instanceRef proa3_x0)) )) (net (rename datain_27 "datain[27]") (joined (portRef (member datain 4)) (portRef (member datain 4) (instanceRef proa3_x0)) )) (net (rename datain_28 "datain[28]") (joined (portRef (member datain 3)) (portRef (member datain 3) (instanceRef proa3_x0)) )) (net (rename datain_29 "datain[29]") (joined (portRef (member datain 2)) (portRef (member datain 2) (instanceRef proa3_x0)) )) (net (rename datain_30 "datain[30]") (joined (portRef (member datain 1)) (portRef (member datain 1) (instanceRef proa3_x0)) )) (net (rename datain_31 "datain[31]") (joined (portRef (member datain 0)) (portRef (member datain 0) (instanceRef proa3_x0)) )) (net (rename raddr2_0 "raddr2[0]") (joined (portRef (member raddr2 6)) (portRef (member raddr2 6) (instanceRef proa3_x0)) )) (net (rename raddr2_1 "raddr2[1]") (joined (portRef (member raddr2 5)) (portRef (member raddr2 5) (instanceRef proa3_x0)) )) (net (rename raddr2_2 "raddr2[2]") (joined (portRef (member raddr2 4)) (portRef (member raddr2 4) (instanceRef proa3_x0)) )) (net (rename raddr2_3 "raddr2[3]") (joined (portRef (member raddr2 3)) (portRef (member raddr2 3) (instanceRef proa3_x0)) )) (net (rename raddr2_4 "raddr2[4]") (joined (portRef (member raddr2 2)) (portRef (member raddr2 2) (instanceRef proa3_x0)) )) (net (rename raddr2_5 "raddr2[5]") (joined (portRef (member raddr2 1)) (portRef (member raddr2 1) (instanceRef proa3_x0)) )) (net (rename raddr2_6 "raddr2[6]") (joined (portRef (member raddr2 0)) (portRef (member raddr2 0) (instanceRef proa3_x0)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0)) (portRef (member wa_0_iv 0) (instanceRef proa3_x0)) )) (net (rename waddr_0_1 "waddr_0[1]") (joined (portRef (member waddr_0 5)) (portRef (member waddr 5) (instanceRef proa3_x0)) )) (net (rename waddr_0_2 "waddr_0[2]") (joined (portRef (member waddr_0 4)) (portRef (member waddr 4) (instanceRef proa3_x0)) )) (net (rename waddr_0_3 "waddr_0[3]") (joined (portRef (member waddr_0 3)) (portRef (member waddr 3) (instanceRef proa3_x0)) )) (net (rename waddr_0_4 "waddr_0[4]") (joined (portRef (member waddr_0 2)) (portRef (member waddr 2) (instanceRef proa3_x0)) )) (net (rename waddr_0_5 "waddr_0[5]") (joined (portRef (member waddr_0 1)) (portRef (member waddr 1) (instanceRef proa3_x0)) )) (net (rename waddr_0_6 "waddr_0[6]") (joined (portRef (member waddr_0 0)) (portRef (member waddr 0) (instanceRef proa3_x0)) )) (net (rename wdata_0 "wdata[0]") (joined (portRef (member wdata 31)) (portRef (member wdata 31) (instanceRef proa3_x0)) )) (net (rename wdata_1 "wdata[1]") (joined (portRef (member wdata 30)) (portRef (member wdata 30) (instanceRef proa3_x0)) )) (net (rename wdata_2 "wdata[2]") (joined (portRef (member wdata 29)) (portRef (member wdata 29) (instanceRef proa3_x0)) )) (net (rename wdata_3 "wdata[3]") (joined (portRef (member wdata 28)) (portRef (member wdata 28) (instanceRef proa3_x0)) )) (net (rename wdata_4 "wdata[4]") (joined (portRef (member wdata 27)) (portRef (member wdata 27) (instanceRef proa3_x0)) )) (net (rename wdata_5 "wdata[5]") (joined (portRef (member wdata 26)) (portRef (member wdata 26) (instanceRef proa3_x0)) )) (net (rename wdata_6 "wdata[6]") (joined (portRef (member wdata 25)) (portRef (member wdata 25) (instanceRef proa3_x0)) )) (net (rename wdata_7 "wdata[7]") (joined (portRef (member wdata 24)) (portRef (member wdata 24) (instanceRef proa3_x0)) )) (net (rename wdata_8 "wdata[8]") (joined (portRef (member wdata 23)) (portRef (member wdata 23) (instanceRef proa3_x0)) )) (net (rename wdata_9 "wdata[9]") (joined (portRef (member wdata 22)) (portRef (member wdata 22) (instanceRef proa3_x0)) )) (net (rename wdata_10 "wdata[10]") (joined (portRef (member wdata 21)) (portRef (member wdata 21) (instanceRef proa3_x0)) )) (net (rename wdata_11 "wdata[11]") (joined (portRef (member wdata 20)) (portRef (member wdata 20) (instanceRef proa3_x0)) )) (net (rename wdata_12 "wdata[12]") (joined (portRef (member wdata 19)) (portRef (member wdata 19) (instanceRef proa3_x0)) )) (net (rename wdata_13 "wdata[13]") (joined (portRef (member wdata 18)) (portRef (member wdata 18) (instanceRef proa3_x0)) )) (net (rename wdata_14 "wdata[14]") (joined (portRef (member wdata 17)) (portRef (member wdata 17) (instanceRef proa3_x0)) )) (net (rename wdata_15 "wdata[15]") (joined (portRef (member wdata 16)) (portRef (member wdata 16) (instanceRef proa3_x0)) )) (net (rename wdata_16 "wdata[16]") (joined (portRef (member wdata 15)) (portRef (member wdata 15) (instanceRef proa3_x0)) )) (net (rename wdata_17 "wdata[17]") (joined (portRef (member wdata 14)) (portRef (member wdata 14) (instanceRef proa3_x0)) )) (net (rename wdata_18 "wdata[18]") (joined (portRef (member wdata 13)) (portRef (member wdata 13) (instanceRef proa3_x0)) )) (net (rename wdata_19 "wdata[19]") (joined (portRef (member wdata 12)) (portRef (member wdata 12) (instanceRef proa3_x0)) )) (net (rename wdata_20 "wdata[20]") (joined (portRef (member wdata 11)) (portRef (member wdata 11) (instanceRef proa3_x0)) )) (net (rename wdata_21 "wdata[21]") (joined (portRef (member wdata 10)) (portRef (member wdata 10) (instanceRef proa3_x0)) )) (net (rename wdata_22 "wdata[22]") (joined (portRef (member wdata 9)) (portRef (member wdata 9) (instanceRef proa3_x0)) )) (net (rename wdata_23 "wdata[23]") (joined (portRef (member wdata 8)) (portRef (member wdata 8) (instanceRef proa3_x0)) )) (net (rename wdata_24 "wdata[24]") (joined (portRef (member wdata 7)) (portRef (member wdata 7) (instanceRef proa3_x0)) )) (net (rename wdata_25 "wdata[25]") (joined (portRef (member wdata 6)) (portRef (member wdata 6) (instanceRef proa3_x0)) )) (net (rename wdata_26 "wdata[26]") (joined (portRef (member wdata 5)) (portRef (member wdata 5) (instanceRef proa3_x0)) )) (net (rename wdata_27 "wdata[27]") (joined (portRef (member wdata 4)) (portRef (member wdata 4) (instanceRef proa3_x0)) )) (net (rename wdata_28 "wdata[28]") (joined (portRef (member wdata 3)) (portRef (member wdata 3) (instanceRef proa3_x0)) )) (net (rename wdata_29 "wdata[29]") (joined (portRef (member wdata 2)) (portRef (member wdata 2) (instanceRef proa3_x0)) )) (net (rename wdata_30 "wdata[30]") (joined (portRef (member wdata 1)) (portRef (member wdata 1) (instanceRef proa3_x0)) )) (net (rename wdata_31 "wdata[31]") (joined (portRef (member wdata 0)) (portRef (member wdata 0) (instanceRef proa3_x0)) )) (net syncram_2p_1_GND (joined (portRef syncram_2p_1_GND) (portRef proasic3_syncram_2p_7_32_1_GND (instanceRef proa3_x0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef proa3_x0)) )) (net ren2 (joined (portRef ren2) (portRef ren2 (instanceRef proa3_x0)) )) (net syncram_2p_1_VCC (joined (portRef syncram_2p_1_VCC) (portRef proasic3_syncram_2p_7_32_1_VCC (instanceRef proa3_x0)) )) (net wren_i (joined (portRef wren_i) (portRef wren_i (instanceRef proa3_x0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property tech (integer 10)) (property abits (integer 7)) (property dbits (integer 32)) (property sepclk (integer 0)) (property wrfst (integer 1)) (property testen (integer 0)) (property words (integer 0)) ) ) (cell syncram_2p (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename data1 "data1[31:0]") 32) (direction OUTPUT)) (port (array (rename wdata "wdata[31:0]") 32) (direction INPUT)) (port (array (rename datain "datain[31:0]") 32) (direction OUTPUT)) (port (array (rename waddr_0 "waddr_0[6:1]") 6) (direction INPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction INPUT)) (port (array (rename raddr1 "raddr1[6:0]") 7) (direction INPUT)) (port (array (rename waddr "waddr[6:0]") 7) (direction OUTPUT)) (port wren_i (direction INPUT)) (port syncram_2p_VCC (direction INPUT)) (port renable_i_1 (direction INPUT)) (port syncram_2p_GND (direction INPUT)) (port wren (direction INPUT)) (port renable_i_1_i (direction INPUT)) (port ramclk (direction INPUT)) (port write (direction OUTPUT)) ) (contents (instance (rename wrfst_gen_no_contention_check_r_raddr_RNILQ512_3 "wrfst_gen.no_contention_check.r.raddr_RNILQ512[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_RNIDQK01_0 "wrfst_gen.no_contention_check.r.raddr_RNIDQK01[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_RNI8G8G_5 "wrfst_gen.no_contention_check.r.raddr_RNI8G8G[5]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_RNI0G8G_3 "wrfst_gen.no_contention_check.r.raddr_RNI0G8G[3]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_RNIOF8G_1 "wrfst_gen.no_contention_check.r.raddr_RNIOF8G[1]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_renable_RNIS288 "wrfst_gen.no_contention_check.r.renable_RNIS288") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_RNILQ512_0_3 "wrfst_gen.no_contention_check.r.raddr_RNILQ512_0[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_RNI5848_6 "wrfst_gen.no_contention_check.r.raddr_RNI5848[6]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_RNI1848_4 "wrfst_gen.no_contention_check.r.raddr_RNI1848[4]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_RNIT748_2 "wrfst_gen.no_contention_check.r.raddr_RNIT748[2]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_RNIP748_0 "wrfst_gen.no_contention_check.r.raddr_RNIP748[0]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_renable "wrfst_gen.no_contention_check.r.renable") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_write "wrfst_gen.no_contention_check.r.write") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_0 "wrfst_gen.no_contention_check.r.raddr[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_1 "wrfst_gen.no_contention_check.r.raddr[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_2 "wrfst_gen.no_contention_check.r.raddr[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_3 "wrfst_gen.no_contention_check.r.raddr[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_4 "wrfst_gen.no_contention_check.r.raddr[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_5 "wrfst_gen.no_contention_check.r.raddr[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_raddr_6 "wrfst_gen.no_contention_check.r.raddr[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_waddr_0 "wrfst_gen.no_contention_check.r.waddr[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_waddr_1 "wrfst_gen.no_contention_check.r.waddr[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_waddr_2 "wrfst_gen.no_contention_check.r.waddr[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_waddr_3 "wrfst_gen.no_contention_check.r.waddr[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_waddr_4 "wrfst_gen.no_contention_check.r.waddr[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_waddr_5 "wrfst_gen.no_contention_check.r.waddr[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_waddr_6 "wrfst_gen.no_contention_check.r.waddr[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_0 "wrfst_gen.no_contention_check.r.datain[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_1 "wrfst_gen.no_contention_check.r.datain[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_2 "wrfst_gen.no_contention_check.r.datain[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_3 "wrfst_gen.no_contention_check.r.datain[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_4 "wrfst_gen.no_contention_check.r.datain[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_5 "wrfst_gen.no_contention_check.r.datain[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_6 "wrfst_gen.no_contention_check.r.datain[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_7 "wrfst_gen.no_contention_check.r.datain[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_8 "wrfst_gen.no_contention_check.r.datain[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_9 "wrfst_gen.no_contention_check.r.datain[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_10 "wrfst_gen.no_contention_check.r.datain[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_11 "wrfst_gen.no_contention_check.r.datain[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_12 "wrfst_gen.no_contention_check.r.datain[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_13 "wrfst_gen.no_contention_check.r.datain[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_14 "wrfst_gen.no_contention_check.r.datain[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_15 "wrfst_gen.no_contention_check.r.datain[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_16 "wrfst_gen.no_contention_check.r.datain[16]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_17 "wrfst_gen.no_contention_check.r.datain[17]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_18 "wrfst_gen.no_contention_check.r.datain[18]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_19 "wrfst_gen.no_contention_check.r.datain[19]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_20 "wrfst_gen.no_contention_check.r.datain[20]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_21 "wrfst_gen.no_contention_check.r.datain[21]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_22 "wrfst_gen.no_contention_check.r.datain[22]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_23 "wrfst_gen.no_contention_check.r.datain[23]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_24 "wrfst_gen.no_contention_check.r.datain[24]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_25 "wrfst_gen.no_contention_check.r.datain[25]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_26 "wrfst_gen.no_contention_check.r.datain[26]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_27 "wrfst_gen.no_contention_check.r.datain[27]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_28 "wrfst_gen.no_contention_check.r.datain[28]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_29 "wrfst_gen.no_contention_check.r.datain[29]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_30 "wrfst_gen.no_contention_check.r.datain[30]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename wrfst_gen_no_contention_check_r_datain_31 "wrfst_gen.no_contention_check.r.datain[31]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename proa3_x0 "proa3.x0") (viewRef netlist (cellRef proasic3_syncram_2p_7_32)) (property dbits (integer 32)) (property abits (integer 7)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net un4_scantestbp_0_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_raddr_RNILQ512_3)) (portRef un4_scantestbp_0_0 (instanceRef proa3_x0)) )) (net un4_scantestbp_4 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI8G8G_5)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_raddr_RNILQ512_0_3)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_raddr_RNILQ512_3)) )) (net un4_scantestbp_3 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI0G8G_3)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_raddr_RNILQ512_0_3)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_raddr_RNILQ512_3)) )) (net un4_scantestbp_5 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIDQK01_0)) (portRef C (instanceRef wrfst_gen_no_contention_check_r_raddr_RNILQ512_0_3)) (portRef C (instanceRef wrfst_gen_no_contention_check_r_raddr_RNILQ512_3)) )) (net un5_scantestbp_0_i_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIP748_0)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIDQK01_0)) )) (net un4_scantestbp_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_renable_RNIS288)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIDQK01_0)) )) (net un4_scantestbp_2 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIOF8G_1)) (portRef C (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIDQK01_0)) )) (net (rename raddr_5 "raddr[5]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_raddr_5)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI8G8G_5)) )) (net un5_scantestbp_6_i_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI5848_6)) (portRef C (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI8G8G_5)) )) (net (rename raddr_3 "raddr[3]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_raddr_3)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI0G8G_3)) )) (net un5_scantestbp_4_i_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI1848_4)) (portRef C (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI0G8G_3)) )) (net (rename raddr_1 "raddr[1]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_raddr_1)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIOF8G_1)) )) (net un5_scantestbp_2_i_0 (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIT748_2)) (portRef C (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIOF8G_1)) )) (net renable (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_renable)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_renable_RNIS288)) )) (net un4_scantestbp (joined (portRef Y (instanceRef wrfst_gen_no_contention_check_r_raddr_RNILQ512_0_3)) (portRef un4_scantestbp (instanceRef proa3_x0)) )) (net (rename raddr_6 "raddr[6]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_raddr_6)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI5848_6)) )) (net (rename raddr_4 "raddr[4]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_raddr_4)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI1848_4)) )) (net (rename raddr_2 "raddr[2]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_raddr_2)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIT748_2)) )) (net (rename raddr_0 "raddr[0]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_raddr_0)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIP748_0)) )) (net renable_i_1_i (joined (portRef renable_i_1_i) (portRef D (instanceRef wrfst_gen_no_contention_check_r_renable)) )) (net write (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_write)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_renable_RNIS288)) (portRef write) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef proa3_x0)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_31)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_30)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_29)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_28)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_27)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_26)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_25)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_24)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_23)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_22)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_21)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_20)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_19)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_18)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_17)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_16)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_15)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_14)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_13)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_12)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_11)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_10)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_9)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_8)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_7)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_6)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_5)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_4)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_3)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_2)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_1)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_datain_0)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_waddr_6)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_waddr_5)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_waddr_4)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_waddr_3)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_waddr_2)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_waddr_1)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_waddr_0)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_raddr_6)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_raddr_5)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_raddr_4)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_raddr_3)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_raddr_2)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_raddr_1)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_raddr_0)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_write)) (portRef CLK (instanceRef wrfst_gen_no_contention_check_r_renable)) )) (net wren (joined (portRef wren) (portRef D (instanceRef wrfst_gen_no_contention_check_r_write)) )) (net (rename waddr_0_p "waddr[0]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_waddr_0)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIP748_0)) (portRef (member waddr 6)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_waddr_1)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIOF8G_1)) (portRef (member waddr 5)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_waddr_2)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_raddr_RNIT748_2)) (portRef (member waddr 4)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_waddr_3)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI0G8G_3)) (portRef (member waddr 3)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_waddr_4)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI1848_4)) (portRef (member waddr 2)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_waddr_5)) (portRef B (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI8G8G_5)) (portRef (member waddr 1)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_waddr_6)) (portRef A (instanceRef wrfst_gen_no_contention_check_r_raddr_RNI5848_6)) (portRef (member waddr 0)) )) (net (rename data1_0 "data1[0]") (joined (portRef (member data1 31) (instanceRef proa3_x0)) (portRef (member data1 31)) )) (net (rename data1_1 "data1[1]") (joined (portRef (member data1 30) (instanceRef proa3_x0)) (portRef (member data1 30)) )) (net (rename data1_2 "data1[2]") (joined (portRef (member data1 29) (instanceRef proa3_x0)) (portRef (member data1 29)) )) (net (rename data1_3 "data1[3]") (joined (portRef (member data1 28) (instanceRef proa3_x0)) (portRef (member data1 28)) )) (net (rename data1_4 "data1[4]") (joined (portRef (member data1 27) (instanceRef proa3_x0)) (portRef (member data1 27)) )) (net (rename data1_5 "data1[5]") (joined (portRef (member data1 26) (instanceRef proa3_x0)) (portRef (member data1 26)) )) (net (rename data1_6 "data1[6]") (joined (portRef (member data1 25) (instanceRef proa3_x0)) (portRef (member data1 25)) )) (net (rename data1_7 "data1[7]") (joined (portRef (member data1 24) (instanceRef proa3_x0)) (portRef (member data1 24)) )) (net (rename data1_8 "data1[8]") (joined (portRef (member data1 23) (instanceRef proa3_x0)) (portRef (member data1 23)) )) (net (rename data1_9 "data1[9]") (joined (portRef (member data1 22) (instanceRef proa3_x0)) (portRef (member data1 22)) )) (net (rename data1_10 "data1[10]") (joined (portRef (member data1 21) (instanceRef proa3_x0)) (portRef (member data1 21)) )) (net (rename data1_11 "data1[11]") (joined (portRef (member data1 20) (instanceRef proa3_x0)) (portRef (member data1 20)) )) (net (rename data1_12 "data1[12]") (joined (portRef (member data1 19) (instanceRef proa3_x0)) (portRef (member data1 19)) )) (net (rename data1_13 "data1[13]") (joined (portRef (member data1 18) (instanceRef proa3_x0)) (portRef (member data1 18)) )) (net (rename data1_14 "data1[14]") (joined (portRef (member data1 17) (instanceRef proa3_x0)) (portRef (member data1 17)) )) (net (rename data1_15 "data1[15]") (joined (portRef (member data1 16) (instanceRef proa3_x0)) (portRef (member data1 16)) )) (net (rename data1_16 "data1[16]") (joined (portRef (member data1 15) (instanceRef proa3_x0)) (portRef (member data1 15)) )) (net (rename data1_17 "data1[17]") (joined (portRef (member data1 14) (instanceRef proa3_x0)) (portRef (member data1 14)) )) (net (rename data1_18 "data1[18]") (joined (portRef (member data1 13) (instanceRef proa3_x0)) (portRef (member data1 13)) )) (net (rename data1_19 "data1[19]") (joined (portRef (member data1 12) (instanceRef proa3_x0)) (portRef (member data1 12)) )) (net (rename data1_20 "data1[20]") (joined (portRef (member data1 11) (instanceRef proa3_x0)) (portRef (member data1 11)) )) (net (rename data1_21 "data1[21]") (joined (portRef (member data1 10) (instanceRef proa3_x0)) (portRef (member data1 10)) )) (net (rename data1_22 "data1[22]") (joined (portRef (member data1 9) (instanceRef proa3_x0)) (portRef (member data1 9)) )) (net (rename data1_23 "data1[23]") (joined (portRef (member data1 8) (instanceRef proa3_x0)) (portRef (member data1 8)) )) (net (rename data1_24 "data1[24]") (joined (portRef (member data1 7) (instanceRef proa3_x0)) (portRef (member data1 7)) )) (net (rename data1_25 "data1[25]") (joined (portRef (member data1 6) (instanceRef proa3_x0)) (portRef (member data1 6)) )) (net (rename data1_26 "data1[26]") (joined (portRef (member data1 5) (instanceRef proa3_x0)) (portRef (member data1 5)) )) (net (rename data1_27 "data1[27]") (joined (portRef (member data1 4) (instanceRef proa3_x0)) (portRef (member data1 4)) )) (net (rename data1_28 "data1[28]") (joined (portRef (member data1 3) (instanceRef proa3_x0)) (portRef (member data1 3)) )) (net (rename data1_29 "data1[29]") (joined (portRef (member data1 2) (instanceRef proa3_x0)) (portRef (member data1 2)) )) (net (rename data1_30 "data1[30]") (joined (portRef (member data1 1) (instanceRef proa3_x0)) (portRef (member data1 1)) )) (net (rename data1_31 "data1[31]") (joined (portRef (member data1 0) (instanceRef proa3_x0)) (portRef (member data1 0)) )) (net (rename datain_0 "datain[0]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_0)) (portRef (member datain 31) (instanceRef proa3_x0)) (portRef (member datain 31)) )) (net (rename datain_1 "datain[1]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_1)) (portRef (member datain 30) (instanceRef proa3_x0)) (portRef (member datain 30)) )) (net (rename datain_2 "datain[2]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_2)) (portRef (member datain 29) (instanceRef proa3_x0)) (portRef (member datain 29)) )) (net (rename datain_3 "datain[3]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_3)) (portRef (member datain 28) (instanceRef proa3_x0)) (portRef (member datain 28)) )) (net (rename datain_4 "datain[4]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_4)) (portRef (member datain 27) (instanceRef proa3_x0)) (portRef (member datain 27)) )) (net (rename datain_5 "datain[5]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_5)) (portRef (member datain 26) (instanceRef proa3_x0)) (portRef (member datain 26)) )) (net (rename datain_6 "datain[6]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_6)) (portRef (member datain 25) (instanceRef proa3_x0)) (portRef (member datain 25)) )) (net (rename datain_7 "datain[7]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_7)) (portRef (member datain 24) (instanceRef proa3_x0)) (portRef (member datain 24)) )) (net (rename datain_8 "datain[8]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_8)) (portRef (member datain 23) (instanceRef proa3_x0)) (portRef (member datain 23)) )) (net (rename datain_9 "datain[9]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_9)) (portRef (member datain 22) (instanceRef proa3_x0)) (portRef (member datain 22)) )) (net (rename datain_10 "datain[10]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_10)) (portRef (member datain 21) (instanceRef proa3_x0)) (portRef (member datain 21)) )) (net (rename datain_11 "datain[11]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_11)) (portRef (member datain 20) (instanceRef proa3_x0)) (portRef (member datain 20)) )) (net (rename datain_12 "datain[12]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_12)) (portRef (member datain 19) (instanceRef proa3_x0)) (portRef (member datain 19)) )) (net (rename datain_13 "datain[13]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_13)) (portRef (member datain 18) (instanceRef proa3_x0)) (portRef (member datain 18)) )) (net (rename datain_14 "datain[14]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_14)) (portRef (member datain 17) (instanceRef proa3_x0)) (portRef (member datain 17)) )) (net (rename datain_15 "datain[15]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_15)) (portRef (member datain 16) (instanceRef proa3_x0)) (portRef (member datain 16)) )) (net (rename datain_16 "datain[16]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_16)) (portRef (member datain 15) (instanceRef proa3_x0)) (portRef (member datain 15)) )) (net (rename datain_17 "datain[17]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_17)) (portRef (member datain 14) (instanceRef proa3_x0)) (portRef (member datain 14)) )) (net (rename datain_18 "datain[18]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_18)) (portRef (member datain 13) (instanceRef proa3_x0)) (portRef (member datain 13)) )) (net (rename datain_19 "datain[19]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_19)) (portRef (member datain 12) (instanceRef proa3_x0)) (portRef (member datain 12)) )) (net (rename datain_20 "datain[20]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_20)) (portRef (member datain 11) (instanceRef proa3_x0)) (portRef (member datain 11)) )) (net (rename datain_21 "datain[21]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_21)) (portRef (member datain 10) (instanceRef proa3_x0)) (portRef (member datain 10)) )) (net (rename datain_22 "datain[22]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_22)) (portRef (member datain 9) (instanceRef proa3_x0)) (portRef (member datain 9)) )) (net (rename datain_23 "datain[23]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_23)) (portRef (member datain 8) (instanceRef proa3_x0)) (portRef (member datain 8)) )) (net (rename datain_24 "datain[24]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_24)) (portRef (member datain 7) (instanceRef proa3_x0)) (portRef (member datain 7)) )) (net (rename datain_25 "datain[25]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_25)) (portRef (member datain 6) (instanceRef proa3_x0)) (portRef (member datain 6)) )) (net (rename datain_26 "datain[26]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_26)) (portRef (member datain 5) (instanceRef proa3_x0)) (portRef (member datain 5)) )) (net (rename datain_27 "datain[27]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_27)) (portRef (member datain 4) (instanceRef proa3_x0)) (portRef (member datain 4)) )) (net (rename datain_28 "datain[28]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_28)) (portRef (member datain 3) (instanceRef proa3_x0)) (portRef (member datain 3)) )) (net (rename datain_29 "datain[29]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_29)) (portRef (member datain 2) (instanceRef proa3_x0)) (portRef (member datain 2)) )) (net (rename datain_30 "datain[30]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_30)) (portRef (member datain 1) (instanceRef proa3_x0)) (portRef (member datain 1)) )) (net (rename datain_31 "datain[31]") (joined (portRef Q (instanceRef wrfst_gen_no_contention_check_r_datain_31)) (portRef (member datain 0) (instanceRef proa3_x0)) (portRef (member datain 0)) )) (net (rename raddr1_0 "raddr1[0]") (joined (portRef (member raddr1 6)) (portRef (member raddr1 6) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_raddr_0)) )) (net (rename raddr1_1 "raddr1[1]") (joined (portRef (member raddr1 5)) (portRef (member raddr1 5) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_raddr_1)) )) (net (rename raddr1_2 "raddr1[2]") (joined (portRef (member raddr1 4)) (portRef (member raddr1 4) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_raddr_2)) )) (net (rename raddr1_3 "raddr1[3]") (joined (portRef (member raddr1 3)) (portRef (member raddr1 3) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_raddr_3)) )) (net (rename raddr1_4 "raddr1[4]") (joined (portRef (member raddr1 2)) (portRef (member raddr1 2) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_raddr_4)) )) (net (rename raddr1_5 "raddr1[5]") (joined (portRef (member raddr1 1)) (portRef (member raddr1 1) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_raddr_5)) )) (net (rename raddr1_6 "raddr1[6]") (joined (portRef (member raddr1 0)) (portRef (member raddr1 0) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_raddr_6)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0)) (portRef (member wa_0_iv 0) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_waddr_0)) )) (net (rename waddr_0_1 "waddr_0[1]") (joined (portRef (member waddr_0 5)) (portRef (member waddr 5) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_waddr_1)) )) (net (rename waddr_0_2 "waddr_0[2]") (joined (portRef (member waddr_0 4)) (portRef (member waddr 4) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_waddr_2)) )) (net (rename waddr_0_3 "waddr_0[3]") (joined (portRef (member waddr_0 3)) (portRef (member waddr 3) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_waddr_3)) )) (net (rename waddr_0_4 "waddr_0[4]") (joined (portRef (member waddr_0 2)) (portRef (member waddr 2) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_waddr_4)) )) (net (rename waddr_0_5 "waddr_0[5]") (joined (portRef (member waddr_0 1)) (portRef (member waddr 1) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_waddr_5)) )) (net (rename waddr_0_6 "waddr_0[6]") (joined (portRef (member waddr_0 0)) (portRef (member waddr 0) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_waddr_6)) )) (net (rename wdata_0 "wdata[0]") (joined (portRef (member wdata 31)) (portRef (member wdata 31) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_0)) )) (net (rename wdata_1 "wdata[1]") (joined (portRef (member wdata 30)) (portRef (member wdata 30) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_1)) )) (net (rename wdata_2 "wdata[2]") (joined (portRef (member wdata 29)) (portRef (member wdata 29) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_2)) )) (net (rename wdata_3 "wdata[3]") (joined (portRef (member wdata 28)) (portRef (member wdata 28) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_3)) )) (net (rename wdata_4 "wdata[4]") (joined (portRef (member wdata 27)) (portRef (member wdata 27) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_4)) )) (net (rename wdata_5 "wdata[5]") (joined (portRef (member wdata 26)) (portRef (member wdata 26) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_5)) )) (net (rename wdata_6 "wdata[6]") (joined (portRef (member wdata 25)) (portRef (member wdata 25) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_6)) )) (net (rename wdata_7 "wdata[7]") (joined (portRef (member wdata 24)) (portRef (member wdata 24) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_7)) )) (net (rename wdata_8 "wdata[8]") (joined (portRef (member wdata 23)) (portRef (member wdata 23) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_8)) )) (net (rename wdata_9 "wdata[9]") (joined (portRef (member wdata 22)) (portRef (member wdata 22) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_9)) )) (net (rename wdata_10 "wdata[10]") (joined (portRef (member wdata 21)) (portRef (member wdata 21) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_10)) )) (net (rename wdata_11 "wdata[11]") (joined (portRef (member wdata 20)) (portRef (member wdata 20) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_11)) )) (net (rename wdata_12 "wdata[12]") (joined (portRef (member wdata 19)) (portRef (member wdata 19) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_12)) )) (net (rename wdata_13 "wdata[13]") (joined (portRef (member wdata 18)) (portRef (member wdata 18) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_13)) )) (net (rename wdata_14 "wdata[14]") (joined (portRef (member wdata 17)) (portRef (member wdata 17) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_14)) )) (net (rename wdata_15 "wdata[15]") (joined (portRef (member wdata 16)) (portRef (member wdata 16) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_15)) )) (net (rename wdata_16 "wdata[16]") (joined (portRef (member wdata 15)) (portRef (member wdata 15) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_16)) )) (net (rename wdata_17 "wdata[17]") (joined (portRef (member wdata 14)) (portRef (member wdata 14) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_17)) )) (net (rename wdata_18 "wdata[18]") (joined (portRef (member wdata 13)) (portRef (member wdata 13) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_18)) )) (net (rename wdata_19 "wdata[19]") (joined (portRef (member wdata 12)) (portRef (member wdata 12) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_19)) )) (net (rename wdata_20 "wdata[20]") (joined (portRef (member wdata 11)) (portRef (member wdata 11) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_20)) )) (net (rename wdata_21 "wdata[21]") (joined (portRef (member wdata 10)) (portRef (member wdata 10) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_21)) )) (net (rename wdata_22 "wdata[22]") (joined (portRef (member wdata 9)) (portRef (member wdata 9) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_22)) )) (net (rename wdata_23 "wdata[23]") (joined (portRef (member wdata 8)) (portRef (member wdata 8) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_23)) )) (net (rename wdata_24 "wdata[24]") (joined (portRef (member wdata 7)) (portRef (member wdata 7) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_24)) )) (net (rename wdata_25 "wdata[25]") (joined (portRef (member wdata 6)) (portRef (member wdata 6) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_25)) )) (net (rename wdata_26 "wdata[26]") (joined (portRef (member wdata 5)) (portRef (member wdata 5) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_26)) )) (net (rename wdata_27 "wdata[27]") (joined (portRef (member wdata 4)) (portRef (member wdata 4) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_27)) )) (net (rename wdata_28 "wdata[28]") (joined (portRef (member wdata 3)) (portRef (member wdata 3) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_28)) )) (net (rename wdata_29 "wdata[29]") (joined (portRef (member wdata 2)) (portRef (member wdata 2) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_29)) )) (net (rename wdata_30 "wdata[30]") (joined (portRef (member wdata 1)) (portRef (member wdata 1) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_30)) )) (net (rename wdata_31 "wdata[31]") (joined (portRef (member wdata 0)) (portRef (member wdata 0) (instanceRef proa3_x0)) (portRef D (instanceRef wrfst_gen_no_contention_check_r_datain_31)) )) (net syncram_2p_GND (joined (portRef syncram_2p_GND) (portRef proasic3_syncram_2p_7_32_GND (instanceRef proa3_x0)) )) (net renable_i_1 (joined (portRef renable_i_1) (portRef renable_i_1 (instanceRef proa3_x0)) )) (net syncram_2p_VCC (joined (portRef syncram_2p_VCC) (portRef proasic3_syncram_2p_7_32_VCC (instanceRef proa3_x0)) )) (net wren_i (joined (portRef wren_i) (portRef wren_i (instanceRef proa3_x0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property tech (integer 10)) (property abits (integer 7)) (property dbits (integer 32)) (property sepclk (integer 0)) (property wrfst (integer 1)) (property testen (integer 0)) (property words (integer 0)) ) ) (cell clkgen_proasic3 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port lclk (direction INPUT)) (port clkgen_proasic3_VCC (direction INPUT)) (port clkgen_proasic3_GND (direction INPUT)) (port clklock (direction OUTPUT)) (port ramclk (direction OUTPUT)) ) (contents (instance Core (viewRef prim (cellRef PLL (libraryRef PA3))) (property is_instantiated (integer 1)) (property VCOFREQUENCY (string "25.0")) ) (instance (rename c0_pllint0 "c0.pllint0") (viewRef prim (cellRef PLLINT (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net ramclk (joined (portRef ramclk) (portRef GLA (instanceRef Core)) )) (net Core_GLB (joined (portRef GLB (instanceRef Core)) )) (net Core_GLC (joined (portRef GLC (instanceRef Core)) )) (net clklock (joined (portRef LOCK (instanceRef Core)) (portRef clklock) )) (net Core_YB (joined (portRef YB (instanceRef Core)) )) (net Core_YC (joined (portRef YC (instanceRef Core)) )) (net clkint (joined (portRef Y (instanceRef c0_pllint0)) (portRef CLKA (instanceRef Core)) )) (net clkgen_proasic3_GND (joined (portRef clkgen_proasic3_GND) (portRef XDLYSEL (instanceRef Core)) (portRef VCOSEL2 (instanceRef Core)) (portRef VCOSEL1 (instanceRef Core)) (portRef VCOSEL0 (instanceRef Core)) (portRef OCMUX2 (instanceRef Core)) (portRef OCMUX1 (instanceRef Core)) (portRef OCMUX0 (instanceRef Core)) (portRef OCDIV4 (instanceRef Core)) (portRef OCDIV3 (instanceRef Core)) (portRef OCDIV2 (instanceRef Core)) (portRef OCDIV1 (instanceRef Core)) (portRef OCDIV0 (instanceRef Core)) (portRef OBMUX2 (instanceRef Core)) (portRef OBMUX1 (instanceRef Core)) (portRef OBMUX0 (instanceRef Core)) (portRef OBDIV4 (instanceRef Core)) (portRef OBDIV3 (instanceRef Core)) (portRef OBDIV2 (instanceRef Core)) (portRef OBDIV1 (instanceRef Core)) (portRef OBDIV0 (instanceRef Core)) (portRef OAMUX1 (instanceRef Core)) (portRef OAMUX0 (instanceRef Core)) (portRef OADIV4 (instanceRef Core)) (portRef OADIV3 (instanceRef Core)) (portRef OADIV2 (instanceRef Core)) (portRef OADIV1 (instanceRef Core)) (portRef OADIV0 (instanceRef Core)) (portRef FINDIV6 (instanceRef Core)) (portRef FINDIV5 (instanceRef Core)) (portRef FINDIV4 (instanceRef Core)) (portRef FINDIV2 (instanceRef Core)) (portRef FINDIV1 (instanceRef Core)) (portRef FBSEL1 (instanceRef Core)) (portRef FBDLY4 (instanceRef Core)) (portRef FBDLY3 (instanceRef Core)) (portRef FBDLY2 (instanceRef Core)) (portRef FBDLY1 (instanceRef Core)) (portRef FBDLY0 (instanceRef Core)) (portRef FBDIV6 (instanceRef Core)) (portRef FBDIV5 (instanceRef Core)) (portRef FBDIV4 (instanceRef Core)) (portRef FBDIV3 (instanceRef Core)) (portRef FBDIV1 (instanceRef Core)) (portRef FBDIV0 (instanceRef Core)) (portRef EXTFB (instanceRef Core)) (portRef DLYYC4 (instanceRef Core)) (portRef DLYYC3 (instanceRef Core)) (portRef DLYYC2 (instanceRef Core)) (portRef DLYYC1 (instanceRef Core)) (portRef DLYYC0 (instanceRef Core)) (portRef DLYYB4 (instanceRef Core)) (portRef DLYYB3 (instanceRef Core)) (portRef DLYYB2 (instanceRef Core)) (portRef DLYYB1 (instanceRef Core)) (portRef DLYYB0 (instanceRef Core)) (portRef DLYGLC4 (instanceRef Core)) (portRef DLYGLC3 (instanceRef Core)) (portRef DLYGLC2 (instanceRef Core)) (portRef DLYGLC1 (instanceRef Core)) (portRef DLYGLC0 (instanceRef Core)) (portRef DLYGLB4 (instanceRef Core)) (portRef DLYGLB3 (instanceRef Core)) (portRef DLYGLB2 (instanceRef Core)) (portRef DLYGLB1 (instanceRef Core)) (portRef DLYGLB0 (instanceRef Core)) (portRef DLYGLA4 (instanceRef Core)) (portRef DLYGLA3 (instanceRef Core)) (portRef DLYGLA2 (instanceRef Core)) (portRef DLYGLA1 (instanceRef Core)) (portRef DLYGLA0 (instanceRef Core)) )) (net clkgen_proasic3_VCC (joined (portRef clkgen_proasic3_VCC) (portRef POWERDOWN (instanceRef Core)) (portRef OAMUX2 (instanceRef Core)) (portRef FINDIV3 (instanceRef Core)) (portRef FINDIV0 (instanceRef Core)) (portRef FBSEL0 (instanceRef Core)) (portRef FBDIV2 (instanceRef Core)) )) (net lclk (joined (portRef lclk) (portRef A (instanceRef c0_pllint0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property clk_mul (integer 5)) (property clk_div (integer 10)) (property clk_odiv (integer 1)) (property pcien (integer 0)) (property pcisysclk (integer 0)) (property freq (integer 50000)) ) ) (cell syncramZ0_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rni3jq791 "dstate_RNI3JQ791[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniuuch91 "dstate_RNIUUCH91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni5c6e91 "dstate_RNI5C6E91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnij6pe91 "dstate_RNIJ6PE91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniak9of1 "dstate_RNIAK9OF1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnir83tf1 "dstate_RNIR83TF1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni8bdug1 "dstate_RNI8BDUG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni8cbsg1 "dstate_RNI8CBSG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnistgfh1 "dstate_RNISTGFH1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniosstg1 "dstate_RNIOSSTG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni0v0e91 "dstate_RNI0V0E91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniiltr91 "dstate_RNIILTR91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnitlpg91 "dstate_RNITLPG91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnia7si91 "dstate_RNIA7SI91[1:1]") 1) (direction INPUT)) (port (array (rename size_rniiu3pu "size_RNIIU3PU[1:1]") 1) (direction INPUT)) (port (array (rename size_rniqafgu "size_RNIQAFGU[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni08ulu "dstate_RNI08ULU[1:1]") 1) (direction INPUT)) (port (array (rename size_rniq6o4u "size_RNIQ6O4U[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnivapcb1 "dstate_RNIVAPCB1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnigbkhb1 "dstate_RNIGBKHB1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnisu72d1 "dstate_RNISU72D1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniophjd1 "dstate_RNIOPHJD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnibj5kd1 "dstate_RNIBJ5KD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnir5pqd1 "dstate_RNIR5PQD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnipkcmd1 "dstate_RNIPKCMD1[1:1]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename dstate_rni5432u "dstate_RNI5432U[1:1]") 1) (direction INPUT)) (port (array (rename size_rnitkmlu "size_RNITKMLU[1:1]") 1) (direction INPUT)) (port (array (rename size_rnis2oau "size_RNIS2OAU[1:1]") 1) (direction INPUT)) (port (array (rename size_rni1g16u "size_RNI1G16U[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port u0_DOUTA0_1 (direction OUTPUT)) (port u0_DOUTA1_1 (direction OUTPUT)) (port u0_DOUTA2_1 (direction OUTPUT)) (port u0_DOUTA3_1 (direction OUTPUT)) (port u0_DOUTA0_0 (direction OUTPUT)) (port u0_DOUTA1_0 (direction OUTPUT)) (port u0_DOUTA2_0 (direction OUTPUT)) (port u0_DOUTA3_0 (direction OUTPUT)) (port read_RNILMNHG1 (direction INPUT)) (port u0_DOUTA0 (direction OUTPUT)) (port u0_DOUTA1 (direction OUTPUT)) (port u0_DOUTA2 (direction OUTPUT)) (port u0_DOUTA3 (direction OUTPUT)) (port read_RNIV144H1 (direction INPUT)) (port u0_DOUTA0_2 (direction OUTPUT)) (port u0_DOUTA1_2 (direction OUTPUT)) (port u0_DOUTA2_2 (direction OUTPUT)) (port u0_DOUTA3_2 (direction OUTPUT)) (port u0_DOUTA0_5 (direction OUTPUT)) (port u0_DOUTA1_5 (direction OUTPUT)) (port u0_DOUTA2_5 (direction OUTPUT)) (port u0_DOUTA3_5 (direction OUTPUT)) (port u0_DOUTA0_4 (direction OUTPUT)) (port u0_DOUTA1_4 (direction OUTPUT)) (port u0_DOUTA2_4 (direction OUTPUT)) (port u0_DOUTA3_4 (direction OUTPUT)) (port u0_DOUTA0_3 (direction OUTPUT)) (port u0_DOUTA1_3 (direction OUTPUT)) (port u0_DOUTA2_3 (direction OUTPUT)) (port u0_DOUTA3_3 (direction OUTPUT)) (port read_RNIGQ6ND1 (direction INPUT)) (port u0_DOUTA0_6 (direction OUTPUT)) (port u0_DOUTA1_6 (direction OUTPUT)) (port u0_DOUTA2_6 (direction OUTPUT)) (port u0_DOUTA3_6 (direction OUTPUT)) (port syncramZ0_1_GND (direction INPUT)) (port syncramZ0_1_VCC (direction INPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename proa3_x0 "proa3.x0") (viewRef netlist (cellRef proasic3_syncram_10_32_1)) (property dbits (integer 32)) (property abits (integer 10)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef proa3_x0)) )) (net (rename size_RNI1G16U_1 "size_RNI1G16U[1]") (joined (portRef (member size_rni1g16u 0)) (portRef (member size_rni1g16u 0) (instanceRef proa3_x0)) )) (net (rename size_RNIS2OAU_1 "size_RNIS2OAU[1]") (joined (portRef (member size_rnis2oau 0)) (portRef (member size_rnis2oau 0) (instanceRef proa3_x0)) )) (net (rename size_RNITKMLU_1 "size_RNITKMLU[1]") (joined (portRef (member size_rnitkmlu 0)) (portRef (member size_rnitkmlu 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNI5432U_1 "dstate_RNI5432U[1]") (joined (portRef (member dstate_rni5432u 0)) (portRef (member dstate_rni5432u 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef (member faddr_rnimvm8u 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef (member faddr_rni49a0q 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef (member faddr_rniin4om 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef (member faddr_rni6usbi 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef (member faddr_rnivnqnh 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef (member faddr_rni1entg 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef (member faddr_rnig8pkf 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef (member faddr_rnik42hd 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef (member xaddress_rni9p28c 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIPKCMD1_1 "dstate_RNIPKCMD1[1]") (joined (portRef (member dstate_rnipkcmd1 0)) (portRef (member dstate_rnipkcmd1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIR5PQD1_1 "dstate_RNIR5PQD1[1]") (joined (portRef (member dstate_rnir5pqd1 0)) (portRef (member dstate_rnir5pqd1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIBJ5KD1_1 "dstate_RNIBJ5KD1[1]") (joined (portRef (member dstate_rnibj5kd1 0)) (portRef (member dstate_rnibj5kd1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIOPHJD1_1 "dstate_RNIOPHJD1[1]") (joined (portRef (member dstate_rniophjd1 0)) (portRef (member dstate_rniophjd1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNISU72D1_1 "dstate_RNISU72D1[1]") (joined (portRef (member dstate_rnisu72d1 0)) (portRef (member dstate_rnisu72d1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIGBKHB1_1 "dstate_RNIGBKHB1[1]") (joined (portRef (member dstate_rnigbkhb1 0)) (portRef (member dstate_rnigbkhb1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIVAPCB1_1 "dstate_RNIVAPCB1[1]") (joined (portRef (member dstate_rnivapcb1 0)) (portRef (member dstate_rnivapcb1 0) (instanceRef proa3_x0)) )) (net (rename size_RNIQ6O4U_1 "size_RNIQ6O4U[1]") (joined (portRef (member size_rniq6o4u 0)) (portRef (member size_rniq6o4u 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNI08ULU_1 "dstate_RNI08ULU[1]") (joined (portRef (member dstate_rni08ulu 0)) (portRef (member dstate_rni08ulu 0) (instanceRef proa3_x0)) )) (net (rename size_RNIQAFGU_1 "size_RNIQAFGU[1]") (joined (portRef (member size_rniqafgu 0)) (portRef (member size_rniqafgu 0) (instanceRef proa3_x0)) )) (net (rename size_RNIIU3PU_1 "size_RNIIU3PU[1]") (joined (portRef (member size_rniiu3pu 0)) (portRef (member size_rniiu3pu 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIA7SI91_1 "dstate_RNIA7SI91[1]") (joined (portRef (member dstate_rnia7si91 0)) (portRef (member dstate_rnia7si91 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNITLPG91_1 "dstate_RNITLPG91[1]") (joined (portRef (member dstate_rnitlpg91 0)) (portRef (member dstate_rnitlpg91 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIILTR91_1 "dstate_RNIILTR91[1]") (joined (portRef (member dstate_rniiltr91 0)) (portRef (member dstate_rniiltr91 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNI0V0E91_1 "dstate_RNI0V0E91[1]") (joined (portRef (member dstate_rni0v0e91 0)) (portRef (member dstate_rni0v0e91 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIOSSTG1_1 "dstate_RNIOSSTG1[1]") (joined (portRef (member dstate_rniosstg1 0)) (portRef (member dstate_rniosstg1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNISTGFH1_1 "dstate_RNISTGFH1[1]") (joined (portRef (member dstate_rnistgfh1 0)) (portRef (member dstate_rnistgfh1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNI8CBSG1_1 "dstate_RNI8CBSG1[1]") (joined (portRef (member dstate_rni8cbsg1 0)) (portRef (member dstate_rni8cbsg1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNI8BDUG1_1 "dstate_RNI8BDUG1[1]") (joined (portRef (member dstate_rni8bdug1 0)) (portRef (member dstate_rni8bdug1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIR83TF1_1 "dstate_RNIR83TF1[1]") (joined (portRef (member dstate_rnir83tf1 0)) (portRef (member dstate_rnir83tf1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIAK9OF1_1 "dstate_RNIAK9OF1[1]") (joined (portRef (member dstate_rniak9of1 0)) (portRef (member dstate_rniak9of1 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIJ6PE91_1 "dstate_RNIJ6PE91[1]") (joined (portRef (member dstate_rnij6pe91 0)) (portRef (member dstate_rnij6pe91 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNI5C6E91_1 "dstate_RNI5C6E91[1]") (joined (portRef (member dstate_rni5c6e91 0)) (portRef (member dstate_rni5c6e91 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNIUUCH91_1 "dstate_RNIUUCH91[1]") (joined (portRef (member dstate_rniuuch91 0)) (portRef (member dstate_rniuuch91 0) (instanceRef proa3_x0)) )) (net (rename dstate_RNI3JQ791_1 "dstate_RNI3JQ791[1]") (joined (portRef (member dstate_rni3jq791 0)) (portRef (member dstate_rni3jq791 0) (instanceRef proa3_x0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef proa3_x0)) )) (net syncramZ0_1_VCC (joined (portRef syncramZ0_1_VCC) (portRef proasic3_syncram_10_32_1_VCC (instanceRef proa3_x0)) )) (net syncramZ0_1_GND (joined (portRef syncramZ0_1_GND) (portRef proasic3_syncram_10_32_1_GND (instanceRef proa3_x0)) )) (net u0_DOUTA3_6 (joined (portRef u0_DOUTA3_6 (instanceRef proa3_x0)) (portRef u0_DOUTA3_6) )) (net u0_DOUTA2_6 (joined (portRef u0_DOUTA2_6 (instanceRef proa3_x0)) (portRef u0_DOUTA2_6) )) (net u0_DOUTA1_6 (joined (portRef u0_DOUTA1_6 (instanceRef proa3_x0)) (portRef u0_DOUTA1_6) )) (net u0_DOUTA0_6 (joined (portRef u0_DOUTA0_6 (instanceRef proa3_x0)) (portRef u0_DOUTA0_6) )) (net read_RNIGQ6ND1 (joined (portRef read_RNIGQ6ND1) (portRef read_RNIGQ6ND1 (instanceRef proa3_x0)) )) (net u0_DOUTA3_3 (joined (portRef u0_DOUTA3_3 (instanceRef proa3_x0)) (portRef u0_DOUTA3_3) )) (net u0_DOUTA2_3 (joined (portRef u0_DOUTA2_3 (instanceRef proa3_x0)) (portRef u0_DOUTA2_3) )) (net u0_DOUTA1_3 (joined (portRef u0_DOUTA1_3 (instanceRef proa3_x0)) (portRef u0_DOUTA1_3) )) (net u0_DOUTA0_3 (joined (portRef u0_DOUTA0_3 (instanceRef proa3_x0)) (portRef u0_DOUTA0_3) )) (net u0_DOUTA3_4 (joined (portRef u0_DOUTA3_4 (instanceRef proa3_x0)) (portRef u0_DOUTA3_4) )) (net u0_DOUTA2_4 (joined (portRef u0_DOUTA2_4 (instanceRef proa3_x0)) (portRef u0_DOUTA2_4) )) (net u0_DOUTA1_4 (joined (portRef u0_DOUTA1_4 (instanceRef proa3_x0)) (portRef u0_DOUTA1_4) )) (net u0_DOUTA0_4 (joined (portRef u0_DOUTA0_4 (instanceRef proa3_x0)) (portRef u0_DOUTA0_4) )) (net u0_DOUTA3_5 (joined (portRef u0_DOUTA3_5 (instanceRef proa3_x0)) (portRef u0_DOUTA3_5) )) (net u0_DOUTA2_5 (joined (portRef u0_DOUTA2_5 (instanceRef proa3_x0)) (portRef u0_DOUTA2_5) )) (net u0_DOUTA1_5 (joined (portRef u0_DOUTA1_5 (instanceRef proa3_x0)) (portRef u0_DOUTA1_5) )) (net u0_DOUTA0_5 (joined (portRef u0_DOUTA0_5 (instanceRef proa3_x0)) (portRef u0_DOUTA0_5) )) (net u0_DOUTA3_2 (joined (portRef u0_DOUTA3_2 (instanceRef proa3_x0)) (portRef u0_DOUTA3_2) )) (net u0_DOUTA2_2 (joined (portRef u0_DOUTA2_2 (instanceRef proa3_x0)) (portRef u0_DOUTA2_2) )) (net u0_DOUTA1_2 (joined (portRef u0_DOUTA1_2 (instanceRef proa3_x0)) (portRef u0_DOUTA1_2) )) (net u0_DOUTA0_2 (joined (portRef u0_DOUTA0_2 (instanceRef proa3_x0)) (portRef u0_DOUTA0_2) )) (net read_RNIV144H1 (joined (portRef read_RNIV144H1) (portRef read_RNIV144H1 (instanceRef proa3_x0)) )) (net u0_DOUTA3 (joined (portRef u0_DOUTA3 (instanceRef proa3_x0)) (portRef u0_DOUTA3) )) (net u0_DOUTA2 (joined (portRef u0_DOUTA2 (instanceRef proa3_x0)) (portRef u0_DOUTA2) )) (net u0_DOUTA1 (joined (portRef u0_DOUTA1 (instanceRef proa3_x0)) (portRef u0_DOUTA1) )) (net u0_DOUTA0 (joined (portRef u0_DOUTA0 (instanceRef proa3_x0)) (portRef u0_DOUTA0) )) (net read_RNILMNHG1 (joined (portRef read_RNILMNHG1) (portRef read_RNILMNHG1 (instanceRef proa3_x0)) )) (net u0_DOUTA3_0 (joined (portRef u0_DOUTA3_0 (instanceRef proa3_x0)) (portRef u0_DOUTA3_0) )) (net u0_DOUTA2_0 (joined (portRef u0_DOUTA2_0 (instanceRef proa3_x0)) (portRef u0_DOUTA2_0) )) (net u0_DOUTA1_0 (joined (portRef u0_DOUTA1_0 (instanceRef proa3_x0)) (portRef u0_DOUTA1_0) )) (net u0_DOUTA0_0 (joined (portRef u0_DOUTA0_0 (instanceRef proa3_x0)) (portRef u0_DOUTA0_0) )) (net u0_DOUTA3_1 (joined (portRef u0_DOUTA3_1 (instanceRef proa3_x0)) (portRef u0_DOUTA3_1) )) (net u0_DOUTA2_1 (joined (portRef u0_DOUTA2_1 (instanceRef proa3_x0)) (portRef u0_DOUTA2_1) )) (net u0_DOUTA1_1 (joined (portRef u0_DOUTA1_1 (instanceRef proa3_x0)) (portRef u0_DOUTA1_1) )) (net u0_DOUTA0_1 (joined (portRef u0_DOUTA0_1 (instanceRef proa3_x0)) (portRef u0_DOUTA0_1) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property tech (integer 10)) (property abits (integer 10)) (property dbits (integer 32)) (property testen (integer 0)) ) ) (cell syncramZ1_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port edata2_iv_1 (direction INPUT)) (port edata2_iv_3 (direction INPUT)) (port edata2_iv_0 (direction INPUT)) (port addr_0 (direction INPUT)) (port addr_3 (direction INPUT)) (port (array (rename newptag_2_0 "newptag_2_0[27:26]") 2) (direction INPUT)) (port (array (rename newptag_2_1 "newptag_2_1[25:25]") 1) (direction INPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[498:498]") 1) (direction INPUT)) (port un1_p0_2_6 (direction INPUT)) (port un1_p0_2_0_d0 (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename xaddress_rnivqeg4r3 "xaddress_RNIVQEG4R3[12:12]") 1) (direction INPUT)) (port (array (rename xaddress_rni4p6h4r3 "xaddress_RNI4P6H4R3[13:13]") 1) (direction INPUT)) (port (array (rename xaddress_rnifnvh4r3 "xaddress_RNIFNVH4R3[14:14]") 1) (direction INPUT)) (port (array (rename xaddress_rni1q8h4r3 "xaddress_RNI1Q8H4R3[15:15]") 1) (direction INPUT)) (port (array (rename xaddress_rnih8g8vm1 "xaddress_RNIH8G8VM1[16:16]") 1) (direction INPUT)) (port (array (rename xaddress_rni1hh8vm1 "xaddress_RNI1HH8VM1[17:17]") 1) (direction INPUT)) (port (array (rename xaddress_rnie9i8vm1 "xaddress_RNIE9I8VM1[18:18]") 1) (direction INPUT)) (port (array (rename xaddress_rnilq8h4r3 "xaddress_RNILQ8H4R3[19:19]") 1) (direction INPUT)) (port (array (rename newptag_2 "newptag_2[20:20]") 1) (direction INPUT)) (port (array (rename xaddress_rnid0h8vm1 "xaddress_RNID0H8VM1[21:21]") 1) (direction INPUT)) (port (array (rename xaddress_rniqoh8vm1 "xaddress_RNIQOH8VM1[22:22]") 1) (direction INPUT)) (port (array (rename xaddress_rnifbr7vm1 "xaddress_RNIFBR7VM1[23:23]") 1) (direction INPUT)) (port N_2542 (direction INPUT)) (port newptag_2_a2_0_31_m1_e_0 (direction INPUT)) (port flush_0_RNI2N6NQR1 (direction INPUT)) (port newptag_2_a2_0_28_m1_e_0 (direction INPUT)) (port N_2868 (direction INPUT)) (port N_2748 (direction INPUT)) (port N_2747 (direction INPUT)) (port N_2698 (direction INPUT)) (port N_2745 (direction INPUT)) (port u0_RD0 (direction OUTPUT)) (port u0_RD1 (direction OUTPUT)) (port u0_RD2 (direction OUTPUT)) (port u0_RD3 (direction OUTPUT)) (port u0_RD4 (direction OUTPUT)) (port u0_RD5_0 (direction OUTPUT)) (port N_2766 (direction INPUT)) (port u0_RD0_0 (direction OUTPUT)) (port u0_RD1_0 (direction OUTPUT)) (port u0_RD2_0 (direction OUTPUT)) (port u0_RD3_0 (direction OUTPUT)) (port u0_RD4_0 (direction OUTPUT)) (port u0_RD5 (direction OUTPUT)) (port u0_RD6 (direction OUTPUT)) (port u0_RD7 (direction OUTPUT)) (port u0_RD8 (direction OUTPUT)) (port u0_RD9 (direction OUTPUT)) (port u0_RD10 (direction OUTPUT)) (port u0_RD11 (direction OUTPUT)) (port u0_RD12 (direction OUTPUT)) (port u0_RD13 (direction OUTPUT)) (port u0_RD14 (direction OUTPUT)) (port u0_RD15 (direction OUTPUT)) (port u0_RD16 (direction OUTPUT)) (port u0_RD17 (direction OUTPUT)) (port syncramZ1_1_GND (direction INPUT)) (port ramclk (direction INPUT)) (port syncramZ1_1_VCC (direction INPUT)) (port flush_RNIRUPHB22 (direction INPUT)) (port flush_RNI4JQHB22 (direction INPUT)) (port flush_RNID7RHB22 (direction INPUT)) (port flush_RNIMRRHB22 (direction INPUT)) (port flush_RNICD8ME (direction INPUT)) ) (contents (instance (rename proa3_x0 "proa3.x0") (viewRef netlist (cellRef proasic3_syncram_8_24_1)) (property dbits (integer 24)) (property abits (integer 8)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename xaddress_RNIFBR7VM1_23 "xaddress_RNIFBR7VM1[23]") (joined (portRef (member xaddress_rnifbr7vm1 0)) (portRef (member xaddress_rnifbr7vm1 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNIQOH8VM1_22 "xaddress_RNIQOH8VM1[22]") (joined (portRef (member xaddress_rniqoh8vm1 0)) (portRef (member xaddress_rniqoh8vm1 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNID0H8VM1_21 "xaddress_RNID0H8VM1[21]") (joined (portRef (member xaddress_rnid0h8vm1 0)) (portRef (member xaddress_rnid0h8vm1 0) (instanceRef proa3_x0)) )) (net (rename newptag_2_20 "newptag_2[20]") (joined (portRef (member newptag_2 0)) (portRef (member newptag_2 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNILQ8H4R3_19 "xaddress_RNILQ8H4R3[19]") (joined (portRef (member xaddress_rnilq8h4r3 0)) (portRef (member xaddress_rnilq8h4r3 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNIE9I8VM1_18 "xaddress_RNIE9I8VM1[18]") (joined (portRef (member xaddress_rnie9i8vm1 0)) (portRef (member xaddress_rnie9i8vm1 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNI1HH8VM1_17 "xaddress_RNI1HH8VM1[17]") (joined (portRef (member xaddress_rni1hh8vm1 0)) (portRef (member xaddress_rni1hh8vm1 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNIH8G8VM1_16 "xaddress_RNIH8G8VM1[16]") (joined (portRef (member xaddress_rnih8g8vm1 0)) (portRef (member xaddress_rnih8g8vm1 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNI1Q8H4R3_15 "xaddress_RNI1Q8H4R3[15]") (joined (portRef (member xaddress_rni1q8h4r3 0)) (portRef (member xaddress_rni1q8h4r3 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNIFNVH4R3_14 "xaddress_RNIFNVH4R3[14]") (joined (portRef (member xaddress_rnifnvh4r3 0)) (portRef (member xaddress_rnifnvh4r3 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNI4P6H4R3_13 "xaddress_RNI4P6H4R3[13]") (joined (portRef (member xaddress_rni4p6h4r3 0)) (portRef (member xaddress_rni4p6h4r3 0) (instanceRef proa3_x0)) )) (net (rename xaddress_RNIVQEG4R3_12 "xaddress_RNIVQEG4R3[12]") (joined (portRef (member xaddress_rnivqeg4r3 0)) (portRef (member xaddress_rnivqeg4r3 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef (member faddr_rnimvm8u 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef (member faddr_rni49a0q 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef (member faddr_rniin4om 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef (member faddr_rni6usbi 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef (member faddr_rnivnqnh 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef (member faddr_rni1entg 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef (member faddr_rnig8pkf 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef (member faddr_rnik42hd 0) (instanceRef proa3_x0)) )) (net (rename un1_p0_2_0_d0 "un1_p0_2[362]") (joined (portRef un1_p0_2_0_d0) (portRef un1_p0_2_0_d0 (instanceRef proa3_x0)) )) (net (rename un1_p0_2_6 "un1_p0_2[368]") (joined (portRef un1_p0_2_6) (portRef un1_p0_2_6 (instanceRef proa3_x0)) )) (net (rename un1_p0_2_0_498 "un1_p0_2_0[498]") (joined (portRef (member un1_p0_2_0 0)) (portRef (member un1_p0_2_0 0) (instanceRef proa3_x0)) )) (net (rename newptag_2_1_25 "newptag_2_1[25]") (joined (portRef (member newptag_2_1 0)) (portRef (member newptag_2_1 0) (instanceRef proa3_x0)) )) (net (rename newptag_2_0_26 "newptag_2_0[26]") (joined (portRef (member newptag_2_0 1)) (portRef (member newptag_2_0 1) (instanceRef proa3_x0)) )) (net (rename newptag_2_0_27 "newptag_2_0[27]") (joined (portRef (member newptag_2_0 0)) (portRef (member newptag_2_0 0) (instanceRef proa3_x0)) )) (net (rename addr_3 "addr[31]") (joined (portRef addr_3) (portRef addr_3 (instanceRef proa3_x0)) )) (net (rename addr_0 "addr[28]") (joined (portRef addr_0) (portRef addr_0 (instanceRef proa3_x0)) )) (net (rename edata2_iv_0 "edata2_iv[28]") (joined (portRef edata2_iv_0) (portRef edata2_iv_0 (instanceRef proa3_x0)) )) (net (rename edata2_iv_3 "edata2_iv[31]") (joined (portRef edata2_iv_3) (portRef edata2_iv_3 (instanceRef proa3_x0)) )) (net (rename edata2_iv_1 "edata2_iv[29]") (joined (portRef edata2_iv_1) (portRef edata2_iv_1 (instanceRef proa3_x0)) )) (net flush_RNICD8ME (joined (portRef flush_RNICD8ME) (portRef flush_RNICD8ME (instanceRef proa3_x0)) )) (net flush_RNIMRRHB22 (joined (portRef flush_RNIMRRHB22) (portRef flush_RNIMRRHB22 (instanceRef proa3_x0)) )) (net flush_RNID7RHB22 (joined (portRef flush_RNID7RHB22) (portRef flush_RNID7RHB22 (instanceRef proa3_x0)) )) (net flush_RNI4JQHB22 (joined (portRef flush_RNI4JQHB22) (portRef flush_RNI4JQHB22 (instanceRef proa3_x0)) )) (net flush_RNIRUPHB22 (joined (portRef flush_RNIRUPHB22) (portRef flush_RNIRUPHB22 (instanceRef proa3_x0)) )) (net syncramZ1_1_VCC (joined (portRef syncramZ1_1_VCC) (portRef proasic3_syncram_8_24_1_VCC (instanceRef proa3_x0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef proa3_x0)) )) (net syncramZ1_1_GND (joined (portRef syncramZ1_1_GND) (portRef proasic3_syncram_8_24_1_GND (instanceRef proa3_x0)) )) (net u0_RD17 (joined (portRef u0_RD17 (instanceRef proa3_x0)) (portRef u0_RD17) )) (net u0_RD16 (joined (portRef u0_RD16 (instanceRef proa3_x0)) (portRef u0_RD16) )) (net u0_RD15 (joined (portRef u0_RD15 (instanceRef proa3_x0)) (portRef u0_RD15) )) (net u0_RD14 (joined (portRef u0_RD14 (instanceRef proa3_x0)) (portRef u0_RD14) )) (net u0_RD13 (joined (portRef u0_RD13 (instanceRef proa3_x0)) (portRef u0_RD13) )) (net u0_RD12 (joined (portRef u0_RD12 (instanceRef proa3_x0)) (portRef u0_RD12) )) (net u0_RD11 (joined (portRef u0_RD11 (instanceRef proa3_x0)) (portRef u0_RD11) )) (net u0_RD10 (joined (portRef u0_RD10 (instanceRef proa3_x0)) (portRef u0_RD10) )) (net u0_RD9 (joined (portRef u0_RD9 (instanceRef proa3_x0)) (portRef u0_RD9) )) (net u0_RD8 (joined (portRef u0_RD8 (instanceRef proa3_x0)) (portRef u0_RD8) )) (net u0_RD7 (joined (portRef u0_RD7 (instanceRef proa3_x0)) (portRef u0_RD7) )) (net u0_RD6 (joined (portRef u0_RD6 (instanceRef proa3_x0)) (portRef u0_RD6) )) (net u0_RD5 (joined (portRef u0_RD5 (instanceRef proa3_x0)) (portRef u0_RD5) )) (net u0_RD4_0 (joined (portRef u0_RD4_0 (instanceRef proa3_x0)) (portRef u0_RD4_0) )) (net u0_RD3_0 (joined (portRef u0_RD3_0 (instanceRef proa3_x0)) (portRef u0_RD3_0) )) (net u0_RD2_0 (joined (portRef u0_RD2_0 (instanceRef proa3_x0)) (portRef u0_RD2_0) )) (net u0_RD1_0 (joined (portRef u0_RD1_0 (instanceRef proa3_x0)) (portRef u0_RD1_0) )) (net u0_RD0_0 (joined (portRef u0_RD0_0 (instanceRef proa3_x0)) (portRef u0_RD0_0) )) (net N_2766 (joined (portRef N_2766) (portRef N_2766 (instanceRef proa3_x0)) )) (net u0_RD5_0 (joined (portRef u0_RD5_0 (instanceRef proa3_x0)) (portRef u0_RD5_0) )) (net u0_RD4 (joined (portRef u0_RD4 (instanceRef proa3_x0)) (portRef u0_RD4) )) (net u0_RD3 (joined (portRef u0_RD3 (instanceRef proa3_x0)) (portRef u0_RD3) )) (net u0_RD2 (joined (portRef u0_RD2 (instanceRef proa3_x0)) (portRef u0_RD2) )) (net u0_RD1 (joined (portRef u0_RD1 (instanceRef proa3_x0)) (portRef u0_RD1) )) (net u0_RD0 (joined (portRef u0_RD0 (instanceRef proa3_x0)) (portRef u0_RD0) )) (net N_2745 (joined (portRef N_2745) (portRef N_2745 (instanceRef proa3_x0)) )) (net N_2698 (joined (portRef N_2698) (portRef N_2698 (instanceRef proa3_x0)) )) (net N_2747 (joined (portRef N_2747) (portRef N_2747 (instanceRef proa3_x0)) )) (net N_2748 (joined (portRef N_2748) (portRef N_2748 (instanceRef proa3_x0)) )) (net N_2868 (joined (portRef N_2868) (portRef N_2868 (instanceRef proa3_x0)) )) (net newptag_2_a2_0_28_m1_e_0 (joined (portRef newptag_2_a2_0_28_m1_e_0) (portRef newptag_2_a2_0_28_m1_e_0 (instanceRef proa3_x0)) )) (net flush_0_RNI2N6NQR1 (joined (portRef flush_0_RNI2N6NQR1) (portRef flush_0_RNI2N6NQR1 (instanceRef proa3_x0)) )) (net newptag_2_a2_0_31_m1_e_0 (joined (portRef newptag_2_a2_0_31_m1_e_0) (portRef newptag_2_a2_0_31_m1_e_0 (instanceRef proa3_x0)) )) (net N_2542 (joined (portRef N_2542) (portRef N_2542 (instanceRef proa3_x0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property tech (integer 10)) (property abits (integer 8)) (property dbits (integer 24)) (property testen (integer 0)) ) ) (cell syncramZ0 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename istate_rnit9tf1 "istate_RNIT9TF1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnitkdp1 "istate_RNITKDP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnijllk1 "istate_RNIJLLK1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rningdn1 "istate_RNINGDN1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnijmmr1 "istate_RNIJMMR1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6slv1 "istate_RNI6SLV1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni57t02 "istate_RNI57T02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniptli1 "istate_RNIPTLI1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnifnt02 "istate_RNIFNT02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnifuph2 "istate_RNIFUPH2[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnil1742 "istate_RNIL1742[1:1]") 1) (direction INPUT)) (port (array (rename istate_rninas02 "istate_RNINAS02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniq3pk1 "istate_RNIQ3PK1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnihpj22 "istate_RNIHPJ22[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni1pdn1 "istate_RNI1PDN1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnij9ep1 "istate_RNIJ9EP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnir8hu1 "istate_RNIR8HU1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnikgrl1 "istate_RNIKGRL1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniltpp1 "istate_RNILTPP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnim32a1 "istate_RNIM32A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniqf2a1 "istate_RNIQF2A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnicvqe1 "istate_RNICVQE1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniabmh1 "istate_RNIABMH1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnihle12 "istate_RNIHLE12[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnibnm52 "istate_RNIBNM52[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6poa2 "istate_RNI6POA2[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnib7t42 "istate_RNIB7T42[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6u762 "istate_RNI6U762[1:1]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename istate_rnilk561 "istate_RNILK561[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniu0op1 "istate_RNIU0OP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnieaoe1 "istate_RNIEAOE1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni4j0a1 "istate_RNI4J0A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port u0_DOUTA0_9 (direction OUTPUT)) (port u0_DOUTA1_9 (direction OUTPUT)) (port u0_DOUTA2_9 (direction OUTPUT)) (port u0_DOUTA3_9 (direction OUTPUT)) (port u0_DOUTA0_8 (direction OUTPUT)) (port u0_DOUTA1_8 (direction OUTPUT)) (port u0_DOUTA2_8 (direction OUTPUT)) (port u0_DOUTA3_8 (direction OUTPUT)) (port u0_DOUTA0_7 (direction OUTPUT)) (port u0_DOUTA1_7 (direction OUTPUT)) (port u0_DOUTA2_7 (direction OUTPUT)) (port u0_DOUTA3_7 (direction OUTPUT)) (port u0_DOUTA0_10 (direction OUTPUT)) (port u0_DOUTA1_10 (direction OUTPUT)) (port u0_DOUTA2_10 (direction OUTPUT)) (port u0_DOUTA3_10 (direction OUTPUT)) (port u0_DOUTA0_13 (direction OUTPUT)) (port u0_DOUTA1_13 (direction OUTPUT)) (port u0_DOUTA2_13 (direction OUTPUT)) (port u0_DOUTA3_13 (direction OUTPUT)) (port u0_DOUTA0_12 (direction OUTPUT)) (port u0_DOUTA1_12 (direction OUTPUT)) (port u0_DOUTA2_12 (direction OUTPUT)) (port u0_DOUTA3_12 (direction OUTPUT)) (port u0_DOUTA0_11 (direction OUTPUT)) (port u0_DOUTA1_11 (direction OUTPUT)) (port u0_DOUTA2_11 (direction OUTPUT)) (port u0_DOUTA3_11 (direction OUTPUT)) (port u0_DOUTA0_14 (direction OUTPUT)) (port u0_DOUTA1_14 (direction OUTPUT)) (port u0_DOUTA2_14 (direction OUTPUT)) (port u0_DOUTA3_14 (direction OUTPUT)) (port syncramZ0_GND (direction INPUT)) (port syncramZ0_VCC (direction INPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename proa3_x0 "proa3.x0") (viewRef netlist (cellRef proasic3_syncram_10_32)) (property dbits (integer 32)) (property abits (integer 10)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef (member istate_rniql7e6 0) (instanceRef proa3_x0)) )) (net (rename istate_RNI4J0A1_1 "istate_RNI4J0A1[1]") (joined (portRef (member istate_rni4j0a1 0)) (portRef (member istate_rni4j0a1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIEAOE1_1 "istate_RNIEAOE1[1]") (joined (portRef (member istate_rnieaoe1 0)) (portRef (member istate_rnieaoe1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIU0OP1_1 "istate_RNIU0OP1[1]") (joined (portRef (member istate_rniu0op1 0)) (portRef (member istate_rniu0op1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNILK561_1 "istate_RNILK561[1]") (joined (portRef (member istate_rnilk561 0)) (portRef (member istate_rnilk561 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef (member faddr_rnifa34ud 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef (member faddr_rnic5grid 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef (member faddr_rniom2ubd 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef (member faddr_rnir9j23d 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef (member faddr_rniuag1tc 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef (member faddr_rnitu19lc 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef proa3_x0)) )) (net (rename istate_RNI6U762_1 "istate_RNI6U762[1]") (joined (portRef (member istate_rni6u762 0)) (portRef (member istate_rni6u762 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIB7T42_1 "istate_RNIB7T42[1]") (joined (portRef (member istate_rnib7t42 0)) (portRef (member istate_rnib7t42 0) (instanceRef proa3_x0)) )) (net (rename istate_RNI6POA2_1 "istate_RNI6POA2[1]") (joined (portRef (member istate_rni6poa2 0)) (portRef (member istate_rni6poa2 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIBNM52_1 "istate_RNIBNM52[1]") (joined (portRef (member istate_rnibnm52 0)) (portRef (member istate_rnibnm52 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIHLE12_1 "istate_RNIHLE12[1]") (joined (portRef (member istate_rnihle12 0)) (portRef (member istate_rnihle12 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIABMH1_1 "istate_RNIABMH1[1]") (joined (portRef (member istate_rniabmh1 0)) (portRef (member istate_rniabmh1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNICVQE1_1 "istate_RNICVQE1[1]") (joined (portRef (member istate_rnicvqe1 0)) (portRef (member istate_rnicvqe1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIQF2A1_1 "istate_RNIQF2A1[1]") (joined (portRef (member istate_rniqf2a1 0)) (portRef (member istate_rniqf2a1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIM32A1_1 "istate_RNIM32A1[1]") (joined (portRef (member istate_rnim32a1 0)) (portRef (member istate_rnim32a1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNILTPP1_1 "istate_RNILTPP1[1]") (joined (portRef (member istate_rniltpp1 0)) (portRef (member istate_rniltpp1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIKGRL1_1 "istate_RNIKGRL1[1]") (joined (portRef (member istate_rnikgrl1 0)) (portRef (member istate_rnikgrl1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIR8HU1_1 "istate_RNIR8HU1[1]") (joined (portRef (member istate_rnir8hu1 0)) (portRef (member istate_rnir8hu1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIJ9EP1_1 "istate_RNIJ9EP1[1]") (joined (portRef (member istate_rnij9ep1 0)) (portRef (member istate_rnij9ep1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNI1PDN1_1 "istate_RNI1PDN1[1]") (joined (portRef (member istate_rni1pdn1 0)) (portRef (member istate_rni1pdn1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIHPJ22_1 "istate_RNIHPJ22[1]") (joined (portRef (member istate_rnihpj22 0)) (portRef (member istate_rnihpj22 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIQ3PK1_1 "istate_RNIQ3PK1[1]") (joined (portRef (member istate_rniq3pk1 0)) (portRef (member istate_rniq3pk1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNINAS02_1 "istate_RNINAS02[1]") (joined (portRef (member istate_rninas02 0)) (portRef (member istate_rninas02 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIL1742_1 "istate_RNIL1742[1]") (joined (portRef (member istate_rnil1742 0)) (portRef (member istate_rnil1742 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIFUPH2_1 "istate_RNIFUPH2[1]") (joined (portRef (member istate_rnifuph2 0)) (portRef (member istate_rnifuph2 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIFNT02_1 "istate_RNIFNT02[1]") (joined (portRef (member istate_rnifnt02 0)) (portRef (member istate_rnifnt02 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIPTLI1_1 "istate_RNIPTLI1[1]") (joined (portRef (member istate_rniptli1 0)) (portRef (member istate_rniptli1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNI57T02_1 "istate_RNI57T02[1]") (joined (portRef (member istate_rni57t02 0)) (portRef (member istate_rni57t02 0) (instanceRef proa3_x0)) )) (net (rename istate_RNI6SLV1_1 "istate_RNI6SLV1[1]") (joined (portRef (member istate_rni6slv1 0)) (portRef (member istate_rni6slv1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIJMMR1_1 "istate_RNIJMMR1[1]") (joined (portRef (member istate_rnijmmr1 0)) (portRef (member istate_rnijmmr1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNINGDN1_1 "istate_RNINGDN1[1]") (joined (portRef (member istate_rningdn1 0)) (portRef (member istate_rningdn1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIJLLK1_1 "istate_RNIJLLK1[1]") (joined (portRef (member istate_rnijllk1 0)) (portRef (member istate_rnijllk1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNITKDP1_1 "istate_RNITKDP1[1]") (joined (portRef (member istate_rnitkdp1 0)) (portRef (member istate_rnitkdp1 0) (instanceRef proa3_x0)) )) (net (rename istate_RNIT9TF1_1 "istate_RNIT9TF1[1]") (joined (portRef (member istate_rnit9tf1 0)) (portRef (member istate_rnit9tf1 0) (instanceRef proa3_x0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef proa3_x0)) )) (net syncramZ0_VCC (joined (portRef syncramZ0_VCC) (portRef proasic3_syncram_10_32_VCC (instanceRef proa3_x0)) )) (net syncramZ0_GND (joined (portRef syncramZ0_GND) (portRef proasic3_syncram_10_32_GND (instanceRef proa3_x0)) )) (net u0_DOUTA3_14 (joined (portRef u0_DOUTA3_14 (instanceRef proa3_x0)) (portRef u0_DOUTA3_14) )) (net u0_DOUTA2_14 (joined (portRef u0_DOUTA2_14 (instanceRef proa3_x0)) (portRef u0_DOUTA2_14) )) (net u0_DOUTA1_14 (joined (portRef u0_DOUTA1_14 (instanceRef proa3_x0)) (portRef u0_DOUTA1_14) )) (net u0_DOUTA0_14 (joined (portRef u0_DOUTA0_14 (instanceRef proa3_x0)) (portRef u0_DOUTA0_14) )) (net u0_DOUTA3_11 (joined (portRef u0_DOUTA3_11 (instanceRef proa3_x0)) (portRef u0_DOUTA3_11) )) (net u0_DOUTA2_11 (joined (portRef u0_DOUTA2_11 (instanceRef proa3_x0)) (portRef u0_DOUTA2_11) )) (net u0_DOUTA1_11 (joined (portRef u0_DOUTA1_11 (instanceRef proa3_x0)) (portRef u0_DOUTA1_11) )) (net u0_DOUTA0_11 (joined (portRef u0_DOUTA0_11 (instanceRef proa3_x0)) (portRef u0_DOUTA0_11) )) (net u0_DOUTA3_12 (joined (portRef u0_DOUTA3_12 (instanceRef proa3_x0)) (portRef u0_DOUTA3_12) )) (net u0_DOUTA2_12 (joined (portRef u0_DOUTA2_12 (instanceRef proa3_x0)) (portRef u0_DOUTA2_12) )) (net u0_DOUTA1_12 (joined (portRef u0_DOUTA1_12 (instanceRef proa3_x0)) (portRef u0_DOUTA1_12) )) (net u0_DOUTA0_12 (joined (portRef u0_DOUTA0_12 (instanceRef proa3_x0)) (portRef u0_DOUTA0_12) )) (net u0_DOUTA3_13 (joined (portRef u0_DOUTA3_13 (instanceRef proa3_x0)) (portRef u0_DOUTA3_13) )) (net u0_DOUTA2_13 (joined (portRef u0_DOUTA2_13 (instanceRef proa3_x0)) (portRef u0_DOUTA2_13) )) (net u0_DOUTA1_13 (joined (portRef u0_DOUTA1_13 (instanceRef proa3_x0)) (portRef u0_DOUTA1_13) )) (net u0_DOUTA0_13 (joined (portRef u0_DOUTA0_13 (instanceRef proa3_x0)) (portRef u0_DOUTA0_13) )) (net u0_DOUTA3_10 (joined (portRef u0_DOUTA3_10 (instanceRef proa3_x0)) (portRef u0_DOUTA3_10) )) (net u0_DOUTA2_10 (joined (portRef u0_DOUTA2_10 (instanceRef proa3_x0)) (portRef u0_DOUTA2_10) )) (net u0_DOUTA1_10 (joined (portRef u0_DOUTA1_10 (instanceRef proa3_x0)) (portRef u0_DOUTA1_10) )) (net u0_DOUTA0_10 (joined (portRef u0_DOUTA0_10 (instanceRef proa3_x0)) (portRef u0_DOUTA0_10) )) (net u0_DOUTA3_7 (joined (portRef u0_DOUTA3_7 (instanceRef proa3_x0)) (portRef u0_DOUTA3_7) )) (net u0_DOUTA2_7 (joined (portRef u0_DOUTA2_7 (instanceRef proa3_x0)) (portRef u0_DOUTA2_7) )) (net u0_DOUTA1_7 (joined (portRef u0_DOUTA1_7 (instanceRef proa3_x0)) (portRef u0_DOUTA1_7) )) (net u0_DOUTA0_7 (joined (portRef u0_DOUTA0_7 (instanceRef proa3_x0)) (portRef u0_DOUTA0_7) )) (net u0_DOUTA3_8 (joined (portRef u0_DOUTA3_8 (instanceRef proa3_x0)) (portRef u0_DOUTA3_8) )) (net u0_DOUTA2_8 (joined (portRef u0_DOUTA2_8 (instanceRef proa3_x0)) (portRef u0_DOUTA2_8) )) (net u0_DOUTA1_8 (joined (portRef u0_DOUTA1_8 (instanceRef proa3_x0)) (portRef u0_DOUTA1_8) )) (net u0_DOUTA0_8 (joined (portRef u0_DOUTA0_8 (instanceRef proa3_x0)) (portRef u0_DOUTA0_8) )) (net u0_DOUTA3_9 (joined (portRef u0_DOUTA3_9 (instanceRef proa3_x0)) (portRef u0_DOUTA3_9) )) (net u0_DOUTA2_9 (joined (portRef u0_DOUTA2_9 (instanceRef proa3_x0)) (portRef u0_DOUTA2_9) )) (net u0_DOUTA1_9 (joined (portRef u0_DOUTA1_9 (instanceRef proa3_x0)) (portRef u0_DOUTA1_9) )) (net u0_DOUTA0_9 (joined (portRef u0_DOUTA0_9 (instanceRef proa3_x0)) (portRef u0_DOUTA0_9) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property tech (integer 10)) (property abits (integer 10)) (property dbits (integer 32)) (property testen (integer 0)) ) ) (cell syncramZ1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename vitdatain_0_1_a0_0 "vitdatain_0_1_a0_0[23:23]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a1 "vitdatain_0_1_a1[20:20]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a0 "vitdatain_0_1_a0[20:20]") 1) (direction INPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[148:148]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename vaddress_rnia2gp4s1 "vaddress_RNIA2GP4S1[12:12]") 1) (direction INPUT)) (port (array (rename vaddress_rnic2gp4s1 "vaddress_RNIC2GP4S1[13:13]") 1) (direction INPUT)) (port (array (rename vaddress_rnie2gp4s1 "vaddress_RNIE2GP4S1[14:14]") 1) (direction INPUT)) (port (array (rename vaddress_rnig2gp4s1 "vaddress_RNIG2GP4S1[15:15]") 1) (direction INPUT)) (port (array (rename vaddress_rnii2gp4s1 "vaddress_RNII2GP4S1[16:16]") 1) (direction INPUT)) (port (array (rename vaddress_rnik2gp4s1 "vaddress_RNIK2GP4S1[17:17]") 1) (direction INPUT)) (port (array (rename vaddress_rnim2gp4s1 "vaddress_RNIM2GP4S1[18:18]") 1) (direction INPUT)) (port (array (rename vaddress_rnio2gp4s1 "vaddress_RNIO2GP4S1[19:19]") 1) (direction INPUT)) (port (array (rename vaddress_rnicagp4s1 "vaddress_RNICAGP4S1[20:20]") 1) (direction INPUT)) (port (array (rename vaddress_rnieagp4s1 "vaddress_RNIEAGP4S1[21:21]") 1) (direction INPUT)) (port (array (rename vaddress_rnigagp4s1 "vaddress_RNIGAGP4S1[22:22]") 1) (direction INPUT)) (port (array (rename vaddress_rniiagp4s1 "vaddress_RNIIAGP4S1[23:23]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1 "vitdatain_0_1[19:16]") 4) (direction INPUT)) (port un1_addout_27 (direction INPUT)) (port newptag_sn_m2_i_o2_0_m3 (direction INPUT)) (port un1_addout_28 (direction INPUT)) (port flush (direction INPUT)) (port N_897 (direction INPUT)) (port N_898 (direction INPUT)) (port N_895 (direction INPUT)) (port N_896 (direction INPUT)) (port u0_RD0_1 (direction OUTPUT)) (port u0_RD1_1 (direction OUTPUT)) (port u0_RD2_1 (direction OUTPUT)) (port u0_RD3_1 (direction OUTPUT)) (port u0_RD4_1 (direction OUTPUT)) (port u0_RD5_2 (direction OUTPUT)) (port u0_RD0_2 (direction OUTPUT)) (port u0_RD1_2 (direction OUTPUT)) (port u0_RD2_2 (direction OUTPUT)) (port u0_RD3_2 (direction OUTPUT)) (port u0_RD4_2 (direction OUTPUT)) (port u0_RD5_1 (direction OUTPUT)) (port u0_RD6_0 (direction OUTPUT)) (port u0_RD7_0 (direction OUTPUT)) (port u0_RD8_0 (direction OUTPUT)) (port u0_RD9_0 (direction OUTPUT)) (port u0_RD10_0 (direction OUTPUT)) (port u0_RD11_0 (direction OUTPUT)) (port u0_RD12_0 (direction OUTPUT)) (port u0_RD13_0 (direction OUTPUT)) (port u0_RD14_0 (direction OUTPUT)) (port u0_RD15_0 (direction OUTPUT)) (port u0_RD16_0 (direction OUTPUT)) (port u0_RD17_0 (direction OUTPUT)) (port syncramZ1_GND (direction INPUT)) (port ramclk (direction INPUT)) (port syncramZ1_VCC (direction INPUT)) (port flush2_RNIVAKK2 (direction INPUT)) (port flush2_RNI1FKK2 (direction INPUT)) (port flush2_RNI3JKK2 (direction INPUT)) (port flush2_RNI5NKK2 (direction INPUT)) (port flush2_RNICRKB7 (direction INPUT)) ) (contents (instance (rename proa3_x0 "proa3.x0") (viewRef netlist (cellRef proasic3_syncram_8_24)) (property dbits (integer 24)) (property abits (integer 8)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename vitdatain_0_1_16 "vitdatain_0_1[16]") (joined (portRef (member vitdatain_0_1 3)) (portRef (member vitdatain_0_1 3) (instanceRef proa3_x0)) )) (net (rename vitdatain_0_1_17 "vitdatain_0_1[17]") (joined (portRef (member vitdatain_0_1 2)) (portRef (member vitdatain_0_1 2) (instanceRef proa3_x0)) )) (net (rename vitdatain_0_1_18 "vitdatain_0_1[18]") (joined (portRef (member vitdatain_0_1 1)) (portRef (member vitdatain_0_1 1) (instanceRef proa3_x0)) )) (net (rename vitdatain_0_1_19 "vitdatain_0_1[19]") (joined (portRef (member vitdatain_0_1 0)) (portRef (member vitdatain_0_1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIIAGP4S1_23 "vaddress_RNIIAGP4S1[23]") (joined (portRef (member vaddress_rniiagp4s1 0)) (portRef (member vaddress_rniiagp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIGAGP4S1_22 "vaddress_RNIGAGP4S1[22]") (joined (portRef (member vaddress_rnigagp4s1 0)) (portRef (member vaddress_rnigagp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIEAGP4S1_21 "vaddress_RNIEAGP4S1[21]") (joined (portRef (member vaddress_rnieagp4s1 0)) (portRef (member vaddress_rnieagp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNICAGP4S1_20 "vaddress_RNICAGP4S1[20]") (joined (portRef (member vaddress_rnicagp4s1 0)) (portRef (member vaddress_rnicagp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIO2GP4S1_19 "vaddress_RNIO2GP4S1[19]") (joined (portRef (member vaddress_rnio2gp4s1 0)) (portRef (member vaddress_rnio2gp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIM2GP4S1_18 "vaddress_RNIM2GP4S1[18]") (joined (portRef (member vaddress_rnim2gp4s1 0)) (portRef (member vaddress_rnim2gp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIK2GP4S1_17 "vaddress_RNIK2GP4S1[17]") (joined (portRef (member vaddress_rnik2gp4s1 0)) (portRef (member vaddress_rnik2gp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNII2GP4S1_16 "vaddress_RNII2GP4S1[16]") (joined (portRef (member vaddress_rnii2gp4s1 0)) (portRef (member vaddress_rnii2gp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIG2GP4S1_15 "vaddress_RNIG2GP4S1[15]") (joined (portRef (member vaddress_rnig2gp4s1 0)) (portRef (member vaddress_rnig2gp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIE2GP4S1_14 "vaddress_RNIE2GP4S1[14]") (joined (portRef (member vaddress_rnie2gp4s1 0)) (portRef (member vaddress_rnie2gp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIC2GP4S1_13 "vaddress_RNIC2GP4S1[13]") (joined (portRef (member vaddress_rnic2gp4s1 0)) (portRef (member vaddress_rnic2gp4s1 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIA2GP4S1_12 "vaddress_RNIA2GP4S1[12]") (joined (portRef (member vaddress_rnia2gp4s1 0)) (portRef (member vaddress_rnia2gp4s1 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef (member faddr_rnifa34ud 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef (member faddr_rnic5grid 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef (member faddr_rniom2ubd 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef (member faddr_rnir9j23d 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef (member faddr_rniuag1tc 0) (instanceRef proa3_x0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef proa3_x0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef (member faddr_rnitu19lc 0) (instanceRef proa3_x0)) )) (net (rename un1_p0_2_0_148 "un1_p0_2_0[148]") (joined (portRef (member un1_p0_2_0 0)) (portRef (member un1_p0_2_0 0) (instanceRef proa3_x0)) )) (net (rename vitdatain_0_1_a0_20 "vitdatain_0_1_a0[20]") (joined (portRef (member vitdatain_0_1_a0 0)) (portRef (member vitdatain_0_1_a0 0) (instanceRef proa3_x0)) )) (net (rename vitdatain_0_1_a1_20 "vitdatain_0_1_a1[20]") (joined (portRef (member vitdatain_0_1_a1 0)) (portRef (member vitdatain_0_1_a1 0) (instanceRef proa3_x0)) )) (net (rename vitdatain_0_1_a0_0_23 "vitdatain_0_1_a0_0[23]") (joined (portRef (member vitdatain_0_1_a0_0 0)) (portRef (member vitdatain_0_1_a0_0 0) (instanceRef proa3_x0)) )) (net flush2_RNICRKB7 (joined (portRef flush2_RNICRKB7) (portRef flush2_RNICRKB7 (instanceRef proa3_x0)) )) (net flush2_RNI5NKK2 (joined (portRef flush2_RNI5NKK2) (portRef flush2_RNI5NKK2 (instanceRef proa3_x0)) )) (net flush2_RNI3JKK2 (joined (portRef flush2_RNI3JKK2) (portRef flush2_RNI3JKK2 (instanceRef proa3_x0)) )) (net flush2_RNI1FKK2 (joined (portRef flush2_RNI1FKK2) (portRef flush2_RNI1FKK2 (instanceRef proa3_x0)) )) (net flush2_RNIVAKK2 (joined (portRef flush2_RNIVAKK2) (portRef flush2_RNIVAKK2 (instanceRef proa3_x0)) )) (net syncramZ1_VCC (joined (portRef syncramZ1_VCC) (portRef proasic3_syncram_8_24_VCC (instanceRef proa3_x0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef proa3_x0)) )) (net syncramZ1_GND (joined (portRef syncramZ1_GND) (portRef proasic3_syncram_8_24_GND (instanceRef proa3_x0)) )) (net u0_RD17_0 (joined (portRef u0_RD17_0 (instanceRef proa3_x0)) (portRef u0_RD17_0) )) (net u0_RD16_0 (joined (portRef u0_RD16_0 (instanceRef proa3_x0)) (portRef u0_RD16_0) )) (net u0_RD15_0 (joined (portRef u0_RD15_0 (instanceRef proa3_x0)) (portRef u0_RD15_0) )) (net u0_RD14_0 (joined (portRef u0_RD14_0 (instanceRef proa3_x0)) (portRef u0_RD14_0) )) (net u0_RD13_0 (joined (portRef u0_RD13_0 (instanceRef proa3_x0)) (portRef u0_RD13_0) )) (net u0_RD12_0 (joined (portRef u0_RD12_0 (instanceRef proa3_x0)) (portRef u0_RD12_0) )) (net u0_RD11_0 (joined (portRef u0_RD11_0 (instanceRef proa3_x0)) (portRef u0_RD11_0) )) (net u0_RD10_0 (joined (portRef u0_RD10_0 (instanceRef proa3_x0)) (portRef u0_RD10_0) )) (net u0_RD9_0 (joined (portRef u0_RD9_0 (instanceRef proa3_x0)) (portRef u0_RD9_0) )) (net u0_RD8_0 (joined (portRef u0_RD8_0 (instanceRef proa3_x0)) (portRef u0_RD8_0) )) (net u0_RD7_0 (joined (portRef u0_RD7_0 (instanceRef proa3_x0)) (portRef u0_RD7_0) )) (net u0_RD6_0 (joined (portRef u0_RD6_0 (instanceRef proa3_x0)) (portRef u0_RD6_0) )) (net u0_RD5_1 (joined (portRef u0_RD5_1 (instanceRef proa3_x0)) (portRef u0_RD5_1) )) (net u0_RD4_2 (joined (portRef u0_RD4_2 (instanceRef proa3_x0)) (portRef u0_RD4_2) )) (net u0_RD3_2 (joined (portRef u0_RD3_2 (instanceRef proa3_x0)) (portRef u0_RD3_2) )) (net u0_RD2_2 (joined (portRef u0_RD2_2 (instanceRef proa3_x0)) (portRef u0_RD2_2) )) (net u0_RD1_2 (joined (portRef u0_RD1_2 (instanceRef proa3_x0)) (portRef u0_RD1_2) )) (net u0_RD0_2 (joined (portRef u0_RD0_2 (instanceRef proa3_x0)) (portRef u0_RD0_2) )) (net u0_RD5_2 (joined (portRef u0_RD5_2 (instanceRef proa3_x0)) (portRef u0_RD5_2) )) (net u0_RD4_1 (joined (portRef u0_RD4_1 (instanceRef proa3_x0)) (portRef u0_RD4_1) )) (net u0_RD3_1 (joined (portRef u0_RD3_1 (instanceRef proa3_x0)) (portRef u0_RD3_1) )) (net u0_RD2_1 (joined (portRef u0_RD2_1 (instanceRef proa3_x0)) (portRef u0_RD2_1) )) (net u0_RD1_1 (joined (portRef u0_RD1_1 (instanceRef proa3_x0)) (portRef u0_RD1_1) )) (net u0_RD0_1 (joined (portRef u0_RD0_1 (instanceRef proa3_x0)) (portRef u0_RD0_1) )) (net N_896 (joined (portRef N_896) (portRef N_896 (instanceRef proa3_x0)) )) (net N_895 (joined (portRef N_895) (portRef N_895 (instanceRef proa3_x0)) )) (net N_898 (joined (portRef N_898) (portRef N_898 (instanceRef proa3_x0)) )) (net N_897 (joined (portRef N_897) (portRef N_897 (instanceRef proa3_x0)) )) (net flush (joined (portRef flush) (portRef flush (instanceRef proa3_x0)) )) (net un1_addout_28 (joined (portRef un1_addout_28) (portRef un1_addout_28 (instanceRef proa3_x0)) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef newptag_sn_m2_i_o2_0_m3) (portRef newptag_sn_m2_i_o2_0_m3 (instanceRef proa3_x0)) )) (net un1_addout_27 (joined (portRef un1_addout_27) (portRef un1_addout_27 (instanceRef proa3_x0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property tech (integer 10)) (property abits (integer 8)) (property dbits (integer 24)) (property testen (integer 0)) ) ) (cell regfile_3p (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename rfa2 "rfa2[6:0]") 7) (direction INPUT)) (port (array (rename data2 "data2[31:0]") 32) (direction OUTPUT)) (port (array (rename raddr2 "raddr2[6:0]") 7) (direction INPUT)) (port (array (rename raddr1 "raddr1[6:0]") 7) (direction INPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction INPUT)) (port waddr_6 (direction INPUT)) (port waddr_5 (direction INPUT)) (port waddr_4 (direction INPUT)) (port waddr_3 (direction INPUT)) (port waddr_2 (direction INPUT)) (port waddr_1 (direction INPUT)) (port (array (rename wdata "wdata[31:0]") 32) (direction INPUT)) (port (array (rename data1 "data1[31:0]") 32) (direction OUTPUT)) (port rfe2 (direction INPUT)) (port ren2 (direction INPUT)) (port ramclk (direction INPUT)) (port renable_i_1_i (direction INPUT)) (port wren (direction INPUT)) (port regfile_3p_GND (direction INPUT)) (port renable_i_1 (direction INPUT)) (port regfile_3p_VCC (direction INPUT)) (port wren_i (direction INPUT)) ) (contents (instance (rename s1_dp_x0 "s1.dp.x0") (viewRef netlist (cellRef syncram_2p)) (property testen (integer 0)) (property wrfst (integer 1)) (property sepclk (integer 0)) (property dbits (integer 32)) (property abits (integer 7)) (property tech (integer 10)) ) (instance (rename s1_dp_x1 "s1.dp.x1") (viewRef netlist (cellRef syncram_2p_1)) (property wrfst (integer 1)) (property sepclk (integer 0)) (property dbits (integer 32)) (property abits (integer 7)) (property tech (integer 10)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename data1_0 "data1[0]") (joined (portRef (member data1 31) (instanceRef s1_dp_x0)) (portRef (member data1 31)) )) (net (rename data1_1 "data1[1]") (joined (portRef (member data1 30) (instanceRef s1_dp_x0)) (portRef (member data1 30)) )) (net (rename data1_2 "data1[2]") (joined (portRef (member data1 29) (instanceRef s1_dp_x0)) (portRef (member data1 29)) )) (net (rename data1_3 "data1[3]") (joined (portRef (member data1 28) (instanceRef s1_dp_x0)) (portRef (member data1 28)) )) (net (rename data1_4 "data1[4]") (joined (portRef (member data1 27) (instanceRef s1_dp_x0)) (portRef (member data1 27)) )) (net (rename data1_5 "data1[5]") (joined (portRef (member data1 26) (instanceRef s1_dp_x0)) (portRef (member data1 26)) )) (net (rename data1_6 "data1[6]") (joined (portRef (member data1 25) (instanceRef s1_dp_x0)) (portRef (member data1 25)) )) (net (rename data1_7 "data1[7]") (joined (portRef (member data1 24) (instanceRef s1_dp_x0)) (portRef (member data1 24)) )) (net (rename data1_8 "data1[8]") (joined (portRef (member data1 23) (instanceRef s1_dp_x0)) (portRef (member data1 23)) )) (net (rename data1_9 "data1[9]") (joined (portRef (member data1 22) (instanceRef s1_dp_x0)) (portRef (member data1 22)) )) (net (rename data1_10 "data1[10]") (joined (portRef (member data1 21) (instanceRef s1_dp_x0)) (portRef (member data1 21)) )) (net (rename data1_11 "data1[11]") (joined (portRef (member data1 20) (instanceRef s1_dp_x0)) (portRef (member data1 20)) )) (net (rename data1_12 "data1[12]") (joined (portRef (member data1 19) (instanceRef s1_dp_x0)) (portRef (member data1 19)) )) (net (rename data1_13 "data1[13]") (joined (portRef (member data1 18) (instanceRef s1_dp_x0)) (portRef (member data1 18)) )) (net (rename data1_14 "data1[14]") (joined (portRef (member data1 17) (instanceRef s1_dp_x0)) (portRef (member data1 17)) )) (net (rename data1_15 "data1[15]") (joined (portRef (member data1 16) (instanceRef s1_dp_x0)) (portRef (member data1 16)) )) (net (rename data1_16 "data1[16]") (joined (portRef (member data1 15) (instanceRef s1_dp_x0)) (portRef (member data1 15)) )) (net (rename data1_17 "data1[17]") (joined (portRef (member data1 14) (instanceRef s1_dp_x0)) (portRef (member data1 14)) )) (net (rename data1_18 "data1[18]") (joined (portRef (member data1 13) (instanceRef s1_dp_x0)) (portRef (member data1 13)) )) (net (rename data1_19 "data1[19]") (joined (portRef (member data1 12) (instanceRef s1_dp_x0)) (portRef (member data1 12)) )) (net (rename data1_20 "data1[20]") (joined (portRef (member data1 11) (instanceRef s1_dp_x0)) (portRef (member data1 11)) )) (net (rename data1_21 "data1[21]") (joined (portRef (member data1 10) (instanceRef s1_dp_x0)) (portRef (member data1 10)) )) (net (rename data1_22 "data1[22]") (joined (portRef (member data1 9) (instanceRef s1_dp_x0)) (portRef (member data1 9)) )) (net (rename data1_23 "data1[23]") (joined (portRef (member data1 8) (instanceRef s1_dp_x0)) (portRef (member data1 8)) )) (net (rename data1_24 "data1[24]") (joined (portRef (member data1 7) (instanceRef s1_dp_x0)) (portRef (member data1 7)) )) (net (rename data1_25 "data1[25]") (joined (portRef (member data1 6) (instanceRef s1_dp_x0)) (portRef (member data1 6)) )) (net (rename data1_26 "data1[26]") (joined (portRef (member data1 5) (instanceRef s1_dp_x0)) (portRef (member data1 5)) )) (net (rename data1_27 "data1[27]") (joined (portRef (member data1 4) (instanceRef s1_dp_x0)) (portRef (member data1 4)) )) (net (rename data1_28 "data1[28]") (joined (portRef (member data1 3) (instanceRef s1_dp_x0)) (portRef (member data1 3)) )) (net (rename data1_29 "data1[29]") (joined (portRef (member data1 2) (instanceRef s1_dp_x0)) (portRef (member data1 2)) )) (net (rename data1_30 "data1[30]") (joined (portRef (member data1 1) (instanceRef s1_dp_x0)) (portRef (member data1 1)) )) (net (rename data1_31 "data1[31]") (joined (portRef (member data1 0) (instanceRef s1_dp_x0)) (portRef (member data1 0)) )) (net (rename datain_0 "datain[0]") (joined (portRef (member datain 31) (instanceRef s1_dp_x0)) (portRef (member datain 31) (instanceRef s1_dp_x1)) )) (net (rename datain_1 "datain[1]") (joined (portRef (member datain 30) (instanceRef s1_dp_x0)) (portRef (member datain 30) (instanceRef s1_dp_x1)) )) (net (rename datain_2 "datain[2]") (joined (portRef (member datain 29) (instanceRef s1_dp_x0)) (portRef (member datain 29) (instanceRef s1_dp_x1)) )) (net (rename datain_3 "datain[3]") (joined (portRef (member datain 28) (instanceRef s1_dp_x0)) (portRef (member datain 28) (instanceRef s1_dp_x1)) )) (net (rename datain_4 "datain[4]") (joined (portRef (member datain 27) (instanceRef s1_dp_x0)) (portRef (member datain 27) (instanceRef s1_dp_x1)) )) (net (rename datain_5 "datain[5]") (joined (portRef (member datain 26) (instanceRef s1_dp_x0)) (portRef (member datain 26) (instanceRef s1_dp_x1)) )) (net (rename datain_6 "datain[6]") (joined (portRef (member datain 25) (instanceRef s1_dp_x0)) (portRef (member datain 25) (instanceRef s1_dp_x1)) )) (net (rename datain_7 "datain[7]") (joined (portRef (member datain 24) (instanceRef s1_dp_x0)) (portRef (member datain 24) (instanceRef s1_dp_x1)) )) (net (rename datain_8 "datain[8]") (joined (portRef (member datain 23) (instanceRef s1_dp_x0)) (portRef (member datain 23) (instanceRef s1_dp_x1)) )) (net (rename datain_9 "datain[9]") (joined (portRef (member datain 22) (instanceRef s1_dp_x0)) (portRef (member datain 22) (instanceRef s1_dp_x1)) )) (net (rename datain_10 "datain[10]") (joined (portRef (member datain 21) (instanceRef s1_dp_x0)) (portRef (member datain 21) (instanceRef s1_dp_x1)) )) (net (rename datain_11 "datain[11]") (joined (portRef (member datain 20) (instanceRef s1_dp_x0)) (portRef (member datain 20) (instanceRef s1_dp_x1)) )) (net (rename datain_12 "datain[12]") (joined (portRef (member datain 19) (instanceRef s1_dp_x0)) (portRef (member datain 19) (instanceRef s1_dp_x1)) )) (net (rename datain_13 "datain[13]") (joined (portRef (member datain 18) (instanceRef s1_dp_x0)) (portRef (member datain 18) (instanceRef s1_dp_x1)) )) (net (rename datain_14 "datain[14]") (joined (portRef (member datain 17) (instanceRef s1_dp_x0)) (portRef (member datain 17) (instanceRef s1_dp_x1)) )) (net (rename datain_15 "datain[15]") (joined (portRef (member datain 16) (instanceRef s1_dp_x0)) (portRef (member datain 16) (instanceRef s1_dp_x1)) )) (net (rename datain_16 "datain[16]") (joined (portRef (member datain 15) (instanceRef s1_dp_x0)) (portRef (member datain 15) (instanceRef s1_dp_x1)) )) (net (rename datain_17 "datain[17]") (joined (portRef (member datain 14) (instanceRef s1_dp_x0)) (portRef (member datain 14) (instanceRef s1_dp_x1)) )) (net (rename datain_18 "datain[18]") (joined (portRef (member datain 13) (instanceRef s1_dp_x0)) (portRef (member datain 13) (instanceRef s1_dp_x1)) )) (net (rename datain_19 "datain[19]") (joined (portRef (member datain 12) (instanceRef s1_dp_x0)) (portRef (member datain 12) (instanceRef s1_dp_x1)) )) (net (rename datain_20 "datain[20]") (joined (portRef (member datain 11) (instanceRef s1_dp_x0)) (portRef (member datain 11) (instanceRef s1_dp_x1)) )) (net (rename datain_21 "datain[21]") (joined (portRef (member datain 10) (instanceRef s1_dp_x0)) (portRef (member datain 10) (instanceRef s1_dp_x1)) )) (net (rename datain_22 "datain[22]") (joined (portRef (member datain 9) (instanceRef s1_dp_x0)) (portRef (member datain 9) (instanceRef s1_dp_x1)) )) (net (rename datain_23 "datain[23]") (joined (portRef (member datain 8) (instanceRef s1_dp_x0)) (portRef (member datain 8) (instanceRef s1_dp_x1)) )) (net (rename datain_24 "datain[24]") (joined (portRef (member datain 7) (instanceRef s1_dp_x0)) (portRef (member datain 7) (instanceRef s1_dp_x1)) )) (net (rename datain_25 "datain[25]") (joined (portRef (member datain 6) (instanceRef s1_dp_x0)) (portRef (member datain 6) (instanceRef s1_dp_x1)) )) (net (rename datain_26 "datain[26]") (joined (portRef (member datain 5) (instanceRef s1_dp_x0)) (portRef (member datain 5) (instanceRef s1_dp_x1)) )) (net (rename datain_27 "datain[27]") (joined (portRef (member datain 4) (instanceRef s1_dp_x0)) (portRef (member datain 4) (instanceRef s1_dp_x1)) )) (net (rename datain_28 "datain[28]") (joined (portRef (member datain 3) (instanceRef s1_dp_x0)) (portRef (member datain 3) (instanceRef s1_dp_x1)) )) (net (rename datain_29 "datain[29]") (joined (portRef (member datain 2) (instanceRef s1_dp_x0)) (portRef (member datain 2) (instanceRef s1_dp_x1)) )) (net (rename datain_30 "datain[30]") (joined (portRef (member datain 1) (instanceRef s1_dp_x0)) (portRef (member datain 1) (instanceRef s1_dp_x1)) )) (net (rename datain_31 "datain[31]") (joined (portRef (member datain 0) (instanceRef s1_dp_x0)) (portRef (member datain 0) (instanceRef s1_dp_x1)) )) (net (rename raddr1_0 "raddr1[0]") (joined (portRef (member raddr1 6)) (portRef (member raddr1 6) (instanceRef s1_dp_x0)) )) (net (rename raddr1_1 "raddr1[1]") (joined (portRef (member raddr1 5)) (portRef (member raddr1 5) (instanceRef s1_dp_x0)) )) (net (rename raddr1_2 "raddr1[2]") (joined (portRef (member raddr1 4)) (portRef (member raddr1 4) (instanceRef s1_dp_x0)) )) (net (rename raddr1_3 "raddr1[3]") (joined (portRef (member raddr1 3)) (portRef (member raddr1 3) (instanceRef s1_dp_x0)) )) (net (rename raddr1_4 "raddr1[4]") (joined (portRef (member raddr1 2)) (portRef (member raddr1 2) (instanceRef s1_dp_x0)) )) (net (rename raddr1_5 "raddr1[5]") (joined (portRef (member raddr1 1)) (portRef (member raddr1 1) (instanceRef s1_dp_x0)) )) (net (rename raddr1_6 "raddr1[6]") (joined (portRef (member raddr1 0)) (portRef (member raddr1 0) (instanceRef s1_dp_x0)) )) (net (rename waddr_0 "waddr[0]") (joined (portRef (member waddr 6) (instanceRef s1_dp_x0)) (portRef (member waddr 6) (instanceRef s1_dp_x1)) )) (net (rename waddr_0_1 "waddr_0[1]") (joined (portRef (member waddr 5) (instanceRef s1_dp_x0)) (portRef (member waddr 5) (instanceRef s1_dp_x1)) )) (net (rename waddr_0_2 "waddr_0[2]") (joined (portRef (member waddr 4) (instanceRef s1_dp_x0)) (portRef (member waddr 4) (instanceRef s1_dp_x1)) )) (net (rename waddr_0_3 "waddr_0[3]") (joined (portRef (member waddr 3) (instanceRef s1_dp_x0)) (portRef (member waddr 3) (instanceRef s1_dp_x1)) )) (net (rename waddr_0_4 "waddr_0[4]") (joined (portRef (member waddr 2) (instanceRef s1_dp_x0)) (portRef (member waddr 2) (instanceRef s1_dp_x1)) )) (net (rename waddr_0_5 "waddr_0[5]") (joined (portRef (member waddr 1) (instanceRef s1_dp_x0)) (portRef (member waddr 1) (instanceRef s1_dp_x1)) )) (net (rename waddr_0_6 "waddr_0[6]") (joined (portRef (member waddr 0) (instanceRef s1_dp_x0)) (portRef (member waddr 0) (instanceRef s1_dp_x1)) )) (net renable_i_1 (joined (portRef renable_i_1) (portRef renable_i_1 (instanceRef s1_dp_x0)) )) (net wren (joined (portRef wren) (portRef wren (instanceRef s1_dp_x0)) )) (net renable_i_1_i (joined (portRef renable_i_1_i) (portRef renable_i_1_i (instanceRef s1_dp_x0)) )) (net write (joined (portRef write (instanceRef s1_dp_x0)) (portRef write (instanceRef s1_dp_x1)) )) (net (rename wdata_0 "wdata[0]") (joined (portRef (member wdata 31)) (portRef (member wdata 31) (instanceRef s1_dp_x1)) (portRef (member wdata 31) (instanceRef s1_dp_x0)) )) (net (rename wdata_1 "wdata[1]") (joined (portRef (member wdata 30)) (portRef (member wdata 30) (instanceRef s1_dp_x1)) (portRef (member wdata 30) (instanceRef s1_dp_x0)) )) (net (rename wdata_2 "wdata[2]") (joined (portRef (member wdata 29)) (portRef (member wdata 29) (instanceRef s1_dp_x1)) (portRef (member wdata 29) (instanceRef s1_dp_x0)) )) (net (rename wdata_3 "wdata[3]") (joined (portRef (member wdata 28)) (portRef (member wdata 28) (instanceRef s1_dp_x1)) (portRef (member wdata 28) (instanceRef s1_dp_x0)) )) (net (rename wdata_4 "wdata[4]") (joined (portRef (member wdata 27)) (portRef (member wdata 27) (instanceRef s1_dp_x1)) (portRef (member wdata 27) (instanceRef s1_dp_x0)) )) (net (rename wdata_5 "wdata[5]") (joined (portRef (member wdata 26)) (portRef (member wdata 26) (instanceRef s1_dp_x1)) (portRef (member wdata 26) (instanceRef s1_dp_x0)) )) (net (rename wdata_6 "wdata[6]") (joined (portRef (member wdata 25)) (portRef (member wdata 25) (instanceRef s1_dp_x1)) (portRef (member wdata 25) (instanceRef s1_dp_x0)) )) (net (rename wdata_7 "wdata[7]") (joined (portRef (member wdata 24)) (portRef (member wdata 24) (instanceRef s1_dp_x1)) (portRef (member wdata 24) (instanceRef s1_dp_x0)) )) (net (rename wdata_8 "wdata[8]") (joined (portRef (member wdata 23)) (portRef (member wdata 23) (instanceRef s1_dp_x1)) (portRef (member wdata 23) (instanceRef s1_dp_x0)) )) (net (rename wdata_9 "wdata[9]") (joined (portRef (member wdata 22)) (portRef (member wdata 22) (instanceRef s1_dp_x1)) (portRef (member wdata 22) (instanceRef s1_dp_x0)) )) (net (rename wdata_10 "wdata[10]") (joined (portRef (member wdata 21)) (portRef (member wdata 21) (instanceRef s1_dp_x1)) (portRef (member wdata 21) (instanceRef s1_dp_x0)) )) (net (rename wdata_11 "wdata[11]") (joined (portRef (member wdata 20)) (portRef (member wdata 20) (instanceRef s1_dp_x1)) (portRef (member wdata 20) (instanceRef s1_dp_x0)) )) (net (rename wdata_12 "wdata[12]") (joined (portRef (member wdata 19)) (portRef (member wdata 19) (instanceRef s1_dp_x1)) (portRef (member wdata 19) (instanceRef s1_dp_x0)) )) (net (rename wdata_13 "wdata[13]") (joined (portRef (member wdata 18)) (portRef (member wdata 18) (instanceRef s1_dp_x1)) (portRef (member wdata 18) (instanceRef s1_dp_x0)) )) (net (rename wdata_14 "wdata[14]") (joined (portRef (member wdata 17)) (portRef (member wdata 17) (instanceRef s1_dp_x1)) (portRef (member wdata 17) (instanceRef s1_dp_x0)) )) (net (rename wdata_15 "wdata[15]") (joined (portRef (member wdata 16)) (portRef (member wdata 16) (instanceRef s1_dp_x1)) (portRef (member wdata 16) (instanceRef s1_dp_x0)) )) (net (rename wdata_16 "wdata[16]") (joined (portRef (member wdata 15)) (portRef (member wdata 15) (instanceRef s1_dp_x1)) (portRef (member wdata 15) (instanceRef s1_dp_x0)) )) (net (rename wdata_17 "wdata[17]") (joined (portRef (member wdata 14)) (portRef (member wdata 14) (instanceRef s1_dp_x1)) (portRef (member wdata 14) (instanceRef s1_dp_x0)) )) (net (rename wdata_18 "wdata[18]") (joined (portRef (member wdata 13)) (portRef (member wdata 13) (instanceRef s1_dp_x1)) (portRef (member wdata 13) (instanceRef s1_dp_x0)) )) (net (rename wdata_19 "wdata[19]") (joined (portRef (member wdata 12)) (portRef (member wdata 12) (instanceRef s1_dp_x1)) (portRef (member wdata 12) (instanceRef s1_dp_x0)) )) (net (rename wdata_20 "wdata[20]") (joined (portRef (member wdata 11)) (portRef (member wdata 11) (instanceRef s1_dp_x1)) (portRef (member wdata 11) (instanceRef s1_dp_x0)) )) (net (rename wdata_21 "wdata[21]") (joined (portRef (member wdata 10)) (portRef (member wdata 10) (instanceRef s1_dp_x1)) (portRef (member wdata 10) (instanceRef s1_dp_x0)) )) (net (rename wdata_22 "wdata[22]") (joined (portRef (member wdata 9)) (portRef (member wdata 9) (instanceRef s1_dp_x1)) (portRef (member wdata 9) (instanceRef s1_dp_x0)) )) (net (rename wdata_23 "wdata[23]") (joined (portRef (member wdata 8)) (portRef (member wdata 8) (instanceRef s1_dp_x1)) (portRef (member wdata 8) (instanceRef s1_dp_x0)) )) (net (rename wdata_24 "wdata[24]") (joined (portRef (member wdata 7)) (portRef (member wdata 7) (instanceRef s1_dp_x1)) (portRef (member wdata 7) (instanceRef s1_dp_x0)) )) (net (rename wdata_25 "wdata[25]") (joined (portRef (member wdata 6)) (portRef (member wdata 6) (instanceRef s1_dp_x1)) (portRef (member wdata 6) (instanceRef s1_dp_x0)) )) (net (rename wdata_26 "wdata[26]") (joined (portRef (member wdata 5)) (portRef (member wdata 5) (instanceRef s1_dp_x1)) (portRef (member wdata 5) (instanceRef s1_dp_x0)) )) (net (rename wdata_27 "wdata[27]") (joined (portRef (member wdata 4)) (portRef (member wdata 4) (instanceRef s1_dp_x1)) (portRef (member wdata 4) (instanceRef s1_dp_x0)) )) (net (rename wdata_28 "wdata[28]") (joined (portRef (member wdata 3)) (portRef (member wdata 3) (instanceRef s1_dp_x1)) (portRef (member wdata 3) (instanceRef s1_dp_x0)) )) (net (rename wdata_29 "wdata[29]") (joined (portRef (member wdata 2)) (portRef (member wdata 2) (instanceRef s1_dp_x1)) (portRef (member wdata 2) (instanceRef s1_dp_x0)) )) (net (rename wdata_30 "wdata[30]") (joined (portRef (member wdata 1)) (portRef (member wdata 1) (instanceRef s1_dp_x1)) (portRef (member wdata 1) (instanceRef s1_dp_x0)) )) (net (rename wdata_31 "wdata[31]") (joined (portRef (member wdata 0)) (portRef (member wdata 0) (instanceRef s1_dp_x1)) (portRef (member wdata 0) (instanceRef s1_dp_x0)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef waddr_1) (portRef (member waddr_0 5) (instanceRef s1_dp_x1)) (portRef (member waddr_0 5) (instanceRef s1_dp_x0)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef waddr_2) (portRef (member waddr_0 4) (instanceRef s1_dp_x1)) (portRef (member waddr_0 4) (instanceRef s1_dp_x0)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef waddr_3) (portRef (member waddr_0 3) (instanceRef s1_dp_x1)) (portRef (member waddr_0 3) (instanceRef s1_dp_x0)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef waddr_4) (portRef (member waddr_0 2) (instanceRef s1_dp_x1)) (portRef (member waddr_0 2) (instanceRef s1_dp_x0)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef waddr_5) (portRef (member waddr_0 1) (instanceRef s1_dp_x1)) (portRef (member waddr_0 1) (instanceRef s1_dp_x0)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef waddr_6) (portRef (member waddr_0 0) (instanceRef s1_dp_x1)) (portRef (member waddr_0 0) (instanceRef s1_dp_x0)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0)) (portRef (member wa_0_iv 0) (instanceRef s1_dp_x1)) (portRef (member wa_0_iv 0) (instanceRef s1_dp_x0)) )) (net (rename raddr2_0 "raddr2[0]") (joined (portRef (member raddr2 6)) (portRef (member raddr2 6) (instanceRef s1_dp_x1)) )) (net (rename raddr2_1 "raddr2[1]") (joined (portRef (member raddr2 5)) (portRef (member raddr2 5) (instanceRef s1_dp_x1)) )) (net (rename raddr2_2 "raddr2[2]") (joined (portRef (member raddr2 4)) (portRef (member raddr2 4) (instanceRef s1_dp_x1)) )) (net (rename raddr2_3 "raddr2[3]") (joined (portRef (member raddr2 3)) (portRef (member raddr2 3) (instanceRef s1_dp_x1)) )) (net (rename raddr2_4 "raddr2[4]") (joined (portRef (member raddr2 2)) (portRef (member raddr2 2) (instanceRef s1_dp_x1)) )) (net (rename raddr2_5 "raddr2[5]") (joined (portRef (member raddr2 1)) (portRef (member raddr2 1) (instanceRef s1_dp_x1)) )) (net (rename raddr2_6 "raddr2[6]") (joined (portRef (member raddr2 0)) (portRef (member raddr2 0) (instanceRef s1_dp_x1)) )) (net (rename data2_0 "data2[0]") (joined (portRef (member data2 31) (instanceRef s1_dp_x1)) (portRef (member data2 31)) )) (net (rename data2_1 "data2[1]") (joined (portRef (member data2 30) (instanceRef s1_dp_x1)) (portRef (member data2 30)) )) (net (rename data2_2 "data2[2]") (joined (portRef (member data2 29) (instanceRef s1_dp_x1)) (portRef (member data2 29)) )) (net (rename data2_3 "data2[3]") (joined (portRef (member data2 28) (instanceRef s1_dp_x1)) (portRef (member data2 28)) )) (net (rename data2_4 "data2[4]") (joined (portRef (member data2 27) (instanceRef s1_dp_x1)) (portRef (member data2 27)) )) (net (rename data2_5 "data2[5]") (joined (portRef (member data2 26) (instanceRef s1_dp_x1)) (portRef (member data2 26)) )) (net (rename data2_6 "data2[6]") (joined (portRef (member data2 25) (instanceRef s1_dp_x1)) (portRef (member data2 25)) )) (net (rename data2_7 "data2[7]") (joined (portRef (member data2 24) (instanceRef s1_dp_x1)) (portRef (member data2 24)) )) (net (rename data2_8 "data2[8]") (joined (portRef (member data2 23) (instanceRef s1_dp_x1)) (portRef (member data2 23)) )) (net (rename data2_9 "data2[9]") (joined (portRef (member data2 22) (instanceRef s1_dp_x1)) (portRef (member data2 22)) )) (net (rename data2_10 "data2[10]") (joined (portRef (member data2 21) (instanceRef s1_dp_x1)) (portRef (member data2 21)) )) (net (rename data2_11 "data2[11]") (joined (portRef (member data2 20) (instanceRef s1_dp_x1)) (portRef (member data2 20)) )) (net (rename data2_12 "data2[12]") (joined (portRef (member data2 19) (instanceRef s1_dp_x1)) (portRef (member data2 19)) )) (net (rename data2_13 "data2[13]") (joined (portRef (member data2 18) (instanceRef s1_dp_x1)) (portRef (member data2 18)) )) (net (rename data2_14 "data2[14]") (joined (portRef (member data2 17) (instanceRef s1_dp_x1)) (portRef (member data2 17)) )) (net (rename data2_15 "data2[15]") (joined (portRef (member data2 16) (instanceRef s1_dp_x1)) (portRef (member data2 16)) )) (net (rename data2_16 "data2[16]") (joined (portRef (member data2 15) (instanceRef s1_dp_x1)) (portRef (member data2 15)) )) (net (rename data2_17 "data2[17]") (joined (portRef (member data2 14) (instanceRef s1_dp_x1)) (portRef (member data2 14)) )) (net (rename data2_18 "data2[18]") (joined (portRef (member data2 13) (instanceRef s1_dp_x1)) (portRef (member data2 13)) )) (net (rename data2_19 "data2[19]") (joined (portRef (member data2 12) (instanceRef s1_dp_x1)) (portRef (member data2 12)) )) (net (rename data2_20 "data2[20]") (joined (portRef (member data2 11) (instanceRef s1_dp_x1)) (portRef (member data2 11)) )) (net (rename data2_21 "data2[21]") (joined (portRef (member data2 10) (instanceRef s1_dp_x1)) (portRef (member data2 10)) )) (net (rename data2_22 "data2[22]") (joined (portRef (member data2 9) (instanceRef s1_dp_x1)) (portRef (member data2 9)) )) (net (rename data2_23 "data2[23]") (joined (portRef (member data2 8) (instanceRef s1_dp_x1)) (portRef (member data2 8)) )) (net (rename data2_24 "data2[24]") (joined (portRef (member data2 7) (instanceRef s1_dp_x1)) (portRef (member data2 7)) )) (net (rename data2_25 "data2[25]") (joined (portRef (member data2 6) (instanceRef s1_dp_x1)) (portRef (member data2 6)) )) (net (rename data2_26 "data2[26]") (joined (portRef (member data2 5) (instanceRef s1_dp_x1)) (portRef (member data2 5)) )) (net (rename data2_27 "data2[27]") (joined (portRef (member data2 4) (instanceRef s1_dp_x1)) (portRef (member data2 4)) )) (net (rename data2_28 "data2[28]") (joined (portRef (member data2 3) (instanceRef s1_dp_x1)) (portRef (member data2 3)) )) (net (rename data2_29 "data2[29]") (joined (portRef (member data2 2) (instanceRef s1_dp_x1)) (portRef (member data2 2)) )) (net (rename data2_30 "data2[30]") (joined (portRef (member data2 1) (instanceRef s1_dp_x1)) (portRef (member data2 1)) )) (net (rename data2_31 "data2[31]") (joined (portRef (member data2 0) (instanceRef s1_dp_x1)) (portRef (member data2 0)) )) (net (rename rfa2_0 "rfa2[0]") (joined (portRef (member rfa2 6)) (portRef (member rfa2 6) (instanceRef s1_dp_x1)) )) (net (rename rfa2_1 "rfa2[1]") (joined (portRef (member rfa2 5)) (portRef (member rfa2 5) (instanceRef s1_dp_x1)) )) (net (rename rfa2_2 "rfa2[2]") (joined (portRef (member rfa2 4)) (portRef (member rfa2 4) (instanceRef s1_dp_x1)) )) (net (rename rfa2_3 "rfa2[3]") (joined (portRef (member rfa2 3)) (portRef (member rfa2 3) (instanceRef s1_dp_x1)) )) (net (rename rfa2_4 "rfa2[4]") (joined (portRef (member rfa2 2)) (portRef (member rfa2 2) (instanceRef s1_dp_x1)) )) (net (rename rfa2_5 "rfa2[5]") (joined (portRef (member rfa2 1)) (portRef (member rfa2 1) (instanceRef s1_dp_x1)) )) (net (rename rfa2_6 "rfa2[6]") (joined (portRef (member rfa2 0)) (portRef (member rfa2 0) (instanceRef s1_dp_x1)) )) (net wren_i (joined (portRef wren_i) (portRef wren_i (instanceRef s1_dp_x1)) (portRef wren_i (instanceRef s1_dp_x0)) )) (net regfile_3p_VCC (joined (portRef regfile_3p_VCC) (portRef syncram_2p_1_VCC (instanceRef s1_dp_x1)) (portRef syncram_2p_VCC (instanceRef s1_dp_x0)) )) (net ren2 (joined (portRef ren2) (portRef ren2 (instanceRef s1_dp_x1)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef s1_dp_x1)) (portRef ramclk (instanceRef s1_dp_x0)) )) (net regfile_3p_GND (joined (portRef regfile_3p_GND) (portRef syncram_2p_1_GND (instanceRef s1_dp_x1)) (portRef syncram_2p_GND (instanceRef s1_dp_x0)) )) (net rfe2 (joined (portRef rfe2) (portRef rfe2 (instanceRef s1_dp_x1)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property tech (integer 10)) (property abits (integer 7)) (property dbits (integer 32)) (property wrfst (integer 1)) (property numregs (integer 120)) (property testen (integer 0)) ) ) (cell clkgen (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port ramclk (direction OUTPUT)) (port clklock (direction OUTPUT)) (port clkgen_GND (direction INPUT)) (port clkgen_VCC (direction INPUT)) (port lclk (direction INPUT)) ) (contents (instance (rename ap3_v "ap3.v") (viewRef netlist (cellRef clkgen_proasic3)) (property freq (integer 50000)) (property pcisysclk (integer 0)) (property pcien (integer 0)) (property clk_odiv (integer 1)) (property clk_div (integer 10)) (property clk_mul (integer 5)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net lclk (joined (portRef lclk) (portRef lclk (instanceRef ap3_v)) )) (net clkgen_VCC (joined (portRef clkgen_VCC) (portRef clkgen_proasic3_VCC (instanceRef ap3_v)) )) (net clkgen_GND (joined (portRef clkgen_GND) (portRef clkgen_proasic3_GND (instanceRef ap3_v)) )) (net clklock (joined (portRef clklock (instanceRef ap3_v)) (portRef clklock) )) (net ramclk (joined (portRef ramclk (instanceRef ap3_v)) (portRef ramclk) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property tech (integer 10)) (property clk_mul (integer 5)) (property clk_div (integer 10)) (property sdramen (integer 0)) (property noclkfb (integer 0)) (property pcien (integer 0)) (property pcidll (integer 0)) (property pcisysclk (integer 0)) (property freq (integer 50000)) (property clk2xen (integer 0)) (property clksel (integer 0)) (property clk_odiv (integer 1)) (property clkb_odiv (integer 0)) (property clkc_odiv (integer 0)) ) ) ) (library gaisler (edifLevel 0) (technology (numberDefinition )) (cell mmu_acache (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename bo_rnitud75 "bo_RNITUD75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rniv2e75 "bo_RNIV2E75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rni17e75 "bo_RNI17E75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rni5fe75 "bo_RNI5FE75[1:1]") 1) (direction OUTPUT)) (port (array (rename un39_res "un39_res[3:3]") 1) (direction OUTPUT)) (port (array (rename hsize_5 "hsize_5[1:1]") 1) (direction OUTPUT)) (port (array (rename size "size[1:0]") 2) (direction INPUT)) (port (array (rename iosn "iosn[93:93]") 1) (direction INPUT)) (port (array (rename hresp "hresp[0:0]") 1) (direction INPUT)) (port (array (rename data "data[31:0]") 32) (direction INPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction OUTPUT)) (port (array (rename address_0 "address_0[31:2]") 30) (direction INPUT)) (port haddr_3 (direction OUTPUT)) (port haddr_4 (direction OUTPUT)) (port haddr_5 (direction OUTPUT)) (port haddr_7 (direction OUTPUT)) (port haddr_8 (direction OUTPUT)) (port haddr_9 (direction OUTPUT)) (port haddr_10 (direction OUTPUT)) (port haddr_11 (direction OUTPUT)) (port haddr_12 (direction OUTPUT)) (port haddr_13 (direction OUTPUT)) (port haddr_14 (direction OUTPUT)) (port haddr_15 (direction OUTPUT)) (port haddr_16 (direction OUTPUT)) (port haddr_17 (direction OUTPUT)) (port haddr_18 (direction OUTPUT)) (port haddr_19 (direction OUTPUT)) (port haddr_20 (direction OUTPUT)) (port haddr_21 (direction OUTPUT)) (port haddr_22 (direction OUTPUT)) (port haddr_23 (direction OUTPUT)) (port haddr_24 (direction OUTPUT)) (port haddr_28 (direction OUTPUT)) (port haddr_6 (direction OUTPUT)) (port haddr_2 (direction OUTPUT)) (port haddr_30 (direction OUTPUT)) (port haddr_0 (direction OUTPUT)) (port haddr_1 (direction OUTPUT)) (port haddr_31 (direction OUTPUT)) (port (array (rename hgrant "hgrant[1:1]") 1) (direction INPUT)) (port (array (rename address "address[31:0]") 32) (direction INPUT)) (port (array (rename dcs "dcs[1:0]") 2) (direction INPUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction INPUT)) (port (array (rename htrans "htrans[1:1]") 1) (direction OUTPUT)) (port werr (direction OUTPUT)) (port ramclk (direction INPUT)) (port ready_0 (direction OUTPUT)) (port un86_nbo (direction OUTPUT)) (port un85_nbo (direction OUTPUT)) (port burst_0 (direction INPUT)) (port grant_0 (direction OUTPUT)) (port hwrite (direction OUTPUT)) (port mexc_0 (direction OUTPUT)) (port hlock (direction OUTPUT)) (port hcache_0 (direction OUTPUT)) (port cache (direction OUTPUT)) (port ba_RNIIJKI5_0 (direction OUTPUT)) (port lb_0_sqmuxa_2 (direction INPUT)) (port lb_0_sqmuxa_1 (direction OUTPUT)) (port mexc (direction OUTPUT)) (port ready (direction OUTPUT)) (port N_5719_1 (direction OUTPUT)) (port grant (direction OUTPUT)) (port un58_nbo (direction OUTPUT)) (port N_5515 (direction OUTPUT)) (port burst (direction INPUT)) (port hbusreq_i_0 (direction OUTPUT)) (port N_5711 (direction OUTPUT)) (port read (direction INPUT)) (port ba (direction OUTPUT)) (port req_0 (direction INPUT)) (port req (direction INPUT)) (port lock (direction INPUT)) (port rst (direction INPUT)) ) (contents (instance (rename r_hlocken_RNI88592_0 "r.hlocken_RNI88592_0") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_bo_RNIFKBO2_0_1 "r.bo_RNIFKBO2_0[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIPUK3_0 "r.bo_RNIPUK3[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_nba_RNO_0 "r.nba_RNO_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bg_RNIONGB1 "r.bg_RNIONGB1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hlocken_RNI1FB32 "r.hlocken_RNI1FB32") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hlocken_RNI4ERJ "r.hlocken_RNI4ERJ") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ba_RNINJKI5_0 "r.ba_RNINJKI5_0") (viewRef prim (cellRef AXO7 (libraryRef PA3))) ) (instance (rename r_bg_RNIIJFH1 "r.bg_RNIIJFH1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_bg_RNINJFH1 "r.bg_RNINJFH1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_bo_RNI8GU65_1 "r.bo_RNI8GU65[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bo_RNI178P_1 "r.bo_RNI178P[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_bo_RNIKD411_0 "r.bo_RNIKD411[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bo_RNO_2_0 "r.bo_RNO_2[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_nbo_RNIVCHF_1 "r.nbo_RNIVCHF[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_nbo_RNIU8HF_0 "r.nbo_RNIU8HF[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ba_RNI7OED "r.ba_RNI7OED") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_un87_nbo_0 "comb.un87_nbo_0") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ba_RNI0N3H "r.ba_RNI0N3H") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bo_RNO_1_0 "r.bo_RNO_1[0]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_nbo_RNIJJDN_0 "r.nbo_RNIJJDN[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hlocken_RNI88592 "r.hlocken_RNI88592") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_hlocken_RNI3EDO "r.hlocken_RNI3EDO") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hlocken_RNIED3V1 "r.hlocken_RNIED3V1") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_lb_RNI7CUVA "r.lb_RNI7CUVA") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_bo_RNIFKBO2_1 "r.bo_RNIFKBO2[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ba_RNIFIMV2 "r.ba_RNIFIMV2") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_ba_RNIN2L68 "r.ba_RNIN2L68") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_bg_RNI9G0J6 "r.bg_RNI9G0J6") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hlocken_RNIAMK8D "r.hlocken_RNIAMK8D") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_bg_RNIGR8JG "r.bg_RNIGR8JG") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bg_RNIEG0J6 "r.bg_RNIEG0J6") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_nba_RNO "r.nba_RNO") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ba_RNIRM3H "r.ba_RNIRM3H") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename comb_ahb_slv_dec_cache_hcache "comb.ahb_slv_dec_cache.hcache") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_bg_RNO_0 "r.bg_RNO_0") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_ba_RNIIJKI5 "r.ba_RNIIJKI5") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bg_RNO "r.bg_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIPID75_1 "r.bo_RNIPID75[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hlocken_RNIAU1F2 "r.hlocken_RNIAU1F2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bo_RNIFCT4_0 "r.bo_RNIFCT4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIGGT4_0 "r.bo_RNIGGT4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIHKT4_0 "r.bo_RNIHKT4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIIOT4_0 "r.bo_RNIIOT4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIJST4_0 "r.bo_RNIJST4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIK0U4_0 "r.bo_RNIK0U4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIOGU4_0 "r.bo_RNIOGU4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIC939_0 "r.bo_RNIC939[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNID939_0 "r.bo_RNID939[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIE939_0 "r.bo_RNIE939[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIG939_0 "r.bo_RNIG939[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNII939_0 "r.bo_RNII939[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIJ939_0 "r.bo_RNIJ939[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIK939_0 "r.bo_RNIK939[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIFD39_0 "r.bo_RNIFD39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIHD39_0 "r.bo_RNIHD39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIJD39_0 "r.bo_RNIJD39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIKD39_0 "r.bo_RNIKD39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIMD39_0 "r.bo_RNIMD39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIND39_0 "r.bo_RNIND39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIIH39_0 "r.bo_RNIIH39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIJH39_0 "r.bo_RNIJH39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ba_RNIQI2N "r.ba_RNIQI2N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIM8U4_0 "r.bo_RNIM8U4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIOD39_0 "r.bo_RNIOD39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIBM5F1_0 "r.bo_RNIBM5F1[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bo_RNI93I72_0 "r.bo_RNI93I72[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_ba_RNINJKI5 "r.ba_RNINJKI5") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_lb_RNO_1 "r.lb_RNO_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_lb_RNO "r.lb_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ba_RNIIJKI5_0 "r.ba_RNIIJKI5_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_lb_RNO_0 "r.lb_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bo_RNIID39_0 "r.bo_RNIID39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNO_0_0 "r.bo_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bo_RNO_0 "r.bo_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNI4V8G_0 "r.bo_RNI4V8G[0]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_bo_RNO_3_0 "r.bo_RNO_3[0]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_bo_RNIPUK3_0_0 "r.bo_RNIPUK3_0[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bo_RNIPUK3_2_0 "r.bo_RNIPUK3_2[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIPUK3_1_0 "r.bo_RNIPUK3_1[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bo_RNO_0_1 "r.bo_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bo_RNO_1 "r.bo_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hcache_RNIC9LI "r.hcache_RNIC9LI") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_hlocken_RNI2E7K5 "r.hlocken_RNI2E7K5") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_hlocken_RNI1BPF "r.hlocken_RNI1BPF") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_hlocken_RNIGV483 "r.hlocken_RNIGV483") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hlocken_RNO_1 "r.hlocken_RNO_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ba_RNI93I72 "r.ba_RNI93I72") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_retry2_RNIHCJF "r.retry2_RNIHCJF") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_retry2_RNILAS7 "r.retry2_RNILAS7") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_nbo_RNO_1 "r.nbo_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_retry2_RNO "r.retry2_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hlocken_RNO "r.hlocken_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hlocken_RNIU579 "r.hlocken_RNIU579") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bo_RNI1QM9_0 "r.bo_RNI1QM9[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hlocken_RNO_0 "r.hlocken_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bo_RNI0RG45_1 "r.bo_RNI0RG45[1]") (viewRef prim (cellRef AXOI4 (libraryRef PA3))) ) (instance (rename r_bg_RNI6Q9P8 "r.bg_RNI6Q9P8") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ba_RNI1R4B "r.ba_RNI1R4B") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_retry2_RNI0GK4 "r.retry2_RNI0GK4") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_retry2_RNI90LN6 "r.retry2_RNI90LN6") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hlocken_RNIPRIE2 "r.hlocken_RNIPRIE2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_hlocken_RNIO3O7F "r.hlocken_RNIO3O7F") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_un85_nbo "comb.un85_nbo") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_un86_nbo "comb.un86_nbo") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_bo_RNI9JG76_1 "r.bo_RNI9JG76[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_bo_RNI8QB85_1 "r.bo_RNI8QB85[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_werr_RNO "r.werr_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_werr_RNO_0 "r.werr_RNO_0") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_werr_RNO_1 "r.werr_RNO_1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_ba_RNO "r.ba_RNO") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ba_RNIINGB1 "r.ba_RNIINGB1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNI8JG76_1 "r.bo_RNI8JG76[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ba_RNIBM5F1 "r.ba_RNIBM5F1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ba_RNIINGB1_0 "r.ba_RNIINGB1_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bo_RNIF939_0 "r.bo_RNIF939[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hlocken_RNI8Q1F2 "r.hlocken_RNI8Q1F2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bo_RNINED75_1 "r.bo_RNINED75[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bo_RNILD39_0 "r.bo_RNILD39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIL4U4_0 "r.bo_RNIL4U4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIGD39_0 "r.bo_RNIGD39[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNINSG15_1 "r.bo_RNINSG15[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bo_RNI4NCA6_1 "r.bo_RNI4NCA6[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ba_RNIL21I3 "r.ba_RNIL21I3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_ba_RNI436I "r.ba_RNI436I") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_ba_RNI9NLM "r.ba_RNI9NLM") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIHP585_1 "r.bo_RNIHP585[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hlocken_RNI25QF2 "r.hlocken_RNI25QF2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_nbo_RNO_0 "r.nbo_RNO[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_hcache_RNO "r.hcache_RNO") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_hcache_RNO_0 "r.hcache_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un7_haddr_1_CO1 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ba_RNI9J8J "r.ba_RNI9J8J") (viewRef prim (cellRef AX1 (libraryRef PA3))) ) (instance (rename r_bo_RNIL939_0 "r.bo_RNIL939[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNIH939_0 "r.bo_RNIH939[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bo_RNINCU4_0 "r.bo_RNINCU4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ba_RNI8OP11 "r.ba_RNI8OP11") (viewRef prim (cellRef AX1D (libraryRef PA3))) ) (instance (rename r_ba_RNION7S "r.ba_RNION7S") (viewRef prim (cellRef AX1 (libraryRef PA3))) ) (instance (rename r_ba_RNIF7BK "r.ba_RNIF7BK") (viewRef prim (cellRef AX1D (libraryRef PA3))) ) (instance (rename r_hlocken_RNIMQ2F2 "r.hlocken_RNIMQ2F2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hlocken_RNIKM2F2 "r.hlocken_RNIKM2F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNIII2F2 "r.hlocken_RNIII2F2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hlocken_RNIGE2F2 "r.hlocken_RNIGE2F2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hlocken_RNIEA2F2 "r.hlocken_RNIEA2F2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hlocken_RNIC62F2 "r.hlocken_RNIC62F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNIA22F2 "r.hlocken_RNIA22F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI8U1F2 "r.hlocken_RNI8U1F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI6Q1F2 "r.hlocken_RNI6Q1F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI4M1F2 "r.hlocken_RNI4M1F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNIIM2F2 "r.hlocken_RNIIM2F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNIGI2F2 "r.hlocken_RNIGI2F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNIEE2F2 "r.hlocken_RNIEE2F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNICA2F2 "r.hlocken_RNICA2F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNIA62F2 "r.hlocken_RNIA62F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI822F2 "r.hlocken_RNI822F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI6U1F2 "r.hlocken_RNI6U1F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI4Q1F2 "r.hlocken_RNI4Q1F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI2M1F2 "r.hlocken_RNI2M1F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI0I1F2 "r.hlocken_RNI0I1F2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI8HQF2 "r.hlocken_RNI8HQF2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI6DQF2 "r.hlocken_RNI6DQF2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_hlocken_RNI49QF2 "r.hlocken_RNI49QF2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hlocken_RNI01QF2 "r.hlocken_RNI01QF2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ba_RNIV7AV3 "r.ba_RNIV7AV3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_ba_RNI9JLO3 "r.ba_RNI9JLO3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_bo_RNI5FE75_1 "r.bo_RNI5FE75[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bo_RNI3BE75_1 "r.bo_RNI3BE75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNI17E75_1 "r.bo_RNI17E75[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bo_RNIV2E75_1 "r.bo_RNIV2E75[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bo_RNITUD75_1 "r.bo_RNITUD75[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bo_RNIRQD75_1 "r.bo_RNIRQD75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIPMD75_1 "r.bo_RNIPMD75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNINID75_1 "r.bo_RNINID75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNILED75_1 "r.bo_RNILED75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIJAD75_1 "r.bo_RNIJAD75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNI1BE75_1 "r.bo_RNI1BE75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIV6E75_1 "r.bo_RNIV6E75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIT2E75_1 "r.bo_RNIT2E75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIRUD75_1 "r.bo_RNIRUD75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIPQD75_1 "r.bo_RNIPQD75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNINMD75_1 "r.bo_RNINMD75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNILID75_1 "r.bo_RNILID75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIJED75_1 "r.bo_RNIJED75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIHAD75_1 "r.bo_RNIHAD75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIF6D75_1 "r.bo_RNIF6D75[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIN5685_1 "r.bo_RNIN5685[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIL1685_1 "r.bo_RNIL1685[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_bo_RNIJT585_1 "r.bo_RNIJT585[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bo_RNIFL585_1 "r.bo_RNIFL585[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bo_RNIESLN6_1 "r.bo_RNIESLN6[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_bo_RNIO71H6_1 "r.bo_RNIO71H6[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un20_haddr_1_CO1 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_hcache "r.hcache") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bg "r.bg") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_lb "r.lb") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hlocken "r.hlocken") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_nba "r.nba") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ba "r.ba") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_werr "r.werr") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_retry2 "r.retry2") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bo_0 "r.bo[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bo_1 "r.bo[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_nbo_0 "r.nbo[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_nbo_1 "r.nbo[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename nbo_5_0_0 "nbo_5_0[0]") (joined (portRef Y (instanceRef r_hlocken_RNI88592_0)) (portRef S (instanceRef r_hlocken_RNIA22F2)) (portRef S (instanceRef r_hlocken_RNIC62F2)) (portRef S (instanceRef r_hlocken_RNIEA2F2)) (portRef S (instanceRef r_hlocken_RNIGE2F2)) (portRef S (instanceRef r_hlocken_RNIII2F2)) (portRef S (instanceRef r_hlocken_RNIKM2F2)) (portRef S (instanceRef r_hlocken_RNIMQ2F2)) (portRef B (instanceRef r_nbo_RNO_0)) (portRef S (instanceRef r_hlocken_RNI25QF2)) (portRef S (instanceRef r_ba_RNIL21I3)) (portRef A (instanceRef r_bo_RNINSG15_1)) (portRef S (instanceRef r_hlocken_RNI8Q1F2)) (portRef B (instanceRef r_bo_RNI8QB85_1)) (portRef B (instanceRef r_hlocken_RNIPRIE2)) (portRef B (instanceRef r_bo_RNI0RG45_1)) (portRef A (instanceRef r_ba_RNINJKI5)) (portRef S (instanceRef r_hlocken_RNIAU1F2)) (portRef B (instanceRef r_bg_RNIEG0J6)) (portRef B (instanceRef r_ba_RNIFIMV2)) (portRef C (instanceRef r_ba_RNINJKI5_0)) )) (net nbo4 (joined (portRef Y (instanceRef r_hlocken_RNI3EDO)) (portRef C (instanceRef r_hlocken_RNIED3V1)) (portRef A (instanceRef r_hlocken_RNI88592)) (portRef C (instanceRef r_hlocken_RNI1FB32)) (portRef A (instanceRef r_hlocken_RNI88592_0)) )) (net hbusreq_1_sqmuxa (joined (portRef Y (instanceRef r_retry2_RNIHCJF)) (portRef C (instanceRef r_bo_RNO_3_0)) (portRef B (instanceRef r_hlocken_RNIED3V1)) (portRef B (instanceRef r_hlocken_RNI88592)) (portRef C (instanceRef r_hlocken_RNI4ERJ)) (portRef B (instanceRef r_hlocken_RNI88592_0)) )) (net (rename nbo_5_iv_0_0 "nbo_5_iv_0[0]") (joined (portRef Y (instanceRef r_bo_RNIKD411_0)) (portRef C (instanceRef r_hlocken_RNI88592)) (portRef C (instanceRef r_hlocken_RNI88592_0)) )) (net (rename nbo_5_0_1 "nbo_5_0[1]") (joined (portRef Y (instanceRef r_bo_RNIFKBO2_0_1)) (portRef A (instanceRef r_bo_RNIPMD75_1)) (portRef A (instanceRef r_bo_RNIRQD75_1)) (portRef B (instanceRef r_bo_RNITUD75_1)) (portRef B (instanceRef r_bo_RNIV2E75_1)) (portRef B (instanceRef r_bo_RNI17E75_1)) (portRef A (instanceRef r_bo_RNI3BE75_1)) (portRef B (instanceRef r_bo_RNI5FE75_1)) (portRef B (instanceRef r_bo_RNIHP585_1)) (portRef B (instanceRef r_bo_RNI4NCA6_1)) (portRef B (instanceRef r_bo_RNINSG15_1)) (portRef B (instanceRef r_bo_RNINED75_1)) (portRef C (instanceRef r_bo_RNI8QB85_1)) (portRef C (instanceRef r_bo_RNI0RG45_1)) (portRef A (instanceRef r_hlocken_RNIGV483)) (portRef B (instanceRef r_ba_RNINJKI5)) (portRef B (instanceRef r_bo_RNIPID75_1)) (portRef C (instanceRef r_bg_RNIEG0J6)) (portRef B (instanceRef r_bo_RNI8GU65_1)) (portRef B (instanceRef r_ba_RNINJKI5_0)) )) (net (rename nbo_5_iv_0_1 "nbo_5_iv_0[1]") (joined (portRef Y (instanceRef r_bo_RNI178P_1)) (portRef A (instanceRef r_bo_RNIFKBO2_1)) (portRef A (instanceRef r_bo_RNIFKBO2_0_1)) )) (net htrans_4_sqmuxa (joined (portRef Y (instanceRef r_hlocken_RNIED3V1)) (portRef A (instanceRef r_hlocken_RNIO3O7F)) (portRef B (instanceRef r_bo_RNIFKBO2_1)) (portRef A (instanceRef r_lb_RNI7CUVA)) (portRef B (instanceRef r_bo_RNIFKBO2_0_1)) )) (net bo_5588_d_i_0_0 (joined (portRef Y (instanceRef r_bo_RNIPUK3_0)) (portRef B (instanceRef r_bo_RNIJD39_0)) (portRef B (instanceRef r_bo_RNIHD39_0)) (portRef B (instanceRef r_bo_RNIFD39_0)) (portRef B (instanceRef r_bo_RNIK939_0)) (portRef B (instanceRef r_bo_RNIJ939_0)) (portRef B (instanceRef r_bo_RNII939_0)) (portRef B (instanceRef r_bo_RNIG939_0)) (portRef B (instanceRef r_bo_RNIE939_0)) (portRef B (instanceRef r_bo_RNID939_0)) (portRef B (instanceRef r_bo_RNIC939_0)) (portRef B (instanceRef r_bo_RNIOGU4_0)) (portRef B (instanceRef r_bo_RNIK0U4_0)) (portRef B (instanceRef r_bo_RNIJST4_0)) (portRef B (instanceRef r_bo_RNIIOT4_0)) (portRef B (instanceRef r_bo_RNIHKT4_0)) (portRef B (instanceRef r_bo_RNIGGT4_0)) (portRef B (instanceRef r_bo_RNIFCT4_0)) )) (net (rename bo_1 "bo[1]") (joined (portRef Q (instanceRef r_bo_1)) (portRef B (instanceRef r_bo_RNO_0_1)) (portRef B (instanceRef r_bo_RNIPUK3_1_0)) (portRef A (instanceRef r_bo_RNIPUK3_2_0)) (portRef A (instanceRef r_bo_RNIPUK3_0_0)) (portRef A (instanceRef r_bo_RNI178P_1)) (portRef A (instanceRef r_bo_RNIPUK3_0)) )) (net (rename bo_0 "bo[0]") (joined (portRef Q (instanceRef r_bo_0)) (portRef A (instanceRef r_bo_RNI1QM9_0)) (portRef A (instanceRef r_bo_RNIPUK3_1_0)) (portRef B (instanceRef r_bo_RNIPUK3_2_0)) (portRef B (instanceRef r_bo_RNIPUK3_0_0)) (portRef B (instanceRef r_bo_RNO_0_0)) (portRef B (instanceRef r_bo_RNIPUK3_0)) )) (net nba_0_0 (joined (portRef Y (instanceRef r_nba_RNO_0)) (portRef A (instanceRef r_nba_RNO)) )) (net bg_m_0 (joined (portRef Y (instanceRef r_bg_RNIONGB1)) (portRef A (instanceRef r_bg_RNIGR8JG)) )) (net bg (joined (portRef Q (instanceRef r_bg)) (portRef C (instanceRef r_bg_RNI6Q9P8)) (portRef B (instanceRef r_bg_RNO_0)) (portRef B (instanceRef r_bg_RNINJFH1)) (portRef B (instanceRef r_bg_RNIIJFH1)) (portRef A (instanceRef r_bg_RNIONGB1)) )) (net htrans_4_sqmuxa_1_2 (joined (portRef Y (instanceRef r_hlocken_RNI1FB32)) (portRef C (instanceRef r_hlocken_RNIAMK8D)) )) (net htrans_4_sqmuxa_1_0 (joined (portRef Y (instanceRef r_hlocken_RNI4ERJ)) (portRef A (instanceRef r_hlocken_RNI1FB32)) )) (net un3_dreq (joined (portRef Y (instanceRef r_ba_RNIQI2N)) (portRef A (instanceRef r_hlocken_RNIED3V1)) (portRef B (instanceRef r_hlocken_RNI1FB32)) )) (net hlocken (joined (portRef Q (instanceRef r_hlocken)) (portRef B (instanceRef r_retry2_RNI0GK4)) (portRef B (instanceRef r_hlocken_RNO_0)) (portRef A (instanceRef r_hlocken_RNIU579)) (portRef A (instanceRef r_bo_RNO_3_0)) (portRef C (instanceRef r_hlocken_RNI3EDO)) (portRef B (instanceRef r_hlocken_RNI4ERJ)) )) (net un1_htrans_1_sqmuxa_0 (joined (portRef Y (instanceRef r_ba_RNINJKI5_0)) (portRef A (instanceRef r_hlocken_RNIAMK8D)) )) (net un6_dreq (joined (portRef Y (instanceRef r_ba_RNI0N3H)) (portRef B (instanceRef r_ba_RNIF7BK)) (portRef A (instanceRef r_ba_RNI9J8J)) (portRef B (instanceRef r_ba_RNI436I)) (portRef C (instanceRef r_ba_RNINJKI5)) (portRef B (instanceRef r_ba_RNIQI2N)) (portRef A (instanceRef r_ba_RNINJKI5_0)) )) (net dgrant_0_sqmuxa_1 (joined (portRef Y (instanceRef r_bg_RNIIJFH1)) (portRef A (instanceRef r_bg_RNI9G0J6)) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef (member iosn_0 0)) (portRef S (instanceRef r_bo_RNO_0_1)) (portRef S (instanceRef r_bo_RNO_0_0)) (portRef S (instanceRef r_lb_RNO_0)) (portRef S (instanceRef r_bg_RNO_0)) (portRef C (instanceRef r_bg_RNINJFH1)) (portRef C (instanceRef r_bg_RNIIJFH1)) (portRef B (instanceRef r_bg_RNIONGB1)) )) (net igrant_0_sqmuxa_1 (joined (portRef Y (instanceRef r_bg_RNINJFH1)) (portRef A (instanceRef r_bg_RNIEG0J6)) )) (net (rename hburst_1_i_0_0 "hburst_1_i_0[0]") (joined (portRef Y (instanceRef r_bo_RNI8GU65_1)) (portRef A (instanceRef r_ba_RNIN2L68)) )) (net N_5516 (joined (portRef Y (instanceRef r_hlocken_RNIPRIE2)) (portRef A (instanceRef r_bo_RNI8GU65_1)) )) (net (rename nbo_m_0_1 "nbo_m_0[1]") (joined (portRef Y (instanceRef r_nbo_RNIVCHF_1)) (portRef B (instanceRef r_bo_RNI178P_1)) )) (net un7_hlock (joined (portRef Y (instanceRef r_retry2_RNILAS7)) (portRef B (instanceRef r_bo_RNI1QM9_0)) (portRef B (instanceRef r_hlocken_RNIU579)) (portRef B (instanceRef r_retry2_RNO)) (portRef B (instanceRef r_nbo_RNIJJDN_0)) (portRef S (instanceRef r_bo_RNI178P_1)) )) (net N_5716 (joined (portRef Y (instanceRef r_nbo_RNIJJDN_0)) (portRef B (instanceRef r_bo_RNO_2_0)) (portRef A (instanceRef r_bo_RNIKD411_0)) )) (net N_5498_m (joined (portRef Y (instanceRef r_bo_RNI1QM9_0)) (portRef C (instanceRef r_bo_RNI4V8G_0)) (portRef B (instanceRef r_bo_RNIKD411_0)) )) (net (rename bo_ns_0_1_0 "bo_ns_0_1[0]") (joined (portRef Y (instanceRef r_bo_RNO_2_0)) (portRef C (instanceRef r_bo_RNO_1_0)) )) (net (rename bo_ns_2_0 "bo_ns_2[0]") (joined (portRef Y (instanceRef r_bo_RNI4V8G_0)) (portRef A (instanceRef r_nbo_RNO_0)) (portRef A (instanceRef r_bo_RNO_2_0)) )) (net N_5715 (joined (portRef Y (instanceRef r_bo_RNO_3_0)) (portRef C (instanceRef r_bo_RNO_2_0)) )) (net (rename nbo_1 "nbo[1]") (joined (portRef Q (instanceRef r_nbo_1)) (portRef A (instanceRef r_nbo_RNIVCHF_1)) )) (net nba (joined (portRef Q (instanceRef r_nba)) (portRef C (instanceRef r_retry2_RNIHCJF)) (portRef B (instanceRef r_bo_RNO_1_0)) (portRef B (instanceRef r_nbo_RNIU8HF_0)) (portRef B (instanceRef r_nbo_RNIVCHF_1)) )) (net (rename bo_ns_0_a6_0_0_0 "bo_ns_0_a6_0_0[0]") (joined (portRef Y (instanceRef r_nbo_RNIU8HF_0)) (portRef A (instanceRef r_nbo_RNIJJDN_0)) )) (net (rename nbo_0 "nbo[0]") (joined (portRef Q (instanceRef r_nbo_0)) (portRef A (instanceRef r_nbo_RNIU8HF_0)) )) (net un6_dreq_0 (joined (portRef Y (instanceRef r_ba_RNI7OED)) (portRef A (instanceRef r_ba_RNI0N3H)) )) (net req_0 (joined (portRef req_0) (portRef B (instanceRef r_bo_RNO_3_0)) (portRef A (instanceRef r_hlocken_RNI3EDO)) (portRef B (instanceRef r_ba_RNI7OED)) (portRef A (instanceRef r_bg_RNINJFH1)) )) (net un87_nbo_0 (joined (portRef Y (instanceRef comb_un87_nbo_0)) (portRef A (instanceRef comb_un86_nbo)) )) (net (rename dcs_0 "dcs[0]") (joined (portRef (member dcs 1)) (portRef A (instanceRef comb_un87_nbo_0)) )) (net (rename dcs_1 "dcs[1]") (joined (portRef (member dcs 0)) (portRef B (instanceRef comb_un87_nbo_0)) )) (net (rename bo_ns_0 "bo_ns[0]") (joined (portRef Y (instanceRef r_bo_RNO_1_0)) (portRef A (instanceRef r_bo_RNO_0_0)) )) (net un70_nbo (joined (portRef Y (instanceRef r_ba_RNIRM3H)) (portRef A (instanceRef r_ba_RNION7S)) (portRef B (instanceRef r_ba_RNI8OP11)) (portRef B (instanceRef r_ba_RNI9NLM)) (portRef A (instanceRef r_ba_RNIIJKI5_0)) (portRef A (instanceRef r_ba_RNIIJKI5)) (portRef A (instanceRef r_ba_RNIFIMV2)) (portRef B (instanceRef r_hlocken_RNI3EDO)) (portRef A (instanceRef r_bo_RNO_1_0)) )) (net (rename nbo_5_0 "nbo_5[0]") (joined (portRef Y (instanceRef r_hlocken_RNI88592)) (portRef S (instanceRef r_ba_RNI9JLO3)) (portRef S (instanceRef r_ba_RNIV7AV3)) (portRef S (instanceRef r_hlocken_RNI01QF2)) (portRef S (instanceRef r_hlocken_RNI49QF2)) (portRef S (instanceRef r_hlocken_RNI6DQF2)) (portRef S (instanceRef r_hlocken_RNI8HQF2)) (portRef S (instanceRef r_hlocken_RNI0I1F2)) (portRef S (instanceRef r_hlocken_RNI2M1F2)) (portRef S (instanceRef r_hlocken_RNI4Q1F2)) (portRef S (instanceRef r_hlocken_RNI6U1F2)) (portRef S (instanceRef r_hlocken_RNI822F2)) (portRef S (instanceRef r_hlocken_RNIA62F2)) (portRef S (instanceRef r_hlocken_RNICA2F2)) (portRef S (instanceRef r_hlocken_RNIEE2F2)) (portRef S (instanceRef r_hlocken_RNIGI2F2)) (portRef S (instanceRef r_hlocken_RNIIM2F2)) (portRef S (instanceRef r_hlocken_RNI4M1F2)) (portRef S (instanceRef r_hlocken_RNI6Q1F2)) (portRef S (instanceRef r_hlocken_RNI8U1F2)) )) (net hbusreq_i_0 (joined (portRef Y (instanceRef r_lb_RNI7CUVA)) (portRef hbusreq_i_0) )) (net lb (joined (portRef Q (instanceRef r_lb)) (portRef B (instanceRef r_lb_RNO_0)) (portRef B (instanceRef r_lb_RNI7CUVA)) )) (net un9_hbusreq (joined (portRef Y (instanceRef r_bg_RNI6Q9P8)) (portRef C (instanceRef r_lb_RNI7CUVA)) )) (net (rename nbo_5_1 "nbo_5[1]") (joined (portRef Y (instanceRef r_bo_RNIFKBO2_1)) (portRef A (instanceRef r_bo_RNIO71H6_1)) (portRef B (instanceRef r_bo_RNIESLN6_1)) (portRef B (instanceRef r_bo_RNIFL585_1)) (portRef B (instanceRef r_bo_RNIJT585_1)) (portRef A (instanceRef r_bo_RNIL1685_1)) (portRef A (instanceRef r_bo_RNIN5685_1)) (portRef A (instanceRef r_bo_RNIF6D75_1)) (portRef A (instanceRef r_bo_RNIHAD75_1)) (portRef A (instanceRef r_bo_RNIJED75_1)) (portRef A (instanceRef r_bo_RNILID75_1)) (portRef A (instanceRef r_bo_RNINMD75_1)) (portRef A (instanceRef r_bo_RNIPQD75_1)) (portRef A (instanceRef r_bo_RNIRUD75_1)) (portRef A (instanceRef r_bo_RNIT2E75_1)) (portRef A (instanceRef r_bo_RNIV6E75_1)) (portRef A (instanceRef r_bo_RNI1BE75_1)) (portRef A (instanceRef r_bo_RNIJAD75_1)) (portRef A (instanceRef r_bo_RNILED75_1)) (portRef A (instanceRef r_bo_RNINID75_1)) )) (net N_5517 (joined (portRef Y (instanceRef r_ba_RNIFIMV2)) (portRef B (instanceRef r_ba_RNIN2L68)) )) (net burst (joined (portRef burst) (portRef C (instanceRef r_ba_RNIFIMV2)) )) (net dgrant_0_sqmuxa (joined (portRef Y (instanceRef r_bg_RNI9G0J6)) (portRef S (instanceRef r_hcache_RNO_0)) (portRef A (instanceRef r_retry2_RNI90LN6)) )) (net htrans_4_sqmuxa_1_i_0 (joined (portRef Y (instanceRef r_hlocken_RNIAMK8D)) (portRef B (instanceRef r_hlocken_RNIO3O7F)) )) (net htrans_1_sqmuxa_1 (joined (portRef Y (instanceRef r_ba_RNIIJKI5)) (portRef B (instanceRef r_hlocken_RNIAMK8D)) )) (net bg_m (joined (portRef Y (instanceRef r_bg_RNIGR8JG)) (portRef B (instanceRef r_ba_RNO)) (portRef C (instanceRef r_nba_RNO)) )) (net (rename htrans_1 "htrans[1]") (joined (portRef Y (instanceRef r_hlocken_RNIO3O7F)) (portRef B (instanceRef r_bg_RNIGR8JG)) (portRef B (instanceRef r_nba_RNO_0)) (portRef (member htrans 0)) )) (net N_5576_i (joined (portRef Y (instanceRef r_nba_RNO)) (portRef D (instanceRef r_nba)) )) (net ba_m (joined (portRef Y (instanceRef r_ba_RNIINGB1)) (portRef A (instanceRef r_ba_RNO)) (portRef B (instanceRef r_nba_RNO)) )) (net ba (joined (portRef Q (instanceRef r_ba)) (portRef A (instanceRef r_ba_RNIINGB1_0)) (portRef B (instanceRef r_ba_RNIINGB1)) (portRef A (instanceRef r_ba_RNI1R4B)) (portRef B (instanceRef r_retry2_RNILAS7)) (portRef A (instanceRef r_retry2_RNIHCJF)) (portRef B (instanceRef r_hcache_RNIC9LI)) (portRef A (instanceRef r_ba_RNIRM3H)) (portRef A (instanceRef r_ba_RNI7OED)) (portRef ba) )) (net req (joined (portRef req) (portRef A (instanceRef r_ba_RNIQI2N)) (portRef B (instanceRef r_ba_RNIRM3H)) (portRef A (instanceRef r_bg_RNIIJFH1)) )) (net hcache (joined (portRef Y (instanceRef comb_ahb_slv_dec_cache_hcache)) (portRef B (instanceRef r_hcache_RNO_0)) (portRef B (instanceRef comb_un86_nbo)) )) (net N_5570 (joined (portRef Y (instanceRef r_bg_RNO_0)) (portRef B (instanceRef r_bg_RNO)) )) (net un58_nbo (joined (portRef Y (instanceRef r_bo_RNINSG15_1)) (portRef B (instanceRef r_bo_RNI8JG76_1)) (portRef B (instanceRef r_bo_RNI9JG76_1)) (portRef A (instanceRef r_hlocken_RNI2E7K5)) (portRef B (instanceRef r_ba_RNIIJKI5_0)) (portRef B (instanceRef r_ba_RNIIJKI5)) (portRef B (instanceRef r_bg_RNI9G0J6)) (portRef un58_nbo) )) (net bg_RNO (joined (portRef Y (instanceRef r_bg_RNO)) (portRef D (instanceRef r_bg)) )) (net rst (joined (portRef rst) (portRef C (instanceRef r_hcache_RNO)) (portRef C (instanceRef r_nbo_RNO_0)) (portRef C (instanceRef r_ba_RNO)) (portRef A (instanceRef r_werr_RNO)) (portRef A (instanceRef r_hlocken_RNO)) (portRef A (instanceRef r_retry2_RNO)) (portRef A (instanceRef r_nbo_RNO_1)) (portRef A (instanceRef r_bo_RNO_1)) (portRef A (instanceRef r_bo_RNO_0)) (portRef A (instanceRef r_lb_RNO)) (portRef A (instanceRef r_bg_RNO)) (portRef A (instanceRef r_nba_RNO_0)) )) (net (rename haddr_31 "haddr[31]") (joined (portRef Y (instanceRef r_bo_RNIPID75_1)) (portRef haddr_31) )) (net N_5552 (joined (portRef Y (instanceRef r_hlocken_RNIAU1F2)) (portRef A (instanceRef r_bo_RNIPID75_1)) )) (net (rename address_0_31 "address_0[31]") (joined (portRef (member address_0 0)) (portRef A (instanceRef r_hlocken_RNIAU1F2)) )) (net (rename address_31 "address[31]") (joined (portRef (member address 0)) (portRef B (instanceRef r_hlocken_RNIAU1F2)) (portRef C (instanceRef comb_ahb_slv_dec_cache_hcache)) )) (net (rename hwdata_0 "hwdata[0]") (joined (portRef Y (instanceRef r_bo_RNIFCT4_0)) (portRef (member hwdata 31)) )) (net (rename data_0 "data[0]") (joined (portRef (member data 31)) (portRef A (instanceRef r_bo_RNIFCT4_0)) )) (net (rename hwdata_1 "hwdata[1]") (joined (portRef Y (instanceRef r_bo_RNIGGT4_0)) (portRef (member hwdata 30)) )) (net (rename data_1 "data[1]") (joined (portRef (member data 30)) (portRef A (instanceRef r_bo_RNIGGT4_0)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef Y (instanceRef r_bo_RNIHKT4_0)) (portRef (member hwdata 29)) )) (net (rename data_2 "data[2]") (joined (portRef (member data 29)) (portRef A (instanceRef r_bo_RNIHKT4_0)) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef Y (instanceRef r_bo_RNIIOT4_0)) (portRef (member hwdata 28)) )) (net (rename data_3 "data[3]") (joined (portRef (member data 28)) (portRef A (instanceRef r_bo_RNIIOT4_0)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef Y (instanceRef r_bo_RNIJST4_0)) (portRef (member hwdata 27)) )) (net (rename data_4 "data[4]") (joined (portRef (member data 27)) (portRef A (instanceRef r_bo_RNIJST4_0)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef Y (instanceRef r_bo_RNIK0U4_0)) (portRef (member hwdata 26)) )) (net (rename data_5 "data[5]") (joined (portRef (member data 26)) (portRef A (instanceRef r_bo_RNIK0U4_0)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef Y (instanceRef r_bo_RNIOGU4_0)) (portRef (member hwdata 22)) )) (net (rename data_9 "data[9]") (joined (portRef (member data 22)) (portRef A (instanceRef r_bo_RNIOGU4_0)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef Y (instanceRef r_bo_RNIC939_0)) (portRef (member hwdata 21)) )) (net (rename data_10 "data[10]") (joined (portRef (member data 21)) (portRef A (instanceRef r_bo_RNIC939_0)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef Y (instanceRef r_bo_RNID939_0)) (portRef (member hwdata 20)) )) (net (rename data_11 "data[11]") (joined (portRef (member data 20)) (portRef A (instanceRef r_bo_RNID939_0)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef Y (instanceRef r_bo_RNIE939_0)) (portRef (member hwdata 19)) )) (net (rename data_12 "data[12]") (joined (portRef (member data 19)) (portRef A (instanceRef r_bo_RNIE939_0)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef Y (instanceRef r_bo_RNIG939_0)) (portRef (member hwdata 17)) )) (net (rename data_14 "data[14]") (joined (portRef (member data 17)) (portRef A (instanceRef r_bo_RNIG939_0)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef Y (instanceRef r_bo_RNII939_0)) (portRef (member hwdata 15)) )) (net (rename data_16 "data[16]") (joined (portRef (member data 15)) (portRef A (instanceRef r_bo_RNII939_0)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef Y (instanceRef r_bo_RNIJ939_0)) (portRef (member hwdata 14)) )) (net (rename data_17 "data[17]") (joined (portRef (member data 14)) (portRef A (instanceRef r_bo_RNIJ939_0)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef Y (instanceRef r_bo_RNIK939_0)) (portRef (member hwdata 13)) )) (net (rename data_18 "data[18]") (joined (portRef (member data 13)) (portRef A (instanceRef r_bo_RNIK939_0)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef Y (instanceRef r_bo_RNIFD39_0)) (portRef (member hwdata 11)) )) (net (rename data_20 "data[20]") (joined (portRef (member data 11)) (portRef A (instanceRef r_bo_RNIFD39_0)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef Y (instanceRef r_bo_RNIHD39_0)) (portRef (member hwdata 9)) )) (net (rename data_22 "data[22]") (joined (portRef (member data 9)) (portRef A (instanceRef r_bo_RNIHD39_0)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef Y (instanceRef r_bo_RNIJD39_0)) (portRef (member hwdata 7)) )) (net (rename data_24 "data[24]") (joined (portRef (member data 7)) (portRef A (instanceRef r_bo_RNIJD39_0)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef Y (instanceRef r_bo_RNIKD39_0)) (portRef (member hwdata 6)) )) (net (rename data_25 "data[25]") (joined (portRef (member data 6)) (portRef A (instanceRef r_bo_RNIKD39_0)) )) (net bo_5588_d_i_0 (joined (portRef Y (instanceRef r_bo_RNIPUK3_0_0)) (portRef B (instanceRef r_bo_RNINCU4_0)) (portRef B (instanceRef r_bo_RNIH939_0)) (portRef B (instanceRef r_bo_RNIL939_0)) (portRef B (instanceRef r_bo_RNIGD39_0)) (portRef B (instanceRef r_bo_RNIL4U4_0)) (portRef B (instanceRef r_bo_RNILD39_0)) (portRef B (instanceRef r_bo_RNIF939_0)) (portRef C (instanceRef r_werr_RNO_1)) (portRef B (instanceRef r_bo_RNIID39_0)) (portRef B (instanceRef r_bo_RNIOD39_0)) (portRef B (instanceRef r_bo_RNIM8U4_0)) (portRef B (instanceRef r_bo_RNIJH39_0)) (portRef B (instanceRef r_bo_RNIIH39_0)) (portRef B (instanceRef r_bo_RNIND39_0)) (portRef B (instanceRef r_bo_RNIMD39_0)) (portRef B (instanceRef r_bo_RNIKD39_0)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef Y (instanceRef r_bo_RNIMD39_0)) (portRef (member hwdata 4)) )) (net (rename data_27 "data[27]") (joined (portRef (member data 4)) (portRef A (instanceRef r_bo_RNIMD39_0)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef Y (instanceRef r_bo_RNIND39_0)) (portRef (member hwdata 3)) )) (net (rename data_28 "data[28]") (joined (portRef (member data 3)) (portRef A (instanceRef r_bo_RNIND39_0)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef Y (instanceRef r_bo_RNIIH39_0)) (portRef (member hwdata 1)) )) (net (rename data_30 "data[30]") (joined (portRef (member data 1)) (portRef A (instanceRef r_bo_RNIIH39_0)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef Y (instanceRef r_bo_RNIJH39_0)) (portRef (member hwdata 0)) )) (net (rename data_31 "data[31]") (joined (portRef (member data 0)) (portRef A (instanceRef r_bo_RNIJH39_0)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef Y (instanceRef r_bo_RNIM8U4_0)) (portRef (member hwdata 24)) )) (net (rename data_7 "data[7]") (joined (portRef (member data 24)) (portRef A (instanceRef r_bo_RNIM8U4_0)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef Y (instanceRef r_bo_RNIOD39_0)) (portRef (member hwdata 2)) )) (net (rename data_29 "data[29]") (joined (portRef (member data 2)) (portRef A (instanceRef r_bo_RNIOD39_0)) )) (net ready (joined (portRef Y (instanceRef r_bo_RNIBM5F1_0)) (portRef ready) )) (net ready_1 (joined (portRef Y (instanceRef r_ba_RNIINGB1_0)) (portRef A (instanceRef r_ba_RNIBM5F1)) (portRef B (instanceRef r_werr_RNO_1)) (portRef B (instanceRef r_ba_RNI93I72)) (portRef B (instanceRef r_bo_RNI93I72_0)) (portRef A (instanceRef r_bo_RNIBM5F1_0)) )) (net N_5711 (joined (portRef Y (instanceRef r_bo_RNIPUK3_2_0)) (portRef B (instanceRef r_ba_RNI1R4B)) (portRef C (instanceRef r_bo_RNI93I72_0)) (portRef B (instanceRef r_bo_RNIBM5F1_0)) (portRef B (instanceRef r_ba_RNI0N3H)) (portRef N_5711) )) (net mexc (joined (portRef Y (instanceRef r_bo_RNI93I72_0)) (portRef mexc) )) (net lb_0_sqmuxa (joined (portRef Y (instanceRef r_lb_RNO_1)) (portRef A (instanceRef r_lb_RNO_0)) )) (net lb_0_sqmuxa_1 (joined (portRef Y (instanceRef r_ba_RNINJKI5)) (portRef A (instanceRef r_lb_RNO_1)) (portRef lb_0_sqmuxa_1) )) (net lb_0_sqmuxa_2 (joined (portRef lb_0_sqmuxa_2) (portRef B (instanceRef r_lb_RNO_1)) )) (net lb_RNO (joined (portRef Y (instanceRef r_lb_RNO)) (portRef D (instanceRef r_lb)) )) (net N_5569 (joined (portRef Y (instanceRef r_lb_RNO_0)) (portRef B (instanceRef r_lb_RNO)) )) (net ba_RNIIJKI5_0 (joined (portRef Y (instanceRef r_ba_RNIIJKI5_0)) (portRef ba_RNIIJKI5_0) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef Y (instanceRef r_bo_RNIID39_0)) (portRef (member hwdata 8)) )) (net (rename data_23 "data[23]") (joined (portRef (member data 8)) (portRef A (instanceRef r_bo_RNIID39_0)) )) (net N_5685 (joined (portRef Y (instanceRef r_bo_RNO_0_0)) (portRef B (instanceRef r_bo_RNO_0)) )) (net N_5685s (joined (portRef Y (instanceRef r_bo_RNO_0)) (portRef D (instanceRef r_bo_0)) )) (net lock (joined (portRef lock) (portRef A (instanceRef r_retry2_RNI0GK4)) (portRef B (instanceRef r_hlocken_RNI1BPF)) (portRef B (instanceRef r_hlocken_RNI2E7K5)) (portRef A (instanceRef r_bo_RNI4V8G_0)) (portRef A (instanceRef r_hlocken_RNI4ERJ)) )) (net N_5719_1 (joined (portRef Y (instanceRef r_bo_RNIPUK3_1_0)) (portRef B (instanceRef r_ba_RNIBM5F1)) (portRef C (instanceRef r_ba_RNI93I72)) (portRef C (instanceRef r_hlocken_RNI1BPF)) (portRef C (instanceRef r_hcache_RNIC9LI)) (portRef B (instanceRef r_bo_RNI4V8G_0)) (portRef C (instanceRef r_ba_RNIRM3H)) (portRef N_5719_1) )) (net N_5686 (joined (portRef Y (instanceRef r_bo_RNO_0_1)) (portRef B (instanceRef r_bo_RNO_1)) )) (net (rename nbo_1_1 "nbo_1[1]") (joined (portRef Y (instanceRef r_hlocken_RNIGV483)) (portRef B (instanceRef r_nbo_RNO_1)) (portRef A (instanceRef r_bo_RNO_0_1)) )) (net N_5686s (joined (portRef Y (instanceRef r_bo_RNO_1)) (portRef D (instanceRef r_bo_1)) )) (net cache (joined (portRef Y (instanceRef r_hcache_RNIC9LI)) (portRef cache) )) (net nbo94 (joined (portRef Y (instanceRef r_hlocken_RNI1BPF)) (portRef B (instanceRef r_hlocken_RNIGV483)) (portRef C (instanceRef r_hlocken_RNI2E7K5)) )) (net hlocken_RNIU579 (joined (portRef Y (instanceRef r_hlocken_RNIU579)) (portRef A (instanceRef r_hlocken_RNI1BPF)) )) (net hlocken_2 (joined (portRef Y (instanceRef r_hlocken_RNO_1)) (portRef A (instanceRef r_hlocken_RNO_0)) )) (net hlock (joined (portRef Y (instanceRef r_hlocken_RNI2E7K5)) (portRef A (instanceRef r_hlocken_RNO_1)) (portRef hlock) )) (net (rename hgrant_1 "hgrant[1]") (joined (portRef (member hgrant 0)) (portRef B (instanceRef r_hlocken_RNO_1)) (portRef A (instanceRef r_bg_RNO_0)) )) (net (rename hresp_0 "hresp[0]") (joined (portRef (member hresp 0)) (portRef A (instanceRef r_werr_RNO_1)) (portRef A (instanceRef r_ba_RNI93I72)) (portRef A (instanceRef r_bo_RNI93I72_0)) )) (net retry2 (joined (portRef Q (instanceRef r_retry2)) (portRef C (instanceRef r_retry2_RNI0GK4)) (portRef A (instanceRef r_retry2_RNILAS7)) (portRef B (instanceRef r_retry2_RNIHCJF)) )) (net N_5579_i (joined (portRef Y (instanceRef r_nbo_RNO_1)) (portRef D (instanceRef r_nbo_1)) )) (net retry2_RNO (joined (portRef Y (instanceRef r_retry2_RNO)) (portRef D (instanceRef r_retry2)) )) (net hlocken_RNO (joined (portRef Y (instanceRef r_hlocken_RNO)) (portRef D (instanceRef r_hlocken)) )) (net N_5567 (joined (portRef Y (instanceRef r_hlocken_RNO_0)) (portRef B (instanceRef r_hlocken_RNO)) )) (net hwrite (joined (portRef Y (instanceRef r_bo_RNI0RG45_1)) (portRef hwrite) )) (net read (joined (portRef read) (portRef B (instanceRef r_werr_RNO_0)) (portRef A (instanceRef r_bo_RNI0RG45_1)) (portRef C (instanceRef comb_un87_nbo_0)) )) (net N_5515 (joined (portRef Y (instanceRef r_ba_RNIN2L68)) (portRef A (instanceRef r_bg_RNI6Q9P8)) (portRef N_5515) )) (net un11_hbusreq (joined (portRef Y (instanceRef r_ba_RNI1R4B)) (portRef B (instanceRef r_bg_RNI6Q9P8)) )) (net dgrant_1 (joined (portRef Y (instanceRef r_retry2_RNI0GK4)) (portRef B (instanceRef r_retry2_RNI90LN6)) )) (net grant_0 (joined (portRef Y (instanceRef r_retry2_RNI90LN6)) (portRef grant_0) )) (net burst_0 (joined (portRef burst_0) (portRef A (instanceRef r_hlocken_RNIPRIE2)) )) (net (rename size_0 "size[0]") (joined (portRef (member size 1)) (portRef B (instanceRef comb_un85_nbo)) )) (net un85_nbo (joined (portRef Y (instanceRef comb_un85_nbo)) (portRef C (instanceRef comb_un86_nbo)) (portRef un85_nbo) )) (net (rename haddr_1 "haddr[1]") (joined (portRef Y (instanceRef r_bo_RNI9JG76_1)) (portRef haddr_1) )) (net (rename address_1 "address[1]") (joined (portRef (member address 30)) (portRef A (instanceRef r_bo_RNI9JG76_1)) )) (net un86_nbo (joined (portRef Y (instanceRef comb_un86_nbo)) (portRef C (instanceRef r_bo_RNI8JG76_1)) (portRef C (instanceRef r_bo_RNI9JG76_1)) (portRef un86_nbo) )) (net (rename hsize_5_1 "hsize_5[1]") (joined (portRef Y (instanceRef r_bo_RNI8QB85_1)) (portRef (member hsize_5 0)) )) (net (rename size_1 "size[1]") (joined (portRef (member size 0)) (portRef A (instanceRef r_bo_RNI8QB85_1)) (portRef A (instanceRef comb_un85_nbo)) )) (net werr_RNO (joined (portRef Y (instanceRef r_werr_RNO)) (portRef D (instanceRef r_werr)) )) (net werr_1 (joined (portRef Y (instanceRef r_werr_RNO_0)) (portRef B (instanceRef r_werr_RNO)) )) (net (rename un1_a0_35 "un1_a0[35]") (joined (portRef Y (instanceRef r_werr_RNO_1)) (portRef A (instanceRef r_werr_RNO_0)) )) (net mexc_0 (joined (portRef Y (instanceRef r_ba_RNI93I72)) (portRef S (instanceRef r_werr_RNO_0)) (portRef mexc_0) )) (net ba_RNO (joined (portRef Y (instanceRef r_ba_RNO)) (portRef D (instanceRef r_ba)) )) (net (rename iosn_93 "iosn[93]") (joined (portRef (member iosn 0)) (portRef B (instanceRef r_ba_RNIINGB1_0)) (portRef A (instanceRef r_ba_RNIINGB1)) (portRef S (instanceRef r_hlocken_RNO_0)) )) (net (rename haddr_0 "haddr[0]") (joined (portRef Y (instanceRef r_bo_RNI8JG76_1)) (portRef haddr_0) )) (net (rename address_0_p "address[0]") (joined (portRef (member address 31)) (portRef A (instanceRef r_bo_RNI8JG76_1)) )) (net ready_0 (joined (portRef Y (instanceRef r_ba_RNIBM5F1)) (portRef ready_0) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef Y (instanceRef r_bo_RNIF939_0)) (portRef (member hwdata 18)) )) (net (rename data_13 "data[13]") (joined (portRef (member data 18)) (portRef A (instanceRef r_bo_RNIF939_0)) )) (net N_5551 (joined (portRef Y (instanceRef r_hlocken_RNI8Q1F2)) (portRef A (instanceRef r_bo_RNINED75_1)) )) (net (rename address_0_30 "address_0[30]") (joined (portRef (member address_0 1)) (portRef A (instanceRef r_hlocken_RNI8Q1F2)) )) (net (rename address_30 "address[30]") (joined (portRef (member address 1)) (portRef B (instanceRef r_hlocken_RNI8Q1F2)) (portRef B (instanceRef comb_ahb_slv_dec_cache_hcache)) )) (net (rename haddr_30 "haddr[30]") (joined (portRef Y (instanceRef r_bo_RNINED75_1)) (portRef haddr_30) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef Y (instanceRef r_bo_RNILD39_0)) (portRef (member hwdata 5)) )) (net (rename data_26 "data[26]") (joined (portRef (member data 5)) (portRef A (instanceRef r_bo_RNILD39_0)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef Y (instanceRef r_bo_RNIL4U4_0)) (portRef (member hwdata 25)) )) (net (rename data_6 "data[6]") (joined (portRef (member data 25)) (portRef A (instanceRef r_bo_RNIL4U4_0)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef Y (instanceRef r_bo_RNIGD39_0)) (portRef (member hwdata 10)) )) (net (rename data_21 "data[21]") (joined (portRef (member data 10)) (portRef A (instanceRef r_bo_RNIGD39_0)) )) (net (rename haddr_2 "haddr[2]") (joined (portRef Y (instanceRef r_bo_RNI4NCA6_1)) (portRef haddr_2) )) (net N_5523 (joined (portRef Y (instanceRef r_ba_RNIL21I3)) (portRef A (instanceRef r_bo_RNI4NCA6_1)) )) (net (rename haddr_6_i_2 "haddr_6_i[2]") (joined (portRef Y (instanceRef r_ba_RNI436I)) (portRef A (instanceRef r_ba_RNIL21I3)) )) (net (rename haddr_10_i_2 "haddr_10_i[2]") (joined (portRef Y (instanceRef r_ba_RNI9NLM)) (portRef B (instanceRef r_ba_RNIL21I3)) )) (net (rename haddr_6 "haddr[6]") (joined (portRef Y (instanceRef r_bo_RNIHP585_1)) (portRef haddr_6) )) (net N_5527 (joined (portRef Y (instanceRef r_hlocken_RNI25QF2)) (portRef A (instanceRef r_bo_RNIHP585_1)) )) (net (rename address_6 "address[6]") (joined (portRef (member address 25)) (portRef A (instanceRef r_hlocken_RNI25QF2)) )) (net (rename address_0_6 "address_0[6]") (joined (portRef (member address_0 25)) (portRef B (instanceRef r_hlocken_RNI25QF2)) )) (net N_5578_i (joined (portRef Y (instanceRef r_nbo_RNO_0)) (portRef D (instanceRef r_nbo_0)) )) (net hcache_RNO (joined (portRef Y (instanceRef r_hcache_RNO)) (portRef D (instanceRef r_hcache)) )) (net grant (joined (portRef Y (instanceRef r_bg_RNIEG0J6)) (portRef A (instanceRef r_hcache_RNO)) (portRef grant) )) (net N_5568 (joined (portRef Y (instanceRef r_hcache_RNO_0)) (portRef B (instanceRef r_hcache_RNO)) )) (net hcache_0 (joined (portRef Q (instanceRef r_hcache)) (portRef A (instanceRef r_hcache_RNO_0)) (portRef A (instanceRef r_hcache_RNIC9LI)) (portRef hcache_0) )) (net (rename address_2 "address[2]") (joined (portRef (member address 29)) (portRef B (instanceRef r_ba_RNI9J8J)) (portRef B (instanceRef un7_haddr_1_CO1)) (portRef A (instanceRef r_ba_RNI436I)) )) (net (rename haddr_6_3 "haddr_6[3]") (joined (portRef Y (instanceRef r_ba_RNI9J8J)) (portRef A (instanceRef r_ba_RNI9JLO3)) )) (net (rename address_3 "address[3]") (joined (portRef (member address 28)) (portRef C (instanceRef r_ba_RNI9J8J)) (portRef A (instanceRef un7_haddr_1_CO1)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef Y (instanceRef r_bo_RNIL939_0)) (portRef (member hwdata 12)) )) (net (rename data_19 "data[19]") (joined (portRef (member data 12)) (portRef A (instanceRef r_bo_RNIL939_0)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef Y (instanceRef r_bo_RNIH939_0)) (portRef (member hwdata 16)) )) (net (rename data_15 "data[15]") (joined (portRef (member data 16)) (portRef A (instanceRef r_bo_RNIH939_0)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef Y (instanceRef r_bo_RNINCU4_0)) (portRef (member hwdata 23)) )) (net (rename data_8 "data[8]") (joined (portRef (member data 23)) (portRef A (instanceRef r_bo_RNINCU4_0)) )) (net (rename haddr_10_4 "haddr_10[4]") (joined (portRef Y (instanceRef r_ba_RNI8OP11)) (portRef B (instanceRef r_ba_RNIV7AV3)) )) (net CO1 (joined (portRef Y (instanceRef un20_haddr_1_CO1)) (portRef A (instanceRef r_ba_RNI8OP11)) )) (net (rename address_4 "address[4]") (joined (portRef (member address 27)) (portRef C (instanceRef r_ba_RNI8OP11)) )) (net (rename haddr_10_3 "haddr_10[3]") (joined (portRef Y (instanceRef r_ba_RNION7S)) (portRef B (instanceRef r_ba_RNI9JLO3)) )) (net (rename address_0_2 "address_0[2]") (joined (portRef (member address_0 29)) (portRef B (instanceRef un20_haddr_1_CO1)) (portRef B (instanceRef r_ba_RNION7S)) (portRef A (instanceRef r_ba_RNI9NLM)) )) (net (rename haddr_6_4 "haddr_6[4]") (joined (portRef Y (instanceRef r_ba_RNIF7BK)) (portRef A (instanceRef r_ba_RNIV7AV3)) )) (net (rename un39_res_3 "un39_res[3]") (joined (portRef Y (instanceRef un7_haddr_1_CO1)) (portRef A (instanceRef r_ba_RNIF7BK)) (portRef (member un39_res 0)) )) (net (rename address_0_4 "address_0[4]") (joined (portRef (member address_0 27)) (portRef C (instanceRef r_ba_RNIF7BK)) )) (net N_5550 (joined (portRef Y (instanceRef r_hlocken_RNIMQ2F2)) (portRef A (instanceRef r_bo_RNI5FE75_1)) )) (net (rename address_0_29 "address_0[29]") (joined (portRef (member address_0 2)) (portRef A (instanceRef r_hlocken_RNIMQ2F2)) )) (net (rename address_29 "address[29]") (joined (portRef (member address 2)) (portRef B (instanceRef r_hlocken_RNIMQ2F2)) (portRef A (instanceRef comb_ahb_slv_dec_cache_hcache)) )) (net N_5549 (joined (portRef Y (instanceRef r_hlocken_RNIKM2F2)) (portRef B (instanceRef r_bo_RNI3BE75_1)) )) (net (rename address_28 "address[28]") (joined (portRef (member address 3)) (portRef A (instanceRef r_hlocken_RNIKM2F2)) )) (net (rename address_0_28 "address_0[28]") (joined (portRef (member address_0 3)) (portRef B (instanceRef r_hlocken_RNIKM2F2)) )) (net N_5548 (joined (portRef Y (instanceRef r_hlocken_RNIII2F2)) (portRef A (instanceRef r_bo_RNI17E75_1)) )) (net (rename address_27 "address[27]") (joined (portRef (member address 4)) (portRef A (instanceRef r_hlocken_RNIII2F2)) )) (net (rename address_0_27 "address_0[27]") (joined (portRef (member address_0 4)) (portRef B (instanceRef r_hlocken_RNIII2F2)) )) (net N_5547 (joined (portRef Y (instanceRef r_hlocken_RNIGE2F2)) (portRef A (instanceRef r_bo_RNIV2E75_1)) )) (net (rename address_26 "address[26]") (joined (portRef (member address 5)) (portRef A (instanceRef r_hlocken_RNIGE2F2)) )) (net (rename address_0_26 "address_0[26]") (joined (portRef (member address_0 5)) (portRef B (instanceRef r_hlocken_RNIGE2F2)) )) (net N_5546 (joined (portRef Y (instanceRef r_hlocken_RNIEA2F2)) (portRef A (instanceRef r_bo_RNITUD75_1)) )) (net (rename address_25 "address[25]") (joined (portRef (member address 6)) (portRef A (instanceRef r_hlocken_RNIEA2F2)) )) (net (rename address_0_25 "address_0[25]") (joined (portRef (member address_0 6)) (portRef B (instanceRef r_hlocken_RNIEA2F2)) )) (net N_5545 (joined (portRef Y (instanceRef r_hlocken_RNIC62F2)) (portRef B (instanceRef r_bo_RNIRQD75_1)) )) (net (rename address_24 "address[24]") (joined (portRef (member address 7)) (portRef A (instanceRef r_hlocken_RNIC62F2)) )) (net (rename address_0_24 "address_0[24]") (joined (portRef (member address_0 7)) (portRef B (instanceRef r_hlocken_RNIC62F2)) )) (net N_5544 (joined (portRef Y (instanceRef r_hlocken_RNIA22F2)) (portRef B (instanceRef r_bo_RNIPMD75_1)) )) (net (rename address_23 "address[23]") (joined (portRef (member address 8)) (portRef A (instanceRef r_hlocken_RNIA22F2)) )) (net (rename address_0_23 "address_0[23]") (joined (portRef (member address_0 8)) (portRef B (instanceRef r_hlocken_RNIA22F2)) )) (net N_5543 (joined (portRef Y (instanceRef r_hlocken_RNI8U1F2)) (portRef B (instanceRef r_bo_RNINID75_1)) )) (net (rename address_22 "address[22]") (joined (portRef (member address 9)) (portRef A (instanceRef r_hlocken_RNI8U1F2)) )) (net (rename address_0_22 "address_0[22]") (joined (portRef (member address_0 9)) (portRef B (instanceRef r_hlocken_RNI8U1F2)) )) (net N_5542 (joined (portRef Y (instanceRef r_hlocken_RNI6Q1F2)) (portRef B (instanceRef r_bo_RNILED75_1)) )) (net (rename address_21 "address[21]") (joined (portRef (member address 10)) (portRef A (instanceRef r_hlocken_RNI6Q1F2)) )) (net (rename address_0_21 "address_0[21]") (joined (portRef (member address_0 10)) (portRef B (instanceRef r_hlocken_RNI6Q1F2)) )) (net N_5541 (joined (portRef Y (instanceRef r_hlocken_RNI4M1F2)) (portRef B (instanceRef r_bo_RNIJAD75_1)) )) (net (rename address_20 "address[20]") (joined (portRef (member address 11)) (portRef A (instanceRef r_hlocken_RNI4M1F2)) )) (net (rename address_0_20 "address_0[20]") (joined (portRef (member address_0 11)) (portRef B (instanceRef r_hlocken_RNI4M1F2)) )) (net N_5540 (joined (portRef Y (instanceRef r_hlocken_RNIIM2F2)) (portRef B (instanceRef r_bo_RNI1BE75_1)) )) (net (rename address_19 "address[19]") (joined (portRef (member address 12)) (portRef A (instanceRef r_hlocken_RNIIM2F2)) )) (net (rename address_0_19 "address_0[19]") (joined (portRef (member address_0 12)) (portRef B (instanceRef r_hlocken_RNIIM2F2)) )) (net N_5539 (joined (portRef Y (instanceRef r_hlocken_RNIGI2F2)) (portRef B (instanceRef r_bo_RNIV6E75_1)) )) (net (rename address_18 "address[18]") (joined (portRef (member address 13)) (portRef A (instanceRef r_hlocken_RNIGI2F2)) )) (net (rename address_0_18 "address_0[18]") (joined (portRef (member address_0 13)) (portRef B (instanceRef r_hlocken_RNIGI2F2)) )) (net N_5538 (joined (portRef Y (instanceRef r_hlocken_RNIEE2F2)) (portRef B (instanceRef r_bo_RNIT2E75_1)) )) (net (rename address_17 "address[17]") (joined (portRef (member address 14)) (portRef A (instanceRef r_hlocken_RNIEE2F2)) )) (net (rename address_0_17 "address_0[17]") (joined (portRef (member address_0 14)) (portRef B (instanceRef r_hlocken_RNIEE2F2)) )) (net N_5537 (joined (portRef Y (instanceRef r_hlocken_RNICA2F2)) (portRef B (instanceRef r_bo_RNIRUD75_1)) )) (net (rename address_16 "address[16]") (joined (portRef (member address 15)) (portRef A (instanceRef r_hlocken_RNICA2F2)) )) (net (rename address_0_16 "address_0[16]") (joined (portRef (member address_0 15)) (portRef B (instanceRef r_hlocken_RNICA2F2)) )) (net N_5536 (joined (portRef Y (instanceRef r_hlocken_RNIA62F2)) (portRef B (instanceRef r_bo_RNIPQD75_1)) )) (net (rename address_15 "address[15]") (joined (portRef (member address 16)) (portRef A (instanceRef r_hlocken_RNIA62F2)) )) (net (rename address_0_15 "address_0[15]") (joined (portRef (member address_0 16)) (portRef B (instanceRef r_hlocken_RNIA62F2)) )) (net N_5535 (joined (portRef Y (instanceRef r_hlocken_RNI822F2)) (portRef B (instanceRef r_bo_RNINMD75_1)) )) (net (rename address_14 "address[14]") (joined (portRef (member address 17)) (portRef A (instanceRef r_hlocken_RNI822F2)) )) (net (rename address_0_14 "address_0[14]") (joined (portRef (member address_0 17)) (portRef B (instanceRef r_hlocken_RNI822F2)) )) (net N_5534 (joined (portRef Y (instanceRef r_hlocken_RNI6U1F2)) (portRef B (instanceRef r_bo_RNILID75_1)) )) (net (rename address_13 "address[13]") (joined (portRef (member address 18)) (portRef A (instanceRef r_hlocken_RNI6U1F2)) )) (net (rename address_0_13 "address_0[13]") (joined (portRef (member address_0 18)) (portRef B (instanceRef r_hlocken_RNI6U1F2)) )) (net N_5533 (joined (portRef Y (instanceRef r_hlocken_RNI4Q1F2)) (portRef B (instanceRef r_bo_RNIJED75_1)) )) (net (rename address_12 "address[12]") (joined (portRef (member address 19)) (portRef A (instanceRef r_hlocken_RNI4Q1F2)) )) (net (rename address_0_12 "address_0[12]") (joined (portRef (member address_0 19)) (portRef B (instanceRef r_hlocken_RNI4Q1F2)) )) (net N_5532 (joined (portRef Y (instanceRef r_hlocken_RNI2M1F2)) (portRef B (instanceRef r_bo_RNIHAD75_1)) )) (net (rename address_11 "address[11]") (joined (portRef (member address 20)) (portRef A (instanceRef r_hlocken_RNI2M1F2)) )) (net (rename address_0_11 "address_0[11]") (joined (portRef (member address_0 20)) (portRef B (instanceRef r_hlocken_RNI2M1F2)) )) (net N_5531 (joined (portRef Y (instanceRef r_hlocken_RNI0I1F2)) (portRef B (instanceRef r_bo_RNIF6D75_1)) )) (net (rename address_10 "address[10]") (joined (portRef (member address 21)) (portRef A (instanceRef r_hlocken_RNI0I1F2)) )) (net (rename address_0_10 "address_0[10]") (joined (portRef (member address_0 21)) (portRef B (instanceRef r_hlocken_RNI0I1F2)) )) (net N_5530 (joined (portRef Y (instanceRef r_hlocken_RNI8HQF2)) (portRef B (instanceRef r_bo_RNIN5685_1)) )) (net (rename address_9 "address[9]") (joined (portRef (member address 22)) (portRef A (instanceRef r_hlocken_RNI8HQF2)) )) (net (rename address_0_9 "address_0[9]") (joined (portRef (member address_0 22)) (portRef B (instanceRef r_hlocken_RNI8HQF2)) )) (net N_5529 (joined (portRef Y (instanceRef r_hlocken_RNI6DQF2)) (portRef B (instanceRef r_bo_RNIL1685_1)) )) (net (rename address_8 "address[8]") (joined (portRef (member address 23)) (portRef A (instanceRef r_hlocken_RNI6DQF2)) )) (net (rename address_0_8 "address_0[8]") (joined (portRef (member address_0 23)) (portRef B (instanceRef r_hlocken_RNI6DQF2)) )) (net N_5528 (joined (portRef Y (instanceRef r_hlocken_RNI49QF2)) (portRef A (instanceRef r_bo_RNIJT585_1)) )) (net (rename address_7 "address[7]") (joined (portRef (member address 24)) (portRef A (instanceRef r_hlocken_RNI49QF2)) )) (net (rename address_0_7 "address_0[7]") (joined (portRef (member address_0 24)) (portRef B (instanceRef r_hlocken_RNI49QF2)) )) (net N_5526 (joined (portRef Y (instanceRef r_hlocken_RNI01QF2)) (portRef A (instanceRef r_bo_RNIFL585_1)) )) (net (rename address_5 "address[5]") (joined (portRef (member address 26)) (portRef A (instanceRef r_hlocken_RNI01QF2)) )) (net (rename address_0_5 "address_0[5]") (joined (portRef (member address_0 26)) (portRef B (instanceRef r_hlocken_RNI01QF2)) )) (net N_5525 (joined (portRef Y (instanceRef r_ba_RNIV7AV3)) (portRef A (instanceRef r_bo_RNIESLN6_1)) )) (net N_5524 (joined (portRef Y (instanceRef r_ba_RNI9JLO3)) (portRef B (instanceRef r_bo_RNIO71H6_1)) )) (net (rename bo_RNI5FE75_1 "bo_RNI5FE75[1]") (joined (portRef Y (instanceRef r_bo_RNI5FE75_1)) (portRef (member bo_rni5fe75 0)) )) (net (rename haddr_28 "haddr[28]") (joined (portRef Y (instanceRef r_bo_RNI3BE75_1)) (portRef haddr_28) )) (net (rename bo_RNI17E75_1 "bo_RNI17E75[1]") (joined (portRef Y (instanceRef r_bo_RNI17E75_1)) (portRef (member bo_rni17e75 0)) )) (net (rename bo_RNIV2E75_1 "bo_RNIV2E75[1]") (joined (portRef Y (instanceRef r_bo_RNIV2E75_1)) (portRef (member bo_rniv2e75 0)) )) (net (rename bo_RNITUD75_1 "bo_RNITUD75[1]") (joined (portRef Y (instanceRef r_bo_RNITUD75_1)) (portRef (member bo_rnitud75 0)) )) (net (rename haddr_24 "haddr[24]") (joined (portRef Y (instanceRef r_bo_RNIRQD75_1)) (portRef haddr_24) )) (net (rename haddr_23 "haddr[23]") (joined (portRef Y (instanceRef r_bo_RNIPMD75_1)) (portRef haddr_23) )) (net (rename haddr_22 "haddr[22]") (joined (portRef Y (instanceRef r_bo_RNINID75_1)) (portRef haddr_22) )) (net (rename haddr_21 "haddr[21]") (joined (portRef Y (instanceRef r_bo_RNILED75_1)) (portRef haddr_21) )) (net (rename haddr_20 "haddr[20]") (joined (portRef Y (instanceRef r_bo_RNIJAD75_1)) (portRef haddr_20) )) (net (rename haddr_19 "haddr[19]") (joined (portRef Y (instanceRef r_bo_RNI1BE75_1)) (portRef haddr_19) )) (net (rename haddr_18 "haddr[18]") (joined (portRef Y (instanceRef r_bo_RNIV6E75_1)) (portRef haddr_18) )) (net (rename haddr_17 "haddr[17]") (joined (portRef Y (instanceRef r_bo_RNIT2E75_1)) (portRef haddr_17) )) (net (rename haddr_16 "haddr[16]") (joined (portRef Y (instanceRef r_bo_RNIRUD75_1)) (portRef haddr_16) )) (net (rename haddr_15 "haddr[15]") (joined (portRef Y (instanceRef r_bo_RNIPQD75_1)) (portRef haddr_15) )) (net (rename haddr_14 "haddr[14]") (joined (portRef Y (instanceRef r_bo_RNINMD75_1)) (portRef haddr_14) )) (net (rename haddr_13 "haddr[13]") (joined (portRef Y (instanceRef r_bo_RNILID75_1)) (portRef haddr_13) )) (net (rename haddr_12 "haddr[12]") (joined (portRef Y (instanceRef r_bo_RNIJED75_1)) (portRef haddr_12) )) (net (rename haddr_11 "haddr[11]") (joined (portRef Y (instanceRef r_bo_RNIHAD75_1)) (portRef haddr_11) )) (net (rename haddr_10 "haddr[10]") (joined (portRef Y (instanceRef r_bo_RNIF6D75_1)) (portRef haddr_10) )) (net (rename haddr_9 "haddr[9]") (joined (portRef Y (instanceRef r_bo_RNIN5685_1)) (portRef haddr_9) )) (net (rename haddr_8 "haddr[8]") (joined (portRef Y (instanceRef r_bo_RNIL1685_1)) (portRef haddr_8) )) (net (rename haddr_7 "haddr[7]") (joined (portRef Y (instanceRef r_bo_RNIJT585_1)) (portRef haddr_7) )) (net (rename haddr_5 "haddr[5]") (joined (portRef Y (instanceRef r_bo_RNIFL585_1)) (portRef haddr_5) )) (net (rename haddr_4 "haddr[4]") (joined (portRef Y (instanceRef r_bo_RNIESLN6_1)) (portRef haddr_4) )) (net (rename haddr_3 "haddr[3]") (joined (portRef Y (instanceRef r_bo_RNIO71H6_1)) (portRef haddr_3) )) (net (rename address_0_3 "address_0[3]") (joined (portRef (member address_0 28)) (portRef A (instanceRef un20_haddr_1_CO1)) (portRef C (instanceRef r_ba_RNION7S)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_nbo_1)) (portRef CLK (instanceRef r_nbo_0)) (portRef CLK (instanceRef r_bo_1)) (portRef CLK (instanceRef r_bo_0)) (portRef CLK (instanceRef r_retry2)) (portRef CLK (instanceRef r_werr)) (portRef CLK (instanceRef r_ba)) (portRef CLK (instanceRef r_nba)) (portRef CLK (instanceRef r_hlocken)) (portRef CLK (instanceRef r_lb)) (portRef CLK (instanceRef r_bg)) (portRef CLK (instanceRef r_hcache)) )) (net werr (joined (portRef Q (instanceRef r_werr)) (portRef werr) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property hindex (integer 0)) (property ilinesize (integer 4)) (property cached (integer 0)) (property clk2x (integer 0)) (property scantest (integer 0)) ) ) (cell mmu_dcache (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename data "data[31:0]") 32) (direction OUTPUT)) (port asi_0_0 (direction OUTPUT)) (port (array (rename size_2 "size_2[1:0]") 2) (direction OUTPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction OUTPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction OUTPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction OUTPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction OUTPUT)) (port (array (rename hrdatas_rnio2l41 "hrdatas_RNIO2L41[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction OUTPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction OUTPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction OUTPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction OUTPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction OUTPUT)) (port ico_m_5 (direction OUTPUT)) (port ico_m_18 (direction OUTPUT)) (port ico_m_17 (direction OUTPUT)) (port ico_m_32 (direction OUTPUT)) (port ico_m_2 (direction OUTPUT)) (port ico_m_30 (direction OUTPUT)) (port ico_m_31 (direction OUTPUT)) (port ico_m_19 (direction OUTPUT)) (port maddress_0_1 (direction INPUT)) (port (array (rename xaddress_rnifnvh4r3 "xaddress_RNIFNVH4R3[14:14]") 1) (direction OUTPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnilq8h4r3 "xaddress_RNILQ8H4R3[19:19]") 1) (direction OUTPUT)) (port un1_p0_2_6 (direction OUTPUT)) (port un1_p0_2_0_d0 (direction OUTPUT)) (port (array (rename xaddress_rni1q8h4r3 "xaddress_RNI1Q8H4R3[15:15]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnivqeg4r3 "xaddress_RNIVQEG4R3[12:12]") 1) (direction OUTPUT)) (port (array (rename xaddress_rni4p6h4r3 "xaddress_RNI4P6H4R3[13:13]") 1) (direction OUTPUT)) (port (array (rename newptag_2_a2_3_1_tz_i "newptag_2_a2_3_1_tz_i[22:22]") 1) (direction INPUT)) (port diagdata_3 (direction INPUT)) (port diagdata_16 (direction INPUT)) (port diagdata_8 (direction INPUT)) (port diagdata_15 (direction INPUT)) (port diagdata_30 (direction INPUT)) (port diagdata_31 (direction INPUT)) (port diagdata_22 (direction INPUT)) (port diagdata_24 (direction INPUT)) (port diagdata_12 (direction INPUT)) (port diagdata_20 (direction INPUT)) (port diagdata_25 (direction INPUT)) (port diagdata_18 (direction INPUT)) (port diagdata_19 (direction INPUT)) (port diagdata_14 (direction INPUT)) (port diagdata_9 (direction INPUT)) (port diagdata_0 (direction INPUT)) (port diagdata_28 (direction INPUT)) (port diagdata_29 (direction INPUT)) (port diagdata_23 (direction INPUT)) (port diagdata_27 (direction INPUT)) (port diagdata_17 (direction INPUT)) (port diagdata_26 (direction INPUT)) (port diagdata_13 (direction INPUT)) (port diagdata_21 (direction INPUT)) (port diagdata_2 (direction INPUT)) (port diagdata_1 (direction INPUT)) (port (array (rename dstate_rni8bdug1 "dstate_RNI8BDUG1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniak9of1 "dstate_RNIAK9OF1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnitlpg91 "dstate_RNITLPG91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnipkcmd1 "dstate_RNIPKCMD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnir5pqd1 "dstate_RNIR5PQD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnibj5kd1 "dstate_RNIBJ5KD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnigbkhb1 "dstate_RNIGBKHB1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnivapcb1 "dstate_RNIVAPCB1[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rniq6o4u "size_RNIQ6O4U[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rniqafgu "size_RNIQAFGU[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rniiu3pu "size_RNIIU3PU[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rni1g16u "size_RNI1G16U[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rnis2oau "size_RNIS2OAU[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rnitkmlu "size_RNITKMLU[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni5432u "dstate_RNI5432U[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnistgfh1 "dstate_RNISTGFH1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni5c6e91 "dstate_RNI5C6E91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni08ulu "dstate_RNI08ULU[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniiltr91 "dstate_RNIILTR91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnisu72d1 "dstate_RNISU72D1[1:1]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnid0h8vm1 "xaddress_RNID0H8VM1[21:21]") 1) (direction OUTPUT)) (port (array (rename dstate_rniuuch91 "dstate_RNIUUCH91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniosstg1 "dstate_RNIOSSTG1[1:1]") 1) (direction OUTPUT)) (port (array (rename xaddress_rni1hh8vm1 "xaddress_RNI1HH8VM1[17:17]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnifbr7vm1 "xaddress_RNIFBR7VM1[23:23]") 1) (direction OUTPUT)) (port (array (rename dstate_rnij6pe91 "dstate_RNIJ6PE91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniophjd1 "dstate_RNIOPHJD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni0v0e91 "dstate_RNI0V0E91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnia7si91 "dstate_RNIA7SI91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni3jq791 "dstate_RNI3JQ791[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnir83tf1 "dstate_RNIR83TF1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni8cbsg1 "dstate_RNI8CBSG1[1:1]") 1) (direction OUTPUT)) (port (array (rename xaddress_rniqoh8vm1 "xaddress_RNIQOH8VM1[22:22]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnih8g8vm1 "xaddress_RNIH8G8VM1[16:16]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnie9i8vm1 "xaddress_RNIE9I8VM1[18:18]") 1) (direction OUTPUT)) (port (array (rename vitdatain_0_1_a0_3 "vitdatain_0_1_a0_3[23:23]") 1) (direction INPUT)) (port (array (rename dco_i_1 "dco_i_1[132:132]") 1) (direction OUTPUT)) (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction OUTPUT)) (port (array (rename size "size[1:0]") 2) (direction INPUT)) (port (array (rename rstate_li_0 "rstate_li_0[0:0]") 1) (direction INPUT)) (port (array (rename ics "ics[1:0]") 2) (direction OUTPUT)) (port rdatav_0_1_1_iv_4_0 (direction OUTPUT)) (port rdatav_0_1_1_iv_4_11 (direction OUTPUT)) (port rdatav_0_1_0_iv_0_3_2 (direction OUTPUT)) (port rdatav_0_1_0_iv_0_3_0 (direction OUTPUT)) (port rdatav_0_1_0_iv_0_2_10 (direction OUTPUT)) (port rdatav_0_1_0_iv_0_2_17 (direction OUTPUT)) (port rdatav_0_1_0_iv_2_7 (direction OUTPUT)) (port rdatav_0_1_0_iv_2_8 (direction OUTPUT)) (port rdatav_0_1_0_iv_3_0 (direction OUTPUT)) (port rdatav_0_1_0_iv_3_15 (direction OUTPUT)) (port rdatav_0_1_0_iv_3_3 (direction OUTPUT)) (port rdatav_0_1_0_iv_3_16 (direction OUTPUT)) (port rdatav_0_1_1_iv_3_16 (direction OUTPUT)) (port addr_28 (direction OUTPUT)) (port addr_10 (direction OUTPUT)) (port addr_11 (direction OUTPUT)) (port addr_8 (direction OUTPUT)) (port addr_9 (direction OUTPUT)) (port addr_31 (direction OUTPUT)) (port addr_7 (direction OUTPUT)) (port addr_5 (direction OUTPUT)) (port addr_3 (direction OUTPUT)) (port addr_4 (direction OUTPUT)) (port addr_6 (direction OUTPUT)) (port addr_2 (direction OUTPUT)) (port dmao_i_1_25 (direction INPUT)) (port dmao_i_1_15 (direction INPUT)) (port dmao_i_1_0 (direction INPUT)) (port dmao_i_1_29 (direction INPUT)) (port dmao_i_1_17 (direction INPUT)) (port dmao_i_1_31 (direction INPUT)) (port dmao_i_1_28 (direction INPUT)) (port dmao_i_1_26 (direction INPUT)) (port dmao_i_1_24 (direction INPUT)) (port dmao_i_1_30 (direction INPUT)) (port dmao_i_1_14 (direction INPUT)) (port dmao_i_1_22 (direction INPUT)) (port (array (rename dcs "dcs[1:0]") 2) (direction OUTPUT)) (port (array (rename asi "asi[4:0]") 5) (direction INPUT)) (port eaddress_1 (direction INPUT)) (port eaddress_4 (direction INPUT)) (port eaddress_8 (direction INPUT)) (port eaddress_9 (direction INPUT)) (port eaddress_2 (direction INPUT)) (port eaddress_7 (direction INPUT)) (port eaddress_3 (direction INPUT)) (port eaddress_6 (direction INPUT)) (port eaddress_0 (direction INPUT)) (port eaddress_5 (direction INPUT)) (port eaddress_14 (direction INPUT)) (port eaddress_29 (direction INPUT)) (port (array (rename newptag_2_a2_3_a0_1 "newptag_2_a2_3_a0_1[22:22]") 1) (direction INPUT)) (port (array (rename address "address[31:0]") 32) (direction OUTPUT)) (port (array (rename newptag_2_1 "newptag_2_1[25:25]") 1) (direction OUTPUT)) (port (array (rename edata2_iv "edata2_iv[31:24]") 8) (direction INPUT)) (port newptag_2_0_10 (direction OUTPUT)) (port newptag_2_0_11 (direction OUTPUT)) (port (array (rename edata2_0_iv "edata2_0_iv[23:0]") 24) (direction INPUT)) (port (array (rename newptag_2 "newptag_2[20:20]") 1) (direction OUTPUT)) (port data_0_0 (direction OUTPUT)) (port data_0_7 (direction OUTPUT)) (port data_0_30 (direction OUTPUT)) (port data_0_23 (direction OUTPUT)) (port data_0_13 (direction OUTPUT)) (port data_0_17 (direction OUTPUT)) (port data_0_24 (direction OUTPUT)) (port data_0_19 (direction OUTPUT)) (port data_0_10 (direction OUTPUT)) (port data_0_8 (direction OUTPUT)) (port data_0_6 (direction OUTPUT)) (port data_0_4 (direction OUTPUT)) (port data_0_5 (direction OUTPUT)) (port data_0_22 (direction OUTPUT)) (port data_0_26 (direction OUTPUT)) (port data_0_9 (direction OUTPUT)) (port data_0_25 (direction OUTPUT)) (port data_0_12 (direction OUTPUT)) (port data_0_21 (direction OUTPUT)) (port hrdata_17 (direction INPUT)) (port hrdata_19 (direction INPUT)) (port hrdata_0 (direction INPUT)) (port hrdata_7 (direction INPUT)) (port hrdata_8 (direction INPUT)) (port hrdata_6 (direction INPUT)) (port hrdata_9 (direction INPUT)) (port hrdata_3 (direction INPUT)) (port hrdata_22 (direction INPUT)) (port hrdata_18 (direction INPUT)) (port hrdata_15 (direction INPUT)) (port hrdata_10 (direction INPUT)) (port hrdata_5 (direction INPUT)) (port hrdata_2 (direction INPUT)) (port hrdata_26 (direction INPUT)) (port hrdata_20 (direction INPUT)) (port hrdata_1 (direction INPUT)) (port maddress_5 (direction INPUT)) (port maddress_30 (direction INPUT)) (port maddress_6 (direction INPUT)) (port maddress_14 (direction INPUT)) (port maddress_12 (direction INPUT)) (port maddress_11 (direction INPUT)) (port maddress_3 (direction INPUT)) (port maddress_10 (direction INPUT)) (port maddress_29 (direction INPUT)) (port maddress_0_d0 (direction INPUT)) (port maddress_4 (direction INPUT)) (port maddress_7 (direction INPUT)) (port maddress_8 (direction INPUT)) (port maddress_9 (direction INPUT)) (port maddress_13 (direction INPUT)) (port maddress_15 (direction INPUT)) (port maddress_24 (direction INPUT)) (port maddress_26 (direction INPUT)) (port maddress_20 (direction INPUT)) (port maddress_19 (direction INPUT)) (port maddress_23 (direction INPUT)) (port maddress_17 (direction INPUT)) (port maddress_18 (direction INPUT)) (port maddress_21 (direction INPUT)) (port maddress_16 (direction INPUT)) (port maddress_22 (direction INPUT)) (port maddress_31 (direction INPUT)) (port maddress_28 (direction INPUT)) (port maddress_27 (direction INPUT)) (port maddress_25 (direction INPUT)) (port maddress_2 (direction INPUT)) (port (array (rename dstate_0 "dstate_0[4:4]") 1) (direction OUTPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[498:498]") 1) (direction OUTPUT)) (port mexc_0 (direction OUTPUT)) (port enable (direction OUTPUT)) (port flush_RNICD8ME (direction OUTPUT)) (port flush_RNIRUPHB22 (direction OUTPUT)) (port flush_RNID7RHB22 (direction OUTPUT)) (port flush_RNIMRRHB22 (direction OUTPUT)) (port burst_0 (direction OUTPUT)) (port u0_RD3_0 (direction INPUT)) (port u0_DOUTA1_6 (direction INPUT)) (port u0_RD7 (direction INPUT)) (port u0_DOUTA2 (direction INPUT)) (port u0_RD4 (direction INPUT)) (port u0_RD5_0 (direction INPUT)) (port u0_RD15 (direction INPUT)) (port u0_RD16 (direction INPUT)) (port N_2835 (direction OUTPUT)) (port N_2851 (direction OUTPUT)) (port u0_DOUTA2_3 (direction INPUT)) (port u0_RD8 (direction INPUT)) (port u0_RD6 (direction INPUT)) (port u0_RD4_0 (direction INPUT)) (port u0_RD1_0 (direction INPUT)) (port flush_RNI4JQHB22 (direction OUTPUT)) (port u0_RD0_0 (direction INPUT)) (port u0_RD2 (direction INPUT)) (port burst (direction OUTPUT)) (port write (direction INPUT)) (port cfgsel_RNIFO4S1 (direction INPUT)) (port N_96 (direction OUTPUT)) (port diagrdy (direction INPUT)) (port mexc (direction INPUT)) (port nomds_RNIOCKU6 (direction OUTPUT)) (port N_2682 (direction OUTPUT)) (port u0_DOUTA3 (direction INPUT)) (port u0_DOUTA1_1 (direction INPUT)) (port dsuen (direction INPUT)) (port read_3 (direction OUTPUT)) (port grant (direction INPUT)) (port read_2 (direction OUTPUT)) (port N_2747 (direction OUTPUT)) (port un85_nbo (direction INPUT)) (port u0_RD11 (direction INPUT)) (port u0_RD17 (direction INPUT)) (port u0_RD12 (direction INPUT)) (port u0_RD13 (direction INPUT)) (port u0_RD0 (direction INPUT)) (port u0_DOUTA3_0 (direction INPUT)) (port mexc_1_0_2_tz (direction OUTPUT)) (port un1_addout (direction INPUT)) (port tdiagwrite_3_0_m6_e (direction OUTPUT)) (port un16_casaen_0_2 (direction INPUT)) (port casa_RNI55N3OP1 (direction INPUT)) (port me_nullify2_1_b0_m3_0_a2_1 (direction INPUT)) (port un6_annul_1 (direction INPUT)) (port mexc_1_m6_i_a4_0 (direction INPUT)) (port mexc_1_0_2_0_1 (direction OUTPUT)) (port N_2449 (direction OUTPUT)) (port nullify_1_sqmuxa_2_2 (direction INPUT)) (port annul_1 (direction INPUT)) (port nullify2_0_sqmuxa_i_0 (direction INPUT)) (port u0_DOUTA0_13 (direction INPUT)) (port u0_DOUTA2_13 (direction INPUT)) (port eenaddr (direction INPUT)) (port read_RNILMNHG1 (direction OUTPUT)) (port read_RNIGQ6ND1 (direction OUTPUT)) (port u0_DOUTA3_13 (direction INPUT)) (port u0_DOUTA1_13 (direction INPUT)) (port read_RNIV144H1 (direction OUTPUT)) (port u0_RD2_0 (direction INPUT)) (port cdwrite_0_sqmuxa_i_0_0 (direction INPUT)) (port u0_DOUTA2_12 (direction INPUT)) (port un1_addout_25_14 (direction INPUT)) (port mexc_1_0_3_a1_1 (direction OUTPUT)) (port un16_casaen_0_1 (direction INPUT)) (port un1_addout_28 (direction OUTPUT)) (port N_2748 (direction OUTPUT)) (port N_2307 (direction INPUT)) (port N_2766 (direction OUTPUT)) (port N_2698 (direction OUTPUT)) (port N_2745 (direction OUTPUT)) (port newptag_sn_m2_i_o2_0_m3 (direction OUTPUT)) (port trap_0_4 (direction INPUT)) (port trap_a0_0 (direction INPUT)) (port hready_RNICLR2 (direction INPUT)) (port flush_0_RNI2N6NQR1 (direction OUTPUT)) (port N_5719_1 (direction INPUT)) (port me_size_1_1_m9_0_a2_0 (direction INPUT)) (port hready_1_sqmuxa (direction INPUT)) (port N_2802_0 (direction INPUT)) (port u0_RD10 (direction INPUT)) (port u0_RD5 (direction INPUT)) (port u0_RD9 (direction INPUT)) (port u0_RD14 (direction INPUT)) (port u0_RD1 (direction INPUT)) (port u0_RD3 (direction INPUT)) (port N_184_i_0 (direction OUTPUT)) (port me_size_1_1_m9_0_a2_3 (direction INPUT)) (port un5_trap (direction INPUT)) (port holdn (direction INPUT)) (port trap_0_sqmuxa_7_1_0 (direction INPUT)) (port u0_DOUTA3_12 (direction INPUT)) (port u0_DOUTA1_5 (direction INPUT)) (port u0_DOUTA3_4 (direction INPUT)) (port u0_DOUTA0 (direction INPUT)) (port enaddr (direction INPUT)) (port cache (direction INPUT)) (port u0_DOUTA1_0 (direction INPUT)) (port u0_DOUTA2_4 (direction INPUT)) (port u0_DOUTA2_5 (direction INPUT)) (port u0_DOUTA1 (direction INPUT)) (port u0_DOUTA0_6 (direction INPUT)) (port u0_DOUTA2_6 (direction INPUT)) (port u0_DOUTA3_6 (direction INPUT)) (port u0_DOUTA0_5 (direction INPUT)) (port u0_DOUTA3_5 (direction INPUT)) (port u0_DOUTA0_4 (direction INPUT)) (port u0_DOUTA1_4 (direction INPUT)) (port cfgsel_RNILM2N1 (direction INPUT)) (port u0_DOUTA0_3 (direction INPUT)) (port u0_DOUTA1_3 (direction INPUT)) (port u0_DOUTA3_3 (direction INPUT)) (port u0_DOUTA0_0 (direction INPUT)) (port u0_DOUTA2_0 (direction INPUT)) (port u0_DOUTA0_1 (direction INPUT)) (port u0_DOUTA3_2 (direction INPUT)) (port u0_DOUTA0_2 (direction INPUT)) (port u0_DOUTA3_1 (direction INPUT)) (port u0_DOUTA1_2 (direction INPUT)) (port u0_DOUTA2_2 (direction INPUT)) (port un1_addout_25_13 (direction INPUT)) (port un1_addout_27 (direction INPUT)) (port un1_addout_28_10 (direction INPUT)) (port flush_0_sqmuxa_6 (direction OUTPUT)) (port lock_0 (direction OUTPUT)) (port req (direction OUTPUT)) (port N_2565 (direction OUTPUT)) (port un16_casaen_0_0 (direction INPUT)) (port lock (direction INPUT)) (port hold_0 (direction INPUT)) (port dsuen_0 (direction INPUT)) (port flush_0 (direction INPUT)) (port intack (direction INPUT)) (port newptag_2_a2_0_31_m1_e_0 (direction OUTPUT)) (port newptag_2_a2_0_28_m1_e_0 (direction OUTPUT)) (port rst (direction INPUT)) (port N_2542 (direction OUTPUT)) (port flush (direction INPUT)) (port nullify (direction INPUT)) (port N_2868 (direction OUTPUT)) (port u0_DOUTA2_1 (direction INPUT)) (port N_73_0 (direction OUTPUT)) (port nomds (direction OUTPUT)) (port N_2541 (direction OUTPUT)) (port hold (direction OUTPUT)) (port stpend (direction OUTPUT)) (port ready (direction INPUT)) (port read (direction INPUT)) (port read_0 (direction OUTPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename r_flush_0 "r.flush_0") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_read_RNI0MHE "r.read_RNI0MHE") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_1_4 "r.dstate_1[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dstate_0_4 "r.dstate_0[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dstate_RNIOR582_1 "r.dstate_RNIOR582[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_stpend_RNIJP8V5_0 "r.stpend_RNIJP8V5_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_stpend_RNIJP8V5_1 "r.stpend_RNIJP8V5_1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIRHA15_0_2 "r.dstate_RNIRHA15_0[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_size_RNI5P9I1_0_1 "r.size_RNI5P9I1_0[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_size_RNI5P9I1_2_1 "r.size_RNI5P9I1_2[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_5 "r.dstate_i_0[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_stpend_RNI8TUJ7 "r.stpend_RNI8TUJ7") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_holdn_RNIA71D_0 "r.holdn_RNIA71D_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIQV122_5 "r.dstate_i_0_RNIQV122[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIK7282_3 "r.dstate_RNIK7282[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNISMLC_0 "r.nomds_RNISMLC_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNISMLC "r.nomds_RNISMLC") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNII7CS3_2 "r.dstate_RNII7CS3[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIR0DC2_4 "r.dstate_0_RNIR0DC2[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename un1_r_faddr_I_8 "un1_r.faddr_I_8") (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_19 "un1_r.faddr_I_19") (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename r_size_RNIBA3M1_1 "r.size_RNIBA3M1[1]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_read_RNILU3C1 "r.read_RNILU3C1") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename dctrl_v_wb_data1_1_i_16 "dctrl.v.wb.data1_1_i[16]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename dctrl_v_wb_data1_1_i_a2_16 "dctrl.v.wb.data1_1_i_a2[16]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNIE4398_0_0 "r.xaddress_RNIE4398_0[0]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename newptag_2_a2_20 "newptag_2_a2[20]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_dstate_tr5_2_8_0_a2_1_0_o2 "r.dstate_tr5_2_8_0_a2_1_0_o2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dctrl_v_wb_data1_1_i_a2_0_16 "dctrl.v.wb.data1_1_i_a2_0[16]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_ns_i_a2_i_i_o2_1 "r.dstate_ns_i_a2_i_i_o2[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename dctrl_rdatav_0_1_0_iv_22 "dctrl.rdatav_0_1_0_iv[22]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_dstate_ns_i_a2_i_0_a2_3 "r.dstate_ns_i_a2_i_0_a2[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename newptag_2Z0Z_20 "newptag_2[20]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI2OL52_5 "r.wb.data2_RNI2OL52[5]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename dctrl_rdatav_0_1_0_iv_RNO_0_22 "dctrl.rdatav_0_1_0_iv_RNO_0[22]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename dctrl_rdatav_0_1_0_iv_RNO_2_22 "dctrl.rdatav_0_1_0_iv_RNO_2[22]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIGKOS5_5 "r.wb.data2_RNIGKOS5[5]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI96714_5 "r.wb.data2_RNI96714[5]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_read_RNIKKS8N "r.read_RNIKKS8N") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_read_RNI6GPVE "r.read_RNI6GPVE") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_read_RNI09723 "r.read_RNI09723") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename newptag_2_RNO_20 "newptag_2_RNO[20]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_dstate_ns_i_a2_i_i_o2_RNO_1 "r.dstate_ns_i_a2_i_i_o2_RNO[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_dstate_ns_i_a2_i_0_a2_RNO_3 "r.dstate_ns_i_a2_i_0_a2_RNO[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNIG9VF4R3_17 "r.xaddress_RNIG9VF4R3[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIVV8F4R3_23 "r.xaddress_RNIVV8F4R3[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIE1UB4R3_4 "r.dstate_0_RNIE1UB4R3[4]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIT6KT7R3_27 "r.xaddress_RNIT6KT7R3[27]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_xaddress_RNIBGNN7R3_25 "r.xaddress_RNIBGNN7R3[25]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIIKRS7R3_26 "r.xaddress_RNIIKRS7R3[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_holdn_RNO_1 "r.holdn_RNO_1") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_faddr_RNIK5N31_7 "r.faddr_RNIK5N31[7]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_dstate_0_RNID4B1_4 "r.dstate_0_RNID4B1[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIF4B1_4 "r.dstate_0_RNIF4B1[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIG4B1_4 "r.dstate_0_RNIG4B1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIC8B1_4 "r.dstate_0_RNIC8B1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIA4B1_4 "r.dstate_0_RNIA4B1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIB0B1_4 "r.dstate_0_RNIB0B1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNI94B1_4 "r.dstate_0_RNI94B1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNO_2_1 "r.cctrl.dcs_RNO_2[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_flush_0_RNIJAT3UR1 "r.flush_0_RNIJAT3UR1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_flush2_RNIL7SMV32 "r.flush2_RNIL7SMV32") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_flush2_RNIU1M37 "r.flush2_RNIU1M37") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_dstate_RNO_0_1 "r.dstate_RNO_0[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dstate_RNO_1_1 "r.dstate_RNO_1[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_burst_RNO_3 "r.burst_RNO_3") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_burst_RNO_5 "r.burst_RNO_5") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI6F7702_26 "r.xaddress_RNI6F7702[26]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIL7QM57 "r.nomds_RNIL7QM57") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_holdn_RNIQ2FU21 "r.holdn_RNIQ2FU21") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_holdn_RNIH4SU4 "r.holdn_RNIH4SU4") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNII0PCR_4 "r.dstate_0_RNII0PCR[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNI58T74_4 "r.dstate_0_RNI58T74[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIOH6GK_5 "r.dstate_i_0_RNIOH6GK[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIUU5K9_5 "r.dstate_i_0_RNIUU5K9[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIOUML1_5 "r.dstate_i_0_RNIOUML1[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIN5KU3_5 "r.dstate_i_0_RNIN5KU3[5]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_stpend_RNIFQQV3 "r.stpend_RNIFQQV3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_stpend_RNIJ6K72 "r.stpend_RNIJ6K72") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNILOPQ2_5 "r.dstate_i_RNILOPQ2[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIM6691_0 "r.dstate_RNIM6691[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dstate_RNIVFCD_0 "r.dstate_RNIVFCD[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_18 "r.wb.addr_RNO_0[18]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_1_2 "r.xaddress_RNO_1[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_ns_i_a2_i_i_o2_RNO_0_1 "r.dstate_ns_i_a2_i_i_o2_RNO_0[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_stpend_RNO_0 "r.stpend_RNO_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_flush_0_RNIN7VBG "r.flush_0_RNIN7VBG") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_burst_RNO_4 "r.burst_RNO_4") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_burst_RNO_6 "r.burst_RNO_6") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_burst_RNO_8 "r.burst_RNO_8") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_0_2 "r.xaddress_RNO_0[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_2_2 "r.xaddress_RNO_2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNILULNA_1 "r.dstate_RNILULNA[1]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_wb_lock_RNO_5 "r.wb.lock_RNO_5") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_flush_0_RNIENJ5G42 "r.flush_0_RNIENJ5G42") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_flush_0_RNIMTEQ93 "r.flush_0_RNIMTEQ93") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNINN6I_5 "r.dstate_i_0_RNINN6I[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIBV9F_25 "r.xaddress_RNIBV9F[25]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance newptag_sn_m2_i_o2_m4_0_a3_0_0 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_holdn_RNO_5 "r.holdn_RNO_5") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI0H16A_5 "r.dstate_i_0_RNI0H16A[5]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_nomds_RNIJEJJD4 "r.nomds_RNIJEJJD4") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_holdn_RNO_6 "r.holdn_RNO_6") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_wb_lock_RNO_4 "r.wb.lock_RNO_4") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIV3B1M1_5 "r.dstate_i_RNIV3B1M1[5]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNID2MM_5 "r.dstate_i_RNID2MM[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_flush_0_RNIJLO34 "r.flush_0_RNIJLO34") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIFKFT_5 "r.dstate_i_RNIFKFT[5]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename dctrl_dwrite_1_iv_0_m4_i_a3_0 "dctrl.dwrite_1_iv_0_m4_i_a3_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNIHE8F_4 "r.xaddress_RNIHE8F[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNI3OFK "r.nomds_RNI3OFK") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI4B1HI7_5 "r.dstate_i_0_RNI4B1HI7[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIVVE743_4 "r.dstate_0_RNIVVE743[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename dctrl_tdiagwrite_3_0_m6_i_a0_1 "dctrl.tdiagwrite_3_0_m6_i_a0_1") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_flush_0_RNIOH1NF31 "r.flush_0_RNIOH1NF31") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_flush_0_RNIQ34QP5 "r.flush_0_RNIQ34QP5") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIRQ8SJT_5 "r.dstate_i_0_RNIRQ8SJT[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIEKC3I4_5 "r.dstate_i_0_RNIEKC3I4[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIMJ7FN3 "r.nomds_RNIMJ7FN3") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIHLAGM1_5 "r.dstate_i_RNIHLAGM1[5]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIVJL51_5 "r.dstate_i_RNIVJL51[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI0U9I_5 "r.dstate_i_RNI0U9I[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_nomds_RNIDVBPD1 "r.nomds_RNIDVBPD1") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNITAL12_4 "r.dstate_0_RNITAL12[4]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_stpend_RNIPP6T3 "r.stpend_RNIPP6T3") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_holdn_RNIJJSA "r.holdn_RNIJJSA") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance twrite_2_sqmuxa_1_a0_1_0 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename dctrl_lramwr_4_m_0_a2_0 "dctrl.lramwr_4_m_0_a2_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_nomds_RNI03QF "r.nomds_RNI03QF") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_hit_RNIJVUN2 "r.hit_RNIJVUN2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNISA39D_0 "r.cctrl.dcs_RNISA39D[0]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNI1J3P_0 "r.cctrl.dcs_RNI1J3P[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNILR9E_0 "r.cctrl.dcs_RNILR9E[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_size_RNIC0LBN_1 "r.size_RNIC0LBN[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIVRH2F_1 "r.size_RNIVRH2F[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNIJNSA3 "r.read_RNIJNSA3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNI5K483 "r.read_RNI5K483") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_size_RNIH8QMN_1 "r.size_RNIH8QMN[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNI44NDF_1 "r.size_RNI44NDF[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNI3O2M3 "r.read_RNI3O2M3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dstate_RNIN9BL01_1 "r.dstate_RNIN9BL01[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNIJRJDN "r.read_RNIJRJDN") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_read_RNIFJSC3 "r.read_RNIFJSC3") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_size_RNI8ITAN_1 "r.size_RNI8ITAN[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIQDQ1F_1 "r.size_RNIQDQ1F[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNI9FSA3 "r.read_RNI9FSA3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIFQU8N_1 "r.size_RNIFQU8N[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNI2MRVE_1 "r.size_RNI2MRVE[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNIC2883 "r.read_RNIC2883") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNI99MDN_1 "r.size_RNI99MDN[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIS4J4F_1 "r.size_RNIS4J4F[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNI58TC3 "r.read_RNI58TC3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNI8O24N_1 "r.size_RNI8O24N[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIQJVQE_1 "r.size_RNIQJVQE[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNIF8C33 "r.read_RNIF8C33") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNI56HKQ "r.read_RNI56HKQ") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_read_RNIBS463 "r.read_RNIBS463") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_size_RNI7TV1R_1 "r.size_RNI7TV1R[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIOOSOI_1 "r.size_RNIOOSOI[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNIN5CK3 "r.read_RNIN5CK3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNI2QGHQ_1 "r.size_RNI2QGHQ[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIJLD8I_1 "r.size_RNIJLD8I[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNI5L5F3 "r.read_RNI5L5F3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIFTFQN_1 "r.size_RNIFTFQN[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNI3PCHF_1 "r.size_RNI3PCHF[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNIOSMP3 "r.read_RNIOSMP3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNI44SPN "r.read_RNI44SPN") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_read_RNIT5CO3 "r.read_RNIT5CO3") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_size_RNIP7VUN_1 "r.size_RNIP7VUN[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNID3SLF_1 "r.size_RNID3SLF[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNION7U3 "r.read_RNION7U3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNION4PN "r.read_RNION4PN") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNICJ1GF "r.read_RNICJ1GF") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNITL5P3 "r.read_RNITL5P3") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_size_RNIKSPAM_1 "r.size_RNIKSPAM[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNI9OM1E_1 "r.size_RNI9OM1E[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNIUT923 "r.read_RNIUT923") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNING06M_1 "r.size_RNING06M[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNICCTSD_1 "r.size_RNICCTSD[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNICEHT2 "r.read_RNICEHT2") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNII6K6B "r.read_RNII6K6B") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNI82HT2 "r.read_RNI82HT2") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNIGJDIB "r.read_RNIGJDIB") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_read_RNINB3RB "r.read_RNINB3RB") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNID70I3 "r.read_RNID70I3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNIVLI6B "r.read_RNIVLI6B") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNIMHFT2 "r.read_RNIMHFT2") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNI9DABB "r.read_RNI9DABB") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNIP3AMB "r.read_RNIP3AMB") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_size_RNI10E0J_1 "r.size_RNI10E0J[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNIGNN2B "r.read_RNIGNN2B") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNI7JKP2 "r.read_RNI7JKP2") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNIJBP6R "r.read_RNIJBP6R") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_read_RNI70MN3 "r.read_RNI70MN3") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_size_RNIOFP781_1 "r.size_RNIOFP781[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNI8BMUV_1 "r.size_RNI8BMUV[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_read_RNI1T854 "r.read_RNI1T854") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNICA5KJ_1 "r.size_RNICA5KJ[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNIH0CMB "r.read_RNIH0CMB") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNI7S8D3 "r.read_RNI7S8D3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIRGQ5N_1 "r.size_RNIRGQ5N[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIGCNSE_1 "r.size_RNIGCNSE[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNIS9553 "r.read_RNIS9553") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dstate_RNI464M71_1 "r.dstate_RNI464M71[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_size_RNIE502R_1 "r.size_RNIE502R[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_read_RNI99BK3 "r.read_RNI99BK3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNI9U3B41 "r.read_RNI9U3B41") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_read_RNIT48DF "r.read_RNIT48DF") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_size_RNIVP8MQ_1 "r.size_RNIVP8MQ[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_size_RNIGL5DI_1 "r.size_RNIGL5DI[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_read_RNIOQ4J3 "r.read_RNIOQ4J3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_read_RNICIKK71 "r.read_RNICIKK71") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_read_RNI5C7OI "r.read_RNI5C7OI") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNI2AEN1_4 "r.dstate_0_RNI2AEN1[4]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIAUVG_4 "r.dstate_0_RNIAUVG[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_holdn_RNIFCHA "r.holdn_RNIFCHA") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_holdn_RNI49MB "r.holdn_RNI49MB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI474R_5 "r.dstate_i_RNI474R[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_burst_RNO_9 "r.burst_RNO_9") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_RNO_3_3 "r.dstate_RNO_3[3]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dstate_RNO_4_3 "r.dstate_RNO_4[3]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dstate_RNICE3E_2 "r.dstate_RNICE3E[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNI8PL2D_4 "r.dstate_0_RNI8PL2D[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIAI794_21 "r.wb.data2_RNIAI794[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNIUPAN1_1 "r.cctrl.dcs_RNIUPAN1[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIF4DH_4 "r.dstate_0_RNIF4DH[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIBK9H_0 "r.nomds_RNIBK9H_0") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename dctrl_v_wb_data1_1_i_a2_0_RNO_16 "dctrl.v.wb.data1_1_i_a2_0_RNO[16]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNI7LP32_3 "r.dstate_RNI7LP32[3]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_hit_RNO_5 "r.hit_RNO_5") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_hit_RNO_6 "r.hit_RNO_6") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hit_RNIG1QI "r.hit_RNIG1QI") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_cctrl_burst_RNI4M93D "r.cctrl.burst_RNI4M93D") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_cctrl_burst_RNI8O7P5 "r.cctrl.burst_RNI8O7P5") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_1_RNI9UGLC_4 "r.dstate_1_RNI9UGLC[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI2OE87_3 "r.wb.data2_RNI2OE87[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_1_RNI33LBD_4 "r.dstate_1_RNI33LBD[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI07RE7_1 "r.wb.data2_RNI07RE7[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI7HJC2_1 "r.wb.data2_RNI7HJC2[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIMQ3F8_8 "r.wb.data2_RNIMQ3F8[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIQ6SMD_4 "r.dstate_1_RNIQ6SMD[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIGG0B7_15 "r.wb.data2_RNIGG0B7[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIUBLC9_31 "r.wb.data2_RNIUBLC9[31]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIN17UB_30 "r.wb.data2_RNIN17UB[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIUFCK5_30 "r.wb.data2_RNIUFCK5[30]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIPNF79_24 "r.wb.data2_RNIPNF79[24]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dctrl_rdatav_0_1_0_iv_RNO_3_22 "dctrl.rdatav_0_1_0_iv_RNO_3[22]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_nomds_RNIM7L5D "r.nomds_RNIM7L5D") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNINVQTA_4 "r.dstate_1_RNINVQTA[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIR9JR5_4 "r.dstate_1_RNIR9JR5[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_flush_RNI9H5F2 "r.flush_RNI9H5F2") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIIA5TA_19 "r.wb.data2_RNIIA5TA[19]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIMC3J3_19 "r.wb.data2_RNIMC3J3[19]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI1IAJ8_18 "r.wb.data2_RNI1IAJ8[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI8LIR8_25 "r.wb.data2_RNI8LIR8[25]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIU2QB8_20 "r.wb.data2_RNIU2QB8[20]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI4FQFB_12 "r.wb.data2_RNI4FQFB[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIEQBV3_12 "r.wb.data2_RNIEQBV3[12]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI319Q8_9 "r.wb.data2_RNI319Q8[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIKDFUC_0 "r.wb.data2_RNIKDFUC[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI1P0E5_0 "r.wb.data2_RNI1P0E5[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNI5J17D_4 "r.dstate_0_RNI5J17D[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIL92T9_2 "r.wb.data2_RNIL92T9[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI2LJC2_2 "r.wb.data2_RNI2LJC2[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNINQCOF_4 "r.dstate_0_RNINQCOF[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIH8FB9_28 "r.wb.data2_RNIH8FB9[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIHI794_28 "r.wb.data2_RNIHI794[28]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI956IC_29 "r.wb.data2_RNI956IC[29]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI4CCK5_29 "r.wb.data2_RNI4CCK5[29]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIKOI99_23 "r.wb.data2_RNIKOI99[23]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIN5R49_27 "r.wb.data2_RNIN5R49[27]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNILS2QF_4 "r.dstate_0_RNILS2QF[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIA4FB9_17 "r.wb.data2_RNIA4FB9[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIDE794_17 "r.wb.data2_RNIDE794[17]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI3F2J9_26 "r.wb.data2_RNI3F2J9[26]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI11US9_13 "r.wb.data2_RNI11US9[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNO_3 "r.nomds_RNO_3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_hit_RNO_1 "r.hit_RNO_1") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_cctrl_ics_RNO_2_1 "r.cctrl.ics_RNO_2[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI24FB9_11 "r.wb.data2_RNI24FB9[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI7E794_11 "r.wb.data2_RNI7E794[11]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI8MGTD_4 "r.wb.data2_RNI8MGTD[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIKN054_4 "r.wb.data2_RNIKN054[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI3V979_6 "r.wb.data2_RNI3V979[6]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI43A79_7 "r.wb.data2_RNI43A79[7]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dstate_RNIAA0VA_2 "r.dstate_RNIAA0VA[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIJ7CK5_10 "r.wb.data2_RNIJ7CK5[10]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_dstate_RNISCUV3_2 "r.dstate_RNISCUV3[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIKST94_0_0 "r.xaddress_RNIKST94_0[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIKST94_1_0 "r.xaddress_RNIKST94_1[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI411L2_2 "r.dstate_RNI411L2[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNI5UP6_2 "r.dstate_RNI5UP6[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename dctrl_un1_dci_11_0_a2_0_o2_2_a0_0 "dctrl.un1_dci_11_0_a2_0_o2_2_a0_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename dctrl_un1_dci_11_0_a2_0_o2_2_2_tz_0 "dctrl.un1_dci_11_0_a2_0_o2_2_2_tz_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNISPBN_1_0 "r.xaddress_RNISPBN_1[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNISPBN_0_0 "r.xaddress_RNISPBN_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNISPBN_0 "r.xaddress_RNISPBN[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNIFDMD_0 "r.cctrl.dcs_RNIFDMD[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIGRML_5 "r.dstate_i_0_RNIGRML[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNI14SO_0 "r.cctrl.dcs_RNI14SO[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNINV8B_0 "r.cctrl.dcs_RNINV8B[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_flush_0_RNI7SJF1 "r.flush_0_RNI7SJF1") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_flush_0_RNI3LFK "r.flush_0_RNI3LFK") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_read_RNIQERH1 "r.read_RNIQERH1") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI6DUH_5 "r.dstate_i_0_RNI6DUH[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_8 "dctrl.un1_eholdn_2_8") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_7 "dctrl.un1_eholdn_2_7") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_6 "dctrl.un1_eholdn_2_6") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_5 "dctrl.un1_eholdn_2_5") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_4 "dctrl.un1_eholdn_2_4") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_3 "dctrl.un1_eholdn_2_3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_1 "dctrl.un1_eholdn_2_1") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_0 "dctrl.un1_eholdn_2_0") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI2LFJ_5 "r.dstate_i_0_RNI2LFJ[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_flush2_RNI4OQD3 "r.flush2_RNI4OQD3") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dctrl_0_un15_validrawv_0_a2_1_a2_18 "dctrl.0.un15_validrawv_0_a2_1_a2_18") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_flush2_RNIF1JA1 "r.flush2_RNIF1JA1") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dctrl_0_un15_validrawv_0_a2_1_a2_15 "dctrl.0.un15_validrawv_0_a2_1_a2_15") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dctrl_0_un15_validrawv_0_a2_1_a2_13 "dctrl.0.un15_validrawv_0_a2_1_a2_13") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dctrl_0_un15_validrawv_0_a2_1_a2_12 "dctrl.0.un15_validrawv_0_a2_1_a2_12") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dctrl_0_un15_validrawv_0_a2_1_a2_10 "dctrl.0.un15_validrawv_0_a2_1_a2_10") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename dctrl_0_un15_validrawv_0_a2_1_a2_9 "dctrl.0.un15_validrawv_0_a2_1_a2_9") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename dctrl_0_un15_validrawv_0_a2_1_a2_7 "dctrl.0.un15_validrawv_0_a2_1_a2_7") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename dctrl_0_un15_validrawv_0_a2_1_a2_6 "dctrl.0.un15_validrawv_0_a2_1_a2_6") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename dctrl_0_un15_validrawv_0_a2_1_a2_5 "dctrl.0.un15_validrawv_0_a2_1_a2_5") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename dctrl_0_un15_validrawv_0_a2_1_a2_3 "dctrl.0.un15_validrawv_0_a2_1_a2_3") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_flush2_RNI1LBH "r.flush2_RNI1LBH") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_read_RNIAPR61 "r.read_RNIAPR61") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIVKFJ_5 "r.dstate_i_0_RNIVKFJ[5]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIAJQD_5 "r.dstate_i_0_RNIAJQD[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIH0JN_5 "r.dstate_i_RNIH0JN[5]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename dctrl_rdatav_0_1_0_iv_0_o2_0_0_4 "dctrl.rdatav_0_1_0_iv_0_o2_0_0[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_tr11_14_a2_5_o2_0 "r.dstate_tr11_14_a2_5_o2_0") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_stpend_RNIFT7B "r.stpend_RNIFT7B") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_faddr_RNIR4M6_7 "r.faddr_RNIR4M6[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIRDJE_5 "r.dstate_i_RNIRDJE[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename dctrl_mds_1_1_iv_0_4_tz_0 "dctrl.mds_1_1_iv_0_4_tz_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename dctrl_un24_dsu_2_0_i_0 "dctrl.un24_dsu_2_0_i_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance mexc_1_sqmuxa_0_a2_1_0_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIG40L_5 "r.dstate_i_0_RNIG40L[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIDM9K_3 "r.dstate_RNIDM9K[3]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_dstate_tr11_14_a2_5_a2_1_0 "r.dstate_tr11_14_a2_5_a2_1_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIE8B4_4 "r.xaddress_RNIE8B4[4]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_cctrlwr_RNIGD95 "r.cctrlwr_RNIGD95") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_xaddress_RNI8O52_5 "r.xaddress_RNI8O52[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr12_0_0 "dctrl.v.cctrlwr12_0_0") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr13_0_0 "dctrl.v.cctrlwr13_0_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_tr0_22_0_0_a2_1_0 "r.dstate_tr0_22_0_0_a2_1_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIBRHOQR1_4 "r.dstate_0_RNIBRHOQR1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNI7BISQR1_27 "r.xaddress_RNI7BISQR1[27]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename newptag_2_RNO_0_20 "newptag_2_RNO_0[20]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIDNHOQR1_4 "r.dstate_0_RNIDNHOQR1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNICRHOQR1_4 "r.dstate_0_RNICRHOQR1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dctrl_mds_1_1_iv_0_4_tz "dctrl.mds_1_1_iv_0_4_tz") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNIUO2511 "r.nomds_RNIUO2511") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_nomds_RNITCEAE "r.nomds_RNITCEAE") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_nomds_RNI53S48 "r.nomds_RNI53S48") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_dstate_RNIDU8KDR3_1 "r.dstate_RNIDU8KDR3[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_flush_0_RNI06EHSP1 "r.flush_0_RNI06EHSP1") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIGRHOQR1_4 "r.dstate_0_RNIGRHOQR1[4]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIHRHOQR1_4 "r.dstate_0_RNIHRHOQR1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIFRHOQR1_4 "r.dstate_0_RNIFRHOQR1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_read_RNI19M63 "r.read_RNI19M63") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIJRHOQR1_4 "r.dstate_0_RNIJRHOQR1[4]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_nomds_RNIGEAR02 "r.nomds_RNIGEAR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNI251GTP1 "r.nomds_RNI251GTP1") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_holdn_RNO "r.holdn_RNO") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_holdn_RNO_4 "r.holdn_RNO_4") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_flush_0_RNI0SALQP1_0 "r.flush_0_RNI0SALQP1_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIBEK0ER1_5 "r.dstate_i_RNIBEK0ER1[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_flush_0_RNI5OTFHS1 "r.flush_0_RNI5OTFHS1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNI3GMQQP1_25 "r.xaddress_RNI3GMQQP1[25]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI5F7702_25 "r.xaddress_RNI5F7702[25]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dstate_RNO_2_3 "r.dstate_RNO_2[3]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI39PI1_5 "r.dstate_i_RNI39PI1[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI9O7F5_3 "r.dstate_RNI9O7F5[3]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI8OLG2_5 "r.dstate_i_0_RNI8OLG2[5]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_nomds_RNITMJ1BU "r.nomds_RNITMJ1BU") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_nomds_RNIS602NU "r.nomds_RNIS602NU") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance twrite_2_sqmuxa_1_a0_1 (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_dstate_1_RNICM7N5_4 "r.dstate_1_RNICM7N5[4]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIE9I8VM1_18 "r.xaddress_RNIE9I8VM1[18]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIH8G8VM1_16 "r.xaddress_RNIH8G8VM1[16]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIQOH8VM1_22 "r.xaddress_RNIQOH8VM1[22]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNITG9M1_3 "r.dstate_RNITG9M1[3]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_dstate_RNI8CBSG1_1 "r.dstate_RNI8CBSG1[1]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_dstate_RNIR83TF1_1 "r.dstate_RNIR83TF1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNI3JQ791_1 "r.dstate_RNI3JQ791[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIA7SI91_1 "r.dstate_RNIA7SI91[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNI0V0E91_1 "r.dstate_RNI0V0E91[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIOPHJD1_1 "r.dstate_RNIOPHJD1[1]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_wb_lock_RNO_1 "r.wb.lock_RNO_1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIJ6PE91_1 "r.dstate_RNIJ6PE91[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIFBR7VM1_23 "r.xaddress_RNIFBR7VM1[23]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_wb_lock_RNO "r.wb.lock_RNO") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_wb_lock_RNO_3 "r.wb.lock_RNO_3") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_stpend_RNI9ANQ6 "r.stpend_RNI9ANQ6") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_flush_0_RNIDJNV23 "r.flush_0_RNIDJNV23") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_nomds_RNI0BVGE "r.nomds_RNI0BVGE") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_xaddress_RNI1HH8VM1_17 "r.xaddress_RNI1HH8VM1[17]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_dstate_tr11_14_a2_5_a2_0 "r.dstate_tr11_14_a2_5_a2_0") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_dstate_tr11_14_a2_5_o2 "r.dstate_tr11_14_a2_5_o2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_1_21 "r.wb.data1_RNO_1[21]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dstate_RNIOSSTG1_1 "r.dstate_RNIOSSTG1[1]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_dstate_RNIUUCH91_1 "r.dstate_RNIUUCH91[1]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_xaddress_RNID0H8VM1_21 "r.xaddress_RNID0H8VM1[21]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_9 "r.wb.addr_RNO[9]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2 "dctrl.un1_eholdn_2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr5 "dctrl.v.cctrlwr5") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename dctrl_un24_dsu_2_0_i "dctrl.un24_dsu_2_0_i") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_cctrlwr_RNO "r.cctrlwr_RNO") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_2 "r.xaddress_RNO[2]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_dstate_RNIOR582_0_1 "r.dstate_RNIOR582_0[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr4_0_a2_0_0_a2 "dctrl.v.cctrlwr4_0_a2_0_0_a2") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_nomds_RNIODR1H "r.nomds_RNIODR1H") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNIPG1OG "r.nomds_RNIPG1OG") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIAUU42_0 "r.xaddress_RNIAUU42[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIAUU42_2_0 "r.xaddress_RNIAUU42_2[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIAUU42_1_0 "r.xaddress_RNIAUU42_1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIAUU42_0_0 "r.xaddress_RNIAUU42_0[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNIE4JD1_1_0 "r.size_RNIE4JD1_1[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_dstate_RNIR88M4_2 "r.dstate_RNIR88M4[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIVR3QD_10 "r.wb.data2_RNIVR3QD[10]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_size_RNIE4JD1_0_0 "r.size_RNIE4JD1_0[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_size_RNIE4JD1_0 "r.size_RNIE4JD1[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_dstate_RNISU72D1_1 "r.dstate_RNISU72D1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIILTR91_1 "r.dstate_RNIILTR91[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNI08ULU_1 "r.dstate_RNI08ULU[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_nomds_RNIQU6E2_5 "r.nomds_RNIQU6E2_5") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNI8U6GG "r.nomds_RNI8U6GG") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_holdn_RNI7TE83 "r.holdn_RNI7TE83") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNIE2CE7_0 "r.cctrl.dcs_RNIE2CE7[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hit_RNO_4 "r.hit_RNO_4") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_hit_RNO "r.hit_RNO") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNO_1_1 "r.cctrl.dcs_RNO_1[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNO_1 "r.cctrl.dcs_RNO[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_burst_RNO_1 "r.burst_RNO_1") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI22K8702_5 "r.dstate_i_0_RNI22K8702[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_stpend_RNIJP8V5 "r.stpend_RNIJP8V5") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_burst_RNO_7 "r.burst_RNO_7") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_hit_RNO_2 "r.hit_RNO_2") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_nomds_RNID5GEG "r.nomds_RNID5GEG") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNI5C6E91_1 "r.dstate_RNI5C6E91[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIB0688_0_0 "r.xaddress_RNIB0688_0[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNISTGFH1_1 "r.dstate_RNISTGFH1[1]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_read_RNIV144H1 "r.read_RNIV144H1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIRCAFT32_5 "r.dstate_i_0_RNIRCAFT32[5]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIJ4JIH_4 "r.dstate_1_RNIJ4JIH[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIB0688_1 "r.xaddress_RNIB0688[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIQ88M4_1_2 "r.dstate_RNIQ88M4_1[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNINMA6J_4 "r.dstate_0_RNINMA6J[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI7EHR1_5 "r.dstate_i_0_RNI7EHR1[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI7EHR1_0_5 "r.dstate_i_0_RNI7EHR1_0[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_dstate_RNIQ88M4_0_2 "r.dstate_RNIQ88M4_0[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIHQQ2H_4 "r.dstate_0_RNIHQQ2H[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNI5432U_1 "r.dstate_RNI5432U[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_size_RNITKMLU_1 "r.size_RNITKMLU[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_size_RNIS2OAU_1 "r.size_RNIS2OAU[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_size_RNI1G16U_1 "r.size_RNI1G16U[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_size_RNIIU3PU_1 "r.size_RNIIU3PU[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_size_RNIQAFGU_1 "r.size_RNIQAFGU[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_size_RNIQ6O4U_1 "r.size_RNIQ6O4U[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIVAPCB1_1 "r.dstate_RNIVAPCB1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIGBKHB1_1 "r.dstate_RNIGBKHB1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIBJ5KD1_1 "r.dstate_RNIBJ5KD1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIR5PQD1_1 "r.dstate_RNIR5PQD1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_read_RNIGQ6ND1 "r.read_RNIGQ6ND1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIPKCMD1_1 "r.dstate_RNIPKCMD1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNITLPG91_1 "r.dstate_RNITLPG91[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIAK9OF1_1 "r.dstate_RNIAK9OF1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNI8BDUG1_1 "r.dstate_RNI8BDUG1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_read_RNILMNHG1 "r.read_RNILMNHG1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_read_RNI9KLJ "r.read_RNI9KLJ") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_dstate_RNIB83N_0 "r.dstate_RNIB83N[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_dstate_RNIKQS11_3 "r.dstate_RNIKQS11[3]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_holdn_RNIJVRO3 "r.holdn_RNIJVRO3") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_1 "r.wb.addr_RNO[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_8 "r.wb.addr_RNO[8]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_16 "r.wb.addr_RNO[16]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_22 "r.wb.addr_RNO[22]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_23 "r.wb.addr_RNO[23]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_27 "r.wb.addr_RNO[27]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_18 "r.wb.addr_RNO[18]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_dstate_RNIT77K_1 "r.dstate_RNIT77K[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIA78K2_5 "r.dstate_i_0_RNIA78K2[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIG2VI2_5 "r.dstate_i_0_RNIG2VI2[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_nomds_RNIJ5FF13 "r.nomds_RNIJ5FF13") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI1I6S_5 "r.dstate_i_RNI1I6S[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_stpend_RNIF2K41 "r.stpend_RNIF2K41") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNIGBO78_0 "r.cctrl.dcs_RNIGBO78[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIL6LK2_5 "r.dstate_i_RNIL6LK2[5]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_dstate_RNIRHA15_2 "r.dstate_RNIRHA15[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIL28VF_9 "r.wb.data2_RNIL28VF[9]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_1_RNINIV0I_4 "r.dstate_1_RNINIV0I[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIR88M4_0_2 "r.dstate_RNIR88M4_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIQ88M4_2_2 "r.dstate_RNIQ88M4_2[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_holdn_RNI8VR813 "r.holdn_RNI8VR813") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_nomds_RNII64NF "r.nomds_RNII64NF") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNITOS6G "r.nomds_RNITOS6G") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNIKLKUF "r.nomds_RNIKLKUF") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNIECO2I "r.nomds_RNIECO2I") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_flush_0_RNI87MVIN3 "r.flush_0_RNI87MVIN3") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_dstate_RNIQ88M4_2 "r.dstate_RNIQ88M4[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNO_0_0 "r.dstate_RNO_0[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIB0688_0 "r.xaddress_RNIB0688[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIB0688_1_0 "r.xaddress_RNIB0688_1[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNIJ4DCG "r.nomds_RNIJ4DCG") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNILDKHG_31 "r.wb.data2_RNILDKHG[31]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI8S2KF_8 "r.wb.data2_RNI8S2KF[8]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIO7O8I_2 "r.dstate_RNIO7O8I[2]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIBQ0E_4 "r.xaddress_RNIBQ0E[4]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_flush_0_RNIE7MNG "r.flush_0_RNIE7MNG") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIAUMB5_5 "r.dstate_i_RNIAUMB5[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename dctrl_un1_dci_11_0_a2_0_o2_a0 "dctrl.un1_dci_11_0_a2_0_o2_a0") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI18JRDR1_5 "r.dstate_i_RNI18JRDR1[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIMJVIOP1_4 "r.xaddress_RNIMJVIOP1[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_flush_0_RNIE3IQML3 "r.flush_0_RNIE3IQML3") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNI5QG344 "r.nomds_RNI5QG344") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNI6BV102_4 "r.dstate_0_RNI6BV102[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance newptag_2_a2_25_m2_e_0 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNIK8DC_0 "r.cctrl.dcs_RNIK8DC[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_ns_i_a2_i_i_2_m5_0_a2_1 "r.dstate_ns_i_a2_i_i_2_m5_0_a2_1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_RNII7CS3_0_2 "r.dstate_RNII7CS3_0[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIGI6E_5 "r.dstate_i_0_RNIGI6E[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr13_0 "dctrl.v.cctrlwr13_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr12_0 "dctrl.v.cctrlwr12_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNILJ1VOR1 "r.nomds_RNILJ1VOR1") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNIQP5P7_0 "r.cctrl.dcs_RNIQP5P7[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dstate_RNIL43T4_1_2 "r.dstate_RNIL43T4_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dctrl_mexc_1_0_2_0_1 "dctrl.mexc_1_0_2_0_1") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNI67ANQR1_4 "r.dstate_0_RNI67ANQR1[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIS8OLA "r.nomds_RNIS8OLA") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_flush_0_RNI0SALQP1 "r.flush_0_RNI0SALQP1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dctrl_dwrite_1_iv_0tt_m2 "dctrl.dwrite_1_iv_0tt_m2") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_flush_0_RNIAIEJL02 "r.flush_0_RNIAIEJL02") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNISAOR402 "r.nomds_RNISAOR402") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_flush_0_RNI2N6NQR1 "r.flush_0_RNI2N6NQR1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_flush_0_RNI2RR102 "r.flush_0_RNI2RR102") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI46ICVU1_5 "r.dstate_i_RNI46ICVU1[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIPNTBH3_5 "r.dstate_i_RNIPNTBH3[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_flush_0_RNIOP4B612 "r.flush_0_RNIOP4B612") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_flush_0_RNIJ17RK4 "r.flush_0_RNIJ17RK4") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNI3KB5_25 "r.xaddress_RNI3KB5[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNO_0_3 "r.dstate_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_RNO_1_3 "r.dstate_RNO_1[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance mexc_1_sqmuxa_0_a2_0_0 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI0H16A_0_5 "r.dstate_i_0_RNI0H16A_0[5]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance twrite_2_sqmuxa_1_a0_2 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIGK9H "r.nomds_RNIGK9H") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNI0CP1LR1 "r.nomds_RNI0CP1LR1") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_flush_0_RNI4C4L2 "r.flush_0_RNI4C4L2") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename newptag_sn_m2_i_o2_0_mZ0Z3 "newptag_sn_m2_i_o2_0_m3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_flush_0_RNIUENCT1 "r.flush_0_RNIUENCT1") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename v_faddr_2_sqmuxa_i_a2_m2_e "v.faddr_2_sqmuxa_i_a2_m2_e") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dctrl_tdiagwrite_3_0_m6_i_a4_0 "dctrl.tdiagwrite_3_0_m6_i_a4_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dctrl_tdiagwrite_3_0_m6_i_a4 "dctrl.tdiagwrite_3_0_m6_i_a4") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename dctrl_tdiagwrite_3_0_m6_e "dctrl.tdiagwrite_3_0_m6_e") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dctrl_un1_dci_11_0_a2_0_o2_a1 "dctrl.un1_dci_11_0_a2_0_o2_a1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNINTPI2_0 "r.cctrl.dcs_RNINTPI2[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNI58EH_0 "r.cctrl.dcs_RNI58EH[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_flush_0_RNICS8D2 "r.flush_0_RNICS8D2") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_dstate_RNICOL1B_1 "r.dstate_RNICOL1B[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIKCM1B_1 "r.dstate_RNIKCM1B[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIS0N1B_1 "r.dstate_RNIS0N1B[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI9VV0B_1 "r.dstate_RNI9VV0B[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIHJ01B_1 "r.dstate_RNIHJ01B[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI1S11B_1 "r.dstate_RNI1S11B[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIN38U3_0 "r.xaddress_RNIN38U3[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIJFOQ1_4 "r.dstate_0_RNIJFOQ1[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush_0_RNIVLBJ_0 "r.flush_0_RNIVLBJ_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush_0_RNIRTMU "r.flush_0_RNIRTMU") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIR2QMJR1_4 "r.xaddress_RNIR2QMJR1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNINUB89_1 "r.dstate_RNINUB89[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIHRCUB_1 "r.dstate_RNIHRCUB[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNISAC12 "r.read_RNISAC12") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI1LOKC_1 "r.dstate_RNI1LOKC[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNIQGAOB_1 "r.size_RNIQGAOB[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNI79HJ1_1 "r.size_RNI79HJ1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNI0PMK1 "r.read_RNI0PMK1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNINUB89_1_1 "r.dstate_RNINUB89_1[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIQ5MS8_1 "r.dstate_RNIQ5MS8[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNIMUBP1 "r.read_RNIMUBP1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIFRD89_0_1 "r.dstate_RNIFRD89_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNII2OS8_1 "r.dstate_RNII2OS8[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNIO7KR1 "r.read_RNIO7KR1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNINUB89_0_1 "r.dstate_RNINUB89_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIHRCUB_0_1 "r.dstate_RNIHRCUB_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNITRDUB_0_1 "r.dstate_RNITRDUB_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNI8OQ02 "r.read_RNI8OQ02") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNI77D89_0_1 "r.dstate_RNI77D89_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIQ60KC_0_1 "r.dstate_RNIQ60KC_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIFRD89_1 "r.dstate_RNIFRD89[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNI1LOKC_0_1 "r.dstate_RNI1LOKC_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNIF4398_0 "r.xaddress_RNIF4398[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNIG8R02 "r.read_RNIG8R02") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIN1AJC_0_1 "r.dstate_RNIN1AJC_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNIG4398_1_0 "r.xaddress_RNIG4398_1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNI4MQ3F_1 "r.size_RNI4MQ3F[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNO_3 "r.dstate_RNO[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNO_1 "r.dstate_RNO[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIIUV42_2_2 "r.xaddress_RNIIUV42_2[2]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIIUV42_2 "r.xaddress_RNIIUV42[2]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIIUV42_1_2 "r.xaddress_RNIIUV42_1[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIIUV42_0_2 "r.xaddress_RNIIUV42_0[2]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_xaddress_RNI20J6_13 "r.xaddress_RNI20J6[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIA8J6_24 "r.xaddress_RNIA8J6[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI4P6H4R3_13 "r.xaddress_RNI4P6H4R3[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI02DJ9V1_5 "r.dstate_i_RNI02DJ9V1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_12 "r.wb.addr_RNO[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_24 "r.wb.addr_RNO[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_14_0 "dctrl.0.hitv3_14_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI2BISQR1_22 "r.xaddress_RNI2BISQR1[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNICIDJ9V1_5 "r.dstate_i_RNICIDJ9V1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename newptag_2_RNO_1_20 "newptag_2_RNO_1[20]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI3HPLCV1_5 "r.dstate_i_RNI3HPLCV1[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI57ISQR1_18 "r.xaddress_RNI57ISQR1[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNII7IOQR1_4 "r.dstate_1_RNII7IOQR1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNINQDJ9V1_5 "r.dstate_i_RNINQDJ9V1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_9_0_0_x2 "dctrl.0.hitv3_9_0_0_x2") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_8_0_0_x2 "dctrl.0.hitv3_8_0_0_x2") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_13_0_0_x2 "dctrl.0.hitv3_13_0_0_x2") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_16 "r.wb.data2_RNO[16]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_19 "r.wb.data2_RNO[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_28 "r.wb.data2_RNO[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_11 "r.wb.data2_RNO[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_13 "r.wb.addr_RNO[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI3GJ6_12 "r.xaddress_RNI3GJ6[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_15 "r.wb.addr_RNO[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_7_0 "dctrl.0.hitv3_7_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_20 "r.wb.addr_RNO[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_25 "r.wb.addr_RNO[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_19 "r.wb.data1_RNO_0[19]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_31 "r.wb.addr_RNO[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_2 "r.wb.addr_RNO_0[2]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_2 "r.wb.addr_RNO[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIUVCL_5 "r.dstate_i_RNIUVCL[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNISGGH_5 "r.dstate_i_0_RNISGGH[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIQJKI9V1_5 "r.dstate_i_RNIQJKI9V1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIVQEG4R3_12 "r.xaddress_RNIVQEG4R3[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIMIEJ9V1_5 "r.dstate_i_RNIMIEJ9V1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI1Q8H4R3_15 "r.xaddress_RNI1Q8H4R3[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNI9GJ6_15 "r.xaddress_RNI9GJ6[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIL6O48S1_24 "r.xaddress_RNIL6O48S1[24]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNILQ8H4R3_19 "r.xaddress_RNILQ8H4R3[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIHGJ6_19 "r.xaddress_RNIHGJ6[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI2JEJ9V1_5 "r.dstate_i_RNI2JEJ9V1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI9BISQR1_29 "r.xaddress_RNI9BISQR1[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIKMO79_0_1 "r.dstate_RNIKMO79_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNIT1BN1 "r.read_RNIT1BN1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_faddr_RNIVNQNH_3 "r.faddr_RNIVNQNH[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_i_RNISKMI9V1_5 "r.dstate_i_RNISKMI9V1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_wb_lock_RNO_0 "r.wb.lock_RNO_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNIFQT5_0 "r.size_RNIFQT5[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_size_RNO_0 "r.wb.size_RNO[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_read_RNIIUKQ6 "r.wb.read_RNIIUKQ6") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_size_RNO_1 "r.wb.size_RNO[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance mexc_1_sqmuxa_0_o2_i_a2 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename dctrl_v_burst_7_0_i_0 "dctrl.v.burst_7_0_i_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIPQBQ1_5 "r.dstate_i_0_RNIPQBQ1[5]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_flush_0_RNIISIE3 "r.flush_0_RNIISIE3") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNIC2PJ_1 "r.cctrl.dcs_RNIC2PJ[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIC2DJ9V1_5 "r.dstate_i_RNIC2DJ9V1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_flush2_RNISBQM5 "r.flush2_RNISBQM5") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_wb_read_RNO "r.wb.read_RNO") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_1_RNI9NANQR1_4 "r.dstate_1_RNI9NANQR1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI6G5K9V1_5 "r.dstate_i_RNI6G5K9V1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIFNVH4R3_14 "r.xaddress_RNIFNVH4R3[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNI7GJ6_14 "r.xaddress_RNI7GJ6[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIE4398_0 "r.xaddress_RNIE4398[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNIM3KNB_1 "r.size_RNIM3KNB[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNIADHJ1_1 "r.size_RNIADHJ1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNISNP02 "r.read_RNISNP02") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNIG4398_0 "r.xaddress_RNIG4398[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNILNH4F_1 "r.size_RNILNH4F[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIG3S9H02_5 "r.dstate_i_0_RNIG3S9H02[5]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIVGF33_4 "r.dstate_1_RNIVGF33[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_21 "r.wb.data1_RNO[21]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_dstate_RNIUDDG4_2 "r.dstate_RNIUDDG4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIU7H12_5 "r.dstate_i_0_RNIU7H12[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_21 "r.wb.data1_RNO_0[21]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_21 "r.wb.data2_RNO[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNI0H6N3_4 "r.dstate_0_RNI0H6N3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_21 "r.wb.addr_RNO[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNISL4I_21 "r.wb.data2_RNISL4I[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIUL725_0_2 "r.dstate_RNIUL725_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIOCKU6 "r.nomds_RNIOCKU6") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI1QCJ9V1_5 "r.dstate_i_RNI1QCJ9V1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI1BISQR1_21 "r.xaddress_RNI1BISQR1[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_2_9 "r.wb.addr_RNO_2[9]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_9 "r.wb.addr_RNO_0[9]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_1_9 "r.wb.addr_RNO_1[9]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_18 "r.xaddress_RNO[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_9 "r.xaddress_RNO[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_22 "r.wb.data1_RNO_0[22]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_22 "r.wb.data1_RNO[22]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_14 "r.wb.data1_RNO_0[14]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_14 "r.wb.data1_RNO[14]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_14 "r.wb.addr_RNO[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_14 "r.wb.data2_RNO[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_RNIOOHRA_1 "r.dstate_RNIOOHRA[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_dstate_RNO_2 "r.dstate_RNO[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_stpend_RNIHDK5 "r.stpend_RNIHDK5") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_3_2 "r.xaddress_RNO_3[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_holdn_RNO_2 "r.holdn_RNO_2") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_flush_0_RNIIO2B2 "r.flush_0_RNIIO2B2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance rdatasel_0_sqmuxa_0_a2_0_a2 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dctrl_un24_dsu_2_0_i_a2 "dctrl.un24_dsu_2_0_i_a2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIIRS9_2 "r.dstate_RNIIRS9[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_7_0_a2_2 "dctrl.un1_eholdn_2_7_0_a2_2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush_0_RNI24UTV12 "r.flush_0_RNI24UTV12") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_1_RNI57CS3_4 "r.dstate_1_RNI57CS3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_cctrlwr_0_0_o2_i_a2 "r.cctrlwr_0_0_o2_i_a2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush_0_RNIVLBJ "r.flush_0_RNIVLBJ") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr4_0_a2_2_a2 "dctrl.v.cctrlwr4_0_a2_2_a2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_flush_0_RNIIHVE "r.flush_0_RNIIHVE") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNITAFVJR1_5 "r.dstate_i_RNITAFVJR1[5]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIGF2EVU1_5 "r.dstate_i_RNIGF2EVU1[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_7_0_a2 "dctrl.un1_eholdn_2_7_0_a2") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_7_0_a2_1 "dctrl.un1_eholdn_2_7_0_a2_1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIPEDQV12_5 "r.dstate_i_RNIPEDQV12[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance tdiagwrite_1_sqmuxa (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr14_0_a2_0_0_a2 "dctrl.v.cctrlwr14_0_a2_0_0_a2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_tr11_14_a2_5_a2_2 "r.dstate_tr11_14_a2_5_a2_2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance N_2439_i_i_a2_0 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIVH4M1_4 "r.dstate_1_RNIVH4M1[4]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr6_0_a2_0_a2_0 "dctrl.v.cctrlwr6_0_a2_0_a2_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr6_0_a2_0_a2 "dctrl.v.cctrlwr6_0_a2_0_a2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dctrl_un24_dsu_2_0_i_o2_0 "dctrl.un24_dsu_2_0_i_o2_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dctrl_rdatav_0_1_0_iv_0_o2_2_4 "dctrl.rdatav_0_1_0_iv_0_o2_2[4]") (viewRef prim (cellRef AXO7 (libraryRef PA3))) ) (instance N_62_i_0_o2 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_tr0_24_0_0_o2_0 "r.dstate_tr0_24_0_0_o2_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_dstate_tr0_24_0_0_o2_2 "r.dstate_tr0_24_0_0_o2_2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_tr11_14_a2_5_o2_3 "r.dstate_tr11_14_a2_5_o2_3") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_dstate_tr0_22_0_0_o2 "r.dstate_tr0_22_0_0_o2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_dstate_tr0_23_0_0_0_o2 "r.dstate_tr0_23_0_0_0_o2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_dstate_ns_i_a2_i_i_m2_2 "r.dstate_ns_i_a2_i_i_m2[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename dctrl_un24_dsu_2_0_i_o2 "dctrl.un24_dsu_2_0_i_o2") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename dctrl_un24_dsu_2_0_i_o2_1 "dctrl.un24_dsu_2_0_i_o2_1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dctrl_v_cctrlwr4_0_a2_0_0_a2_0 "dctrl.v.cctrlwr4_0_a2_0_0_a2_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un1_eholdn_1_0_a2 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename dctrl_un19_eholdn_2_0_a2_0_a2_0_a2_0_o2 "dctrl.un19_eholdn_2_0_a2_0_a2_0_a2_0_o2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance N_121_i_i_o2 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dctrl_lramwr_1_3_0_a2 "dctrl.lramwr_1_3_0_a2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_tr5_0_3_0_a2_0_a2_0_a2_0_a2 "r.dstate_tr5_0_3_0_a2_0_a2_0_a2_0_a2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_tr11_14_a2_5_a2_3 "r.dstate_tr11_14_a2_5_a2_3") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_read_RNIM0KH1 "r.read_RNIM0KH1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI77D89_1 "r.dstate_RNI77D89[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIQ60KC_1 "r.dstate_RNIQ60KC[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIRH4I_13 "r.wb.data2_RNIRH4I[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNISL725_2 "r.dstate_RNISL725[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIO43T4_2_2 "r.dstate_RNIO43T4_2[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIAPH84_4 "r.dstate_0_RNIAPH84[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI1M4I_26 "r.wb.data2_RNI1M4I[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIVL725_0_2 "r.dstate_RNIVL725_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIMP4T4_2 "r.dstate_RNIMP4T4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNI33MU3_4 "r.dstate_0_RNI33MU3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNITOLB_0 "r.xaddress_RNITOLB[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIV0MB_1 "r.xaddress_RNIV0MB[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIC990DV1_5 "r.dstate_i_RNIC990DV1[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_22 "r.xaddress_RNO[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_26 "r.xaddress_RNO[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_1 "r.xaddress_RNO[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_0 "r.xaddress_RNO[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIRL725_0_2 "r.dstate_RNIRL725_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIHBFF3_4 "r.dstate_0_RNIHBFF3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_26 "r.wb.addr_RNO[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_10 "r.wb.data1_RNO_0[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNID59P1_0_1 "r.xaddress_RNID59P1_0[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNID59P1_1 "r.xaddress_RNID59P1[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNIMEH22 "r.read_RNIMEH22") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIVIC89_1_1 "r.dstate_RNIVIC89_1[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNI2QMS8_1 "r.dstate_RNI2QMS8[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_17 "r.wb.data2_RNO[17]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIVH4I_17 "r.wb.data2_RNIVH4I[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIP43T4_2_2 "r.dstate_RNIP43T4_2[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIHPC04_4 "r.dstate_0_RNIHPC04[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_17 "r.wb.addr_RNO[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_17 "r.wb.data1_RNO_0[17]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_17 "r.wb.data1_RNO[17]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_8 "r.xaddress_RNO[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_size_RNIFQ3M1_1 "r.size_RNIFQ3M1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_6 "r.wb.data1_RNO_0[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_6 "r.wb.data1_RNO[6]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIRU6E2_1 "r.nomds_RNIRU6E2_1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIMP4T4_0_2 "r.dstate_RNIMP4T4_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_6 "r.wb.data2_RNO[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNITPG37_1 "r.dstate_RNITPG37[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIMPEG3_4 "r.dstate_0_RNIMPEG3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIVL725_2 "r.dstate_RNIVL725[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI2M4I_27 "r.wb.data2_RNI2M4I[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_icenable_RNO "r.icenable_RNO") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_dstate_i_RNISIG2_5 "r.dstate_i_RNISIG2[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIS3QK1_0_4 "r.dstate_1_RNIS3QK1_0[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_holdn_RNO_3 "r.holdn_RNO_3") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_holdn_RNO_0 "r.holdn_RNO_0") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_req_RNI5I4L1 "r.req_RNI5I4L1") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIN5LE2_3 "r.dstate_RNIN5LE2[3]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename dctrl_un13_eholdn_0_a2_0_a2 "dctrl.un13_eholdn_0_a2_0_a2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIS3QK1_4 "r.dstate_1_RNIS3QK1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_stpend_RNIL3MK1 "r.stpend_RNIL3MK1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename dctrl_lramwr_4_0_a2_0 "dctrl.lramwr_4_0_a2_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dctrl_lramwr_4_0_a2 "dctrl.lramwr_4_0_a2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNIH9PN_1 "r.cctrl.dcs_RNIH9PN[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_asi_RNI6ESG_2 "r.asi_RNI6ESG[2]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_hit_RNO_0 "r.hit_RNO_0") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0 "r.wb.addr_RNO[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNO_0_1 "r.cctrl.dcs_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNO_0_0 "r.cctrl.dcs_RNO_0[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNO_0 "r.cctrl.dcs_RNO[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_burst_RNO_0 "r.burst_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_stpend_RNIRME52 "r.stpend_RNIRME52") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_burst_RNO "r.burst_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_stpend_RNO "r.stpend_RNO") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNI6HV38_0 "r.cctrl.dcs_RNI6HV38[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIV8LR1_5 "r.dstate_i_RNIV8LR1[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNI8RGF_1 "r.size_RNI8RGF[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_30 "r.xaddress_RNO[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_req_RNO "r.req_RNO") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_burst_RNO_2 "r.burst_RNO_2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_req_RNO_0 "r.req_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_size_RNI6JGF_0 "r.size_RNI6JGF[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_read_RNO_0 "r.read_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_read_RNO "r.read_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_wb_lock_RNO_2 "r.wb.lock_RNO_2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_hit_RNO_3 "r.hit_RNO_3") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_6 "r.wb.addr_RNO[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNI7OF89_1_1 "r.dstate_RNI7OF89_1[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIAVPS8_1 "r.dstate_RNIAVPS8[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNI1SKNB_1 "r.size_RNI1SKNB[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNIBDHJ1_1 "r.size_RNIBDHJ1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIOC6L3_4 "r.dstate_0_RNIOC6L3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIUL4I_23 "r.wb.data2_RNIUL4I[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIUL725_2 "r.dstate_RNIUL725[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIQ43T4_0_2 "r.dstate_RNIQ43T4_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_23 "r.wb.data2_RNO[23]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_23 "r.wb.data1_RNO_0[23]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_23 "r.wb.data1_RNO[23]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNI1H5B4_7 "r.xaddress_RNI1H5B4[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNI8J4HH_7 "r.xaddress_RNI8J4HH[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIN84B4_2 "r.xaddress_RNIN84B4[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNI9P28C_2 "r.xaddress_RNI9P28C[2]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNI3P5B4_8 "r.xaddress_RNI3P5B4[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIEP65I_8 "r.xaddress_RNIEP65I[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_faddr_RNI6USBI_4 "r.faddr_RNI6USBI[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNINT2S8_1 "r.dstate_RNINT2S8[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNIFFNH2 "r.read_RNIFFNH2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIUF2KC_0_1 "r.dstate_RNIUF2KC_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNI9UA5F_1 "r.size_RNI9UA5F[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNIKST94_0 "r.xaddress_RNIKST94[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_29 "r.wb.data2_RNO[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_29 "r.wb.data1_RNO_0[29]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_29 "r.wb.data1_RNO[29]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_19 "r.wb.addr_RNO[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_nomds_RNO_0 "r.nomds_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_nomds_RNO "r.nomds_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNO_2 "r.nomds_RNO_2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNO_1 "r.nomds_RNO_1") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_nomds_RNIRCHA "r.nomds_RNIRCHA") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNI1096 "r.nomds_RNI1096") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNI7OF89_0_1 "r.dstate_RNI7OF89_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNIS606F_1 "r.size_RNIS606F[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNICHHJ1_1 "r.size_RNICHHJ1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_30 "r.wb.addr_RNO_0[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_30 "r.wb.addr_RNO[30]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIB0K6_30 "r.xaddress_RNIB0K6[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI45HH8S1_30 "r.xaddress_RNI45HH8S1[30]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_14 "r.xaddress_RNO[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_30 "r.wb.data2_RNO[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_RNI74RR1_3 "r.dstate_RNI74RR1[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_holdn_RNIRBQ6 "r.holdn_RNIRBQ6") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_stpend_RNIFVKQ1 "r.stpend_RNIFVKQ1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI74RR1_0_3 "r.dstate_RNI74RR1_0[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_stpend_RNIFVKQ1_0 "r.stpend_RNIFVKQ1_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIK7282_0_3 "r.dstate_RNIK7282_0[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNIT05B4_5 "r.xaddress_RNIT05B4[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIOMACF_5 "r.xaddress_RNIOMACF[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_faddr_RNIG8PKF_1 "r.faddr_RNIG8PKF[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI516B4_9 "r.xaddress_RNI516B4[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIM5MFM_9 "r.xaddress_RNIM5MFM[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_faddr_RNIIN4OM_5 "r.faddr_RNIIN4OM[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIRO4B4_4 "r.xaddress_RNIRO4B4[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNITIJ8D_4 "r.xaddress_RNITIJ8D[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_faddr_RNIK42HD_0 "r.faddr_RNIK42HD[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNI0M725_2 "r.dstate_RNI0M725[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIRU6E2_0 "r.nomds_RNIRU6E2_0") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIAQIF4_4 "r.dstate_0_RNIAQIF4[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNINP4T4_2_2 "r.dstate_RNINP4T4_2[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_cctrl_ics_RNIGOKU1_0 "r.cctrl.ics_RNIGOKU1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNILKUD_0 "r.wb.data2_RNILKUD[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI1TNN1_5 "r.dstate_i_0_RNI1TNN1[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIJ32H3_4 "r.dstate_0_RNIJ32H3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_cctrl_dfrz_RNI8FMN1 "r.cctrl.dfrz_RNI8FMN1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIQ8VD_5 "r.wb.data2_RNIQ8VD[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIES2N3_5 "r.dstate_i_0_RNIES2N3[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIBJMU3_4 "r.dstate_0_RNIBJMU3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_2 "r.wb.data2_RNO[2]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNISGVD_7 "r.wb.data2_RNISGVD[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIQL725_2 "r.dstate_RNIQL725[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_0_RNIJE853_4 "r.dstate_0_RNIJE853[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNIBOKU1_0 "r.cctrl.dcs_RNIBOKU1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIPL725_2 "r.dstate_RNIPL725[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNINSUD_2 "r.wb.data2_RNINSUD[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIUGDC2_4 "r.dstate_1_RNIUGDC2[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIB4PP_5 "r.dstate_i_RNIB4PP[5]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename dctrl_un1_eholdn_2_7_0_a2_0_0_a2 "dctrl.un1_eholdn_2_7_0_a2_0_0_a2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance N_2439_i_i_a2 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_nomds_RNIRCHA_0 "r.nomds_RNIRCHA_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIILB12_4 "r.dstate_RNIILB12[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNILRP7_5 "r.dstate_i_RNILRP7[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIGLP2LR1_5 "r.dstate_i_RNIGLP2LR1[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_11 "r.wb.data1_RNO[11]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_11 "r.wb.data1_RNO_0[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_11 "r.wb.addr_RNO[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_10 "r.wb.addr_RNO[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_1_2 "r.wb.addr_RNO_1[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_16_0 "dctrl.0.hitv3_16_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_dstate_RNINP4T4_1_2 "r.dstate_RNINP4T4_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIRU6E2 "r.nomds_RNIRU6E2") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI3M4I_28 "r.wb.data2_RNI3M4I[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_1_RNISBD13_4 "r.dstate_1_RNISBD13[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIL43T4_0_2 "r.dstate_RNIL43T4_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIQU6E2_4 "r.nomds_RNIQU6E2_4") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIPL725_0_2 "r.dstate_RNIPL725_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_28 "r.wb.data1_RNO[28]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_26 "r.wb.data1_RNO[26]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_18 "r.wb.data1_RNO[18]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_10 "r.wb.data1_RNO[10]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_28 "r.wb.data1_RNO_0[28]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_26 "r.wb.data1_RNO_0[26]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_18 "r.wb.data1_RNO_0[18]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIIP4T4_2 "r.dstate_RNIIP4T4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIRL725_2 "r.dstate_RNIRL725[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIUOVD_9 "r.wb.data2_RNIUOVD[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIAI1A3_4 "r.dstate_1_RNIAI1A3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_31 "r.wb.data1_RNO[31]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_20 "r.wb.data1_RNO[20]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_31 "r.wb.data1_RNO_0[31]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_20 "r.wb.data1_RNO_0[20]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_19 "r.wb.data1_RNO[19]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_3 "r.wb.addr_RNO[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_29 "r.wb.addr_RNO[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_7 "r.wb.addr_RNO[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_5 "r.wb.addr_RNO[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_4 "r.wb.addr_RNO[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_3 "r.wb.addr_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_25 "r.wb.data1_RNO[25]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_25 "r.wb.data1_RNO_0[25]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNI3CH12_5 "r.dstate_i_0_RNI3CH12[5]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_dstate_RNI9RQQA_1 "r.dstate_RNI9RQQA[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_flush_RNIUO535 "r.flush_RNIUO535") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush_RNI4JQHB22 "r.flush_RNI4JQHB22") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename dctrl_vmask_0_1_0_1 "dctrl.vmask_0_1_0[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename rdatasel_12_i_o4_7 "rdatasel_12_i_o4[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_30 "r.wb.data1_RNO[30]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_1 "r.wb.data1_RNO[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_30 "r.wb.data1_RNO_0[30]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_1 "r.wb.data1_RNO_0[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIHAPQA_1 "r.dstate_RNIHAPQA[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_13 "r.wb.data1_RNO[13]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_12 "r.wb.data1_RNO[12]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_13 "r.wb.data1_RNO_0[13]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_12 "r.wb.data1_RNO_0[12]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_nomds_RNIQU6E2_2 "r.nomds_RNIQU6E2_2") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIQ58V3_4 "r.dstate_1_RNIQ58V3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIPH4I_11 "r.wb.data2_RNIPH4I[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIMS0L3_4 "r.dstate_1_RNIMS0L3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIQL725_0_2 "r.dstate_RNIQL725_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIRCVD_6 "r.wb.data2_RNIRCVD[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIIMST4_5 "r.dstate_i_RNIIMST4[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_valid_0_RNIB1NG2_1 "r.valid_0_RNIB1NG2[1]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_xaddress_RNINB5C2_2_2 "r.xaddress_RNINB5C2_2[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIAS0QA_1 "r.dstate_RNIAS0QA[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIKFFRA_1 "r.dstate_RNIKFFRA[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNID1NQA_1 "r.dstate_RNID1NQA[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIGM45A_1 "r.dstate_RNIGM45A[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNI4M35A_1 "r.dstate_RNI4M35A[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIAOP27_1 "r.dstate_RNIAOP27[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIQFO27_1 "r.dstate_RNIQFO27[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIIRN27_1 "r.dstate_RNIIRN27[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIT8E37_1 "r.dstate_RNIT8E37[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_dstate_RNILKD37_1 "r.dstate_RNILKD37[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_dstate_RNID0D37_1 "r.dstate_RNID0D37[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIQ7UJ1_5 "r.dstate_i_0_RNIQ7UJ1[5]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dstate_RNIFLTI_3 "r.dstate_RNIFLTI[3]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_hit_RNI17SC "r.hit_RNI17SC") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_flush2_RNIVB5P6 "r.flush2_RNIVB5P6") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIMGMF3_2 "r.dstate_RNIMGMF3[2]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_15 "r.wb.data2_RNO[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_13 "r.wb.data2_RNO[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_10 "r.wb.data2_RNO[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_9 "r.wb.data2_RNO[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_8 "r.wb.data2_RNO[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_7 "r.wb.data2_RNO[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_5 "r.wb.data2_RNO[5]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_3 "r.wb.data2_RNO[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_1 "r.wb.data2_RNO[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_0 "r.wb.data2_RNO[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_24 "r.wb.data2_RNO[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_26 "r.wb.data2_RNO[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_31 "r.wb.data2_RNO[31]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_27 "r.wb.data2_RNO[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_22 "r.wb.data2_RNO[22]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_20 "r.wb.data2_RNO[20]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_18 "r.wb.data2_RNO[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_12 "r.wb.data2_RNO[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_4 "r.wb.data2_RNO[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data2_RNO_25 "r.wb.data2_RNO[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_cctrl_ics_RNO_0_0 "r.cctrl.ics_RNO_0[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename dctrl_v_wb_data1_1_i_a2_RNO_16 "dctrl.v.wb.data1_1_i_a2_RNO[16]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_0_0_0_x2 "dctrl.0.hitv3_0_0_0_x2") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_2_0_0_x2 "dctrl.0.hitv3_2_0_0_x2") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_4_0_0_x2 "dctrl.0.hitv3_4_0_0_x2") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename un1_r_dstate_16_i_o2 "un1_r.dstate_16_i_o2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dstate_tr0_24_0_0_o2_1 "r.dstate_tr0_24_0_0_o2_1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_holdn_RNIA71D "r.holdn_RNIA71D") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_i_RNI5HO7_5 "r.dstate_i_RNI5HO7[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_11 "r.xaddress_RNO[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_10 "r.xaddress_RNO[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_17 "r.xaddress_RNO[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_28 "r.xaddress_RNO[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_31 "r.xaddress_RNO[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_25 "r.xaddress_RNO[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_23 "r.xaddress_RNO[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_29 "r.xaddress_RNO[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_21 "r.xaddress_RNO[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_20 "r.xaddress_RNO[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_19 "r.xaddress_RNO[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_16 "r.xaddress_RNO[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_27 "r.xaddress_RNO[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_15 "r.xaddress_RNO[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_24 "r.xaddress_RNO[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_13 "r.xaddress_RNO[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_12 "r.xaddress_RNO[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_faddr_RNO_7 "r.faddr_RNO[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_cctrl_ics_RNO_0 "r.cctrl.ics_RNO[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_7 "r.xaddress_RNO[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_6 "r.xaddress_RNO[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_5 "r.xaddress_RNO[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_4 "r.xaddress_RNO[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNIHB864_0 "r.dstate_RNIHB864[0]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_xaddress_RNI1N1OJR1_4 "r.xaddress_RNI1N1OJR1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance N_2439_i_i_a2_1 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_stpend_RNIO2SO5 "r.stpend_RNIO2SO5") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush_RNIDV0T1 "r.flush_RNIDV0T1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNISH4I_14 "r.wb.data2_RNISH4I[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNISL725_1_2 "r.dstate_RNISL725_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIO43T4_1_2 "r.dstate_RNIO43T4_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIIODC3_4 "r.dstate_1_RNIIODC3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNITL725_0_2 "r.dstate_RNITL725_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIP43T4_0_2 "r.dstate_RNIP43T4_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNILQU03_4 "r.dstate_1_RNILQU03[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI0I4I_18 "r.wb.data2_RNI0I4I[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNITL725_2 "r.dstate_RNITL725[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIP43T4_1_2 "r.dstate_RNIP43T4_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNI4AUU2_4 "r.dstate_1_RNI4AUU2[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI0M4I_25 "r.wb.data2_RNI0M4I[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIVL725_1_2 "r.dstate_RNIVL725_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIR43T4_2 "r.dstate_RNIR43T4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNI99673_4 "r.dstate_1_RNI99673[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIRL4I_20 "r.wb.data2_RNIRL4I[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIUL725_1_2 "r.dstate_RNIUL725_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIQ43T4_2 "r.dstate_RNIQ43T4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNI5NDN2_4 "r.dstate_1_RNI5NDN2[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNISL725_2_2 "r.dstate_RNISL725_2[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIO43T4_0_2 "r.dstate_RNIO43T4_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIK87D3_4 "r.dstate_1_RNIK87D3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIP4VD_4 "r.wb.data2_RNIP4VD[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_cctrl_ifrz_RNIK4GR1 "r.cctrl.ifrz_RNIK4GR1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_1_18 "r.wb.addr_RNO_1[18]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_nomds_RNIQU6E2_3 "r.nomds_RNIQU6E2_3") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNIQU6E2_0 "r.nomds_RNIQU6E2_0") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_1_27 "r.wb.addr_RNO_1[27]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_27 "r.wb.addr_RNO_0[27]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_2_27 "r.wb.addr_RNO_2[27]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_1_23 "r.wb.addr_RNO_1[23]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_23 "r.wb.addr_RNO_0[23]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_2_23 "r.wb.addr_RNO_2[23]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_1_22 "r.wb.addr_RNO_1[22]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_22 "r.wb.addr_RNO_0[22]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_2_22 "r.wb.addr_RNO_2[22]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_1_16 "r.wb.addr_RNO_1[16]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_16 "r.wb.addr_RNO_0[16]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_2_16 "r.wb.addr_RNO_2[16]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_1_8 "r.wb.addr_RNO_1[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_8 "r.wb.addr_RNO_0[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_2_8 "r.wb.addr_RNO_2[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_1_1 "r.wb.addr_RNO_1[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_0_1 "r.wb.addr_RNO_0[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_2_1 "r.wb.addr_RNO_2[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_nomds_RNIQU6E2 "r.nomds_RNIQU6E2") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNIQU6E2_1 "r.nomds_RNIQU6E2_1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNIV7Q72 "r.nomds_RNIV7Q72") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_nomds_RNIV7Q72_5 "r.nomds_RNIV7Q72_5") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIQL725_1_2 "r.dstate_RNIQL725_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIM8F82_1 "r.dstate_RNIM8F82[1]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_stpend_RNI8TUJ7_0 "r.stpend_RNI8TUJ7_0") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_dstate_RNI88RR1_4 "r.dstate_RNI88RR1[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_faddr_RNIMVM8U_7 "r.faddr_RNIMVM8U[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_faddr_RNI49A0Q_6 "r.faddr_RNI49A0Q[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIOD80U_11 "r.xaddress_RNIOD80U[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNI7NRNP_10 "r.xaddress_RNI7NRNP[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIBRNC4_11 "r.xaddress_RNIBRNC4[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNI9RNC4_10 "r.xaddress_RNI9RNC4[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_faddr_RNO_6 "r.faddr_RNO[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_faddr_RNO_5 "r.faddr_RNO[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_faddr_RNO_4 "r.faddr_RNO[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_faddr_RNO_3 "r.faddr_RNO[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_faddr_RNO_2 "r.faddr_RNO[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_faddr_RNO_1 "r.faddr_RNO[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_faddr_RNO_0 "r.faddr_RNO[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_8 "r.wb.data1_RNO[8]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_8 "r.wb.data1_RNO_0[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_RNIR43T4_0_2 "r.dstate_RNIR43T4_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIV7Q72_0 "r.nomds_RNIV7Q72_0") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIVL725_2_2 "r.dstate_RNIVL725_2[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI4VNB_24 "r.wb.data2_RNI4VNB[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNIV7Q72_1 "r.nomds_RNIV7Q72_1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename dctrl_rdatav_0_1_0_iv_RNO_22 "dctrl.rdatav_0_1_0_iv_RNO[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dctrl_rdatav_0_1_0_iv_RNO_1_22 "dctrl.rdatav_0_1_0_iv_RNO_1[22]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename dctrl_rdatav_0_1_0_iv_RNO_4_22 "dctrl.rdatav_0_1_0_iv_RNO_4[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dctrl_rdatav_0_1_0_iv_RNO_5_22 "dctrl.rdatav_0_1_0_iv_RNO_5[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_19_0 "dctrl.0.hitv3_19_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIQILU3_4 "r.dstate_1_RNIQILU3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNINP4T4_0_2 "r.dstate_RNINP4T4_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI0M725_0_2 "r.dstate_RNI0M725_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI43OB_31 "r.wb.data2_RNI43OB[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIP9024_4 "r.dstate_1_RNIP9024[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNINP4T4_2 "r.dstate_RNINP4T4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNI08Q72_0 "r.nomds_RNI08Q72_0") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNI0M725_1_2 "r.dstate_RNI0M725_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNI08Q72_1 "r.nomds_RNI08Q72_1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIM2GP3_4 "r.dstate_1_RNIM2GP3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_cctrl_ics_RNO_1 "r.cctrl.ics_RNO[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_cctrl_ics_RNO_0_1 "r.cctrl.ics_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_28 "r.wb.addr_RNO[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_12_0 "dctrl.0.hitv3_12_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_11_0 "dctrl.0.hitv3_11_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_18_0 "dctrl.0.hitv3_18_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename dctrl_0_hitv3_3_0 "dctrl.0.hitv3_3_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_dstate_i_0_RNIIV0T1_5 "r.dstate_i_0_RNIIV0T1[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIBE144_4 "r.dstate_1_RNIBE144[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIO43T4_2 "r.dstate_RNIO43T4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIV7Q72_3 "r.nomds_RNIV7Q72_3") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNISL725_0_2 "r.dstate_RNISL725_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI2RNB_15 "r.wb.data2_RNI2RNB[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_27 "r.wb.data1_RNO[27]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_15 "r.wb.data1_RNO[15]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_27 "r.wb.data1_RNO_0[27]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_15 "r.wb.data1_RNO_0[15]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_nomds_RNIV7Q72_7 "r.nomds_RNIV7Q72_7") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIP6953_4 "r.dstate_1_RNIP6953[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIIP4T4_0_2 "r.dstate_RNIIP4T4_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNI08Q72 "r.nomds_RNI08Q72") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIRL725_1_2 "r.dstate_RNIRL725_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI2UI7_8 "r.wb.data2_RNI2UI7[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_24 "r.wb.data1_RNO[24]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_9 "r.wb.data1_RNO[9]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_24 "r.wb.data1_RNO_0[24]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_9 "r.wb.data1_RNO_0[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_cctrl_ics_RNIHOKU1_1 "r.cctrl.ics_RNIHOKU1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNI4KVK3_4 "r.dstate_1_RNI4KVK3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIV7Q72_6 "r.nomds_RNIV7Q72_6") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIPL725_2_2 "r.dstate_RNIPL725_2[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_4 "r.wb.data1_RNO[4]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_4 "r.wb.data1_RNO_0[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_faddr_RNI1ENTG_2 "r.faddr_RNI1ENTG[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI8S8LG_6 "r.xaddress_RNI8S8LG[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNI8HC9C_3 "r.xaddress_RNI8HC9C[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNIV85B4_6 "r.xaddress_RNIV85B4[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_xaddress_RNIPG4B4_3 "r.xaddress_RNIPG4B4[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_7 "r.wb.data1_RNO[7]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_5 "r.wb.data1_RNO[5]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_7 "r.wb.data1_RNO_0[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_5 "r.wb.data1_RNO_0[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_RNIIRS9_0_2 "r.dstate_RNIIRS9_0[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_cctrl_ics_RNO_1_1 "r.cctrl.ics_RNO_1[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_2 "r.wb.data1_RNO[2]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_2 "r.wb.data1_RNO_0[2]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_addr_RNO_2_2 "r.wb.addr_RNO_2[2]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_read_RNI0MHE_0 "r.read_RNI0MHE_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_cctrl_burst_RNO "r.cctrl.burst_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNO_3 "r.xaddress_RNO[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI3HMB_3 "r.xaddress_RNI3HMB[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNI19MB_2 "r.xaddress_RNI19MB[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dstate_RNI5TE37_1 "r.dstate_RNI5TE37[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_flush_RNI0P535 "r.flush_RNI0P535") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush_RNIVO535 "r.flush_RNIVO535") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush_RNITO535 "r.flush_RNITO535") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_valid_0_RNO_3 "r.valid_0_RNO[3]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_valid_0_RNO_2 "r.valid_0_RNO[2]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_valid_0_RNO_1 "r.valid_0_RNO[1]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_valid_0_RNO_0 "r.valid_0_RNO[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_valid_0_RNO_0_3 "r.valid_0_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_valid_0_RNO_0_2 "r.valid_0_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_valid_0_RNO_0_1 "r.valid_0_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_valid_0_RNO_0_0 "r.valid_0_RNO_0[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_cctrl_burst_RNI79TQ1 "r.cctrl.burst_RNI79TQ1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNIUJII3_4 "r.dstate_1_RNIUJII3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIP43T4_2 "r.dstate_RNIP43T4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIV7Q72_2 "r.nomds_RNIV7Q72_2") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNITL725_1_2 "r.dstate_RNITL725_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNI3RNB_16 "r.wb.data2_RNI3RNB[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_RNICOKU1_1 "r.cctrl.dcs_RNICOKU1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_1_RNI8U753_4 "r.dstate_1_RNI8U753[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIL43T4_2 "r.dstate_RNIL43T4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_nomds_RNIV7Q72_4 "r.nomds_RNIV7Q72_4") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dstate_RNIPL725_1_2 "r.dstate_RNIPL725_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_wb_data2_RNIT9I7_3 "r.wb.data2_RNIT9I7[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush_RNIMRRHB22 "r.flush_RNIMRRHB22") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_flush_RNID7RHB22 "r.flush_RNID7RHB22") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_flush_RNIRUPHB22 "r.flush_RNIRUPHB22") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename dctrl_vmask_0_1_0_3 "dctrl.vmask_0_1_0[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename dctrl_vmask_0_1_0_2 "dctrl.vmask_0_1_0[2]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename dctrl_vmask_0_1_0_0 "dctrl.vmask_0_1_0[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIKMST4_5 "r.dstate_i_RNIKMST4[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIJMST4_5 "r.dstate_i_RNIJMST4[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIHMST4_5 "r.dstate_i_RNIHMST4[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_valid_0_RNID1NG2_3 "r.valid_0_RNID1NG2[3]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_valid_0_RNIC1NG2_2 "r.valid_0_RNIC1NG2[2]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_valid_0_RNIA1NG2_0 "r.valid_0_RNIA1NG2[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_3 "r.wb.data1_RNO[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0 "r.wb.data1_RNO[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_3 "r.wb.data1_RNO_0[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_wb_data1_RNO_0_0 "r.wb.data1_RNO_0[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename dctrl_0_genmux_un6_validrawv_3 "dctrl.0.genmux.un6_validrawv_3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename dctrl_0_genmux_un6_validrawv_2 "dctrl.0.genmux.un6_validrawv_2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename dctrl_0_genmux_un6_validrawv_1 "dctrl.0.genmux.un6_validrawv_1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_size_RNI5P9I1_1_1 "r.size_RNI5P9I1_1[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_size_RNI5P9I1_1 "r.size_RNI5P9I1[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_xaddress_RNINB5C2_1_2 "r.xaddress_RNINB5C2_1[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNINB5C2_0_2 "r.xaddress_RNINB5C2_0[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNINB5C2_2 "r.xaddress_RNINB5C2[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_flush_RNICD8ME "r.flush_RNICD8ME") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIGKVHE_5 "r.dstate_i_RNIGKVHE[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_nomds_RNIRGU903 "r.nomds_RNIRGU903") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_nomds_RNIBK9H "r.nomds_RNIBK9H") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_flush2_RNO "r.flush2_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNO_0 "r.dstate_RNO[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_dstate_ns_i_a2_i_i_o2_RNIC4422_1 "r.dstate_ns_i_a2_i_i_o2_RNIC4422[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dstate_i_RNIA29TQ22_5 "r.dstate_i_RNIA29TQ22[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_cctrl_burst_RNO_0 "r.cctrl.burst_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_xaddress_RNIG4398_0_0 "r.xaddress_RNIG4398_0[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI5UQKC_0_1 "r.dstate_RNI5UQKC_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNIHDHJ1_1 "r.size_RNIHDHJ1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNIGDHJ1_1 "r.size_RNIGDHJ1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNIB595F_1 "r.size_RNIB595F[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIVIC89_0_1 "r.dstate_RNIVIC89_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNIADJV1 "r.read_RNIADJV1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIV01S8_1 "r.dstate_RNIV01S8[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNISPM79_0_1 "r.dstate_RNISPM79_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNI6RQF1 "r.read_RNI6RQF1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIAENS8_1 "r.dstate_RNIAENS8[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNI77D89_1_1 "r.dstate_RNI77D89_1[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNI5EBN1 "r.read_RNI5EBN1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIM04KC_1 "r.dstate_RNIM04KC[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIKMO79_1 "r.dstate_RNIKMO79[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNIDJ562 "r.read_RNIDJ562") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNIA9HJ1_1 "r.size_RNIA9HJ1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNIRPCOB_1 "r.size_RNIRPCOB[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNI5UQKC_1 "r.dstate_RNI5UQKC[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIC4398_0 "r.xaddress_RNIC4398[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI7OF89_1 "r.dstate_RNI7OF89[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dstate_RNIUF2KC_1 "r.dstate_RNIUF2KC[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNI4EN79_1 "r.dstate_RNI4EN79[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNIFEMA2 "r.read_RNIFEMA2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNI89HJ1_1 "r.size_RNI89HJ1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIN1AJC_1 "r.dstate_RNIN1AJC[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNISPM79_1 "r.dstate_RNISPM79[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNIB4398_0 "r.xaddress_RNIB4398[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNITRDUB_1 "r.dstate_RNITRDUB[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dstate_RNIVIC89_1 "r.dstate_RNIVIC89[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_read_RNICN5C1 "r.read_RNICN5C1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNIRBD71 "r.read_RNIRBD71") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNI742T7_1 "r.size_RNI742T7[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNIO3D71 "r.read_RNIO3D71") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNIEM3M1_1 "r.size_RNIEM3M1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNIP31T7_1 "r.size_RNIP31T7[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_xaddress_RNIA4398_1 "r.xaddress_RNIA4398[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNIOO6J1 "r.read_RNIOO6J1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNIIJ0T7_1 "r.size_RNIIJ0T7[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNI0LSR1 "r.read_RNI0LSR1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNICE3M1_1 "r.size_RNICE3M1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNI6PNT7_1 "r.size_RNI6PNT7[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNIV8NT7_1 "r.size_RNIV8NT7[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_size_RNIA63M1_1 "r.size_RNIA63M1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_size_RNIOOMT7_1 "r.size_RNIOOMT7[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_xaddress_RNI94398_1 "r.xaddress_RNI94398[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNI6P3N1 "r.read_RNI6P3N1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_read_RNIUGH31 "r.read_RNIUGH31") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush2 "r.flush2") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_icenable "r.icenable") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_lock "r.wb.lock") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_hit "r.hit") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_wb_read "r.wb.read") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_cctrl_ifrz "r.cctrl.ifrz") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_cctrl_dfrz "r.cctrl.dfrz") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_mexc "r.mexc") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_holdn "r.holdn") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_req "r.req") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_read "r.read") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cctrl_burst "r.cctrl.burst") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_nomds "r.nomds") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_burst "r.burst") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_flush "r.flush") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_stpend "r.stpend") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cctrlwr "r.cctrlwr") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dstate_i_5 "r.dstate_i[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dstate_4 "r.dstate[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dstate_3 "r.dstate[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dstate_2 "r.dstate[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dstate_1 "r.dstate[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dstate_0 "r.dstate[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cctrl_ics_0 "r.cctrl.ics[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cctrl_ics_1 "r.cctrl.ics[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_0 "r.cctrl.dcs[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cctrl_dcs_1 "r.cctrl.dcs[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_size_0 "r.wb.size[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_size_1 "r.wb.size[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_0 "r.wb.data2[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_1 "r.wb.data2[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_2 "r.wb.data2[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_3 "r.wb.data2[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_4 "r.wb.data2[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_5 "r.wb.data2[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_6 "r.wb.data2[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_7 "r.wb.data2[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_8 "r.wb.data2[8]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_9 "r.wb.data2[9]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_10 "r.wb.data2[10]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_11 "r.wb.data2[11]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_12 "r.wb.data2[12]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_13 "r.wb.data2[13]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_14 "r.wb.data2[14]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_15 "r.wb.data2[15]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_16 "r.wb.data2[16]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_17 "r.wb.data2[17]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_18 "r.wb.data2[18]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_19 "r.wb.data2[19]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_20 "r.wb.data2[20]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_21 "r.wb.data2[21]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_22 "r.wb.data2[22]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_23 "r.wb.data2[23]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_24 "r.wb.data2[24]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_25 "r.wb.data2[25]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_26 "r.wb.data2[26]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_27 "r.wb.data2[27]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_28 "r.wb.data2[28]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_29 "r.wb.data2[29]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_30 "r.wb.data2[30]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data2_31 "r.wb.data2[31]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_asi_0 "r.asi[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_asi_2 "r.asi[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_asi_3 "r.asi[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_size_0 "r.size[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_size_1 "r.size[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_valid_0_0 "r.valid_0[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_valid_0_1 "r.valid_0[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_valid_0_2 "r.valid_0[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_valid_0_3 "r.valid_0[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_0 "r.faddr[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_1 "r.faddr[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_2 "r.faddr[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_3 "r.faddr[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_4 "r.faddr[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_5 "r.faddr[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_6 "r.faddr[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_7 "r.faddr[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_wb_data1_0 "r.wb.data1[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_1 "r.wb.data1[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_2 "r.wb.data1[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_3 "r.wb.data1[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_4 "r.wb.data1[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_5 "r.wb.data1[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_6 "r.wb.data1[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_7 "r.wb.data1[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_8 "r.wb.data1[8]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_9 "r.wb.data1[9]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_10 "r.wb.data1[10]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_11 "r.wb.data1[11]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_12 "r.wb.data1[12]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_13 "r.wb.data1[13]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_14 "r.wb.data1[14]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_15 "r.wb.data1[15]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_16 "r.wb.data1[16]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_17 "r.wb.data1[17]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_18 "r.wb.data1[18]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_19 "r.wb.data1[19]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_20 "r.wb.data1[20]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_21 "r.wb.data1[21]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_22 "r.wb.data1[22]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_23 "r.wb.data1[23]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_24 "r.wb.data1[24]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_25 "r.wb.data1[25]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_26 "r.wb.data1[26]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_27 "r.wb.data1[27]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_28 "r.wb.data1[28]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_29 "r.wb.data1[29]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_30 "r.wb.data1[30]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_data1_31 "r.wb.data1[31]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_xaddress_0 "r.xaddress[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_1 "r.xaddress[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_2 "r.xaddress[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_3 "r.xaddress[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_4 "r.xaddress[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_5 "r.xaddress[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_6 "r.xaddress[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_7 "r.xaddress[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_8 "r.xaddress[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_9 "r.xaddress[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_10 "r.xaddress[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_11 "r.xaddress[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_12 "r.xaddress[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_13 "r.xaddress[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_14 "r.xaddress[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_15 "r.xaddress[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_16 "r.xaddress[16]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_17 "r.xaddress[17]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_18 "r.xaddress[18]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_19 "r.xaddress[19]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_20 "r.xaddress[20]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_21 "r.xaddress[21]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_22 "r.xaddress[22]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_23 "r.xaddress[23]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_24 "r.xaddress[24]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_25 "r.xaddress[25]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_26 "r.xaddress[26]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_27 "r.xaddress[27]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_28 "r.xaddress[28]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_29 "r.xaddress[29]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_30 "r.xaddress[30]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_xaddress_31 "r.xaddress[31]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_0 "r.wb.addr[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_1 "r.wb.addr[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_2 "r.wb.addr[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_3 "r.wb.addr[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_4 "r.wb.addr[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_5 "r.wb.addr[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_6 "r.wb.addr[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_7 "r.wb.addr[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_8 "r.wb.addr[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_9 "r.wb.addr[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_10 "r.wb.addr[10]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_11 "r.wb.addr[11]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_12 "r.wb.addr[12]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_13 "r.wb.addr[13]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_14 "r.wb.addr[14]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_15 "r.wb.addr[15]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_16 "r.wb.addr[16]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_17 "r.wb.addr[17]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_18 "r.wb.addr[18]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_19 "r.wb.addr[19]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_20 "r.wb.addr[20]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_21 "r.wb.addr[21]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_22 "r.wb.addr[22]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_23 "r.wb.addr[23]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_24 "r.wb.addr[24]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_25 "r.wb.addr[25]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_26 "r.wb.addr[26]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_27 "r.wb.addr[27]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_28 "r.wb.addr[28]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_29 "r.wb.addr[29]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_wb_addr_30 "r.wb.addr[30]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_wb_addr_31 "r.wb.addr[31]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename un1_r_faddr_I_38 "un1_r.faddr_I_38") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_37 "un1_r.faddr_I_37") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_34 "un1_r.faddr_I_34") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_31 "un1_r.faddr_I_31") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_30 "un1_r.faddr_I_30") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_27 "un1_r.faddr_I_27") (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_24 "un1_r.faddr_I_24") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_23 "un1_r.faddr_I_23") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_20 "un1_r.faddr_I_20") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_16 "un1_r.faddr_I_16") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_13 "un1_r.faddr_I_13") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_12 "un1_r.faddr_I_12") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_9 "un1_r.faddr_I_9") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_5 "un1_r.faddr_I_5") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net N_205 (joined (portRef Y (instanceRef r_flush_0_RNI87MVIN3)) (portRef D (instanceRef r_flush)) (portRef D (instanceRef r_flush_0)) )) (net read_1 (joined (portRef Q (instanceRef r_read)) (portRef B (instanceRef r_read_RNI0MHE_0)) (portRef B (instanceRef r_read_RNO_0)) (portRef B (instanceRef r_wb_read_RNO)) (portRef A (instanceRef r_read_RNI9KLJ)) (portRef B (instanceRef r_read_RNI0MHE)) )) (net holdn_RNIRBQ6 (joined (portRef Y (instanceRef r_holdn_RNIRBQ6)) (portRef S (instanceRef r_xaddress_RNI19MB_2)) (portRef S (instanceRef r_xaddress_RNI3HMB_3)) (portRef S (instanceRef r_read_RNI0MHE_0)) (portRef S (instanceRef r_size_RNI6JGF_0)) (portRef S (instanceRef r_size_RNI8RGF_1)) (portRef S (instanceRef r_xaddress_RNIV0MB_1)) (portRef S (instanceRef r_xaddress_RNITOLB_0)) (portRef A (instanceRef r_dstate_RNIT77K_1)) (portRef S (instanceRef r_read_RNI0MHE)) )) (net (rename dstate_1_4 "dstate_1[4]") (joined (portRef Q (instanceRef r_dstate_1_4)) (portRef A (instanceRef r_dstate_1_RNIUGDC2_4)) (portRef S (instanceRef r_wb_data2_RNO_2)) (portRef S (instanceRef r_wb_data2_RNO_30)) (portRef S (instanceRef r_xaddress_RNIB0K6_30)) (portRef B (instanceRef r_nomds_RNO_2)) (portRef S (instanceRef r_wb_data2_RNO_29)) (portRef S (instanceRef r_wb_data2_RNO_23)) (portRef A (instanceRef r_dstate_1_RNIS3QK1_4)) (portRef A (instanceRef r_dstate_1_RNIS3QK1_0_4)) (portRef S (instanceRef r_wb_data2_RNO_6)) (portRef S (instanceRef r_wb_data2_RNO_17)) (portRef C (instanceRef r_dstate_1_RNIVH4M1_4)) (portRef A (instanceRef r_stpend_RNIHDK5)) (portRef S (instanceRef r_wb_data2_RNO_14)) (portRef S (instanceRef r_wb_data2_RNO_21)) (portRef S (instanceRef r_xaddress_RNI7GJ6_14)) (portRef B (instanceRef r_dstate_1_RNI9NANQR1_4)) (portRef S (instanceRef r_xaddress_RNIHGJ6_19)) (portRef S (instanceRef r_xaddress_RNI9GJ6_15)) (portRef S (instanceRef r_xaddress_RNI3GJ6_12)) (portRef S (instanceRef r_wb_data2_RNO_11)) (portRef S (instanceRef r_wb_data2_RNO_28)) (portRef S (instanceRef r_wb_data2_RNO_19)) (portRef S (instanceRef r_wb_data2_RNO_16)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_wb_addr_31)) (portRef CLK (instanceRef r_wb_addr_30)) (portRef CLK (instanceRef r_wb_addr_29)) (portRef CLK (instanceRef r_wb_addr_28)) (portRef CLK (instanceRef r_wb_addr_27)) (portRef CLK (instanceRef r_wb_addr_26)) (portRef CLK (instanceRef r_wb_addr_25)) (portRef CLK (instanceRef r_wb_addr_24)) (portRef CLK (instanceRef r_wb_addr_23)) (portRef CLK (instanceRef r_wb_addr_22)) (portRef CLK (instanceRef r_wb_addr_21)) (portRef CLK (instanceRef r_wb_addr_20)) (portRef CLK (instanceRef r_wb_addr_19)) (portRef CLK (instanceRef r_wb_addr_18)) (portRef CLK (instanceRef r_wb_addr_17)) (portRef CLK (instanceRef r_wb_addr_16)) (portRef CLK (instanceRef r_wb_addr_15)) (portRef CLK (instanceRef r_wb_addr_14)) (portRef CLK (instanceRef r_wb_addr_13)) (portRef CLK (instanceRef r_wb_addr_12)) (portRef CLK (instanceRef r_wb_addr_11)) (portRef CLK (instanceRef r_wb_addr_10)) (portRef CLK (instanceRef r_wb_addr_9)) (portRef CLK (instanceRef r_wb_addr_8)) (portRef CLK (instanceRef r_wb_addr_7)) (portRef CLK (instanceRef r_wb_addr_6)) (portRef CLK (instanceRef r_wb_addr_5)) (portRef CLK (instanceRef r_wb_addr_4)) (portRef CLK (instanceRef r_wb_addr_3)) (portRef CLK (instanceRef r_wb_addr_2)) (portRef CLK (instanceRef r_wb_addr_1)) (portRef CLK (instanceRef r_wb_addr_0)) (portRef CLK (instanceRef r_xaddress_31)) (portRef CLK (instanceRef r_xaddress_30)) (portRef CLK (instanceRef r_xaddress_29)) (portRef CLK (instanceRef r_xaddress_28)) (portRef CLK (instanceRef r_xaddress_27)) (portRef CLK (instanceRef r_xaddress_26)) (portRef CLK (instanceRef r_xaddress_25)) (portRef CLK (instanceRef r_xaddress_24)) (portRef CLK (instanceRef r_xaddress_23)) (portRef CLK (instanceRef r_xaddress_22)) (portRef CLK (instanceRef r_xaddress_21)) (portRef CLK (instanceRef r_xaddress_20)) (portRef CLK (instanceRef r_xaddress_19)) (portRef CLK (instanceRef r_xaddress_18)) (portRef CLK (instanceRef r_xaddress_17)) (portRef CLK (instanceRef r_xaddress_16)) (portRef CLK (instanceRef r_xaddress_15)) (portRef CLK (instanceRef r_xaddress_14)) (portRef CLK (instanceRef r_xaddress_13)) (portRef CLK (instanceRef r_xaddress_12)) (portRef CLK (instanceRef r_xaddress_11)) (portRef CLK (instanceRef r_xaddress_10)) (portRef CLK (instanceRef r_xaddress_9)) (portRef CLK (instanceRef r_xaddress_8)) (portRef CLK (instanceRef r_xaddress_7)) (portRef CLK (instanceRef r_xaddress_6)) (portRef CLK (instanceRef r_xaddress_5)) (portRef CLK (instanceRef r_xaddress_4)) (portRef CLK (instanceRef r_xaddress_3)) (portRef CLK (instanceRef r_xaddress_2)) (portRef CLK (instanceRef r_xaddress_1)) (portRef CLK (instanceRef r_xaddress_0)) (portRef CLK (instanceRef r_wb_data1_31)) (portRef CLK (instanceRef r_wb_data1_30)) (portRef CLK (instanceRef r_wb_data1_29)) (portRef CLK (instanceRef r_wb_data1_28)) (portRef CLK (instanceRef r_wb_data1_27)) (portRef CLK (instanceRef r_wb_data1_26)) (portRef CLK (instanceRef r_wb_data1_25)) (portRef CLK (instanceRef r_wb_data1_24)) (portRef CLK (instanceRef r_wb_data1_23)) (portRef CLK (instanceRef r_wb_data1_22)) (portRef CLK (instanceRef r_wb_data1_21)) (portRef CLK (instanceRef r_wb_data1_20)) (portRef CLK (instanceRef r_wb_data1_19)) (portRef CLK (instanceRef r_wb_data1_18)) (portRef CLK (instanceRef r_wb_data1_17)) (portRef CLK (instanceRef r_wb_data1_16)) (portRef CLK (instanceRef r_wb_data1_15)) (portRef CLK (instanceRef r_wb_data1_14)) (portRef CLK (instanceRef r_wb_data1_13)) (portRef CLK (instanceRef r_wb_data1_12)) (portRef CLK (instanceRef r_wb_data1_11)) (portRef CLK (instanceRef r_wb_data1_10)) (portRef CLK (instanceRef r_wb_data1_9)) (portRef CLK (instanceRef r_wb_data1_8)) (portRef CLK (instanceRef r_wb_data1_7)) (portRef CLK (instanceRef r_wb_data1_6)) (portRef CLK (instanceRef r_wb_data1_5)) (portRef CLK (instanceRef r_wb_data1_4)) (portRef CLK (instanceRef r_wb_data1_3)) (portRef CLK (instanceRef r_wb_data1_2)) (portRef CLK (instanceRef r_wb_data1_1)) (portRef CLK (instanceRef r_wb_data1_0)) (portRef CLK (instanceRef r_faddr_7)) (portRef CLK (instanceRef r_faddr_6)) (portRef CLK (instanceRef r_faddr_5)) (portRef CLK (instanceRef r_faddr_4)) (portRef CLK (instanceRef r_faddr_3)) (portRef CLK (instanceRef r_faddr_2)) (portRef CLK (instanceRef r_faddr_1)) (portRef CLK (instanceRef r_faddr_0)) (portRef CLK (instanceRef r_valid_0_3)) (portRef CLK (instanceRef r_valid_0_2)) (portRef CLK (instanceRef r_valid_0_1)) (portRef CLK (instanceRef r_valid_0_0)) (portRef CLK (instanceRef r_size_1)) (portRef CLK (instanceRef r_size_0)) (portRef CLK (instanceRef r_asi_3)) (portRef CLK (instanceRef r_asi_2)) (portRef CLK (instanceRef r_asi_0)) (portRef CLK (instanceRef r_wb_data2_31)) (portRef CLK (instanceRef r_wb_data2_30)) (portRef CLK (instanceRef r_wb_data2_29)) (portRef CLK (instanceRef r_wb_data2_28)) (portRef CLK (instanceRef r_wb_data2_27)) (portRef CLK (instanceRef r_wb_data2_26)) (portRef CLK (instanceRef r_wb_data2_25)) (portRef CLK (instanceRef r_wb_data2_24)) (portRef CLK (instanceRef r_wb_data2_23)) (portRef CLK (instanceRef r_wb_data2_22)) (portRef CLK (instanceRef r_wb_data2_21)) (portRef CLK (instanceRef r_wb_data2_20)) (portRef CLK (instanceRef r_wb_data2_19)) (portRef CLK (instanceRef r_wb_data2_18)) (portRef CLK (instanceRef r_wb_data2_17)) (portRef CLK (instanceRef r_wb_data2_16)) (portRef CLK (instanceRef r_wb_data2_15)) (portRef CLK (instanceRef r_wb_data2_14)) (portRef CLK (instanceRef r_wb_data2_13)) (portRef CLK (instanceRef r_wb_data2_12)) (portRef CLK (instanceRef r_wb_data2_11)) (portRef CLK (instanceRef r_wb_data2_10)) (portRef CLK (instanceRef r_wb_data2_9)) (portRef CLK (instanceRef r_wb_data2_8)) (portRef CLK (instanceRef r_wb_data2_7)) (portRef CLK (instanceRef r_wb_data2_6)) (portRef CLK (instanceRef r_wb_data2_5)) (portRef CLK (instanceRef r_wb_data2_4)) (portRef CLK (instanceRef r_wb_data2_3)) (portRef CLK (instanceRef r_wb_data2_2)) (portRef CLK (instanceRef r_wb_data2_1)) (portRef CLK (instanceRef r_wb_data2_0)) (portRef CLK (instanceRef r_wb_size_1)) (portRef CLK (instanceRef r_wb_size_0)) (portRef CLK (instanceRef r_cctrl_dcs_1)) (portRef CLK (instanceRef r_cctrl_dcs_0)) (portRef CLK (instanceRef r_cctrl_ics_1)) (portRef CLK (instanceRef r_cctrl_ics_0)) (portRef CLK (instanceRef r_dstate_0)) (portRef CLK (instanceRef r_dstate_1)) (portRef CLK (instanceRef r_dstate_2)) (portRef CLK (instanceRef r_dstate_3)) (portRef CLK (instanceRef r_dstate_4)) (portRef CLK (instanceRef r_dstate_i_5)) (portRef CLK (instanceRef r_cctrlwr)) (portRef CLK (instanceRef r_stpend)) (portRef CLK (instanceRef r_flush)) (portRef CLK (instanceRef r_burst)) (portRef CLK (instanceRef r_nomds)) (portRef CLK (instanceRef r_cctrl_burst)) (portRef CLK (instanceRef r_read)) (portRef CLK (instanceRef r_req)) (portRef CLK (instanceRef r_holdn)) (portRef CLK (instanceRef r_mexc)) (portRef CLK (instanceRef r_cctrl_dfrz)) (portRef CLK (instanceRef r_cctrl_ifrz)) (portRef CLK (instanceRef r_wb_read)) (portRef CLK (instanceRef r_hit)) (portRef CLK (instanceRef r_wb_lock)) (portRef CLK (instanceRef r_icenable)) (portRef CLK (instanceRef r_flush2)) (portRef CLK (instanceRef r_dstate_i_0_5)) (portRef CLK (instanceRef r_dstate_0_4)) (portRef CLK (instanceRef r_dstate_1_4)) (portRef CLK (instanceRef r_flush_0)) )) (net (rename dstate_nss_1 "dstate_nss[1]") (joined (portRef Y (instanceRef r_dstate_ns_i_a2_i_i_o2_RNIC4422_1)) (portRef D (instanceRef r_dstate_4)) (portRef D (instanceRef r_dstate_0_4)) (portRef D (instanceRef r_dstate_1_4)) )) (net mexc_0_sqmuxa_0 (joined (portRef Y (instanceRef r_dstate_RNIOR582_1)) (portRef E (instanceRef r_wb_data2_23)) (portRef E (instanceRef r_wb_data2_22)) (portRef E (instanceRef r_wb_data2_21)) (portRef E (instanceRef r_wb_data2_20)) (portRef E (instanceRef r_wb_data2_19)) (portRef E (instanceRef r_wb_data2_18)) (portRef E (instanceRef r_wb_data2_17)) (portRef E (instanceRef r_wb_data2_16)) (portRef E (instanceRef r_wb_data2_15)) (portRef E (instanceRef r_wb_data2_14)) (portRef E (instanceRef r_wb_data2_13)) (portRef E (instanceRef r_wb_data2_12)) (portRef E (instanceRef r_wb_data2_11)) (portRef E (instanceRef r_wb_data2_10)) (portRef E (instanceRef r_wb_data2_1)) (portRef E (instanceRef r_wb_data2_0)) )) (net N_2677 (joined (portRef Y (instanceRef r_dstate_RNI74RR1_0_3)) (portRef B (instanceRef r_wb_addr_RNO_2_2)) (portRef B (instanceRef r_dstate_RNIM8F82_1)) (portRef A (instanceRef r_dstate_RNIOR582_0_1)) (portRef C (instanceRef r_stpend_RNIPP6T3)) (portRef A (instanceRef r_stpend_RNO_0)) (portRef C (instanceRef r_dstate_0_RNI58T74_4)) (portRef A (instanceRef r_burst_RNO_5)) (portRef A (instanceRef r_dstate_RNIOR582_1)) )) (net mexc_0_sqmuxa (joined (portRef Y (instanceRef r_stpend_RNIHDK5)) (portRef E (instanceRef r_mexc)) (portRef B (instanceRef r_dstate_RNIOR582_0_1)) (portRef B (instanceRef r_dstate_RNIOR582_1)) )) (net (rename dstate_1 "dstate[1]") (joined (portRef Q (instanceRef r_dstate_1)) (portRef C (instanceRef r_dstate_RNIM8F82_1)) (portRef C (instanceRef r_dstate_RNIT77K_1)) (portRef C (instanceRef r_dstate_RNIOR582_0_1)) (portRef B (instanceRef r_dstate_RNIVFCD_0)) (portRef C (instanceRef r_dstate_RNIOR582_1)) )) (net data2_0_sqmuxa_1 (joined (portRef Y (instanceRef r_stpend_RNIJP8V5_0)) (portRef E (instanceRef r_wb_addr_10)) (portRef E (instanceRef r_wb_addr_0)) (portRef S (instanceRef r_wb_data1_RNO_0_0)) (portRef S (instanceRef r_wb_data1_RNO_0_3)) (portRef S (instanceRef r_wb_data1_RNO_0_2)) (portRef S (instanceRef r_wb_data1_RNO_0_5)) (portRef S (instanceRef r_wb_data1_RNO_0_7)) (portRef S (instanceRef r_wb_data1_RNO_0_4)) (portRef S (instanceRef r_wb_data1_RNO_0_9)) (portRef S (instanceRef r_wb_data1_RNO_0_24)) (portRef S (instanceRef r_wb_data1_RNO_0_15)) (portRef S (instanceRef r_wb_data1_RNO_0_27)) (portRef S (instanceRef r_wb_data1_RNO_0_8)) (portRef C (instanceRef r_stpend_RNI8TUJ7_0)) (portRef B (instanceRef r_wb_addr_RNO_0_1)) (portRef B (instanceRef r_wb_addr_RNO_0_8)) (portRef B (instanceRef r_wb_addr_RNO_0_16)) (portRef B (instanceRef r_wb_addr_RNO_0_22)) (portRef B (instanceRef r_wb_addr_RNO_0_23)) (portRef B (instanceRef r_wb_addr_RNO_0_27)) (portRef S (instanceRef dctrl_v_wb_data1_1_i_a2_RNO_16)) (portRef S (instanceRef r_wb_data1_RNO_0_12)) (portRef S (instanceRef r_wb_data1_RNO_0_13)) (portRef S (instanceRef r_wb_data1_RNO_0_1)) )) (net data2_0_sqmuxa_0 (joined (portRef Y (instanceRef r_stpend_RNIPP6T3)) (portRef B (instanceRef r_stpend_RNIO2SO5)) (portRef A (instanceRef r_stpend_RNIJP8V5)) (portRef A (instanceRef r_stpend_RNIJP8V5_1)) (portRef A (instanceRef r_stpend_RNIJP8V5_0)) )) (net N_2548_0 (joined (portRef Y (instanceRef r_dstate_i_0_RNIQV122_5)) (portRef S (instanceRef r_wb_addr_RNO_0_30)) (portRef S (instanceRef r_wb_addr_RNO_19)) (portRef S (instanceRef r_wb_addr_RNO_6)) (portRef S (instanceRef r_wb_addr_RNO_0)) (portRef S (instanceRef r_wb_addr_RNO_17)) (portRef S (instanceRef r_wb_addr_RNO_26)) (portRef S (instanceRef r_wb_addr_RNO_14)) (portRef B (instanceRef r_wb_addr_RNO_1_9)) (portRef S (instanceRef r_wb_addr_RNO_21)) (portRef S (instanceRef r_wb_addr_RNO_31)) (portRef S (instanceRef r_wb_addr_RNO_25)) (portRef S (instanceRef r_wb_addr_RNO_20)) (portRef S (instanceRef r_wb_addr_RNO_15)) (portRef S (instanceRef r_wb_addr_RNO_13)) (portRef S (instanceRef r_wb_addr_RNO_24)) (portRef S (instanceRef r_wb_addr_RNO_12)) (portRef B (instanceRef r_stpend_RNIJP8V5)) (portRef B (instanceRef r_wb_lock_RNO_3)) (portRef B (instanceRef r_stpend_RNIJP8V5_1)) (portRef B (instanceRef r_stpend_RNIJP8V5_0)) )) (net data2_0_sqmuxa_0_0 (joined (portRef Y (instanceRef r_stpend_RNIJP8V5_1)) (portRef S (instanceRef r_wb_data1_RNO_0_30)) (portRef S (instanceRef r_wb_data1_RNO_0_25)) (portRef S (instanceRef r_wb_addr_RNO_3)) (portRef S (instanceRef r_wb_data1_RNO_0_20)) (portRef S (instanceRef r_wb_data1_RNO_0_31)) (portRef S (instanceRef r_wb_data1_RNO_0_18)) (portRef S (instanceRef r_wb_data1_RNO_0_26)) (portRef S (instanceRef r_wb_data1_RNO_0_28)) (portRef S (instanceRef r_wb_data1_RNO_0_11)) (portRef S (instanceRef r_wb_addr_RNO_30)) (portRef S (instanceRef r_wb_data1_RNO_0_29)) (portRef S (instanceRef r_wb_data1_RNO_0_23)) (portRef S (instanceRef r_wb_data1_RNO_0_6)) (portRef S (instanceRef r_wb_data1_RNO_0_17)) (portRef S (instanceRef r_wb_data1_RNO_0_10)) (portRef S (instanceRef r_wb_data1_RNO_0_14)) (portRef S (instanceRef r_wb_data1_RNO_0_22)) (portRef B (instanceRef r_wb_addr_RNO_0_9)) (portRef S (instanceRef r_wb_data1_RNO_0_21)) (portRef S (instanceRef r_wb_addr_RNO_2)) (portRef S (instanceRef r_wb_data1_RNO_0_19)) (portRef B (instanceRef r_wb_lock_RNO_1)) (portRef B (instanceRef r_wb_addr_RNO_0_18)) (portRef C (instanceRef r_stpend_RNI8TUJ7)) )) (net rdatav_0_1_sqmuxa_1_0 (joined (portRef Y (instanceRef r_dstate_RNIRHA15_0_2)) (portRef B (instanceRef r_dstate_RNIQL725_0_2)) (portRef B (instanceRef r_dstate_RNIRL725_2)) (portRef B (instanceRef r_dstate_RNIPL725_0_2)) (portRef B (instanceRef r_dstate_RNIPL725_2)) (portRef B (instanceRef r_dstate_RNIQL725_2)) (portRef B (instanceRef r_dstate_RNI0M725_2)) (portRef B (instanceRef r_dstate_RNIUL725_2)) (portRef B (instanceRef r_dstate_RNIVL725_2)) (portRef B (instanceRef r_dstate_RNIRL725_0_2)) (portRef B (instanceRef r_dstate_RNIVL725_0_2)) (portRef B (instanceRef r_dstate_RNISL725_2)) (portRef B (instanceRef r_dstate_RNIUL725_0_2)) (portRef B (instanceRef r_dstate_RNIAA0VA_2)) (portRef B (instanceRef r_wb_data2_RNI24FB9_11)) (portRef B (instanceRef r_wb_data2_RNIA4FB9_17)) (portRef B (instanceRef r_wb_data2_RNIH8FB9_28)) )) (net rdatav_0_1_sqmuxa_1_a2_0_a2_2 (joined (portRef Y (instanceRef r_dstate_RNI411L2_2)) (portRef A (instanceRef r_dstate_RNIRHA15_2)) (portRef A (instanceRef r_dstate_RNIRHA15_0_2)) )) (net N_30 (joined (portRef Y (instanceRef N_2439_i_i_a2)) (portRef A (instanceRef r_dstate_RNIMGMF3_2)) (portRef B (instanceRef r_dstate_1_RNIUGDC2_4)) (portRef B (instanceRef r_dstate_RNIRHA15_2)) (portRef B (instanceRef r_dstate_0_RNIR0DC2_4)) (portRef B (instanceRef r_dstate_RNIRHA15_0_2)) )) (net edata_0_sqmuxa_s0_0 (joined (portRef Y (instanceRef r_size_RNI5P9I1_0_1)) (portRef B (instanceRef r_size_RNIGCNSE_1)) (portRef B (instanceRef r_size_RNICA5KJ_1)) (portRef A (instanceRef r_size_RNI10E0J_1)) (portRef B (instanceRef r_size_RNICCTSD_1)) (portRef B (instanceRef r_size_RNI9OM1E_1)) (portRef A (instanceRef r_read_RNICJ1GF)) (portRef A (instanceRef r_size_RNID3SLF_1)) (portRef A (instanceRef r_size_RNI3PCHF_1)) (portRef A (instanceRef r_size_RNIJLD8I_1)) (portRef A (instanceRef r_size_RNIOOSOI_1)) (portRef A (instanceRef r_size_RNIQJVQE_1)) (portRef A (instanceRef r_size_RNIS4J4F_1)) (portRef A (instanceRef r_size_RNI2MRVE_1)) (portRef A (instanceRef r_size_RNIQDQ1F_1)) (portRef A (instanceRef r_size_RNI44NDF_1)) (portRef A (instanceRef r_size_RNIVRH2F_1)) )) (net (rename size_1_1 "size_1[1]") (joined (portRef Y (instanceRef r_size_RNI8RGF_1)) (portRef A (instanceRef r_size_RNI5P9I1_1)) (portRef A (instanceRef r_size_RNI5P9I1_1_1)) (portRef A (instanceRef r_size_RNIE4JD1_0)) (portRef B (instanceRef r_size_RNIE4JD1_0_0)) (portRef A (instanceRef r_size_RNIE4JD1_1_0)) (portRef A (instanceRef r_size_RNI5P9I1_2_1)) (portRef A (instanceRef r_size_RNI5P9I1_0_1)) )) (net (rename dstate_RNIT77K_1 "dstate_RNIT77K[1]") (joined (portRef Y (instanceRef r_dstate_RNIT77K_1)) (portRef B (instanceRef r_size_RNI5P9I1_1)) (portRef C (instanceRef r_size_RNI5P9I1_1_1)) (portRef S (instanceRef r_dstate_RNI5TE37_1)) (portRef S (instanceRef r_dstate_RNID0D37_1)) (portRef S (instanceRef r_dstate_RNILKD37_1)) (portRef S (instanceRef r_dstate_RNIT8E37_1)) (portRef S (instanceRef r_dstate_RNIIRN27_1)) (portRef S (instanceRef r_dstate_RNIQFO27_1)) (portRef S (instanceRef r_dstate_RNIAOP27_1)) (portRef S (instanceRef r_dstate_RNI4M35A_1)) (portRef S (instanceRef r_dstate_RNIGM45A_1)) (portRef S (instanceRef r_dstate_RNID1NQA_1)) (portRef S (instanceRef r_dstate_RNIKFFRA_1)) (portRef S (instanceRef r_dstate_RNIAS0QA_1)) (portRef S (instanceRef r_dstate_RNIHAPQA_1)) (portRef S (instanceRef r_dstate_RNI9RQQA_1)) (portRef S (instanceRef r_dstate_RNITPG37_1)) (portRef S (instanceRef r_dstate_RNIOOHRA_1)) (portRef C (instanceRef r_size_RNI5P9I1_2_1)) (portRef B (instanceRef r_size_RNI5P9I1_0_1)) )) (net read_0 (joined (portRef Y (instanceRef r_read_RNI0MHE)) (portRef A (instanceRef r_read_RNIG8R02)) (portRef A (instanceRef r_read_RNI8OQ02)) (portRef A (instanceRef r_read_RNIO7KR1)) (portRef A (instanceRef r_read_RNIMUBP1)) (portRef A (instanceRef r_read_RNI0PMK1)) (portRef A (instanceRef r_read_RNISAC12)) (portRef C (instanceRef r_size_RNIE4JD1_0)) (portRef C (instanceRef r_size_RNIE4JD1_0_0)) (portRef C (instanceRef r_size_RNIE4JD1_1_0)) (portRef A (instanceRef r_read_RNI7S8D3)) (portRef A (instanceRef r_read_RNI70MN3)) (portRef A (instanceRef r_read_RNIMHFT2)) (portRef A (instanceRef r_read_RNITL5P3)) (portRef A (instanceRef r_read_RNIT5CO3)) (portRef A (instanceRef r_read_RNIBS463)) (portRef A (instanceRef r_read_RNIFJSC3)) (portRef A (instanceRef r_read_RNI5K483)) (portRef B (instanceRef r_read_RNILU3C1)) (portRef B (instanceRef r_size_RNI5P9I1_2_1)) (portRef C (instanceRef r_size_RNI5P9I1_0_1)) (portRef read_0) )) (net edata_0_sqmuxa_s1_0 (joined (portRef Y (instanceRef r_size_RNI5P9I1_2_1)) (portRef B (instanceRef r_read_RNI7JKP2)) (portRef B (instanceRef r_read_RNID70I3)) (portRef B (instanceRef r_read_RNI82HT2)) (portRef B (instanceRef r_read_RNICEHT2)) (portRef B (instanceRef r_read_RNIUT923)) (portRef B (instanceRef r_read_RNION7U3)) (portRef B (instanceRef r_read_RNIOSMP3)) (portRef B (instanceRef r_read_RNI5L5F3)) (portRef B (instanceRef r_read_RNIN5CK3)) (portRef B (instanceRef r_read_RNIF8C33)) (portRef B (instanceRef r_read_RNI58TC3)) (portRef B (instanceRef r_read_RNIC2883)) (portRef B (instanceRef r_read_RNI9FSA3)) (portRef B (instanceRef r_read_RNI3O2M3)) (portRef B (instanceRef r_read_RNIJNSA3)) (portRef A (instanceRef r_size_RNIBA3M1_1)) )) (net (rename dstate_i_0_5 "dstate_i_0[5]") (joined (portRef Q (instanceRef r_dstate_i_0_5)) (portRef A (instanceRef r_dstate_i_0_RNISGGH_5)) (portRef C (instanceRef r_dstate_i_0_RNI0H16A_0_5)) (portRef B (instanceRef r_dstate_i_0_RNIGI6E_5)) (portRef A (instanceRef r_dstate_i_0_RNIG40L_5)) (portRef B (instanceRef r_dstate_i_0_RNIAJQD_5)) (portRef C (instanceRef r_dstate_i_0_RNIVKFJ_5)) (portRef C (instanceRef r_dstate_i_0_RNI2LFJ_5)) (portRef A (instanceRef r_dstate_i_0_RNI6DUH_5)) (portRef B (instanceRef r_nomds_RNO_3)) (portRef A (instanceRef r_dstate_RNICE3E_2)) (portRef B (instanceRef r_cctrl_dcs_RNILR9E_0)) (portRef C (instanceRef r_dstate_i_0_RNI0H16A_5)) (portRef A (instanceRef r_dstate_i_0_RNINN6I_5)) (portRef B (instanceRef r_dstate_i_0_RNIN5KU3_5)) (portRef B (instanceRef r_nomds_RNISMLC)) (portRef B (instanceRef r_nomds_RNISMLC_0)) (portRef B (instanceRef r_dstate_i_0_RNIQV122_5)) )) (net (rename dstate_nss_i_0_0 "dstate_nss_i_0[0]") (joined (portRef Y (instanceRef r_dstate_i_RNIA29TQ22_5)) (portRef D (instanceRef r_dstate_i_5)) (portRef D (instanceRef r_dstate_i_0_5)) )) (net N_70_0 (joined (portRef Y (instanceRef r_stpend_RNI8TUJ7)) (portRef E (instanceRef r_wb_data1_23)) (portRef E (instanceRef r_wb_data1_22)) (portRef E (instanceRef r_wb_data1_21)) (portRef E (instanceRef r_wb_data1_20)) (portRef E (instanceRef r_wb_data1_19)) (portRef E (instanceRef r_wb_data1_18)) (portRef E (instanceRef r_wb_data1_17)) (portRef E (instanceRef r_wb_data1_16)) (portRef E (instanceRef r_wb_data1_15)) (portRef E (instanceRef r_wb_data1_14)) (portRef E (instanceRef r_wb_data1_13)) (portRef E (instanceRef r_wb_data1_12)) (portRef E (instanceRef r_wb_data1_11)) (portRef E (instanceRef r_wb_data1_10)) (portRef E (instanceRef r_wb_data1_1)) (portRef E (instanceRef r_wb_data1_0)) (portRef B (instanceRef r_wb_lock_RNO_0)) )) (net N_2543_0 (joined (portRef Y (instanceRef r_holdn_RNIA71D_0)) (portRef S (instanceRef r_xaddress_RNO_29)) (portRef S (instanceRef r_xaddress_RNO_23)) (portRef S (instanceRef r_xaddress_RNO_25)) (portRef S (instanceRef r_xaddress_RNO_31)) (portRef S (instanceRef r_xaddress_RNO_28)) (portRef S (instanceRef r_xaddress_RNO_17)) (portRef S (instanceRef r_xaddress_RNO_10)) (portRef S (instanceRef r_xaddress_RNO_11)) (portRef S (instanceRef r_xaddress_RNO_14)) (portRef S (instanceRef r_read_RNO_0)) (portRef S (instanceRef r_xaddress_RNO_30)) (portRef C (instanceRef r_hit_RNO_0)) (portRef S (instanceRef r_xaddress_RNO_8)) (portRef S (instanceRef r_xaddress_RNO_0)) (portRef S (instanceRef r_xaddress_RNO_1)) (portRef S (instanceRef r_xaddress_RNO_26)) (portRef S (instanceRef r_xaddress_RNO_22)) (portRef S (instanceRef r_xaddress_RNO_3_2)) (portRef S (instanceRef r_xaddress_RNO_9)) (portRef S (instanceRef r_xaddress_RNO_18)) )) (net stpend_3_i (joined (portRef Y (instanceRef r_stpend_RNIFVKQ1)) (portRef A (instanceRef r_dstate_i_RNIV8LR1_5)) (portRef B (instanceRef r_stpend_RNIRME52)) (portRef B (instanceRef r_dstate_i_0_RNIG2VI2_5)) (portRef A (instanceRef r_dstate_i_0_RNI22K8702_5)) (portRef A (instanceRef r_dstate_i_0_RNI0H16A_5)) (portRef B (instanceRef r_burst_RNO_4)) (portRef B (instanceRef r_stpend_RNO_0)) (portRef B (instanceRef r_dstate_RNO_0_1)) (portRef A (instanceRef r_dstate_i_0_RNIQV122_5)) )) (net N_2563_0 (joined (portRef Y (instanceRef r_dstate_RNIK7282_3)) (portRef S (instanceRef r_wb_data1_RNO_20)) (portRef S (instanceRef r_wb_data1_RNO_31)) (portRef S (instanceRef r_wb_data1_RNO_10)) (portRef S (instanceRef r_wb_data1_RNO_18)) (portRef S (instanceRef r_wb_data1_RNO_26)) (portRef S (instanceRef r_wb_data1_RNO_28)) (portRef S (instanceRef r_wb_data1_RNO_11)) (portRef S (instanceRef r_wb_data1_RNO_29)) (portRef S (instanceRef r_wb_data1_RNO_23)) (portRef B (instanceRef r_wb_lock_RNO_2)) (portRef S (instanceRef r_wb_data1_RNO_6)) (portRef S (instanceRef r_wb_data1_RNO_17)) (portRef S (instanceRef r_wb_data1_RNO_14)) (portRef S (instanceRef r_wb_data1_RNO_22)) (portRef A (instanceRef r_wb_data1_RNO_21)) (portRef C (instanceRef r_dstate_i_0_RNIG3S9H02_5)) (portRef A (instanceRef dctrl_v_wb_data1_1_i_a2_16)) )) (net (rename dstate_RNIFLTI_3 "dstate_RNIFLTI[3]") (joined (portRef Y (instanceRef r_dstate_RNIFLTI_3)) (portRef A (instanceRef r_dstate_RNIK7282_0_3)) (portRef A (instanceRef r_wb_data1_RNO_1_21)) (portRef A (instanceRef dctrl_v_wb_data1_1_i_a2_0_RNO_16)) (portRef A (instanceRef r_dstate_RNIK7282_3)) )) (net req_RNI5I4L1 (joined (portRef Y (instanceRef r_req_RNI5I4L1)) (portRef B (instanceRef r_dstate_RNI88RR1_4)) (portRef B (instanceRef r_dstate_RNIK7282_0_3)) (portRef B (instanceRef r_stpend_RNIFVKQ1_0)) (portRef B (instanceRef r_dstate_RNI74RR1_0_3)) (portRef B (instanceRef r_stpend_RNIFVKQ1)) (portRef B (instanceRef r_dstate_RNI74RR1_3)) (portRef A (instanceRef r_dstate_RNIN5LE2_3)) (portRef B (instanceRef r_holdn_RNI8VR813)) (portRef C (instanceRef r_dstate_i_RNIL6LK2_5)) (portRef C (instanceRef r_dstate_i_0_RNIA78K2_5)) (portRef C (instanceRef r_wb_data1_RNO_1_21)) (portRef A (instanceRef r_dstate_RNI9O7F5_3)) (portRef C (instanceRef r_dstate_0_RNITAL12_4)) (portRef B (instanceRef dctrl_v_wb_data1_1_i_a2_0_16)) (portRef B (instanceRef r_dstate_RNIK7282_3)) )) (net N_73_1 (joined (portRef Y (instanceRef r_nomds_RNISMLC_0)) (portRef A (instanceRef r_nomds_RNIQU6E2_1)) (portRef A (instanceRef r_nomds_RNIQU6E2)) (portRef A (instanceRef r_nomds_RNIQU6E2_0)) (portRef A (instanceRef r_nomds_RNIQU6E2_3)) (portRef B (instanceRef r_wb_data2_RNIP4VD_4)) (portRef B (instanceRef r_wb_data2_RNIRL4I_20)) (portRef B (instanceRef r_wb_data2_RNI0M4I_25)) (portRef B (instanceRef r_wb_data2_RNI0I4I_18)) (portRef B (instanceRef r_wb_data2_RNISH4I_14)) (portRef B (instanceRef r_wb_data2_RNIRCVD_6)) (portRef B (instanceRef r_wb_data2_RNIPH4I_11)) (portRef A (instanceRef r_nomds_RNIQU6E2_2)) (portRef B (instanceRef r_wb_data2_RNIUOVD_9)) (portRef A (instanceRef r_nomds_RNIQU6E2_4)) (portRef B (instanceRef r_wb_data2_RNI3M4I_28)) (portRef A (instanceRef r_nomds_RNIRU6E2)) (portRef B (instanceRef r_wb_data2_RNINSUD_2)) (portRef B (instanceRef r_wb_data2_RNISGVD_7)) (portRef B (instanceRef r_wb_data2_RNIQ8VD_5)) (portRef B (instanceRef r_wb_data2_RNILKUD_0)) )) (net nomds (joined (portRef Q (instanceRef r_nomds)) (portRef B (instanceRef r_nomds_RNIBK9H)) (portRef A (instanceRef r_nomds_RNIRCHA_0)) (portRef A (instanceRef r_nomds_RNI1096)) (portRef B (instanceRef r_nomds_RNIRCHA)) (portRef B (instanceRef r_nomds_RNO_0)) (portRef B (instanceRef r_nomds_RNIJ5FF13)) (portRef A (instanceRef r_nomds_RNIBK9H_0)) (portRef A (instanceRef r_nomds_RNI03QF)) (portRef A (instanceRef r_nomds_RNISMLC)) (portRef A (instanceRef r_nomds_RNISMLC_0)) (portRef nomds) )) (net N_159_i_0 (joined (portRef Y (instanceRef r_dstate_RNII7CS3_2)) (portRef B (instanceRef r_dstate_RNIL43T4_0_2)) (portRef B (instanceRef r_dstate_RNINP4T4_1_2)) (portRef B (instanceRef r_dstate_RNINP4T4_2_2)) (portRef B (instanceRef r_dstate_RNIQ43T4_0_2)) (portRef B (instanceRef r_dstate_RNIMP4T4_0_2)) (portRef B (instanceRef r_dstate_RNIP43T4_2_2)) (portRef B (instanceRef r_dstate_RNIMP4T4_2)) (portRef B (instanceRef r_dstate_RNIO43T4_2_2)) (portRef B (instanceRef r_dstate_RNIL43T4_1_2)) (portRef B (instanceRef r_dstate_RNIO7O8I_2)) (portRef A (instanceRef r_dstate_RNIQ88M4_2)) (portRef A (instanceRef r_dstate_RNIQ88M4_2_2)) (portRef A (instanceRef r_dstate_RNIQ88M4_0_2)) (portRef A (instanceRef r_dstate_RNIQ88M4_1_2)) (portRef A (instanceRef r_dstate_RNIR88M4_2)) (portRef B (instanceRef r_dstate_RNISCUV3_2)) )) (net (rename rdatasel_1_4 "rdatasel_1[4]") (joined (portRef Y (instanceRef r_dstate_RNIMGMF3_2)) (portRef B (instanceRef r_dstate_RNIUDDG4_2)) (portRef A (instanceRef r_dstate_RNII7CS3_0_2)) (portRef A (instanceRef r_dstate_RNII7CS3_2)) )) (net N_73_0 (joined (portRef Y (instanceRef r_nomds_RNISMLC)) (portRef A (instanceRef r_nomds_RNIRU6E2_0)) (portRef B (instanceRef r_wb_data2_RNIUL4I_23)) (portRef B (instanceRef r_wb_data2_RNI2M4I_27)) (portRef A (instanceRef r_nomds_RNIRU6E2_1)) (portRef B (instanceRef r_wb_data2_RNIVH4I_17)) (portRef B (instanceRef r_wb_data2_RNI1M4I_26)) (portRef B (instanceRef r_wb_data2_RNIRH4I_13)) (portRef C (instanceRef r_nomds_RNIOCKU6)) (portRef B (instanceRef r_wb_data2_RNISL4I_21)) (portRef B (instanceRef r_dstate_RNII7CS3_0_2)) (portRef A (instanceRef r_nomds_RNIQU6E2_5)) (portRef B (instanceRef r_dstate_RNI411L2_2)) (portRef B (instanceRef r_wb_data2_RNIJ7CK5_10)) (portRef B (instanceRef r_wb_data2_RNI4CCK5_29)) (portRef B (instanceRef r_wb_data2_RNIEQBV3_12)) (portRef B (instanceRef r_wb_data2_RNIMC3J3_19)) (portRef B (instanceRef r_wb_data2_RNIUFCK5_30)) (portRef B (instanceRef r_wb_data2_RNI7HJC2_1)) (portRef B (instanceRef r_dstate_RNII7CS3_2)) (portRef N_73_0) )) (net N_38_i_0_0 (joined (portRef Y (instanceRef r_dstate_0_RNIR0DC2_4)) (portRef A (instanceRef r_dstate_0_RNIJE853_4)) (portRef A (instanceRef r_dstate_0_RNIBJMU3_4)) (portRef A (instanceRef r_dstate_0_RNIJ32H3_4)) (portRef A (instanceRef r_dstate_0_RNIAQIF4_4)) (portRef A (instanceRef r_dstate_0_RNIOC6L3_4)) (portRef A (instanceRef r_dstate_0_RNIMPEG3_4)) (portRef A (instanceRef r_dstate_0_RNIHPC04_4)) (portRef A (instanceRef r_dstate_0_RNIHBFF3_4)) (portRef A (instanceRef r_dstate_0_RNI33MU3_4)) (portRef A (instanceRef r_dstate_0_RNIAPH84_4)) (portRef A (instanceRef r_dstate_0_RNI0H6N3_4)) (portRef A (instanceRef r_dstate_0_RNI5J17D_4)) (portRef A (instanceRef dctrl_rdatav_0_1_0_iv_RNO_3_22)) )) (net (rename dstate_0_4 "dstate_0[4]") (joined (portRef Q (instanceRef r_dstate_0_4)) (portRef S (instanceRef r_xaddress_RNIA8J6_24)) (portRef S (instanceRef r_xaddress_RNI20J6_13)) (portRef A (instanceRef r_dstate_0_RNIJFOQ1_4)) (portRef B (instanceRef r_xaddress_RNI3KB5_25)) (portRef C (instanceRef r_dstate_0_RNI6BV102_4)) (portRef C (instanceRef r_dstate_0_RNIJRHOQR1_4)) (portRef C (instanceRef r_dstate_0_RNIGRHOQR1_4)) (portRef C (instanceRef newptag_2_RNO_0_20)) (portRef B (instanceRef r_dstate_RNI5UP6_2)) (portRef C (instanceRef r_hit_RNO_1)) (portRef C (instanceRef r_hit_RNO_5)) (portRef A (instanceRef r_dstate_0_RNIF4DH_4)) (portRef A (instanceRef r_dstate_0_RNIAUVG_4)) (portRef B (instanceRef r_holdn_RNIJJSA)) (portRef A (instanceRef r_dstate_0_RNITAL12_4)) (portRef B (instanceRef r_dstate_0_RNI94B1_4)) (portRef B (instanceRef r_dstate_0_RNIB0B1_4)) (portRef B (instanceRef r_dstate_0_RNIA4B1_4)) (portRef B (instanceRef r_dstate_0_RNIC8B1_4)) (portRef B (instanceRef r_dstate_0_RNIG4B1_4)) (portRef B (instanceRef r_dstate_0_RNIF4B1_4)) (portRef B (instanceRef r_dstate_0_RNID4B1_4)) (portRef A (instanceRef r_dstate_0_RNIR0DC2_4)) (portRef (member dstate_0 0)) )) (net N_25 (joined (portRef Y (instanceRef un1_r_faddr_I_8)) (portRef A (instanceRef un1_r_faddr_I_9)) )) (net (rename faddr_1 "faddr[1]") (joined (portRef Q (instanceRef r_faddr_1)) (portRef B (instanceRef un1_r_faddr_I_5)) (portRef B (instanceRef un1_r_faddr_I_12)) (portRef B (instanceRef un1_r_faddr_I_16)) (portRef B (instanceRef r_faddr_RNIG8PKF_1)) (portRef A (instanceRef un1_r_faddr_I_8)) )) (net (rename faddr_0 "faddr[0]") (joined (portRef Q (instanceRef r_faddr_0)) (portRef A (instanceRef un1_r_faddr_I_5)) (portRef A (instanceRef un1_r_faddr_I_12)) (portRef A (instanceRef un1_r_faddr_I_16)) (portRef C (instanceRef r_faddr_RNO_0)) (portRef B (instanceRef r_faddr_RNIK42HD_0)) (portRef B (instanceRef un1_r_faddr_I_8)) )) (net N_17 (joined (portRef Y (instanceRef un1_r_faddr_I_19)) (portRef A (instanceRef un1_r_faddr_I_20)) )) (net (rename faddr_3 "faddr[3]") (joined (portRef Q (instanceRef r_faddr_3)) (portRef B (instanceRef un1_r_faddr_I_13)) (portRef B (instanceRef un1_r_faddr_I_23)) (portRef A (instanceRef un1_r_faddr_I_27)) (portRef A (instanceRef un1_r_faddr_I_34)) (portRef B (instanceRef r_faddr_RNIVNQNH_3)) (portRef A (instanceRef un1_r_faddr_I_19)) )) (net (rename DWACT_FINC_E_0 "DWACT_FINC_E[0]") (joined (portRef Y (instanceRef un1_r_faddr_I_16)) (portRef A (instanceRef un1_r_faddr_I_23)) (portRef A (instanceRef un1_r_faddr_I_30)) (portRef A (instanceRef un1_r_faddr_I_37)) (portRef B (instanceRef un1_r_faddr_I_19)) )) (net (rename dci_m_i_10 "dci_m_i[10]") (joined (portRef Y (instanceRef r_size_RNIBA3M1_1)) (portRef A (instanceRef r_read_RNI09723)) )) (net (rename mcdo_m_0_i_2 "mcdo_m_0_i[2]") (joined (portRef Y (instanceRef r_read_RNILU3C1)) (portRef B (instanceRef r_read_RNI09723)) )) (net N_267 (joined (portRef Y (instanceRef dctrl_v_wb_data1_1_i_16)) (portRef D (instanceRef r_wb_data1_16)) )) (net N_2733 (joined (portRef Y (instanceRef dctrl_v_wb_data1_1_i_a2_16)) (portRef A (instanceRef dctrl_v_wb_data1_1_i_16)) )) (net N_2734 (joined (portRef Y (instanceRef dctrl_v_wb_data1_1_i_a2_0_16)) (portRef B (instanceRef dctrl_v_wb_data1_1_i_16)) )) (net N_2604 (joined (portRef Y (instanceRef dctrl_v_wb_data1_1_i_a2_RNO_16)) (portRef B (instanceRef dctrl_v_wb_data1_1_i_a2_16)) )) (net (rename dcramo_m_0_i_246 "dcramo_m_0_i[246]") (joined (portRef Y (instanceRef r_xaddress_RNIE4398_0_0)) (portRef A (instanceRef r_read_RNIKKS8N)) )) (net (rename xaddress_RNIB0688_1_0 "xaddress_RNIB0688_1[0]") (joined (portRef Y (instanceRef r_xaddress_RNIB0688_1_0)) (portRef B (instanceRef r_xaddress_RNIE4398_0)) (portRef B (instanceRef r_size_RNI8O24N_1)) (portRef B (instanceRef r_size_RNI99MDN_1)) (portRef B (instanceRef r_size_RNIFQU8N_1)) (portRef B (instanceRef r_size_RNI8ITAN_1)) (portRef B (instanceRef r_size_RNIH8QMN_1)) (portRef B (instanceRef r_size_RNIC0LBN_1)) (portRef A (instanceRef r_xaddress_RNIE4398_0_0)) )) (net N_2738 (joined (portRef Y (instanceRef newptag_2_a2_20)) (portRef A (instanceRef newptag_2Z0Z_20)) )) (net (rename addr_20 "addr[20]") (joined (portRef Q (instanceRef r_xaddress_20)) (portRef B (instanceRef r_xaddress_RNO_20)) (portRef B (instanceRef r_wb_addr_RNO_20)) (portRef B (instanceRef newptag_2_a2_20)) )) (net N_2572 (joined (portRef Y (instanceRef r_dstate_tr5_2_8_0_a2_1_0_o2)) (portRef B (instanceRef r_dstate_ns_i_a2_i_0_a2_3)) (portRef A (instanceRef r_dstate_ns_i_a2_i_i_o2_1)) )) (net tdiagwrite_3_0_m6_i_4_0 (joined (portRef Y (instanceRef r_dstate_i_RNI0U9I_5)) (portRef A (instanceRef r_dstate_i_RNITAFVJR1_5)) (portRef A (instanceRef r_dstate_i_RNIVJL51_5)) (portRef A (instanceRef r_dstate_tr5_2_8_0_a2_1_0_o2)) )) (net (rename data1_1_i_a2_0_0_16 "data1_1_i_a2_0_0[16]") (joined (portRef Y (instanceRef dctrl_v_wb_data1_1_i_a2_0_RNO_16)) (portRef A (instanceRef dctrl_v_wb_data1_1_i_a2_0_16)) )) (net N_2568 (joined (portRef Y (instanceRef r_dstate_ns_i_a2_i_i_o2_1)) (portRef A (instanceRef r_dstate_ns_i_a2_i_i_o2_RNIC4422_1)) )) (net (rename dstate_ns_i_a2_i_i_o2_1_1 "dstate_ns_i_a2_i_i_o2_1[1]") (joined (portRef Y (instanceRef r_dstate_ns_i_a2_i_i_o2_RNO_1)) (portRef B (instanceRef r_dstate_ns_i_a2_i_i_o2_1)) )) (net (rename data_0_21 "data_0[22]") (joined (portRef Y (instanceRef dctrl_rdatav_0_1_0_iv_22)) (portRef data_0_21) )) (net (rename ico_m_156 "ico_m[156]") (joined (portRef Y (instanceRef dctrl_rdatav_0_1_0_iv_RNO_22)) (portRef A (instanceRef dctrl_rdatav_0_1_0_iv_22)) )) (net (rename rdatav_0_1_0_iv_2_22 "rdatav_0_1_0_iv_2[22]") (joined (portRef Y (instanceRef dctrl_rdatav_0_1_0_iv_RNO_0_22)) (portRef B (instanceRef dctrl_rdatav_0_1_0_iv_22)) )) (net N_2651 (joined (portRef Y (instanceRef r_dstate_ns_i_a2_i_0_a2_3)) (portRef A (instanceRef r_dstate_RNO_2)) )) (net (rename dstate_ns_i_a2_i_0_a2_0_3 "dstate_ns_i_a2_i_0_a2_0[3]") (joined (portRef Y (instanceRef r_dstate_ns_i_a2_i_0_a2_RNO_3)) (portRef A (instanceRef r_dstate_ns_i_a2_i_0_a2_3)) )) (net (rename newptag_2_20 "newptag_2[20]") (joined (portRef Y (instanceRef newptag_2Z0Z_20)) (portRef (member newptag_2 0)) )) (net (rename newptag_2_0_20 "newptag_2_0[20]") (joined (portRef Y (instanceRef newptag_2_RNO_20)) (portRef B (instanceRef newptag_2Z0Z_20)) )) (net (rename rdatav_0_1_1_iv_0_5 "rdatav_0_1_1_iv_0[5]") (joined (portRef Y (instanceRef r_wb_data2_RNI2OL52_5)) (portRef B (instanceRef r_wb_data2_RNI96714_5)) )) (net dfrz_m (joined (portRef Y (instanceRef r_cctrl_dfrz_RNI8FMN1)) (portRef A (instanceRef r_wb_data2_RNI2OL52_5)) )) (net (rename data2_m_5 "data2_m[5]") (joined (portRef Y (instanceRef r_wb_data2_RNIQ8VD_5)) (portRef B (instanceRef r_wb_data2_RNI2OL52_5)) )) (net (rename dcramo_m_118 "dcramo_m[118]") (joined (portRef Y (instanceRef dctrl_rdatav_0_1_0_iv_RNO_1_22)) (portRef A (instanceRef dctrl_rdatav_0_1_0_iv_RNO_0_22)) )) (net (rename rdatav_0_1_0_iv_1_22 "rdatav_0_1_0_iv_1[22]") (joined (portRef Y (instanceRef dctrl_rdatav_0_1_0_iv_RNO_2_22)) (portRef B (instanceRef dctrl_rdatav_0_1_0_iv_RNO_0_22)) )) (net (rename rdatav_0_1_0_iv_0_22 "rdatav_0_1_0_iv_0[22]") (joined (portRef Y (instanceRef dctrl_rdatav_0_1_0_iv_RNO_3_22)) (portRef A (instanceRef dctrl_rdatav_0_1_0_iv_RNO_2_22)) )) (net (rename dcramo_m_246 "dcramo_m[246]") (joined (portRef Y (instanceRef dctrl_rdatav_0_1_0_iv_RNO_4_22)) (portRef B (instanceRef dctrl_rdatav_0_1_0_iv_RNO_2_22)) )) (net (rename rdatav_0_1_1_iv_2_5 "rdatav_0_1_1_iv_2[5]") (joined (portRef Y (instanceRef r_wb_data2_RNIGKOS5_5)) (portRef C (instanceRef r_dstate_RNIAA0VA_2)) )) (net (rename rdatav_0_1_1_iv_1_5 "rdatav_0_1_1_iv_1[5]") (joined (portRef Y (instanceRef r_wb_data2_RNI96714_5)) (portRef A (instanceRef r_wb_data2_RNIGKOS5_5)) )) (net rdatav_0_2_sqmuxa (joined (portRef Y (instanceRef r_dstate_i_0_RNI7EHR1_5)) (portRef A (instanceRef r_dstate_i_0_RNIES2N3_5)) (portRef A (instanceRef r_wb_data2_RNIKN054_4)) (portRef C (instanceRef r_wb_data2_RNIDE794_17)) (portRef C (instanceRef r_wb_data2_RNIHI794_28)) (portRef C (instanceRef r_wb_data2_RNIAI794_21)) (portRef B (instanceRef r_wb_data2_RNIGKOS5_5)) )) (net rdatav_0_1_sqmuxa (joined (portRef Y (instanceRef r_dstate_i_0_RNI7EHR1_0_5)) (portRef B (instanceRef r_dstate_i_0_RNIES2N3_5)) (portRef A (instanceRef r_wb_data2_RNIDE794_17)) (portRef A (instanceRef r_wb_data2_RNIHI794_28)) (portRef A (instanceRef r_wb_data2_RNIAI794_21)) (portRef A (instanceRef r_wb_data2_RNI96714_5)) )) (net (rename ddatainv_0_1_0_iv_2_22 "ddatainv_0_1_0_iv_2[22]") (joined (portRef Y (instanceRef r_read_RNIKKS8N)) (portRef B (instanceRef r_dstate_RNI5C6E91_1)) )) (net (rename ddatainv_0_1_0_iv_1_22 "ddatainv_0_1_0_iv_1[22]") (joined (portRef Y (instanceRef r_read_RNI6GPVE)) (portRef B (instanceRef r_read_RNIKKS8N)) )) (net (rename dci_m_i_94 "dci_m_i[94]") (joined (portRef Y (instanceRef r_size_RNI1SKNB_1)) (portRef A (instanceRef r_read_RNI6GPVE)) )) (net (rename ddatainv_0_1_0_iv_0_22 "ddatainv_0_1_0_iv_0[22]") (joined (portRef Y (instanceRef r_read_RNI5K483)) (portRef B (instanceRef r_read_RNI6GPVE)) )) (net (rename ddatainv_0_1_0_iv_0_2 "ddatainv_0_1_0_iv_0[2]") (joined (portRef Y (instanceRef r_read_RNI09723)) (portRef C (instanceRef r_read_RNI9DABB)) )) (net N_2739 (joined (portRef Y (instanceRef newptag_2_RNO_0_20)) (portRef A (instanceRef newptag_2_RNO_20)) )) (net N_2740 (joined (portRef Y (instanceRef newptag_2_RNO_1_20)) (portRef B (instanceRef newptag_2_RNO_20)) )) (net N_175 (joined (portRef Y (instanceRef r_cctrl_dcs_RNIE2CE7_0)) (portRef C (instanceRef r_cctrl_dcs_RNIQP5P7_0)) (portRef C (instanceRef r_burst_RNO_4)) (portRef A (instanceRef r_dstate_ns_i_a2_i_i_o2_RNO_1)) )) (net (rename dstate_ns_i_a2_i_i_o2_0_1 "dstate_ns_i_a2_i_i_o2_0[1]") (joined (portRef Y (instanceRef r_dstate_ns_i_a2_i_i_o2_RNO_0_1)) (portRef B (instanceRef r_dstate_ns_i_a2_i_i_o2_RNO_1)) )) (net N_228 (joined (portRef Y (instanceRef dctrl_v_cctrlwr4_0_a2_2_a2)) (portRef B (instanceRef rdatasel_0_sqmuxa_0_a2_0_a2)) (portRef A (instanceRef r_dstate_i_0_RNI8OLG2_5)) (portRef B (instanceRef r_dstate_i_RNI39PI1_5)) (portRef B (instanceRef dctrl_un1_eholdn_2_7)) (portRef A (instanceRef r_dstate_ns_i_a2_i_0_a2_RNO_3)) )) (net (rename newptag_2_0_17 "newptag_2_0[17]") (joined (portRef Y (instanceRef r_xaddress_RNIG9VF4R3_17)) (portRef C (instanceRef r_xaddress_RNI1HH8VM1_17)) )) (net (rename addr_17 "addr[17]") (joined (portRef Q (instanceRef r_xaddress_17)) (portRef B (instanceRef r_xaddress_RNO_17)) (portRef B (instanceRef r_wb_addr_RNO_17)) (portRef A (instanceRef r_xaddress_RNIG9VF4R3_17)) )) (net N_2868 (joined (portRef Y (instanceRef r_dstate_0_RNI67ANQR1_4)) (portRef B (instanceRef r_xaddress_RNI1BISQR1_21)) (portRef B (instanceRef r_xaddress_RNI9BISQR1_29)) (portRef B (instanceRef r_xaddress_RNI57ISQR1_18)) (portRef B (instanceRef r_xaddress_RNI2BISQR1_22)) (portRef B (instanceRef r_xaddress_RNIH8G8VM1_16)) (portRef B (instanceRef r_xaddress_RNIVV8F4R3_23)) (portRef B (instanceRef r_xaddress_RNIG9VF4R3_17)) (portRef A (instanceRef newptag_2_a2_20)) (portRef N_2868) )) (net N_2802 (joined (portRef Y (instanceRef r_dstate_i_RNIC2DJ9V1_5)) (portRef C (instanceRef r_xaddress_RNIG9VF4R3_17)) )) (net (rename newptag_2_0_23 "newptag_2_0[23]") (joined (portRef Y (instanceRef r_xaddress_RNIVV8F4R3_23)) (portRef C (instanceRef r_xaddress_RNIFBR7VM1_23)) )) (net (rename addr_23 "addr[23]") (joined (portRef Q (instanceRef r_xaddress_23)) (portRef A (instanceRef r_wb_addr_RNO_2_23)) (portRef B (instanceRef r_xaddress_RNO_23)) (portRef A (instanceRef r_xaddress_RNIVV8F4R3_23)) )) (net N_2764 (joined (portRef Y (instanceRef r_dstate_i_RNISKMI9V1_5)) (portRef C (instanceRef r_xaddress_RNIVV8F4R3_23)) )) (net (rename newptag_2_0_16 "newptag_2_0[16]") (joined (portRef Y (instanceRef r_dstate_0_RNIE1UB4R3_4)) (portRef C (instanceRef r_xaddress_RNIH8G8VM1_16)) )) (net N_2736 (joined (portRef Y (instanceRef r_dstate_0_RNIDNHOQR1_4)) (portRef C (instanceRef r_dstate_0_RNIE1UB4R3_4)) )) (net (rename newptag_2_0_11 "newptag_2_0[27]") (joined (portRef Y (instanceRef r_xaddress_RNIT6KT7R3_27)) (portRef newptag_2_0_11) )) (net N_2542 (joined (portRef Y (instanceRef r_dstate_i_RNIGF2EVU1_5)) (portRef B (instanceRef r_dstate_i_RNIC990DV1_5)) (portRef B (instanceRef r_dstate_i_RNI1QCJ9V1_5)) (portRef B (instanceRef r_dstate_i_RNI6G5K9V1_5)) (portRef B (instanceRef r_dstate_i_RNIC2DJ9V1_5)) (portRef B (instanceRef r_dstate_i_RNISKMI9V1_5)) (portRef B (instanceRef r_dstate_i_RNI2JEJ9V1_5)) (portRef B (instanceRef r_dstate_i_RNIMIEJ9V1_5)) (portRef B (instanceRef r_dstate_i_RNIQJKI9V1_5)) (portRef B (instanceRef r_dstate_i_RNINQDJ9V1_5)) (portRef B (instanceRef r_dstate_i_RNI3HPLCV1_5)) (portRef B (instanceRef newptag_2_RNO_1_20)) (portRef B (instanceRef r_dstate_i_RNICIDJ9V1_5)) (portRef B (instanceRef r_dstate_i_RNI02DJ9V1_5)) (portRef B (instanceRef r_xaddress_RNIT6KT7R3_27)) (portRef A (instanceRef r_dstate_0_RNIE1UB4R3_4)) (portRef N_2542) )) (net N_2697 (joined (portRef Y (instanceRef r_xaddress_RNI7BISQR1_27)) (portRef C (instanceRef r_xaddress_RNIT6KT7R3_27)) )) (net (rename newptag_2_1_25 "newptag_2_1[25]") (joined (portRef Y (instanceRef r_xaddress_RNIBGNN7R3_25)) (portRef (member newptag_2_1 0)) )) (net newptag_2_a2_25_N_7 (joined (portRef Y (instanceRef r_xaddress_RNI3GMQQP1_25)) (portRef A (instanceRef r_xaddress_RNIBGNN7R3_25)) )) (net (rename xaddress_RNI5F7702_25 "xaddress_RNI5F7702[25]") (joined (portRef Y (instanceRef r_xaddress_RNI5F7702_25)) (portRef B (instanceRef r_xaddress_RNIBGNN7R3_25)) )) (net N_2767 (joined (portRef Y (instanceRef r_dstate_i_RNI3HPLCV1_5)) (portRef C (instanceRef r_xaddress_RNIBGNN7R3_25)) )) (net (rename newptag_2_0_10 "newptag_2_0[26]") (joined (portRef Y (instanceRef r_xaddress_RNIIKRS7R3_26)) (portRef newptag_2_0_10) )) (net (rename newptag_2_a2_0_26 "newptag_2_a2_0[26]") (joined (portRef Y (instanceRef r_xaddress_RNI6F7702_26)) (portRef A (instanceRef r_xaddress_RNIIKRS7R3_26)) )) (net (rename newptag_2_a2_3_1_22 "newptag_2_a2_3_1[22]") (joined (portRef Y (instanceRef r_flush_0_RNI0SALQP1)) (portRef B (instanceRef r_dstate_0_RNI67ANQR1_4)) (portRef C (instanceRef r_xaddress_RNI7BISQR1_27)) (portRef B (instanceRef r_xaddress_RNIIKRS7R3_26)) )) (net N_2746 (joined (portRef Y (instanceRef r_dstate_i_RNIC990DV1_5)) (portRef C (instanceRef r_xaddress_RNIIKRS7R3_26)) )) (net holdn_0_m6_0_0 (joined (portRef Y (instanceRef r_holdn_RNO_1)) (portRef C (instanceRef r_holdn_RNO)) )) (net holdn_RNO_4_0 (joined (portRef Y (instanceRef r_holdn_RNO_4)) (portRef A (instanceRef r_holdn_RNO_1)) )) (net holdn_0_m6_0_a4_0_1 (joined (portRef Y (instanceRef r_holdn_RNO_5)) (portRef B (instanceRef r_holdn_RNO_1)) )) (net flush_0_i_0 (joined (portRef Y (instanceRef r_faddr_RNIK5N31_7)) (portRef C (instanceRef r_flush_0_RNI87MVIN3)) )) (net I_38_0 (joined (portRef Y (instanceRef un1_r_faddr_I_38)) (portRef C (instanceRef r_faddr_RNO_7)) (portRef A (instanceRef r_faddr_RNIK5N31_7)) )) (net flush_0_i_a2_0 (joined (portRef Y (instanceRef r_faddr_RNIR4M6_7)) (portRef B (instanceRef r_faddr_RNIK5N31_7)) )) (net rst (joined (portRef rst) (portRef C (instanceRef r_dstate_i_RNIA29TQ22_5)) (portRef C (instanceRef r_dstate_ns_i_a2_i_i_o2_RNIC4422_1)) (portRef C (instanceRef r_dstate_RNO_0)) (portRef A (instanceRef r_flush2_RNO)) (portRef A (instanceRef r_cctrl_burst_RNO)) (portRef A (instanceRef r_cctrl_ics_RNO_1)) (portRef A (instanceRef r_faddr_RNO_0)) (portRef A (instanceRef r_faddr_RNO_1)) (portRef A (instanceRef r_faddr_RNO_2)) (portRef A (instanceRef r_faddr_RNO_3)) (portRef A (instanceRef r_faddr_RNO_4)) (portRef A (instanceRef r_faddr_RNO_5)) (portRef A (instanceRef r_faddr_RNO_6)) (portRef A (instanceRef r_cctrl_ics_RNO_0)) (portRef A (instanceRef r_faddr_RNO_7)) (portRef A (instanceRef r_nomds_RNO)) (portRef A (instanceRef r_read_RNO)) (portRef C (instanceRef r_req_RNO)) (portRef C (instanceRef r_stpend_RNO)) (portRef A (instanceRef r_burst_RNO)) (portRef A (instanceRef r_cctrl_dcs_RNO_0)) (portRef C (instanceRef r_dstate_RNO_2)) (portRef C (instanceRef r_dstate_RNO_1)) (portRef A (instanceRef r_dstate_RNO_3)) (portRef A (instanceRef r_cctrl_dcs_RNO_1)) (portRef B (instanceRef r_flush_0_RNIN7VBG)) (portRef C (instanceRef r_faddr_RNIK5N31_7)) (portRef C (instanceRef r_holdn_RNO_1)) )) (net newptag_2_a2_0_25_m1_e_0 (joined (portRef Y (instanceRef r_dstate_0_RNID4B1_4)) (portRef B (instanceRef r_dstate_0_RNIFRHOQR1_4)) )) (net newptag_2_a2_0_27_m1_e_0 (joined (portRef Y (instanceRef r_dstate_0_RNIF4B1_4)) (portRef B (instanceRef r_dstate_0_RNIHRHOQR1_4)) )) (net newptag_2_a2_0_28_m1_e_0 (joined (portRef Y (instanceRef r_dstate_0_RNIG4B1_4)) (portRef newptag_2_a2_0_28_m1_e_0) )) (net newptag_2_a2_0_31_m1_e_0 (joined (portRef Y (instanceRef r_dstate_0_RNIC8B1_4)) (portRef newptag_2_a2_0_31_m1_e_0) )) (net (rename newptag_2_a2_0_0_22 "newptag_2_a2_0_0[22]") (joined (portRef Y (instanceRef r_dstate_0_RNIA4B1_4)) (portRef A (instanceRef r_dstate_0_RNICRHOQR1_4)) )) (net (rename newptag_2_a2_0_0_16 "newptag_2_a2_0_0[16]") (joined (portRef Y (instanceRef r_dstate_0_RNIB0B1_4)) (portRef A (instanceRef r_dstate_0_RNIDNHOQR1_4)) )) (net (rename newptag_2_a2_0_0_21 "newptag_2_a2_0_0[21]") (joined (portRef Y (instanceRef r_dstate_0_RNI94B1_4)) (portRef A (instanceRef r_dstate_0_RNIBRHOQR1_4)) )) (net (rename dcs_0_i_a2_0_1 "dcs_0_i_a2_0[1]") (joined (portRef Y (instanceRef r_cctrl_dcs_RNO_2_1)) (portRef B (instanceRef r_cctrl_dcs_RNO_1_1)) )) (net dfrz (joined (portRef Q (instanceRef r_cctrl_dfrz)) (portRef A (instanceRef r_cctrl_dfrz_RNI8FMN1)) (portRef A (instanceRef r_cctrl_dcs_RNO_2_1)) )) (net faddr_2_sqmuxa_i_o2_0_0 (joined (portRef Y (instanceRef r_flush_0_RNIJAT3UR1)) (portRef A (instanceRef r_flush_0_RNI87MVIN3)) )) (net faddr_2_sqmuxa_i_a2_N_7 (joined (portRef Y (instanceRef r_dstate_i_RNI18JRDR1_5)) (portRef C (instanceRef r_flush_0_RNIE3IQML3)) (portRef B (instanceRef r_flush_0_RNIJAT3UR1)) )) (net (rename un1_p0_2_0_498 "un1_p0_2_0[498]") (joined (portRef Q (instanceRef r_flush_0)) (portRef S (instanceRef r_faddr_RNI6USBI_4)) (portRef B (instanceRef r_flush_0_RNIIHVE)) (portRef A (instanceRef r_flush_0_RNI24UTV12)) (portRef A (instanceRef r_flush_0_RNIIO2B2)) (portRef S (instanceRef r_faddr_RNIVNQNH_3)) (portRef A (instanceRef r_flush_0_RNIRTMU)) (portRef C (instanceRef r_flush_0_RNI4C4L2)) (portRef B (instanceRef r_faddr_RNIR4M6_7)) (portRef B (instanceRef r_flush2_RNI1LBH)) (portRef A (instanceRef r_flush_0_RNI3LFK)) (portRef C (instanceRef r_flush_0_RNIN7VBG)) (portRef B (instanceRef r_flush2_RNIU1M37)) (portRef C (instanceRef r_flush_0_RNIJAT3UR1)) (portRef (member un1_p0_2_0 0)) )) (net valid_0_1_sn_m1_0_2 (joined (portRef Y (instanceRef r_flush2_RNIL7SMV32)) (portRef A (instanceRef r_valid_0_RNO_0)) (portRef A (instanceRef r_valid_0_RNO_1)) (portRef A (instanceRef r_valid_0_RNO_2)) (portRef A (instanceRef r_valid_0_RNO_3)) )) (net valid_0_1_sn_m1_0_0 (joined (portRef Y (instanceRef r_flush2_RNIU1M37)) (portRef A (instanceRef r_flush2_RNIL7SMV32)) )) (net valid_0_1_sn_m1_0_2_N_7 (joined (portRef Y (instanceRef r_flush_0_RNIAIEJL02)) (portRef B (instanceRef r_flush2_RNIL7SMV32)) )) (net lramwr_4_m (joined (portRef Y (instanceRef r_flush_0_RNIDJNV23)) (portRef B (instanceRef r_flush_0_RNIMTEQ93)) (portRef C (instanceRef r_flush2_RNIL7SMV32)) )) (net flush2 (joined (portRef Q (instanceRef r_flush2)) (portRef C (instanceRef r_flush2_RNI1LBH)) (portRef A (instanceRef r_flush2_RNIU1M37)) )) (net twrite_11_m (joined (portRef Y (instanceRef r_stpend_RNI9ANQ6)) (portRef A (instanceRef r_flush_0_RNIMTEQ93)) (portRef C (instanceRef r_flush2_RNIU1M37)) )) (net dstate_tr11_14_a2_5_a2_2 (joined (portRef Y (instanceRef r_dstate_RNO_0_1)) (portRef B (instanceRef r_dstate_RNO_1)) )) (net dstate_tr11_14_a2_5_a2_0 (joined (portRef Y (instanceRef r_dstate_RNO_1_1)) (portRef A (instanceRef r_dstate_RNO_0_1)) )) (net N_2564_i_0 (joined (portRef Y (instanceRef r_dstate_tr11_14_a2_5_o2)) (portRef C (instanceRef r_dstate_ns_i_a2_i_i_o2_RNO_0_1)) (portRef C (instanceRef r_dstate_RNO_0_1)) )) (net N_2484_i_0 (joined (portRef Y (instanceRef dctrl_v_burst_7_0_i_0)) (portRef B (instanceRef r_burst_RNO_1)) (portRef A (instanceRef r_xaddress_RNO_2_2)) (portRef B (instanceRef r_burst_RNO_8)) (portRef A (instanceRef r_dstate_RNO_1_1)) )) (net burst_1_iv_1 (joined (portRef Y (instanceRef r_burst_RNO_3)) (portRef C (instanceRef r_burst_RNO_1)) )) (net burst_3_m_5 (joined (portRef Y (instanceRef r_burst_RNO_4)) (portRef A (instanceRef r_burst_RNO_3)) )) (net nomds_RNI0CP1LR1 (joined (portRef Y (instanceRef r_nomds_RNI0CP1LR1)) (portRef A (instanceRef r_dstate_i_RNIGLP2LR1_5)) (portRef B (instanceRef r_holdn_RNO_2)) (portRef A (instanceRef r_xaddress_RNO_2)) (portRef B (instanceRef r_burst_RNO_3)) )) (net burst_1_iv_0 (joined (portRef Y (instanceRef r_burst_RNO_5)) (portRef C (instanceRef r_burst_RNO_3)) )) (net burst_16_i_0 (joined (portRef Y (instanceRef r_size_RNIFQT5_0)) (portRef A (instanceRef r_wb_addr_RNO_2_2)) (portRef A (instanceRef r_dstate_RNIM8F82_1)) (portRef A (instanceRef r_hit_RNIG1QI)) (portRef B (instanceRef r_burst_RNO_9)) (portRef B (instanceRef r_burst_RNO_5)) )) (net burst_13_m (joined (portRef Y (instanceRef r_burst_RNO_7)) (portRef C (instanceRef r_burst_RNO_5)) )) (net (rename addr_26 "addr[26]") (joined (portRef Q (instanceRef r_xaddress_26)) (portRef B (instanceRef r_wb_addr_RNO_26)) (portRef B (instanceRef r_xaddress_RNO_26)) (portRef A (instanceRef r_xaddress_RNI6F7702_26)) )) (net (rename newptag_2_a2_4_0_22 "newptag_2_a2_4_0[22]") (joined (portRef Y (instanceRef r_dstate_0_RNI6BV102_4)) (portRef A (instanceRef r_dstate_0_RNI67ANQR1_4)) (portRef A (instanceRef r_xaddress_RNI7BISQR1_27)) (portRef B (instanceRef r_xaddress_RNI6F7702_26)) )) (net (rename dstate_ns_i_a2_19_0 "dstate_ns_i_a2_19[0]") (joined (portRef Y (instanceRef r_nomds_RNIL7QM57)) (portRef B (instanceRef r_dstate_i_RNIA29TQ22_5)) )) (net (rename dstate_ns_i_a2_17_0 "dstate_ns_i_a2_17[0]") (joined (portRef Y (instanceRef r_holdn_RNIQ2FU21)) (portRef A (instanceRef r_nomds_RNIL7QM57)) )) (net N_111 (joined (portRef Y (instanceRef r_nomds_RNIJ5FF13)) (portRef B (instanceRef r_nomds_RNIL7QM57)) )) (net N_2315_i_0 (joined (portRef Y (instanceRef r_holdn_RNI8VR813)) (portRef C (instanceRef r_nomds_RNIL7QM57)) )) (net (rename dstate_ns_i_a2_14_0 "dstate_ns_i_a2_14[0]") (joined (portRef Y (instanceRef r_dstate_0_RNII0PCR_4)) (portRef A (instanceRef r_holdn_RNIQ2FU21)) )) (net (rename dstate_ns_i_a2_15_0 "dstate_ns_i_a2_15[0]") (joined (portRef Y (instanceRef r_cctrl_dcs_RNINTPI2_0)) (portRef B (instanceRef r_holdn_RNIQ2FU21)) )) (net (rename dstate_ns_i_a2_15_0_0 "dstate_ns_i_a2_15_0[0]") (joined (portRef Y (instanceRef r_holdn_RNIH4SU4)) (portRef C (instanceRef r_holdn_RNIQ2FU21)) )) (net dstate_tr1_2_0_0_a2_0_0_a2_0 (joined (portRef Y (instanceRef r_holdn_RNIFCHA)) (portRef A (instanceRef r_holdn_RNIH4SU4)) )) (net N_2886 (joined (portRef Y (instanceRef r_dstate_RNIILB12_4)) (portRef B (instanceRef r_cctrl_dcs_RNINTPI2_0)) (portRef A (instanceRef r_nomds_RNIJ5FF13)) (portRef B (instanceRef r_holdn_RNIH4SU4)) )) (net N_2672 (joined (portRef Y (instanceRef r_dstate_i_0_RNIG2VI2_5)) (portRef C (instanceRef r_holdn_RNIH4SU4)) )) (net (rename dstate_ns_i_a2_11_0 "dstate_ns_i_a2_11[0]") (joined (portRef Y (instanceRef r_dstate_i_0_RNIOH6GK_5)) (portRef A (instanceRef r_dstate_0_RNII0PCR_4)) )) (net N_2676 (joined (portRef Y (instanceRef r_dstate_i_RNIL6LK2_5)) (portRef B (instanceRef r_dstate_0_RNII0PCR_4)) )) (net (rename dstate_ns_i_a2_13_0 "dstate_ns_i_a2_13[0]") (joined (portRef Y (instanceRef r_dstate_0_RNI58T74_4)) (portRef C (instanceRef r_dstate_0_RNII0PCR_4)) )) (net dstate_tr1_7_1 (joined (portRef Y (instanceRef r_dstate_0_RNIF4DH_4)) (portRef A (instanceRef r_dstate_0_RNI58T74_4)) )) (net N_2316_5 (joined (portRef Y (instanceRef r_stpend_RNIFVKQ1_0)) (portRef B (instanceRef r_dstate_RNIILB12_4)) (portRef B (instanceRef r_dstate_0_RNIJFOQ1_4)) (portRef B (instanceRef r_dstate_0_RNI58T74_4)) )) (net N_2675_i_0 (joined (portRef Y (instanceRef r_cctrl_dcs_RNIGBO78_0)) (portRef A (instanceRef r_dstate_i_0_RNIOH6GK_5)) )) (net (rename dstate_ns_i_a2_9_0 "dstate_ns_i_a2_9[0]") (joined (portRef Y (instanceRef r_dstate_i_0_RNIUU5K9_5)) (portRef B (instanceRef r_dstate_i_0_RNIOH6GK_5)) )) (net N_2671 (joined (portRef Y (instanceRef r_dstate_i_0_RNIA78K2_5)) (portRef C (instanceRef r_dstate_i_0_RNIOH6GK_5)) )) (net (rename dstate_ns_i_a2_6_0 "dstate_ns_i_a2_6[0]") (joined (portRef Y (instanceRef r_dstate_i_0_RNIN5KU3_5)) (portRef A (instanceRef r_dstate_i_0_RNIUU5K9_5)) )) (net (rename dstate_ns_i_a2_5_0 "dstate_ns_i_a2_5[0]") (joined (portRef Y (instanceRef r_stpend_RNIFQQV3)) (portRef B (instanceRef r_dstate_i_0_RNIUU5K9_5)) )) (net (rename dstate_ns_i_a2_7_0 "dstate_ns_i_a2_7[0]") (joined (portRef Y (instanceRef r_dstate_i_0_RNIOUML1_5)) (portRef C (instanceRef r_dstate_i_0_RNIUU5K9_5)) )) (net dstate_tr0_23_0_0_0_a2_0 (joined (portRef Y (instanceRef r_dstate_i_0_RNIVKFJ_5)) (portRef A (instanceRef r_dstate_i_0_RNIOUML1_5)) )) (net dstate_tr0_22_0_0_a2_0_0 (joined (portRef Y (instanceRef r_dstate_i_0_RNIAJQD_5)) (portRef B (instanceRef r_dstate_i_0_RNIOUML1_5)) )) (net N_2571 (joined (portRef Y (instanceRef r_dstate_tr0_22_0_0_o2)) (portRef B (instanceRef dctrl_un1_eholdn_2_7_0_a2_0_0_a2)) (portRef A (instanceRef dctrl_un13_eholdn_0_a2_0_a2)) (portRef S (instanceRef r_dstate_i_0_RNIOUML1_5)) )) (net N_2580 (joined (portRef Y (instanceRef r_dstate_tr0_23_0_0_0_o2)) (portRef C (instanceRef r_dstate_RNO_2_3)) (portRef A (instanceRef r_dstate_i_0_RNIN5KU3_5)) )) (net (rename dstate_ns_i_a2_4_0 "dstate_ns_i_a2_4[0]") (joined (portRef Y (instanceRef r_stpend_RNIJ6K72)) (portRef C (instanceRef r_dstate_i_0_RNIN5KU3_5)) )) (net dstate_tr0_24_0_0_a2_0 (joined (portRef Y (instanceRef r_stpend_RNIFT7B)) (portRef A (instanceRef r_stpend_RNIFQQV3)) )) (net N_2676_2 (joined (portRef Y (instanceRef r_dstate_i_RNIB4PP_5)) (portRef A (instanceRef r_dstate_i_RNIL6LK2_5)) (portRef B (instanceRef r_stpend_RNIFQQV3)) )) (net (rename dstate_ns_i_a2_3_0 "dstate_ns_i_a2_3[0]") (joined (portRef Y (instanceRef r_dstate_i_RNILOPQ2_5)) (portRef C (instanceRef r_stpend_RNIFQQV3)) )) (net dstate_tr0_24_0_0_a2_2_0 (joined (portRef Y (instanceRef r_dstate_i_RNIRDJE_5)) (portRef A (instanceRef r_stpend_RNIJ6K72)) )) (net N_2559 (joined (portRef Y (instanceRef r_dstate_tr0_24_0_0_o2_2)) (portRef A (instanceRef r_dstate_ns_i_a2_i_i_m2_2)) (portRef C (instanceRef r_dstate_tr11_14_a2_5_a2_0)) (portRef B (instanceRef r_stpend_RNIJ6K72)) )) (net N_2828 (joined (portRef Y (instanceRef r_stpend_RNIF2K41)) (portRef C (instanceRef r_stpend_RNIJ6K72)) )) (net (rename dstate_ns_i_a2_1_0 "dstate_ns_i_a2_1[0]") (joined (portRef Y (instanceRef r_dstate_RNIM6691_0)) (portRef A (instanceRef r_dstate_i_RNILOPQ2_5)) )) (net N_2829 (joined (portRef Y (instanceRef r_dstate_i_RNIUVCL_5)) (portRef B (instanceRef r_dstate_i_RNILOPQ2_5)) )) (net N_122 (joined (portRef Y (instanceRef r_dstate_i_RNI1I6S_5)) (portRef C (instanceRef r_dstate_i_RNILOPQ2_5)) )) (net dstate_tr0_22_0_0_a2_1_0 (joined (portRef Y (instanceRef r_dstate_tr0_22_0_0_a2_1_0)) (portRef A (instanceRef r_dstate_RNIM6691_0)) )) (net dstate_tr0_25_0_0_a2_1 (joined (portRef Y (instanceRef r_dstate_i_0_RNIGI6E_5)) (portRef A (instanceRef r_dstate_i_0_RNIGRML_5)) (portRef B (instanceRef r_dstate_RNIM6691_0)) )) (net (rename dstate_ns_i_a2_0_0 "dstate_ns_i_a2_0[0]") (joined (portRef Y (instanceRef r_dstate_RNIVFCD_0)) (portRef C (instanceRef r_dstate_RNIM6691_0)) )) (net (rename dstateZ0Z_0 "dstate[0]") (joined (portRef Q (instanceRef r_dstate_0)) (portRef A (instanceRef r_dstate_RNIHB864_0)) (portRef B (instanceRef r_dstate_RNIB83N_0)) (portRef A (instanceRef r_dstate_RNIVFCD_0)) )) (net (rename addr_1_i_0_18 "addr_1_i_0[18]") (joined (portRef Y (instanceRef r_wb_addr_RNO_0_18)) (portRef C (instanceRef r_wb_addr_RNO_18)) )) (net N_2781 (joined (portRef Y (instanceRef r_wb_addr_RNO_1_18)) (portRef C (instanceRef r_wb_addr_RNO_0_18)) )) (net (rename xaddress_1_0_2 "xaddress_1_0[2]") (joined (portRef Y (instanceRef r_xaddress_RNO_1_2)) (portRef C (instanceRef r_xaddress_RNO_2)) )) (net (rename xaddress_2_2 "xaddress_2[2]") (joined (portRef Y (instanceRef r_xaddress_RNO_3_2)) (portRef A (instanceRef r_xaddress_RNO_1_2)) )) (net N_142_i (joined (portRef Y (instanceRef r_dstate_1_RNIS3QK1_4)) (portRef B (instanceRef r_dstate_1_RNI57CS3_4)) (portRef C (instanceRef r_hit_RNO_4)) (portRef C (instanceRef r_dstate_1_RNICM7N5_4)) (portRef B (instanceRef r_xaddress_RNO_1_2)) )) (net read (joined (portRef read) (portRef A (instanceRef r_read_RNI0MHE_0)) (portRef B (instanceRef un1_r_dstate_16_i_o2)) (portRef C (instanceRef r_dstate_i_RNIB4PP_5)) (portRef A (instanceRef r_read_RNO_0)) (portRef C (instanceRef r_dstate_i_RNITAFVJR1_5)) (portRef A (instanceRef r_flush_0_RNIVLBJ)) (portRef A (instanceRef r_wb_read_RNO)) (portRef B (instanceRef r_dstate_i_0_RNISGGH_5)) (portRef B (instanceRef r_flush_0_RNIVLBJ_0)) (portRef A (instanceRef r_cctrl_dcs_RNIQP5P7_0)) (portRef A (instanceRef r_dstate_ns_i_a2_i_i_2_m5_0_a2_1)) (portRef C (instanceRef r_xaddress_RNIBQ0E_4)) (portRef B (instanceRef r_cctrl_dcs_RNINV8B_0)) (portRef B (instanceRef dctrl_dwrite_1_iv_0_m4_i_a3_0)) (portRef B (instanceRef r_dstate_i_RNID2MM_5)) (portRef B (instanceRef r_dstate_i_0_RNINN6I_5)) (portRef B (instanceRef r_xaddress_RNO_2_2)) (portRef A (instanceRef r_burst_RNO_8)) (portRef A (instanceRef r_dstate_ns_i_a2_i_i_o2_RNO_0_1)) (portRef A (instanceRef r_read_RNI0MHE)) )) (net dsuen_0 (joined (portRef dsuen_0) (portRef B (instanceRef r_dstate_i_0_RNIPQBQ1_5)) (portRef B (instanceRef r_cctrl_dcs_RNIQP5P7_0)) (portRef B (instanceRef r_dstate_ns_i_a2_i_i_2_m5_0_a2_1)) (portRef C (instanceRef r_read_RNI9KLJ)) (portRef A (instanceRef dctrl_rdatav_0_1_0_iv_0_o2_0_0_4)) (portRef A (instanceRef r_dstate_i_0_RNIAJQD_5)) (portRef B (instanceRef r_flush_0_RNI3LFK)) (portRef B (instanceRef r_holdn_RNI49MB)) (portRef C (instanceRef r_dstate_i_0_RNINN6I_5)) (portRef B (instanceRef r_dstate_ns_i_a2_i_i_o2_RNO_0_1)) (portRef B (instanceRef r_dstate_RNO_1_1)) )) (net stpend_1_0 (joined (portRef Y (instanceRef r_stpend_RNO_0)) (portRef A (instanceRef r_stpend_RNO)) )) (net faddr_2_sqmuxa_i_m6_0_a2_0 (joined (portRef Y (instanceRef r_flush_0_RNIN7VBG)) (portRef B (instanceRef r_flush_0_RNIE3IQML3)) )) (net flush_0 (joined (portRef flush_0) (portRef A (instanceRef r_flush_0_RNIN7VBG)) (portRef A (instanceRef r_flush_0_RNIJAT3UR1)) )) (net burst_3_m_3 (joined (portRef Y (instanceRef r_burst_RNO_6)) (portRef A (instanceRef r_burst_RNO_4)) )) (net burst_3_m_1 (joined (portRef Y (instanceRef r_burst_RNO_8)) (portRef A (instanceRef r_burst_RNO_6)) )) (net burst_3_m_4 (joined (portRef Y (instanceRef r_dstate_i_RNISIG2_5)) (portRef B (instanceRef r_xaddress_RNO_0_2)) (portRef B (instanceRef r_burst_RNO_6)) )) (net un1_eholdn_2 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2)) (portRef A (instanceRef twrite_2_sqmuxa_1_a0_1_0)) (portRef B (instanceRef r_nomds_RNIDVBPD1)) (portRef C (instanceRef r_xaddress_RNO_0_2)) (portRef C (instanceRef r_burst_RNO_6)) )) (net (rename maddress_2 "maddress[2]") (joined (portRef maddress_2) (portRef S (instanceRef dctrl_0_genmux_un6_validrawv_3)) (portRef B (instanceRef dctrl_vmask_0_1_0_2)) (portRef A (instanceRef r_xaddress_RNI19MB_2)) (portRef A (instanceRef r_wb_data1_RNO_2)) (portRef A (instanceRef r_dstate_RNIT8E37_1)) (portRef A (instanceRef r_wb_addr_RNO_1_2)) (portRef A (instanceRef r_xaddress_RNIN84B4_2)) (portRef B (instanceRef r_cctrl_dcs_RNO_0_0)) (portRef A (instanceRef r_xaddress_RNO_3_2)) (portRef C (instanceRef r_dstate_i_0_RNI7EHR1_0_5)) (portRef A (instanceRef r_dstate_i_0_RNI7EHR1_5)) (portRef C (instanceRef r_burst_RNO_8)) (portRef B (instanceRef r_size_RNIBA3M1_1)) )) (net xaddress_1_sqmuxa_2 (joined (portRef Y (instanceRef r_xaddress_RNO_0_2)) (portRef B (instanceRef r_xaddress_RNO_2)) )) (net xaddress_1_sqmuxa_0 (joined (portRef Y (instanceRef r_xaddress_RNO_2_2)) (portRef A (instanceRef r_xaddress_RNO_0_2)) )) (net dwrite_1_iv_0_m4_i_0 (joined (portRef Y (instanceRef r_dstate_RNILULNA_1)) (portRef B (instanceRef r_dstate_RNIDU8KDR3_1)) )) (net dwrite_1_iv_0_a2_0 (joined (portRef Y (instanceRef r_hit_RNIJVUN2)) (portRef A (instanceRef r_dstate_RNILULNA_1)) )) (net N_155 (joined (portRef Y (instanceRef r_dstate_RNIM8F82_1)) (portRef B (instanceRef r_dstate_RNILULNA_1)) )) (net N_207 (joined (portRef Y (instanceRef r_dstate_1_RNICM7N5_4)) (portRef C (instanceRef r_dstate_RNILULNA_1)) )) (net lock_6_m_0 (joined (portRef Y (instanceRef r_wb_lock_RNO_5)) (portRef A (instanceRef r_wb_lock_RNO_3)) )) (net twrite_15_iv_1 (joined (portRef Y (instanceRef r_flush_0_RNIENJ5G42)) (portRef A (instanceRef r_nomds_RNI0BVGE)) )) (net twrite_15_iv_0 (joined (portRef Y (instanceRef r_flush_0_RNIMTEQ93)) (portRef A (instanceRef r_flush_0_RNIENJ5G42)) )) (net setrepl_0_sqmuxa_1_m (joined (portRef Y (instanceRef r_flush_0_RNIOP4B612)) (portRef A (instanceRef r_dstate_RNIDU8KDR3_1)) (portRef B (instanceRef r_flush_0_RNIENJ5G42)) )) (net burst_2_sqmuxa_0 (joined (portRef Y (instanceRef r_dstate_i_0_RNINN6I_5)) (portRef B (instanceRef r_dstate_i_0_RNI22K8702_5)) )) (net newptag_2_a2_25_m6_0_a3_0_0 (joined (portRef Y (instanceRef r_xaddress_RNIBV9F_25)) (portRef B (instanceRef r_xaddress_RNI3GMQQP1_25)) )) (net (rename xaddress_RNI3KB5_25 "xaddress_RNI3KB5[25]") (joined (portRef Y (instanceRef r_xaddress_RNI3KB5_25)) (portRef C (instanceRef r_xaddress_RNI5F7702_25)) (portRef A (instanceRef r_xaddress_RNIBV9F_25)) )) (net (rename newptag_sn_m2_i_o2_m4_0_a3_0Z0Z_0 "newptag_sn_m2_i_o2_m4_0_a3_0_0") (joined (portRef Y (instanceRef newptag_sn_m2_i_o2_m4_0_a3_0_0)) (portRef A (instanceRef r_flush_0_RNI0SALQP1_0)) )) (net un16_casaen_0_0 (joined (portRef un16_casaen_0_0) (portRef A (instanceRef r_nomds_RNIDVBPD1)) (portRef A (instanceRef r_nomds_RNI3OFK)) (portRef A (instanceRef newptag_sn_m2_i_o2_m4_0_a3_0_0)) (portRef B (instanceRef r_xaddress_RNIBV9F_25)) )) (net holdn_2_sqmuxa (joined (portRef Y (instanceRef r_dstate_1_RNIS3QK1_0_4)) (portRef A (instanceRef r_holdn_RNO_3)) (portRef A (instanceRef r_holdn_RNO_5)) )) (net (rename dstate_2 "dstate[2]") (joined (portRef Q (instanceRef r_dstate_2)) (portRef B (instanceRef r_dstate_RNIIRS9_0_2)) (portRef B (instanceRef r_dstate_RNIMGMF3_2)) (portRef A (instanceRef r_dstate_RNIIRS9_2)) (portRef C (instanceRef r_holdn_RNO_2)) (portRef A (instanceRef r_dstate_RNI5UP6_2)) (portRef B (instanceRef r_dstate_RNICE3E_2)) (portRef B (instanceRef r_holdn_RNO_5)) )) (net data2_1_sqmuxa (joined (portRef Y (instanceRef r_dstate_i_0_RNIRCAFT32_5)) (portRef B (instanceRef r_holdn_RNO)) (portRef C (instanceRef r_holdn_RNO_5)) )) (net req_0_sqmuxa_1_0 (joined (portRef Y (instanceRef r_dstate_i_0_RNI0H16A_5)) (portRef B (instanceRef r_dstate_i_0_RNIG3S9H02_5)) )) (net holdn_0_sqmuxa_1 (joined (portRef Y (instanceRef r_cctrl_dcs_RNI6HV38_0)) (portRef B (instanceRef r_dstate_i_0_RNI0H16A_5)) )) (net mexc12_m_m1_e_a0_0_0 (joined (portRef Y (instanceRef r_nomds_RNIJEJJD4)) (portRef A (instanceRef r_nomds_RNI251GTP1)) )) (net (rename dstate_i_RNIAUMB5_5 "dstate_i_RNIAUMB5[5]") (joined (portRef Y (instanceRef r_dstate_i_RNIAUMB5_5)) (portRef A (instanceRef r_nomds_RNILJ1VOR1)) (portRef A (instanceRef r_nomds_RNIGEAR02)) (portRef A (instanceRef r_nomds_RNIJEJJD4)) )) (net holdn_0_m1_e_0 (joined (portRef Y (instanceRef r_holdn_RNO_6)) (portRef C (instanceRef r_holdn_RNO_4)) )) (net holdn_1_sqmuxa_1_2 (joined (portRef Y (instanceRef r_dstate_i_0_RNI8OLG2_5)) (portRef A (instanceRef r_holdn_RNO_2)) (portRef A (instanceRef r_holdn_RNO_6)) )) (net un1_dci_11_0_a2_0_o2_0_2 (joined (portRef Y (instanceRef r_nomds_RNITMJ1BU)) (portRef C (instanceRef r_nomds_RNI0CP1LR1)) (portRef B (instanceRef r_holdn_RNO_6)) )) (net lock_2_m_1 (joined (portRef Y (instanceRef r_wb_lock_RNO_4)) (portRef A (instanceRef r_wb_lock_RNO_1)) )) (net N_2494 (joined (portRef Y (instanceRef r_wb_read_RNIIUKQ6)) (portRef A (instanceRef r_wb_lock_RNO_0)) (portRef C (instanceRef r_wb_lock_RNO_4)) )) (net faddr_2_sqmuxa_i_a2_m6_i_3 (joined (portRef Y (instanceRef r_dstate_i_RNIV3B1M1_5)) (portRef A (instanceRef r_dstate_i_RNI18JRDR1_5)) )) (net faddr_2_sqmuxa_i_a2_m6_i_1 (joined (portRef Y (instanceRef r_dstate_i_RNID2MM_5)) (portRef A (instanceRef r_dstate_i_RNIV3B1M1_5)) )) (net tdiagwrite_3_0_N_9 (joined (portRef Y (instanceRef dctrl_tdiagwrite_3_0_m6_i_a4_0)) (portRef B (instanceRef r_dstate_i_RNIHLAGM1_5)) (portRef B (instanceRef r_dstate_i_RNIV3B1M1_5)) )) (net cctrlwr6 (joined (portRef Y (instanceRef dctrl_v_cctrlwr6_0_a2_0_a2)) (portRef B (instanceRef dctrl_un1_eholdn_2_5)) (portRef C (instanceRef r_dstate_i_RNIV3B1M1_5)) )) (net N_2565 (joined (portRef Y (instanceRef r_nomds_RNIRCHA)) (portRef B (instanceRef r_dstate_i_0_RNIQ7UJ1_5)) (portRef B (instanceRef r_dstate_i_0_RNI3CH12_5)) (portRef B (instanceRef r_nomds_RNIGK9H)) (portRef B (instanceRef r_nomds_RNILJ1VOR1)) (portRef B (instanceRef r_dstate_i_RNIH0JN_5)) (portRef B (instanceRef r_dstate_i_0_RNI6DUH_5)) (portRef C (instanceRef r_flush_0_RNI3LFK)) (portRef C (instanceRef r_dstate_RNO_4_3)) (portRef A (instanceRef r_dstate_i_RNI0U9I_5)) (portRef B (instanceRef r_nomds_RNI3OFK)) (portRef B (instanceRef r_dstate_i_RNIFKFT_5)) (portRef A (instanceRef r_dstate_i_RNID2MM_5)) (portRef C (instanceRef r_nomds_RNIJEJJD4)) (portRef N_2565) )) (net N_2541 (joined (portRef Y (instanceRef r_dstate_i_RNI5HO7_5)) (portRef B (instanceRef r_holdn_RNIA71D)) (portRef C (instanceRef r_dstate_i_RNIH0JN_5)) (portRef B (instanceRef r_flush_0_RNI7SJF1)) (portRef C (instanceRef r_dstate_RNO_3_3)) (portRef A (instanceRef r_dstate_i_RNI474R_5)) (portRef B (instanceRef r_dstate_i_RNI0U9I_5)) (portRef C (instanceRef r_dstate_i_RNIFKFT_5)) (portRef C (instanceRef r_dstate_i_RNID2MM_5)) (portRef B (instanceRef r_holdn_RNIA71D_0)) (portRef N_2541) )) (net dwrite_1_iv_0_m4_i_a3_4 (joined (portRef Y (instanceRef r_flush_0_RNIJLO34)) (portRef B (instanceRef r_flush_0_RNI06EHSP1)) )) (net N_236 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_7_0_a2_0_0_a2)) (portRef B (instanceRef r_flush_0_RNIRTMU)) (portRef B (instanceRef dctrl_un1_eholdn_2_8)) (portRef A (instanceRef r_flush_0_RNIJLO34)) )) (net dwrite_1_iv_0_m4_i_a3_2 (joined (portRef Y (instanceRef r_dstate_i_RNIFKFT_5)) (portRef B (instanceRef r_flush_0_RNIJLO34)) )) (net N_134 (joined (portRef Y (instanceRef r_flush_0_RNIIO2B2)) (portRef C (instanceRef r_flush_0_RNIISIE3)) (portRef A (instanceRef r_flush_0_RNIDJNV23)) (portRef A (instanceRef r_dstate_1_RNICM7N5_4)) (portRef B (instanceRef r_hit_RNIJVUN2)) (portRef C (instanceRef r_flush_0_RNIJLO34)) )) (net dwrite_1_iv_0_m4_i_a3_0 (joined (portRef Y (instanceRef dctrl_dwrite_1_iv_0_m4_i_a3_0)) (portRef A (instanceRef r_dstate_i_RNIFKFT_5)) )) (net faddr_2_sqmuxa_i_m3_e_0 (joined (portRef Y (instanceRef r_xaddress_RNIHE8F_4)) (portRef B (instanceRef r_xaddress_RNIMJVIOP1_4)) )) (net (rename maddress_22 "maddress[22]") (joined (portRef maddress_22) (portRef A (instanceRef r_wb_addr_RNO_1_22)) (portRef A (instanceRef r_xaddress_RNI1N1OJR1_4)) (portRef A (instanceRef r_size_RNIBDHJ1_1)) (portRef A (instanceRef r_xaddress_RNO_22)) (portRef A (instanceRef r_wb_data1_RNO_22)) (portRef A (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_7)) (portRef A (instanceRef r_xaddress_RNIHE8F_4)) (portRef A (instanceRef r_dstate_0_RNIA4B1_4)) )) (net mexc12_m_m1_e_a1_0 (joined (portRef Y (instanceRef r_nomds_RNI3OFK)) (portRef B (instanceRef r_nomds_RNIGEAR02)) )) (net data2_1_sqmuxa_1 (joined (portRef Y (instanceRef r_dstate_i_0_RNI4B1HI7_5)) (portRef C (instanceRef r_dstate_i_0_RNIRCAFT32_5)) )) (net holdn_2_sqmuxa_1_0 (joined (portRef Y (instanceRef r_dstate_i_0_RNI0H16A_0_5)) (portRef A (instanceRef r_dstate_i_0_RNIEKC3I4_5)) (portRef A (instanceRef r_dstate_i_0_RNI4B1HI7_5)) )) (net nomds_RNI5QG344 (joined (portRef Y (instanceRef r_nomds_RNI5QG344)) (portRef B (instanceRef r_flush_0_RNIJ17RK4)) (portRef C (instanceRef r_nomds_RNISAOR402)) (portRef B (instanceRef r_dstate_i_0_RNI4B1HI7_5)) )) (net data2_1_sqmuxa_0 (joined (portRef Y (instanceRef r_dstate_0_RNIVVE743_4)) (portRef C (instanceRef r_dstate_i_0_RNI4B1HI7_5)) )) (net holdn_0_sqmuxa_1_1 (joined (portRef Y (instanceRef r_dstate_0_RNITAL12_4)) (portRef A (instanceRef r_dstate_0_RNIVVE743_4)) )) (net N_143 (joined (portRef Y (instanceRef r_nomds_RNIRGU903)) (portRef B (instanceRef r_dstate_RNO_0_0)) (portRef B (instanceRef r_dstate_0_RNIVVE743_4)) )) (net N_2526 (joined (portRef Y (instanceRef r_dstate_RNI74RR1_3)) (portRef C (instanceRef r_dstate_0_RNIVVE743_4)) )) (net tdiagwrite_3_0_m6_i_a0_1 (joined (portRef Y (instanceRef dctrl_tdiagwrite_3_0_m6_i_a0_1)) (portRef B (instanceRef r_dstate_i_RNIBEK0ER1_5)) )) (net (rename eaddress_29 "eaddress[31]") (joined (portRef eaddress_29) (portRef A (instanceRef newptag_2_a2_25_m2_e_0)) (portRef B (instanceRef r_dstate_i_0_RNIEKC3I4_5)) (portRef B (instanceRef r_flush_0_RNIQ34QP5)) (portRef A (instanceRef dctrl_tdiagwrite_3_0_m6_i_a0_1)) (portRef B (instanceRef r_nomds_RNIJEJJD4)) )) (net setrepl_0_sqmuxa_1_m_a0_3 (joined (portRef Y (instanceRef r_flush_0_RNIOH1NF31)) (portRef A (instanceRef r_flush_0_RNI5OTFHS1)) )) (net setrepl_0_sqmuxa_1_m_a0_1 (joined (portRef Y (instanceRef r_flush_0_RNIQ34QP5)) (portRef A (instanceRef r_flush_0_RNIOH1NF31)) )) (net (rename twrite_2_sqmuxa_1_a0Z0Z_2 "twrite_2_sqmuxa_1_a0_2") (joined (portRef Y (instanceRef twrite_2_sqmuxa_1_a0_2)) (portRef A (instanceRef r_nomds_RNIS602NU)) (portRef B (instanceRef r_flush_0_RNIOH1NF31)) )) (net (rename twrite_2_sqmuxa_1_a0Z0Z_1 "twrite_2_sqmuxa_1_a0_1") (joined (portRef Y (instanceRef twrite_2_sqmuxa_1_a0_1)) (portRef C (instanceRef r_nomds_RNIS602NU)) (portRef C (instanceRef r_flush_0_RNIOH1NF31)) )) (net setrepl_0_sqmuxa_1_m_3 (joined (portRef Y (instanceRef r_flush_0_RNIE7MNG)) (portRef A (instanceRef r_flush_0_RNIJ17RK4)) (portRef A (instanceRef r_flush_0_RNIAIEJL02)) (portRef A (instanceRef r_flush_0_RNIQ34QP5)) )) (net un1_dci_11_0_a2_0_o2_1 (joined (portRef Y (instanceRef r_nomds_RNIUO2511)) (portRef B (instanceRef r_nomds_RNIS602NU)) (portRef C (instanceRef r_nomds_RNIDVBPD1)) (portRef C (instanceRef r_nomds_RNIMJ7FN3)) (portRef C (instanceRef r_flush_0_RNIQ34QP5)) )) (net data2_1_sqmuxa_0_a0_1 (joined (portRef Y (instanceRef r_dstate_i_0_RNIRQ8SJT_5)) (portRef A (instanceRef r_dstate_i_0_RNIRCAFT32_5)) )) (net data2_1_sqmuxa_0_a0_0 (joined (portRef Y (instanceRef r_dstate_i_0_RNIEKC3I4_5)) (portRef A (instanceRef r_dstate_i_0_RNIRQ8SJT_5)) )) (net un1_addout_28_10 (joined (portRef un1_addout_28_10) (portRef B (instanceRef newptag_2_a2_25_m2_e_0)) (portRef B (instanceRef r_flush_0_RNI5OTFHS1)) (portRef B (instanceRef r_nomds_RNI251GTP1)) (portRef B (instanceRef r_dstate_i_0_RNIRQ8SJT_5)) (portRef B (instanceRef dctrl_tdiagwrite_3_0_m6_i_a0_1)) )) (net un1_dci_11_0_a2_0_o2_0_2_1 (joined (portRef Y (instanceRef r_nomds_RNIMJ7FN3)) (portRef C (instanceRef r_nomds_RNITMJ1BU)) )) (net un1_dci_11_0_a2_0_o2_a0 (joined (portRef Y (instanceRef dctrl_un1_dci_11_0_a2_0_o2_a0)) (portRef B (instanceRef twrite_2_sqmuxa_1_a0_2)) (portRef A (instanceRef r_nomds_RNI5QG344)) (portRef A (instanceRef r_nomds_RNIMJ7FN3)) )) (net un1_dci_11_0_a2_0_o2_a1 (joined (portRef Y (instanceRef dctrl_un1_dci_11_0_a2_0_o2_a1)) (portRef A (instanceRef twrite_2_sqmuxa_1_a0_2)) (portRef C (instanceRef r_nomds_RNI5QG344)) (portRef B (instanceRef r_nomds_RNIMJ7FN3)) )) (net tdiagwrite_3_0_m6_i_4_3 (joined (portRef Y (instanceRef r_dstate_i_RNIHLAGM1_5)) (portRef A (instanceRef r_dstate_i_RNIPNTBH3_5)) (portRef A (instanceRef r_dstate_i_RNIBEK0ER1_5)) )) (net tdiagwrite_3_0_m6_i_4_1 (joined (portRef Y (instanceRef r_dstate_i_RNIVJL51_5)) (portRef A (instanceRef r_dstate_i_RNIHLAGM1_5)) )) (net un13_eholdn (joined (portRef Y (instanceRef dctrl_un13_eholdn_0_a2_0_a2)) (portRef A (instanceRef r_flush_0_RNIISIE3)) (portRef C (instanceRef r_dstate_i_0_RNIPQBQ1_5)) (portRef C (instanceRef r_flush_0_RNIUENCT1)) (portRef B (instanceRef r_flush_0_RNI4C4L2)) (portRef A (instanceRef mexc_1_sqmuxa_0_a2_0_0)) (portRef A (instanceRef r_dstate_i_RNIAUMB5_5)) (portRef B (instanceRef dctrl_lramwr_4_m_0_a2_0)) (portRef C (instanceRef r_dstate_i_RNIHLAGM1_5)) )) (net tdiagwrite_3_0_0 (joined (portRef Y (instanceRef r_flush_0_RNIVLBJ_0)) (portRef C (instanceRef r_flush_0_RNI7SJF1)) (portRef B (instanceRef r_dstate_i_RNI474R_5)) (portRef B (instanceRef r_dstate_i_RNIVJL51_5)) )) (net twrite_2_sqmuxa_1_a1_1 (joined (portRef Y (instanceRef r_nomds_RNIDVBPD1)) (portRef B (instanceRef r_nomds_RNI5QG344)) )) (net holdn_0_sqmuxa_1_0 (joined (portRef Y (instanceRef r_cctrl_dcs_RNIK8DC_0)) (portRef A (instanceRef r_dstate_RNO_0_0)) (portRef B (instanceRef r_dstate_0_RNITAL12_4)) )) (net stpend (joined (portRef Q (instanceRef r_stpend)) (portRef B (instanceRef r_stpend_RNI8TUJ7_0)) (portRef A (instanceRef r_dstate_RNIFLTI_3)) (portRef A (instanceRef r_stpend_RNIFVKQ1_0)) (portRef A (instanceRef r_stpend_RNIFVKQ1)) (portRef B (instanceRef r_stpend_RNIL3MK1)) (portRef B (instanceRef r_stpend_RNIHDK5)) (portRef B (instanceRef r_cctrl_dcs_RNIK8DC_0)) (portRef C (instanceRef r_stpend_RNIF2K41)) (portRef A (instanceRef r_burst_RNO_7)) (portRef B (instanceRef r_stpend_RNIFT7B)) (portRef A (instanceRef r_dstate_RNO_4_3)) (portRef C (instanceRef r_holdn_RNIJJSA)) (portRef A (instanceRef r_stpend_RNIPP6T3)) (portRef B (instanceRef r_stpend_RNI8TUJ7)) (portRef stpend) )) (net N_347 (joined (portRef Y (instanceRef r_dstate_RNI88RR1_4)) (portRef C (instanceRef r_dstate_RNO_0_0)) (portRef C (instanceRef r_burst_RNO_7)) (portRef B (instanceRef r_stpend_RNIPP6T3)) )) (net dstate_tr1_6_1 (joined (portRef Y (instanceRef r_holdn_RNIJJSA)) (portRef A (instanceRef r_holdn_RNI8VR813)) )) (net hold (joined (portRef Q (instanceRef r_holdn)) (portRef C (instanceRef r_nomds_RNIBK9H)) (portRef A (instanceRef r_holdn_RNIA71D)) (portRef A (instanceRef r_holdn_RNIRBQ6)) (portRef B (instanceRef r_nomds_RNIBK9H_0)) (portRef A (instanceRef r_holdn_RNI49MB)) (portRef A (instanceRef r_holdn_RNIFCHA)) (portRef B (instanceRef r_nomds_RNI03QF)) (portRef A (instanceRef r_holdn_RNIJJSA)) (portRef A (instanceRef r_holdn_RNIA71D_0)) (portRef hold) )) (net (rename twrite_2_sqmuxa_1_a0_1Z0Z_0 "twrite_2_sqmuxa_1_a0_1_0") (joined (portRef Y (instanceRef twrite_2_sqmuxa_1_a0_1_0)) (portRef C (instanceRef twrite_2_sqmuxa_1_a0_1)) )) (net un1_addout_25_13 (joined (portRef un1_addout_25_13) (portRef B (instanceRef twrite_2_sqmuxa_1_a0_1_0)) )) (net lramwr_4_m_0_a2_0 (joined (portRef Y (instanceRef dctrl_lramwr_4_m_0_a2_0)) (portRef B (instanceRef r_flush_0_RNIDJNV23)) )) (net N_241 (joined (portRef Y (instanceRef dctrl_lramwr_4_0_a2_0)) (portRef B (instanceRef dctrl_lramwr_4_0_a2)) (portRef A (instanceRef dctrl_lramwr_4_m_0_a2_0)) )) (net un1_eholdn_1_0 (joined (portRef Y (instanceRef r_nomds_RNI03QF)) (portRef A (instanceRef r_nomds_RNIRGU903)) )) (net hold_0 (joined (portRef hold_0) (portRef B (instanceRef r_nomds_RNIRCHA_0)) (portRef A (instanceRef r_nomds_RNIRCHA)) (portRef A (instanceRef r_holdn_RNIJVRO3)) (portRef A (instanceRef r_dstate_RNIB83N_0)) (portRef B (instanceRef r_holdn_RNIFCHA)) (portRef C (instanceRef r_nomds_RNI03QF)) (portRef A (instanceRef r_wb_lock_RNO_5)) )) (net hit_RNI17SC (joined (portRef Y (instanceRef r_hit_RNI17SC)) (portRef A (instanceRef r_cctrl_dcs_RNIC2PJ_1)) (portRef B (instanceRef r_hit_RNIG1QI)) (portRef B (instanceRef r_cctrl_dcs_RNIUPAN1_1)) (portRef A (instanceRef r_hit_RNIJVUN2)) )) (net setrepl_0_sqmuxa_1_m_3_2 (joined (portRef Y (instanceRef r_cctrl_dcs_RNISA39D_0)) (portRef A (instanceRef r_flush_0_RNIE7MNG)) )) (net tmp_1 (joined (portRef Y (instanceRef r_flush2_RNIVB5P6)) (portRef C (instanceRef r_cctrl_dcs_RNIGBO78_0)) (portRef B (instanceRef r_cctrl_dcs_RNIE2CE7_0)) (portRef A (instanceRef r_cctrl_dcs_RNISA39D_0)) )) (net un1_dci_13 (joined (portRef Y (instanceRef r_flush2_RNISBQM5)) (portRef B (instanceRef r_cctrl_dcs_RNISA39D_0)) )) (net setrepl_0_sqmuxa_1_m_3_1 (joined (portRef Y (instanceRef r_cctrl_dcs_RNI1J3P_0)) (portRef C (instanceRef r_cctrl_dcs_RNISA39D_0)) )) (net setrepl_0_sqmuxa_1_m_3_0 (joined (portRef Y (instanceRef r_cctrl_dcs_RNILR9E_0)) (portRef A (instanceRef r_cctrl_dcs_RNI1J3P_0)) )) (net N_36_i (joined (portRef Y (instanceRef r_dstate_ns_i_a2_i_i_2_m5_0_a2_1)) (portRef B (instanceRef r_cctrl_dcs_RNI6HV38_0)) (portRef A (instanceRef r_stpend_RNIRME52)) (portRef B (instanceRef r_dstate_RNO_3_3)) (portRef B (instanceRef r_cctrl_dcs_RNI1J3P_0)) )) (net (rename ddatainv_0_1_0_iv_2_18 "ddatainv_0_1_0_iv_2[18]") (joined (portRef Y (instanceRef r_size_RNIC0LBN_1)) (portRef B (instanceRef r_dstate_RNITLPG91_1)) )) (net (rename ddatainv_0_1_0_iv_1_18 "ddatainv_0_1_0_iv_1[18]") (joined (portRef Y (instanceRef r_size_RNIVRH2F_1)) (portRef C (instanceRef r_size_RNIC0LBN_1)) )) (net (rename ddatainv_0_1_0_iv_0_18 "ddatainv_0_1_0_iv_0[18]") (joined (portRef Y (instanceRef r_read_RNIJNSA3)) (portRef C (instanceRef r_size_RNIVRH2F_1)) )) (net (rename mcdo_m_0_i_18 "mcdo_m_0_i[18]") (joined (portRef Y (instanceRef r_read_RNI5EBN1)) (portRef C (instanceRef r_read_RNIJNSA3)) )) (net (rename dci_m_i_30 "dci_m_i[30]") (joined (portRef Y (instanceRef r_size_RNIBDHJ1_1)) (portRef C (instanceRef r_read_RNI5K483)) )) (net (rename ddatainv_0_1_0_iv_2_17 "ddatainv_0_1_0_iv_2[17]") (joined (portRef Y (instanceRef r_size_RNIH8QMN_1)) (portRef B (instanceRef r_dstate_RNIILTR91_1)) )) (net (rename ddatainv_0_1_0_iv_1_17 "ddatainv_0_1_0_iv_1[17]") (joined (portRef Y (instanceRef r_size_RNI44NDF_1)) (portRef C (instanceRef r_size_RNIH8QMN_1)) )) (net (rename ddatainv_0_1_0_iv_0_17 "ddatainv_0_1_0_iv_0[17]") (joined (portRef Y (instanceRef r_read_RNI3O2M3)) (portRef C (instanceRef r_size_RNI44NDF_1)) )) (net (rename mcdo_m_0_i_17 "mcdo_m_0_i[17]") (joined (portRef Y (instanceRef r_read_RNIMEH22)) (portRef C (instanceRef r_read_RNI3O2M3)) )) (net (rename ddatainv_0_1_0_iv_3_21 "ddatainv_0_1_0_iv_3[21]") (joined (portRef Y (instanceRef r_dstate_RNIN9BL01_1)) (portRef C (instanceRef r_dstate_RNIUUCH91_1)) )) (net (rename edata_5 "edata[5]") (joined (portRef Y (instanceRef r_dstate_RNIQFO27_1)) (portRef A (instanceRef r_dstate_RNI4EN79_1)) (portRef A (instanceRef r_dstate_RNIHJ01B_1)) (portRef B (instanceRef r_dstate_RNISTGFH1_1)) (portRef B (instanceRef r_dstate_RNIUUCH91_1)) (portRef A (instanceRef r_dstate_RNIN9BL01_1)) )) (net ddatainv_0_1_sqmuxa (joined (portRef Y (instanceRef r_xaddress_RNIAUU42_1_0)) (portRef B (instanceRef r_dstate_RNI77D89_1_1)) (portRef B (instanceRef r_dstate_RNISPM79_0_1)) (portRef A (instanceRef r_xaddress_RNIKST94_0)) (portRef B (instanceRef r_dstate_RNI7OF89_1_1)) (portRef B (instanceRef r_dstate_RNIVIC89_1_1)) (portRef B (instanceRef r_dstate_RNIKMO79_0_1)) (portRef B (instanceRef r_dstate_RNIFRD89_0_1)) (portRef B (instanceRef r_dstate_RNINUB89_1_1)) (portRef A (instanceRef r_xaddress_RNIKST94_1_0)) (portRef B (instanceRef r_dstate_RNIN9BL01_1)) )) (net (rename ddatainv_0_1_0_iv_2_21 "ddatainv_0_1_0_iv_2[21]") (joined (portRef Y (instanceRef r_read_RNIJRJDN)) (portRef C (instanceRef r_dstate_RNIN9BL01_1)) )) (net (rename dci_m_i_93 "dci_m_i[93]") (joined (portRef Y (instanceRef r_size_RNIM3KNB_1)) (portRef A (instanceRef r_read_RNIJRJDN)) )) (net (rename ddatainv_0_1_0_iv_0_21 "ddatainv_0_1_0_iv_0[21]") (joined (portRef Y (instanceRef r_read_RNIFJSC3)) (portRef B (instanceRef r_read_RNIJRJDN)) )) (net (rename dcramo_m_0_i_245 "dcramo_m_0_i[245]") (joined (portRef Y (instanceRef r_xaddress_RNIE4398_0)) (portRef C (instanceRef r_read_RNIJRJDN)) )) (net (rename dci_m_i_29 "dci_m_i[29]") (joined (portRef Y (instanceRef r_size_RNIADHJ1_1)) (portRef C (instanceRef r_read_RNIFJSC3)) )) (net (rename ddatainv_0_1_0_iv_2_23 "ddatainv_0_1_0_iv_2[23]") (joined (portRef Y (instanceRef r_size_RNI8ITAN_1)) (portRef B (instanceRef r_dstate_RNIJ6PE91_1)) )) (net (rename ddatainv_0_1_0_iv_1_23 "ddatainv_0_1_0_iv_1[23]") (joined (portRef Y (instanceRef r_size_RNIQDQ1F_1)) (portRef C (instanceRef r_size_RNI8ITAN_1)) )) (net (rename ddatainv_0_1_0_iv_0_23 "ddatainv_0_1_0_iv_0[23]") (joined (portRef Y (instanceRef r_read_RNI9FSA3)) (portRef C (instanceRef r_size_RNIQDQ1F_1)) )) (net (rename mcdo_m_0_i_23 "mcdo_m_0_i[23]") (joined (portRef Y (instanceRef r_read_RNIT1BN1)) (portRef C (instanceRef r_read_RNI9FSA3)) )) (net (rename ddatainv_0_1_0_iv_2_16 "ddatainv_0_1_0_iv_2[16]") (joined (portRef Y (instanceRef r_size_RNIFQU8N_1)) (portRef B (instanceRef r_dstate_RNI0V0E91_1)) )) (net (rename ddatainv_0_1_0_iv_1_16 "ddatainv_0_1_0_iv_1[16]") (joined (portRef Y (instanceRef r_size_RNI2MRVE_1)) (portRef C (instanceRef r_size_RNIFQU8N_1)) )) (net (rename edata2_0_iv_16 "edata2_0_iv[16]") (joined (portRef (member edata2_0_iv 7)) (portRef B (instanceRef dctrl_v_wb_data1_1_i_a2_RNO_16)) (portRef A (instanceRef r_wb_data2_RNO_16)) (portRef B (instanceRef r_size_RNI2MRVE_1)) (portRef B (instanceRef r_dstate_0_RNIE1UB4R3_4)) )) (net (rename ddatainv_0_1_0_iv_0_16 "ddatainv_0_1_0_iv_0[16]") (joined (portRef Y (instanceRef r_read_RNIC2883)) (portRef C (instanceRef r_size_RNI2MRVE_1)) )) (net (rename maddress_16 "maddress[16]") (joined (portRef maddress_16) (portRef B (instanceRef r_cctrl_burst_RNO_0)) (portRef A (instanceRef r_wb_addr_RNO_1_16)) (portRef A (instanceRef r_xaddress_RNO_16)) (portRef B (instanceRef dctrl_0_hitv3_4_0_0_x2)) (portRef B (instanceRef dctrl_v_wb_data1_1_i_a2_0_RNO_16)) (portRef A (instanceRef r_read_RNIC2883)) (portRef A (instanceRef r_dstate_0_RNIB0B1_4)) )) (net (rename mcdo_m_0_i_16 "mcdo_m_0_i[16]") (joined (portRef Y (instanceRef r_read_RNI0PMK1)) (portRef C (instanceRef r_read_RNIC2883)) )) (net (rename ddatainv_0_1_0_iv_2_19 "ddatainv_0_1_0_iv_2[19]") (joined (portRef Y (instanceRef r_size_RNI99MDN_1)) (portRef B (instanceRef r_dstate_RNIA7SI91_1)) )) (net (rename ddatainv_0_1_0_iv_1_19 "ddatainv_0_1_0_iv_1[19]") (joined (portRef Y (instanceRef r_size_RNIS4J4F_1)) (portRef C (instanceRef r_size_RNI99MDN_1)) )) (net (rename ddatainv_0_1_0_iv_0_19 "ddatainv_0_1_0_iv_0[19]") (joined (portRef Y (instanceRef r_read_RNI58TC3)) (portRef C (instanceRef r_size_RNIS4J4F_1)) )) (net (rename mcdo_m_0_i_19 "mcdo_m_0_i[19]") (joined (portRef Y (instanceRef r_read_RNIMUBP1)) (portRef C (instanceRef r_read_RNI58TC3)) )) (net (rename ddatainv_0_1_0_iv_2_20 "ddatainv_0_1_0_iv_2[20]") (joined (portRef Y (instanceRef r_size_RNI8O24N_1)) (portRef B (instanceRef r_dstate_RNI3JQ791_1)) )) (net (rename ddatainv_0_1_0_iv_1_20 "ddatainv_0_1_0_iv_1[20]") (joined (portRef Y (instanceRef r_size_RNIQJVQE_1)) (portRef C (instanceRef r_size_RNI8O24N_1)) )) (net (rename ddatainv_0_1_0_iv_0_20 "ddatainv_0_1_0_iv_0[20]") (joined (portRef Y (instanceRef r_read_RNIF8C33)) (portRef C (instanceRef r_size_RNIQJVQE_1)) )) (net (rename mcdo_m_0_i_20 "mcdo_m_0_i[20]") (joined (portRef Y (instanceRef r_read_RNI6RQF1)) (portRef C (instanceRef r_read_RNIF8C33)) )) (net (rename ddatainv_0_1_0_iv_2_27 "ddatainv_0_1_0_iv_2[27]") (joined (portRef Y (instanceRef r_read_RNI56HKQ)) (portRef A (instanceRef r_read_RNILMNHG1)) )) (net (rename ddatainv_0_1_0_iv_0_27 "ddatainv_0_1_0_iv_0[27]") (joined (portRef Y (instanceRef r_read_RNIBS463)) (portRef A (instanceRef r_read_RNI56HKQ)) )) (net (rename dci_m_i_99 "dci_m_i[99]") (joined (portRef Y (instanceRef r_size_RNIB595F_1)) (portRef B (instanceRef r_read_RNI56HKQ)) )) (net (rename dcramo_m_0_i_251 "dcramo_m_0_i[251]") (joined (portRef Y (instanceRef r_xaddress_RNIF4398_0)) (portRef C (instanceRef r_read_RNI56HKQ)) )) (net (rename dci_m_i_35 "dci_m_i[35]") (joined (portRef Y (instanceRef r_size_RNIGDHJ1_1)) (portRef C (instanceRef r_read_RNIBS463)) )) (net (rename ddatainv_0_1_0_iv_2_26 "ddatainv_0_1_0_iv_2[26]") (joined (portRef Y (instanceRef r_size_RNI7TV1R_1)) (portRef A (instanceRef r_dstate_RNI8BDUG1_1)) )) (net (rename xaddress_RNIB0688_0_0 "xaddress_RNIB0688_0[0]") (joined (portRef Y (instanceRef r_xaddress_RNIB0688_0_0)) (portRef B (instanceRef r_xaddress_RNIG4398_0_0)) (portRef B (instanceRef r_xaddress_RNIG4398_0)) (portRef B (instanceRef r_xaddress_RNIG4398_1_0)) (portRef B (instanceRef r_xaddress_RNIF4398_0)) (portRef B (instanceRef r_size_RNIVP8MQ_1)) (portRef B (instanceRef r_size_RNIOFP781_1)) (portRef B (instanceRef r_size_RNI2QGHQ_1)) (portRef B (instanceRef r_size_RNI7TV1R_1)) )) (net (rename ddatainv_0_1_0_iv_1_26 "ddatainv_0_1_0_iv_1[26]") (joined (portRef Y (instanceRef r_size_RNIOOSOI_1)) (portRef C (instanceRef r_size_RNI7TV1R_1)) )) (net (rename ddatainv_0_1_0_iv_0_26 "ddatainv_0_1_0_iv_0[26]") (joined (portRef Y (instanceRef r_read_RNIN5CK3)) (portRef C (instanceRef r_size_RNIOOSOI_1)) )) (net (rename mcdo_m_0_i_26 "mcdo_m_0_i[26]") (joined (portRef Y (instanceRef r_read_RNI8OQ02)) (portRef C (instanceRef r_read_RNIN5CK3)) )) (net (rename ddatainv_0_1_0_iv_2_24 "ddatainv_0_1_0_iv_2[24]") (joined (portRef Y (instanceRef r_size_RNI2QGHQ_1)) (portRef A (instanceRef r_dstate_RNIAK9OF1_1)) )) (net (rename ddatainv_0_1_0_iv_1_24 "ddatainv_0_1_0_iv_1[24]") (joined (portRef Y (instanceRef r_size_RNIJLD8I_1)) (portRef C (instanceRef r_size_RNI2QGHQ_1)) )) (net (rename ddatainv_0_1_0_iv_0_24 "ddatainv_0_1_0_iv_0[24]") (joined (portRef Y (instanceRef r_read_RNI5L5F3)) (portRef C (instanceRef r_size_RNIJLD8I_1)) )) (net (rename mcdo_m_0_i_24 "mcdo_m_0_i[24]") (joined (portRef Y (instanceRef r_read_RNIO7KR1)) (portRef C (instanceRef r_read_RNI5L5F3)) )) (net (rename ddatainv_0_1_0_iv_2_15 "ddatainv_0_1_0_iv_2[15]") (joined (portRef Y (instanceRef r_size_RNIFTFQN_1)) (portRef A (instanceRef r_dstate_RNIPKCMD1_1)) )) (net (rename xaddress_RNIB0688_0 "xaddress_RNIB0688[0]") (joined (portRef Y (instanceRef r_xaddress_RNIB0688_0)) (portRef B (instanceRef r_xaddress_RNIB4398_0)) (portRef B (instanceRef r_xaddress_RNIC4398_0)) (portRef B (instanceRef r_size_RNIRGQ5N_1)) (portRef B (instanceRef r_size_RNING06M_1)) (portRef B (instanceRef r_size_RNIKSPAM_1)) (portRef B (instanceRef r_read_RNION4PN)) (portRef B (instanceRef r_size_RNIP7VUN_1)) (portRef B (instanceRef r_size_RNIFTFQN_1)) )) (net (rename ddatainv_0_1_0_iv_1_15 "ddatainv_0_1_0_iv_1[15]") (joined (portRef Y (instanceRef r_size_RNI3PCHF_1)) (portRef C (instanceRef r_size_RNIFTFQN_1)) )) (net (rename ddatainv_0_1_0_iv_0_15 "ddatainv_0_1_0_iv_0[15]") (joined (portRef Y (instanceRef r_read_RNIOSMP3)) (portRef C (instanceRef r_size_RNI3PCHF_1)) )) (net (rename mcdo_m_0_i_15 "mcdo_m_0_i[15]") (joined (portRef Y (instanceRef r_read_RNIDJ562)) (portRef C (instanceRef r_read_RNIOSMP3)) )) (net (rename ddatainv_0_1_0_iv_2_14 "ddatainv_0_1_0_iv_2[14]") (joined (portRef Y (instanceRef r_read_RNI44SPN)) (portRef A (instanceRef r_read_RNIGQ6ND1)) )) (net (rename dci_m_i_86 "dci_m_i[86]") (joined (portRef Y (instanceRef r_size_RNIRPCOB_1)) (portRef A (instanceRef r_read_RNI44SPN)) )) (net (rename ddatainv_0_1_0_iv_0_14 "ddatainv_0_1_0_iv_0[14]") (joined (portRef Y (instanceRef r_read_RNIT5CO3)) (portRef B (instanceRef r_read_RNI44SPN)) )) (net (rename dcramo_m_0_i_238 "dcramo_m_0_i[238]") (joined (portRef Y (instanceRef r_xaddress_RNIC4398_0)) (portRef C (instanceRef r_read_RNI44SPN)) )) (net (rename dci_m_i_22 "dci_m_i[22]") (joined (portRef Y (instanceRef r_size_RNIA9HJ1_1)) (portRef C (instanceRef r_read_RNIT5CO3)) )) (net (rename ddatainv_0_1_0_iv_2_13 "ddatainv_0_1_0_iv_2[13]") (joined (portRef Y (instanceRef r_size_RNIP7VUN_1)) (portRef A (instanceRef r_dstate_RNIR5PQD1_1)) )) (net (rename ddatainv_0_1_0_iv_1_13 "ddatainv_0_1_0_iv_1[13]") (joined (portRef Y (instanceRef r_size_RNID3SLF_1)) (portRef C (instanceRef r_size_RNIP7VUN_1)) )) (net (rename ddatainv_0_1_0_iv_0_13 "ddatainv_0_1_0_iv_0[13]") (joined (portRef Y (instanceRef r_read_RNION7U3)) (portRef C (instanceRef r_size_RNID3SLF_1)) )) (net (rename mcdo_m_0_i_13 "mcdo_m_0_i[13]") (joined (portRef Y (instanceRef r_read_RNIFEMA2)) (portRef C (instanceRef r_read_RNION7U3)) )) (net (rename ddatainv_0_1_0_iv_2_12 "ddatainv_0_1_0_iv_2[12]") (joined (portRef Y (instanceRef r_read_RNION4PN)) (portRef A (instanceRef r_dstate_RNIBJ5KD1_1)) )) (net (rename ddatainv_0_1_0_iv_1_12 "ddatainv_0_1_0_iv_1[12]") (joined (portRef Y (instanceRef r_read_RNICJ1GF)) (portRef C (instanceRef r_read_RNION4PN)) )) (net (rename ddatainv_0_1_0_iv_0_12 "ddatainv_0_1_0_iv_0[12]") (joined (portRef Y (instanceRef r_read_RNITL5P3)) (portRef C (instanceRef r_read_RNICJ1GF)) )) (net (rename dci_m_i_20 "dci_m_i[20]") (joined (portRef Y (instanceRef r_size_RNI89HJ1_1)) (portRef C (instanceRef r_read_RNITL5P3)) )) (net (rename ddatainv_0_1_0_iv_2_9 "ddatainv_0_1_0_iv_2[9]") (joined (portRef Y (instanceRef r_size_RNIKSPAM_1)) (portRef A (instanceRef r_dstate_RNIGBKHB1_1)) )) (net (rename ddatainv_0_1_0_iv_1_9 "ddatainv_0_1_0_iv_1[9]") (joined (portRef Y (instanceRef r_size_RNI9OM1E_1)) (portRef C (instanceRef r_size_RNIKSPAM_1)) )) (net (rename ddatainv_0_1_0_iv_0_9 "ddatainv_0_1_0_iv_0[9]") (joined (portRef Y (instanceRef r_read_RNIUT923)) (portRef C (instanceRef r_size_RNI9OM1E_1)) )) (net (rename mcdo_m_0_i_9 "mcdo_m_0_i[9]") (joined (portRef Y (instanceRef r_read_RNICN5C1)) (portRef C (instanceRef r_read_RNIUT923)) )) (net (rename ddatainv_0_1_0_iv_2_8 "ddatainv_0_1_0_iv_2[8]") (joined (portRef Y (instanceRef r_size_RNING06M_1)) (portRef A (instanceRef r_dstate_RNIVAPCB1_1)) )) (net (rename ddatainv_0_1_0_iv_1_8 "ddatainv_0_1_0_iv_1[8]") (joined (portRef Y (instanceRef r_size_RNICCTSD_1)) (portRef C (instanceRef r_size_RNING06M_1)) )) (net (rename ddatainv_0_1_0_iv_0_8 "ddatainv_0_1_0_iv_0[8]") (joined (portRef Y (instanceRef r_read_RNICEHT2)) (portRef C (instanceRef r_size_RNICCTSD_1)) )) (net (rename mcdo_m_0_i_8 "mcdo_m_0_i[8]") (joined (portRef Y (instanceRef r_read_RNIRBD71)) (portRef C (instanceRef r_read_RNICEHT2)) )) (net (rename ddatainv_0_1_0_iv_1_7 "ddatainv_0_1_0_iv_1[7]") (joined (portRef Y (instanceRef r_read_RNII6K6B)) (portRef A (instanceRef r_size_RNIQ6O4U_1)) )) (net (rename xaddress_RNIB0688_1 "xaddress_RNIB0688[1]") (joined (portRef Y (instanceRef r_xaddress_RNIB0688_1)) (portRef B (instanceRef r_xaddress_RNI94398_1)) (portRef B (instanceRef r_xaddress_RNIA4398_1)) (portRef B (instanceRef r_read_RNIH0CMB)) (portRef B (instanceRef r_read_RNIGNN2B)) (portRef B (instanceRef r_read_RNI9DABB)) (portRef B (instanceRef r_read_RNIVLI6B)) (portRef B (instanceRef r_read_RNINB3RB)) (portRef B (instanceRef r_read_RNII6K6B)) )) (net (rename ddatainv_0_1_0_iv_0_7 "ddatainv_0_1_0_iv_0[7]") (joined (portRef Y (instanceRef r_read_RNI82HT2)) (portRef C (instanceRef r_read_RNII6K6B)) )) (net (rename mcdo_m_0_i_7 "mcdo_m_0_i[7]") (joined (portRef Y (instanceRef r_read_RNIO3D71)) (portRef C (instanceRef r_read_RNI82HT2)) )) (net (rename ddatainv_0_1_0_iv_1_5 "ddatainv_0_1_0_iv_1[5]") (joined (portRef Y (instanceRef r_read_RNIGJDIB)) (portRef A (instanceRef r_size_RNIQAFGU_1)) )) (net (rename dci_m_i_13 "dci_m_i[13]") (joined (portRef Y (instanceRef r_size_RNIEM3M1_1)) (portRef A (instanceRef r_read_RNIGJDIB)) )) (net (rename mcdo_m_0_i_5 "mcdo_m_0_i[5]") (joined (portRef Y (instanceRef r_read_RNIOO6J1)) (portRef B (instanceRef r_read_RNIGJDIB)) )) (net (rename dcramo_m_0_i_229 "dcramo_m_0_i[229]") (joined (portRef Y (instanceRef r_xaddress_RNIA4398_1)) (portRef C (instanceRef r_read_RNIGJDIB)) )) (net (rename ddatainv_0_1_0_iv_1_4 "ddatainv_0_1_0_iv_1[4]") (joined (portRef Y (instanceRef r_read_RNINB3RB)) (portRef A (instanceRef r_size_RNIIU3PU_1)) )) (net (rename ddatainv_0_1_0_iv_0_4 "ddatainv_0_1_0_iv_0[4]") (joined (portRef Y (instanceRef r_read_RNID70I3)) (portRef C (instanceRef r_read_RNINB3RB)) )) (net (rename mcdo_m_0_i_4 "mcdo_m_0_i[4]") (joined (portRef Y (instanceRef r_read_RNI0LSR1)) (portRef C (instanceRef r_read_RNID70I3)) )) (net (rename ddatainv_0_1_0_iv_1_3 "ddatainv_0_1_0_iv_1[3]") (joined (portRef Y (instanceRef r_read_RNIVLI6B)) (portRef A (instanceRef r_size_RNI1G16U_1)) )) (net (rename ddatainv_0_1_0_iv_0_3 "ddatainv_0_1_0_iv_0[3]") (joined (portRef Y (instanceRef r_read_RNIMHFT2)) (portRef C (instanceRef r_read_RNIVLI6B)) )) (net (rename dci_m_i_11 "dci_m_i[11]") (joined (portRef Y (instanceRef r_size_RNICE3M1_1)) (portRef C (instanceRef r_read_RNIMHFT2)) )) (net (rename ddatainv_0_1_0_iv_1_2 "ddatainv_0_1_0_iv_1[2]") (joined (portRef Y (instanceRef r_read_RNI9DABB)) (portRef A (instanceRef r_size_RNIS2OAU_1)) )) (net (rename ddatainv_0_1_0_iv_1_1 "ddatainv_0_1_0_iv_1[1]") (joined (portRef Y (instanceRef r_read_RNIP3AMB)) (portRef A (instanceRef r_size_RNITKMLU_1)) )) (net (rename mcdo_m_0_i_1 "mcdo_m_0_i[1]") (joined (portRef Y (instanceRef r_read_RNI6P3N1)) (portRef A (instanceRef r_read_RNIP3AMB)) )) (net (rename dci_m_i_9 "dci_m_i[9]") (joined (portRef Y (instanceRef r_size_RNIA63M1_1)) (portRef B (instanceRef r_read_RNIP3AMB)) )) (net (rename dcramo_m_0_i_225 "dcramo_m_0_i[225]") (joined (portRef Y (instanceRef r_xaddress_RNI94398_1)) (portRef C (instanceRef r_read_RNIP3AMB)) )) (net (rename ddatainv_0_1_0_iv_2_0 "ddatainv_0_1_0_iv_2[0]") (joined (portRef Y (instanceRef r_size_RNI10E0J_1)) (portRef C (instanceRef r_dstate_RNI5432U_1)) )) (net (rename ddatainv_0_1_0_iv_1_0 "ddatainv_0_1_0_iv_1[0]") (joined (portRef Y (instanceRef r_read_RNIGNN2B)) (portRef C (instanceRef r_size_RNI10E0J_1)) )) (net (rename ddatainv_0_1_0_iv_0_0 "ddatainv_0_1_0_iv_0[0]") (joined (portRef Y (instanceRef r_read_RNI7JKP2)) (portRef C (instanceRef r_read_RNIGNN2B)) )) (net (rename mcdo_m_0_i_0 "mcdo_m_0_i[0]") (joined (portRef Y (instanceRef r_read_RNIUGH31)) (portRef C (instanceRef r_read_RNI7JKP2)) )) (net (rename ddatainv_0_1_0_iv_2_30 "ddatainv_0_1_0_iv_2[30]") (joined (portRef Y (instanceRef r_read_RNIJBP6R)) (portRef A (instanceRef r_read_RNIV144H1)) )) (net (rename ddatainv_0_1_0_iv_0_30 "ddatainv_0_1_0_iv_0[30]") (joined (portRef Y (instanceRef r_read_RNI70MN3)) (portRef A (instanceRef r_read_RNIJBP6R)) )) (net (rename dci_m_i_102 "dci_m_i[102]") (joined (portRef Y (instanceRef r_size_RNIS606F_1)) (portRef B (instanceRef r_read_RNIJBP6R)) )) (net (rename dcramo_m_0_i_254 "dcramo_m_0_i[254]") (joined (portRef Y (instanceRef r_xaddress_RNIG4398_0_0)) (portRef C (instanceRef r_read_RNIJBP6R)) )) (net (rename dci_m_i_38 "dci_m_i[38]") (joined (portRef Y (instanceRef r_size_RNICHHJ1_1)) (portRef C (instanceRef r_read_RNI70MN3)) )) (net (rename ddatainv_0_1_0_iv_3_29 "ddatainv_0_1_0_iv_3[29]") (joined (portRef Y (instanceRef r_size_RNIOFP781_1)) (portRef C (instanceRef r_dstate_RNISTGFH1_1)) )) (net (rename ddatainv_0_1_0_iv_2_29 "ddatainv_0_1_0_iv_2[29]") (joined (portRef Y (instanceRef r_size_RNI8BMUV_1)) (portRef C (instanceRef r_size_RNIOFP781_1)) )) (net (rename ddatainv_0_1_0_iv_0_29 "ddatainv_0_1_0_iv_0[29]") (joined (portRef Y (instanceRef r_read_RNI1T854)) (portRef A (instanceRef r_size_RNI8BMUV_1)) )) (net (rename dci_m_i_101 "dci_m_i[101]") (joined (portRef Y (instanceRef r_size_RNI9UA5F_1)) (portRef B (instanceRef r_size_RNI8BMUV_1)) )) (net (rename edata_m_0_i_13 "edata_m_0_i[13]") (joined (portRef Y (instanceRef r_dstate_RNIUF2KC_0_1)) (portRef C (instanceRef r_size_RNI8BMUV_1)) )) (net edata_0_sqmuxa_s1 (joined (portRef Y (instanceRef r_size_RNI5P9I1_1_1)) (portRef B (instanceRef r_size_RNIA63M1_1)) (portRef B (instanceRef r_size_RNICE3M1_1)) (portRef B (instanceRef r_size_RNIEM3M1_1)) (portRef B (instanceRef r_size_RNI89HJ1_1)) (portRef B (instanceRef r_size_RNIA9HJ1_1)) (portRef B (instanceRef r_size_RNIGDHJ1_1)) (portRef B (instanceRef r_size_RNIHDHJ1_1)) (portRef B (instanceRef r_size_RNICHHJ1_1)) (portRef B (instanceRef r_size_RNIBDHJ1_1)) (portRef B (instanceRef r_size_RNIFQ3M1_1)) (portRef B (instanceRef r_size_RNIADHJ1_1)) (portRef B (instanceRef r_size_RNI79HJ1_1)) (portRef B (instanceRef r_read_RNIOQ4J3)) (portRef B (instanceRef r_read_RNI99BK3)) (portRef B (instanceRef r_read_RNIS9553)) (portRef B (instanceRef r_read_RNI1T854)) )) (net (rename mcdo_m_0_i_29 "mcdo_m_0_i[29]") (joined (portRef Y (instanceRef r_read_RNIFFNH2)) (portRef C (instanceRef r_read_RNI1T854)) )) (net (rename ddatainv_0_1_0_iv_2_6 "ddatainv_0_1_0_iv_2[6]") (joined (portRef Y (instanceRef r_size_RNICA5KJ_1)) (portRef C (instanceRef r_dstate_RNI08ULU_1)) )) (net (rename ddatainv_0_1_0_iv_1_6 "ddatainv_0_1_0_iv_1[6]") (joined (portRef Y (instanceRef r_read_RNIH0CMB)) (portRef C (instanceRef r_size_RNICA5KJ_1)) )) (net (rename ddatainv_0_1_0_iv_0_6 "ddatainv_0_1_0_iv_0[6]") (joined (portRef Y (instanceRef r_read_RNI7S8D3)) (portRef C (instanceRef r_read_RNIH0CMB)) )) (net (rename dci_m_i_14 "dci_m_i[14]") (joined (portRef Y (instanceRef r_size_RNIFQ3M1_1)) (portRef C (instanceRef r_read_RNI7S8D3)) )) (net (rename ddatainv_0_1_0_iv_2_10 "ddatainv_0_1_0_iv_2[10]") (joined (portRef Y (instanceRef r_size_RNIRGQ5N_1)) (portRef A (instanceRef r_dstate_RNISU72D1_1)) )) (net (rename ddatainv_0_1_0_iv_1_10 "ddatainv_0_1_0_iv_1[10]") (joined (portRef Y (instanceRef r_size_RNIGCNSE_1)) (portRef C (instanceRef r_size_RNIRGQ5N_1)) )) (net (rename ddatainv_0_1_0_iv_0_10 "ddatainv_0_1_0_iv_0[10]") (joined (portRef Y (instanceRef r_read_RNIS9553)) (portRef C (instanceRef r_size_RNIGCNSE_1)) )) (net (rename mcdo_m_0_i_10 "mcdo_m_0_i[10]") (joined (portRef Y (instanceRef r_read_RNIM0KH1)) (portRef C (instanceRef r_read_RNIS9553)) )) (net (rename ddatainv_0_1_0_iv_3_31 "ddatainv_0_1_0_iv_3[31]") (joined (portRef Y (instanceRef r_dstate_RNI464M71_1)) (portRef C (instanceRef r_dstate_RNIOSSTG1_1)) )) (net (rename edata_15 "edata[15]") (joined (portRef Y (instanceRef r_dstate_RNI9RQQA_1)) (portRef A (instanceRef r_dstate_RNIM04KC_1)) (portRef A (instanceRef r_dstate_RNI464M71_1)) )) (net ddatainv_0_4_sqmuxa (joined (portRef Y (instanceRef r_xaddress_RNID59P1_1)) (portRef B (instanceRef r_dstate_RNIAENS8_1)) (portRef B (instanceRef r_dstate_RNIV01S8_1)) (portRef B (instanceRef r_dstate_RNI5UQKC_0_1)) (portRef B (instanceRef r_dstate_RNIUF2KC_0_1)) (portRef B (instanceRef r_dstate_RNINT2S8_1)) (portRef B (instanceRef r_dstate_RNIAVPS8_1)) (portRef B (instanceRef r_dstate_RNI2QMS8_1)) (portRef B (instanceRef r_dstate_RNIN1AJC_0_1)) (portRef B (instanceRef r_dstate_RNI1LOKC_0_1)) (portRef B (instanceRef r_dstate_RNIQ60KC_0_1)) (portRef B (instanceRef r_dstate_RNITRDUB_0_1)) (portRef B (instanceRef r_dstate_RNIHRCUB_0_1)) (portRef B (instanceRef r_dstate_RNII2OS8_1)) (portRef B (instanceRef r_dstate_RNIQ5MS8_1)) (portRef B (instanceRef r_xaddress_RNIB0688_0)) (portRef B (instanceRef r_xaddress_RNIB0688_1)) (portRef A (instanceRef r_dstate_RNIUUCH91_1)) (portRef B (instanceRef r_dstate_RNI464M71_1)) )) (net (rename ddatainv_0_1_0_iv_2_31 "ddatainv_0_1_0_iv_2[31]") (joined (portRef Y (instanceRef r_size_RNIE502R_1)) (portRef C (instanceRef r_dstate_RNI464M71_1)) )) (net (rename ddatainv_0_1_0_iv_0_31 "ddatainv_0_1_0_iv_0[31]") (joined (portRef Y (instanceRef r_read_RNI99BK3)) (portRef A (instanceRef r_size_RNIE502R_1)) )) (net (rename dci_m_i_103 "dci_m_i[103]") (joined (portRef Y (instanceRef r_size_RNILNH4F_1)) (portRef B (instanceRef r_size_RNIE502R_1)) )) (net (rename dcramo_m_0_i_255 "dcramo_m_0_i[255]") (joined (portRef Y (instanceRef r_xaddress_RNIG4398_0)) (portRef C (instanceRef r_size_RNIE502R_1)) )) (net (rename maddress_31 "maddress[31]") (joined (portRef maddress_31) (portRef B (instanceRef dctrl_0_hitv3_19_0)) (portRef A (instanceRef r_xaddress_RNO_31)) (portRef A (instanceRef r_wb_data1_RNO_31)) (portRef A (instanceRef r_wb_addr_RNO_31)) (portRef A (instanceRef r_read_RNI99BK3)) (portRef A (instanceRef r_dstate_0_RNIC8B1_4)) )) (net (rename mcdo_m_0_i_31 "mcdo_m_0_i[31]") (joined (portRef Y (instanceRef r_read_RNISNP02)) (portRef C (instanceRef r_read_RNI99BK3)) )) (net (rename ddatainv_0_1_0_iv_3_11 "ddatainv_0_1_0_iv_3[11]") (joined (portRef Y (instanceRef r_read_RNI9U3B41)) (portRef C (instanceRef r_dstate_RNIOPHJD1_1)) )) (net (rename ddatainv_0_1_0_iv_1_11 "ddatainv_0_1_0_iv_1[11]") (joined (portRef Y (instanceRef r_read_RNIT48DF)) (portRef A (instanceRef r_read_RNI9U3B41)) )) (net (rename dcramo_m_0_i_235 "dcramo_m_0_i[235]") (joined (portRef Y (instanceRef r_xaddress_RNIB4398_0)) (portRef B (instanceRef r_read_RNI9U3B41)) )) (net (rename edata_m_i_11 "edata_m_i[11]") (joined (portRef Y (instanceRef r_dstate_RNI1LOKC_1)) (portRef C (instanceRef r_read_RNI9U3B41)) )) (net (rename mcdo_m_0_i_11 "mcdo_m_0_i[11]") (joined (portRef Y (instanceRef r_read_RNISAC12)) (portRef A (instanceRef r_read_RNIT48DF)) )) (net (rename dci_m_i_19 "dci_m_i[19]") (joined (portRef Y (instanceRef r_size_RNI79HJ1_1)) (portRef B (instanceRef r_read_RNIT48DF)) )) (net (rename dci_m_i_83 "dci_m_i[83]") (joined (portRef Y (instanceRef r_size_RNIQGAOB_1)) (portRef C (instanceRef r_read_RNIT48DF)) )) (net (rename ddatainv_0_1_0_iv_2_25 "ddatainv_0_1_0_iv_2[25]") (joined (portRef Y (instanceRef r_size_RNIVP8MQ_1)) (portRef A (instanceRef r_dstate_RNIR83TF1_1)) )) (net (rename ddatainv_0_1_0_iv_1_25 "ddatainv_0_1_0_iv_1[25]") (joined (portRef Y (instanceRef r_size_RNIGL5DI_1)) (portRef C (instanceRef r_size_RNIVP8MQ_1)) )) (net edata_0_sqmuxa_s0 (joined (portRef Y (instanceRef r_size_RNI5P9I1_1)) (portRef A (instanceRef r_size_RNIOOMT7_1)) (portRef A (instanceRef r_size_RNIV8NT7_1)) (portRef A (instanceRef r_size_RNI6PNT7_1)) (portRef B (instanceRef r_size_RNIIJ0T7_1)) (portRef B (instanceRef r_size_RNIP31T7_1)) (portRef B (instanceRef r_size_RNI742T7_1)) (portRef A (instanceRef r_size_RNIRPCOB_1)) (portRef A (instanceRef r_size_RNIB595F_1)) (portRef A (instanceRef r_size_RNIS606F_1)) (portRef A (instanceRef r_size_RNI9UA5F_1)) (portRef A (instanceRef r_size_RNI1SKNB_1)) (portRef A (instanceRef r_size_RNILNH4F_1)) (portRef A (instanceRef r_size_RNIM3KNB_1)) (portRef A (instanceRef r_size_RNI4MQ3F_1)) (portRef B (instanceRef r_size_RNIQGAOB_1)) (portRef A (instanceRef r_size_RNIGL5DI_1)) )) (net (rename ddatainv_0_1_0_iv_0_25 "ddatainv_0_1_0_iv_0[25]") (joined (portRef Y (instanceRef r_read_RNIOQ4J3)) (portRef C (instanceRef r_size_RNIGL5DI_1)) )) (net (rename maddress_25 "maddress[25]") (joined (portRef maddress_25) (portRef A (instanceRef r_xaddress_RNO_25)) (portRef A (instanceRef r_wb_data1_RNO_25)) (portRef A (instanceRef r_wb_addr_RNO_25)) (portRef B (instanceRef dctrl_0_hitv3_13_0_0_x2)) (portRef A (instanceRef r_read_RNIOQ4J3)) (portRef A (instanceRef r_dstate_0_RNID4B1_4)) )) (net (rename mcdo_m_0_i_25 "mcdo_m_0_i[25]") (joined (portRef Y (instanceRef r_read_RNIADJV1)) (portRef C (instanceRef r_read_RNIOQ4J3)) )) (net (rename ddatainv_0_1_0_iv_3_28 "ddatainv_0_1_0_iv_3[28]") (joined (portRef Y (instanceRef r_read_RNICIKK71)) (portRef C (instanceRef r_dstate_RNI8CBSG1_1)) )) (net (rename dcramo_m_0_i_252 "dcramo_m_0_i[252]") (joined (portRef Y (instanceRef r_xaddress_RNIG4398_1_0)) (portRef A (instanceRef r_read_RNICIKK71)) )) (net (rename ddatainv_0_1_0_iv_1_28 "ddatainv_0_1_0_iv_1[28]") (joined (portRef Y (instanceRef r_read_RNI5C7OI)) (portRef B (instanceRef r_read_RNICIKK71)) )) (net (rename edata_m_0_i_12 "edata_m_0_i[12]") (joined (portRef Y (instanceRef r_dstate_RNIN1AJC_0_1)) (portRef C (instanceRef r_read_RNICIKK71)) )) (net (rename dci_m_i_36 "dci_m_i[36]") (joined (portRef Y (instanceRef r_size_RNIHDHJ1_1)) (portRef A (instanceRef r_read_RNI5C7OI)) )) (net (rename mcdo_m_0_i_28 "mcdo_m_0_i[28]") (joined (portRef Y (instanceRef r_read_RNIG8R02)) (portRef B (instanceRef r_read_RNI5C7OI)) )) (net (rename dci_m_i_100 "dci_m_i[100]") (joined (portRef Y (instanceRef r_size_RNI4MQ3F_1)) (portRef C (instanceRef r_read_RNI5C7OI)) )) (net twrite_11_m_2 (joined (portRef Y (instanceRef r_dstate_0_RNI2AEN1_4)) (portRef B (instanceRef r_stpend_RNI9ANQ6)) )) (net twrite_11_m_0 (joined (portRef Y (instanceRef r_dstate_0_RNIAUVG_4)) (portRef A (instanceRef r_dstate_0_RNI2AEN1_4)) )) (net N_183 (joined (portRef Y (instanceRef r_cctrl_dcs_RNIC2PJ_1)) (portRef B (instanceRef r_dstate_0_RNI2AEN1_4)) )) (net N_127 (joined (portRef Y (instanceRef r_asi_RNI6ESG_2)) (portRef B (instanceRef r_cctrl_dcs_RNIH9PN_1)) (portRef B (instanceRef r_dstate_0_RNIAUVG_4)) )) (net taddr_2_sqmuxa_i_o2_0 (joined (portRef Y (instanceRef r_holdn_RNI49MB)) (portRef C (instanceRef r_holdn_RNI7TE83)) )) (net mexc12_mtt_m3_0_a2_0 (joined (portRef Y (instanceRef r_dstate_i_RNI474R_5)) (portRef B (instanceRef r_dstate_i_RNIAUMB5_5)) )) (net burst_13_m_0 (joined (portRef Y (instanceRef r_burst_RNO_9)) (portRef B (instanceRef r_burst_RNO_7)) )) (net dstate_ns_i_a2_i_i_2_m5_0_a2_3 (joined (portRef Y (instanceRef r_dstate_RNO_3_3)) (portRef A (instanceRef r_dstate_RNO_2_3)) )) (net dstate_ns_i_a2_i_i_2_m5_0_a2_1 (joined (portRef Y (instanceRef r_dstate_RNO_4_3)) (portRef A (instanceRef r_dstate_RNO_3_3)) )) (net (rename dstate_3 "dstate[3]") (joined (portRef Q (instanceRef r_dstate_3)) (portRef C (instanceRef r_dstate_RNIFLTI_3)) (portRef A (instanceRef r_dstate_RNI74RR1_0_3)) (portRef A (instanceRef r_dstate_RNI74RR1_3)) (portRef C (instanceRef r_dstate_RNIN5LE2_3)) (portRef B (instanceRef r_dstate_RNO_1_3)) (portRef B (instanceRef r_dstate_RNIT77K_1)) (portRef C (instanceRef r_dstate_RNIKQS11_3)) (portRef B (instanceRef r_dstate_RNITG9M1_3)) (portRef B (instanceRef r_dstate_RNIDM9K_3)) (portRef B (instanceRef r_dstate_RNO_4_3)) )) (net taddr_2_sqmuxa_i_a2_0 (joined (portRef Y (instanceRef r_dstate_RNICE3E_2)) (portRef B (instanceRef r_holdn_RNI7TE83)) )) (net (rename rdatav_0_1_1_iv_3_16 "rdatav_0_1_1_iv_3[21]") (joined (portRef Y (instanceRef r_dstate_0_RNI8PL2D_4)) (portRef rdatav_0_1_1_iv_3_16) )) (net (rename mcdo_m_21 "mcdo_m[21]") (joined (portRef Y (instanceRef r_dstate_0_RNI0H6N3_4)) (portRef A (instanceRef r_dstate_0_RNI8PL2D_4)) )) (net (rename rdatav_0_1_1_iv_1_21 "rdatav_0_1_1_iv_1[21]") (joined (portRef Y (instanceRef r_wb_data2_RNIAI794_21)) (portRef B (instanceRef r_dstate_0_RNI8PL2D_4)) )) (net N_2680 (joined (portRef Y (instanceRef r_dstate_RNIUL725_0_2)) (portRef C (instanceRef r_dstate_0_RNI8PL2D_4)) )) (net N_2679 (joined (portRef Y (instanceRef r_wb_data2_RNISL4I_21)) (portRef B (instanceRef r_wb_data2_RNIAI794_21)) )) (net dwrite_1_iv_0_a2_0_0 (joined (portRef Y (instanceRef r_cctrl_dcs_RNIUPAN1_1)) (portRef B (instanceRef r_dstate_1_RNICM7N5_4)) )) (net N_50_2 (joined (portRef Y (instanceRef r_cctrl_dcs_RNIH9PN_1)) (portRef A (instanceRef r_hit_RNO_4)) (portRef A (instanceRef r_cctrl_dcs_RNIUPAN1_1)) )) (net cache (joined (portRef cache) (portRef C (instanceRef r_hit_RNO_2)) (portRef B (instanceRef r_hit_RNO_4)) (portRef C (instanceRef r_cctrl_dcs_RNIUPAN1_1)) (portRef C (instanceRef r_dstate_0_RNI2AEN1_4)) )) (net dstate_tr1_7_0 (joined (portRef Y (instanceRef r_nomds_RNIBK9H_0)) (portRef B (instanceRef r_dstate_0_RNIF4DH_4)) )) (net (rename dstate_ns_i_a2_i_i_2_0_2 "dstate_ns_i_a2_i_i_2_0[2]") (joined (portRef Y (instanceRef r_dstate_RNI7LP32_3)) (portRef C (instanceRef r_dstate_RNI9O7F5_3)) )) (net (rename dstate_ns_i_a2_i_i_a2_2_1_2 "dstate_ns_i_a2_i_i_a2_2_1[2]") (joined (portRef Y (instanceRef r_dstate_RNIDM9K_3)) (portRef A (instanceRef r_dstate_RNI7LP32_3)) )) (net un24_dsu_2_0_i_o2_0 (joined (portRef Y (instanceRef dctrl_un24_dsu_2_0_i_o2_0)) (portRef A (instanceRef dctrl_v_cctrlwr6_0_a2_0_a2_0)) (portRef A (instanceRef dctrl_un24_dsu_2_0_i_a2)) (portRef C (instanceRef r_dstate_i_RNI1I6S_5)) (portRef B (instanceRef r_dstate_RNI7LP32_3)) )) (net N_2814 (joined (portRef Y (instanceRef r_dstate_RNIKQS11_3)) (portRef C (instanceRef r_dstate_RNI7LP32_3)) )) (net hit_3_m_0_2 (joined (portRef Y (instanceRef r_hit_RNO_5)) (portRef A (instanceRef r_hit_RNO_2)) )) (net hit_3_m_0_0 (joined (portRef Y (instanceRef r_hit_RNO_6)) (portRef A (instanceRef r_hit_RNO_5)) )) (net hit (joined (portRef Q (instanceRef r_hit)) (portRef A (instanceRef r_valid_0_RNIA1NG2_0)) (portRef A (instanceRef r_valid_0_RNIC1NG2_2)) (portRef A (instanceRef r_valid_0_RNID1NG2_3)) (portRef B (instanceRef r_hit_RNI17SC)) (portRef A (instanceRef r_valid_0_RNIB1NG2_1)) (portRef C (instanceRef r_asi_RNI6ESG_2)) (portRef B (instanceRef r_hit_RNO_5)) )) (net (rename dcs_0 "dcs[0]") (joined (portRef Q (instanceRef r_cctrl_dcs_0)) (portRef A (instanceRef r_hit_RNI17SC)) (portRef A (instanceRef r_cctrl_dcs_RNIBOKU1_0)) (portRef A (instanceRef r_cctrl_dcs_RNO_0_0)) (portRef A (instanceRef r_cctrl_dcs_RNI58EH_0)) (portRef A (instanceRef r_cctrl_dcs_RNIK8DC_0)) (portRef A (instanceRef r_cctrl_dcs_RNO_1_1)) (portRef A (instanceRef r_cctrl_dcs_RNINV8B_0)) (portRef A (instanceRef r_cctrl_dcs_RNIFDMD_0)) (portRef A (instanceRef r_hit_RNO_1)) (portRef A (instanceRef r_hit_RNO_6)) (portRef A (instanceRef r_cctrl_dcs_RNILR9E_0)) (portRef (member dcs 1)) )) (net taddr_2_sqmuxa_i_a2_0_0 (joined (portRef Y (instanceRef r_hit_RNIG1QI)) (portRef B (instanceRef r_dstate_RNIN5LE2_3)) )) (net (rename rdatav_0_1_0_iv_3_16 "rdatav_0_1_0_iv_3[16]") (joined (portRef Y (instanceRef r_cctrl_burst_RNI4M93D)) (portRef rdatav_0_1_0_iv_3_16) )) (net (rename rdatav_0_1_0_iv_1_16 "rdatav_0_1_0_iv_1[16]") (joined (portRef Y (instanceRef r_cctrl_burst_RNI8O7P5)) (portRef A (instanceRef r_cctrl_burst_RNI4M93D)) )) (net (rename dcramo_m_240 "dcramo_m[240]") (joined (portRef Y (instanceRef r_dstate_RNITL725_1_2)) (portRef B (instanceRef r_cctrl_burst_RNI4M93D)) )) (net (rename dcramo_m_112 "dcramo_m[112]") (joined (portRef Y (instanceRef r_nomds_RNIV7Q72_2)) (portRef C (instanceRef r_cctrl_burst_RNI4M93D)) )) (net burst_m (joined (portRef Y (instanceRef r_cctrl_burst_RNI79TQ1)) (portRef A (instanceRef r_cctrl_burst_RNI8O7P5)) )) (net (rename data2_m_16 "data2_m[16]") (joined (portRef Y (instanceRef r_wb_data2_RNI3RNB_16)) (portRef B (instanceRef r_cctrl_burst_RNI8O7P5)) )) (net (rename mcdo_m_16 "mcdo_m[16]") (joined (portRef Y (instanceRef r_dstate_1_RNIUJII3_4)) (portRef C (instanceRef r_cctrl_burst_RNI8O7P5)) )) (net (rename rdatav_0_1_0_iv_3_3 "rdatav_0_1_0_iv_3[3]") (joined (portRef Y (instanceRef r_dstate_1_RNI9UGLC_4)) (portRef rdatav_0_1_0_iv_3_3) )) (net (rename rdatav_0_1_0_iv_1_3 "rdatav_0_1_0_iv_1[3]") (joined (portRef Y (instanceRef r_wb_data2_RNI2OE87_3)) (portRef A (instanceRef r_dstate_1_RNI9UGLC_4)) )) (net (rename mcdo_m_3 "mcdo_m[3]") (joined (portRef Y (instanceRef r_dstate_1_RNI8U753_4)) (portRef B (instanceRef r_dstate_1_RNI9UGLC_4)) )) (net (rename dcramo_m_99 "dcramo_m[99]") (joined (portRef Y (instanceRef r_nomds_RNIV7Q72_4)) (portRef C (instanceRef r_dstate_1_RNI9UGLC_4)) )) (net (rename dcs_m_1 "dcs_m[1]") (joined (portRef Y (instanceRef r_cctrl_dcs_RNICOKU1_1)) (portRef A (instanceRef r_wb_data2_RNI2OE87_3)) )) (net (rename data2_m_3 "data2_m[3]") (joined (portRef Y (instanceRef r_wb_data2_RNIT9I7_3)) (portRef B (instanceRef r_wb_data2_RNI2OE87_3)) )) (net (rename dcramo_m_227 "dcramo_m[227]") (joined (portRef Y (instanceRef r_dstate_RNIPL725_1_2)) (portRef C (instanceRef r_wb_data2_RNI2OE87_3)) )) (net (rename rdatav_0_1_0_iv_3_1 "rdatav_0_1_0_iv_3[1]") (joined (portRef Y (instanceRef r_dstate_1_RNI33LBD_4)) (portRef C (instanceRef r_dstate_RNIO7O8I_2)) )) (net (rename dcramo_m_97 "dcramo_m[97]") (joined (portRef Y (instanceRef r_nomds_RNIV7Q72_6)) (portRef A (instanceRef r_dstate_1_RNI33LBD_4)) )) (net (rename rdatav_0_1_0_iv_1_1 "rdatav_0_1_0_iv_1[1]") (joined (portRef Y (instanceRef r_wb_data2_RNI07RE7_1)) (portRef B (instanceRef r_dstate_1_RNI33LBD_4)) )) (net (rename mcdo_m_1 "mcdo_m[1]") (joined (portRef Y (instanceRef r_dstate_1_RNI4KVK3_4)) (portRef C (instanceRef r_dstate_1_RNI33LBD_4)) )) (net (rename rdatav_0_1_0_iv_0_1 "rdatav_0_1_0_iv_0[1]") (joined (portRef Y (instanceRef r_wb_data2_RNI7HJC2_1)) (portRef A (instanceRef r_wb_data2_RNI07RE7_1)) )) (net (rename dcramo_m_225 "dcramo_m[225]") (joined (portRef Y (instanceRef r_dstate_RNIPL725_2_2)) (portRef B (instanceRef r_wb_data2_RNI07RE7_1)) )) (net (rename data2_1 "data2[1]") (joined (portRef Q (instanceRef r_wb_data2_1)) (portRef A (instanceRef r_wb_data1_RNO_0_1)) (portRef A (instanceRef r_wb_data2_RNI7HJC2_1)) )) (net (rename ics_m_1 "ics_m[1]") (joined (portRef Y (instanceRef r_cctrl_ics_RNIHOKU1_1)) (portRef C (instanceRef r_wb_data2_RNI7HJC2_1)) )) (net (rename rdatav_0_1_0_iv_1_8 "rdatav_0_1_0_iv_1[8]") (joined (portRef Y (instanceRef r_wb_data2_RNIMQ3F8_8)) (portRef B (instanceRef r_wb_data2_RNI8S2KF_8)) )) (net (rename dcramo_m_232 "dcramo_m[232]") (joined (portRef Y (instanceRef r_dstate_RNIRL725_1_2)) (portRef A (instanceRef r_wb_data2_RNIMQ3F8_8)) )) (net (rename data2_m_8 "data2_m[8]") (joined (portRef Y (instanceRef r_wb_data2_RNI2UI7_8)) (portRef B (instanceRef r_wb_data2_RNIMQ3F8_8)) )) (net (rename mcdo_m_8 "mcdo_m[8]") (joined (portRef Y (instanceRef r_dstate_1_RNIP6953_4)) (portRef C (instanceRef r_wb_data2_RNIMQ3F8_8)) )) (net (rename rdatav_0_1_0_iv_3_15 "rdatav_0_1_0_iv_3[15]") (joined (portRef Y (instanceRef r_dstate_1_RNIQ6SMD_4)) (portRef rdatav_0_1_0_iv_3_15) )) (net (rename mcdo_m_15 "mcdo_m[15]") (joined (portRef Y (instanceRef r_dstate_1_RNIBE144_4)) (portRef A (instanceRef r_dstate_1_RNIQ6SMD_4)) )) (net (rename rdatav_0_1_0_iv_1_15 "rdatav_0_1_0_iv_1[15]") (joined (portRef Y (instanceRef r_wb_data2_RNIGG0B7_15)) (portRef B (instanceRef r_dstate_1_RNIQ6SMD_4)) )) (net (rename dcramo_m_111 "dcramo_m[111]") (joined (portRef Y (instanceRef r_nomds_RNIV7Q72_3)) (portRef C (instanceRef r_dstate_1_RNIQ6SMD_4)) )) (net (rename ico_m_132 "ico_m[132]") (joined (portRef Y (instanceRef r_dstate_i_0_RNIIV0T1_5)) (portRef A (instanceRef r_wb_data2_RNIGG0B7_15)) )) (net (rename data2_m_15 "data2_m[15]") (joined (portRef Y (instanceRef r_wb_data2_RNI2RNB_15)) (portRef B (instanceRef r_wb_data2_RNIGG0B7_15)) )) (net (rename dcramo_m_239 "dcramo_m[239]") (joined (portRef Y (instanceRef r_dstate_RNISL725_0_2)) (portRef C (instanceRef r_wb_data2_RNIGG0B7_15)) )) (net (rename rdatav_0_1_0_iv_1_31 "rdatav_0_1_0_iv_1[31]") (joined (portRef Y (instanceRef r_wb_data2_RNIUBLC9_31)) (portRef B (instanceRef r_wb_data2_RNILDKHG_31)) )) (net (rename dcramo_m_255 "dcramo_m[255]") (joined (portRef Y (instanceRef r_dstate_RNI0M725_0_2)) (portRef A (instanceRef r_wb_data2_RNIUBLC9_31)) )) (net (rename data2_m_31 "data2_m[31]") (joined (portRef Y (instanceRef r_wb_data2_RNI43OB_31)) (portRef B (instanceRef r_wb_data2_RNIUBLC9_31)) )) (net (rename mcdo_m_31 "mcdo_m[31]") (joined (portRef Y (instanceRef r_dstate_1_RNIQILU3_4)) (portRef C (instanceRef r_wb_data2_RNIUBLC9_31)) )) (net (rename rdatav_0_1_0_iv_2_8 "rdatav_0_1_0_iv_2[30]") (joined (portRef Y (instanceRef r_wb_data2_RNIN17UB_30)) (portRef rdatav_0_1_0_iv_2_8) )) (net (rename mcdo_m_30 "mcdo_m[30]") (joined (portRef Y (instanceRef r_dstate_1_RNIP9024_4)) (portRef A (instanceRef r_wb_data2_RNIN17UB_30)) )) (net (rename rdatav_0_1_0_iv_0_30 "rdatav_0_1_0_iv_0[30]") (joined (portRef Y (instanceRef r_wb_data2_RNIUFCK5_30)) (portRef B (instanceRef r_wb_data2_RNIN17UB_30)) )) (net (rename dcramo_m_126 "dcramo_m[126]") (joined (portRef Y (instanceRef r_nomds_RNI08Q72_0)) (portRef C (instanceRef r_wb_data2_RNIN17UB_30)) )) (net (rename data2_30 "data2[30]") (joined (portRef Q (instanceRef r_wb_data2_30)) (portRef A (instanceRef r_wb_data1_RNO_0_30)) (portRef A (instanceRef r_wb_data2_RNIUFCK5_30)) )) (net (rename dcramo_m_254 "dcramo_m[254]") (joined (portRef Y (instanceRef r_dstate_RNI0M725_1_2)) (portRef C (instanceRef r_wb_data2_RNIUFCK5_30)) )) (net (rename rdatav_0_1_0_iv_1_24 "rdatav_0_1_0_iv_1[24]") (joined (portRef Y (instanceRef r_wb_data2_RNIPNF79_24)) (portRef B (instanceRef r_nomds_RNIJ4DCG)) )) (net (rename mcdo_m_24 "mcdo_m[24]") (joined (portRef Y (instanceRef r_dstate_1_RNIM2GP3_4)) (portRef A (instanceRef r_wb_data2_RNIPNF79_24)) )) (net (rename data2_m_24 "data2_m[24]") (joined (portRef Y (instanceRef r_wb_data2_RNI4VNB_24)) (portRef B (instanceRef r_wb_data2_RNIPNF79_24)) )) (net (rename dcramo_m_248 "dcramo_m[248]") (joined (portRef Y (instanceRef r_dstate_RNIVL725_2_2)) (portRef C (instanceRef r_wb_data2_RNIPNF79_24)) )) (net (rename dmao_i_1_22 "dmao_i_1[37]") (joined (portRef dmao_i_1_22) (portRef B (instanceRef r_wb_data2_RNO_22)) (portRef B (instanceRef dctrl_rdatav_0_1_0_iv_RNO_3_22)) (portRef B (instanceRef r_read_RNI5K483)) )) (net (rename data2_m_22 "data2_m[22]") (joined (portRef Y (instanceRef dctrl_rdatav_0_1_0_iv_RNO_5_22)) (portRef C (instanceRef dctrl_rdatav_0_1_0_iv_RNO_3_22)) )) (net (rename rdatav_0_1_0_iv_0_3_14 "rdatav_0_1_0_iv_0_3[14]") (joined (portRef Y (instanceRef r_nomds_RNIM7L5D)) (portRef A (instanceRef r_nomds_RNIECO2I)) )) (net (rename rdatav_0_1_0_iv_0_2_14 "rdatav_0_1_0_iv_0_2[14]") (joined (portRef Y (instanceRef r_dstate_1_RNINVQTA_4)) (portRef A (instanceRef r_nomds_RNIM7L5D)) )) (net N_2706 (joined (portRef Y (instanceRef r_nomds_RNIV7Q72_5)) (portRef B (instanceRef r_nomds_RNIM7L5D)) )) (net (rename rdatav_0_1_0_iv_0_1_14 "rdatav_0_1_0_iv_0_1[14]") (joined (portRef Y (instanceRef r_dstate_1_RNIR9JR5_4)) (portRef A (instanceRef r_dstate_1_RNINVQTA_4)) )) (net N_2856 (joined (portRef Y (instanceRef r_dstate_RNISL725_1_2)) (portRef B (instanceRef r_dstate_1_RNINVQTA_4)) )) (net N_2854 (joined (portRef Y (instanceRef r_dstate_1_RNIIODC3_4)) (portRef A (instanceRef r_dstate_1_RNIR9JR5_4)) )) (net (rename rdatav_0_1_0_iv_0_0_14 "rdatav_0_1_0_iv_0_0[14]") (joined (portRef Y (instanceRef r_flush_RNI9H5F2)) (portRef B (instanceRef r_dstate_1_RNIR9JR5_4)) )) (net N_2857 (joined (portRef Y (instanceRef r_wb_data2_RNISH4I_14)) (portRef A (instanceRef r_flush_RNI9H5F2)) )) (net N_2858 (joined (portRef Y (instanceRef r_flush_RNIDV0T1)) (portRef B (instanceRef r_flush_RNI9H5F2)) )) (net (rename rdatav_0_1_0_iv_0_2_17 "rdatav_0_1_0_iv_0_2[19]") (joined (portRef Y (instanceRef r_wb_data2_RNIIA5TA_19)) (portRef rdatav_0_1_0_iv_0_2_17) )) (net N_2852 (joined (portRef Y (instanceRef r_dstate_RNITL725_0_2)) (portRef A (instanceRef r_wb_data2_RNIIA5TA_19)) )) (net (rename rdatav_0_1_0_iv_0_0_19 "rdatav_0_1_0_iv_0_0[19]") (joined (portRef Y (instanceRef r_wb_data2_RNIMC3J3_19)) (portRef B (instanceRef r_wb_data2_RNIIA5TA_19)) )) (net N_2707 (joined (portRef Y (instanceRef r_nomds_RNIV7Q72)) (portRef C (instanceRef r_wb_data2_RNIIA5TA_19)) )) (net (rename data2_19 "data2[19]") (joined (portRef Q (instanceRef r_wb_data2_19)) (portRef A (instanceRef r_wb_data1_RNO_0_19)) (portRef A (instanceRef r_wb_data2_RNIMC3J3_19)) )) (net N_2850 (joined (portRef Y (instanceRef r_dstate_1_RNILQU03_4)) (portRef C (instanceRef r_wb_data2_RNIMC3J3_19)) )) (net (rename rdatav_0_1_0_iv_0_1_18 "rdatav_0_1_0_iv_0_1[18]") (joined (portRef Y (instanceRef r_wb_data2_RNI1IAJ8_18)) (portRef B (instanceRef r_nomds_RNIKLKUF)) )) (net N_2846 (joined (portRef Y (instanceRef r_dstate_1_RNI4AUU2_4)) (portRef A (instanceRef r_wb_data2_RNI1IAJ8_18)) )) (net N_2849 (joined (portRef Y (instanceRef r_wb_data2_RNI0I4I_18)) (portRef B (instanceRef r_wb_data2_RNI1IAJ8_18)) )) (net N_2848 (joined (portRef Y (instanceRef r_dstate_RNITL725_2)) (portRef C (instanceRef r_wb_data2_RNI1IAJ8_18)) )) (net (rename rdatav_0_1_0_iv_0_1_25 "rdatav_0_1_0_iv_0_1[25]") (joined (portRef Y (instanceRef r_wb_data2_RNI8LIR8_25)) (portRef B (instanceRef r_nomds_RNITOS6G)) )) (net N_2842 (joined (portRef Y (instanceRef r_dstate_1_RNI99673_4)) (portRef A (instanceRef r_wb_data2_RNI8LIR8_25)) )) (net N_2845 (joined (portRef Y (instanceRef r_wb_data2_RNI0M4I_25)) (portRef B (instanceRef r_wb_data2_RNI8LIR8_25)) )) (net N_2844 (joined (portRef Y (instanceRef r_dstate_RNIVL725_1_2)) (portRef C (instanceRef r_wb_data2_RNI8LIR8_25)) )) (net (rename rdatav_0_1_0_iv_0_1_20 "rdatav_0_1_0_iv_0_1[20]") (joined (portRef Y (instanceRef r_wb_data2_RNIU2QB8_20)) (portRef B (instanceRef r_nomds_RNII64NF)) )) (net N_2838 (joined (portRef Y (instanceRef r_dstate_1_RNI5NDN2_4)) (portRef A (instanceRef r_wb_data2_RNIU2QB8_20)) )) (net N_2841 (joined (portRef Y (instanceRef r_wb_data2_RNIRL4I_20)) (portRef B (instanceRef r_wb_data2_RNIU2QB8_20)) )) (net N_2840 (joined (portRef Y (instanceRef r_dstate_RNIUL725_1_2)) (portRef C (instanceRef r_wb_data2_RNIU2QB8_20)) )) (net (rename rdatav_0_1_0_iv_0_2_10 "rdatav_0_1_0_iv_0_2[12]") (joined (portRef Y (instanceRef r_wb_data2_RNI4FQFB_12)) (portRef rdatav_0_1_0_iv_0_2_10) )) (net N_2836 (joined (portRef Y (instanceRef r_dstate_RNISL725_2_2)) (portRef A (instanceRef r_wb_data2_RNI4FQFB_12)) )) (net (rename rdatav_0_1_0_iv_0_0_12 "rdatav_0_1_0_iv_0_0[12]") (joined (portRef Y (instanceRef r_wb_data2_RNIEQBV3_12)) (portRef B (instanceRef r_wb_data2_RNI4FQFB_12)) )) (net N_2778 (joined (portRef Y (instanceRef r_nomds_RNIQU6E2_3)) (portRef C (instanceRef r_wb_data2_RNI4FQFB_12)) )) (net (rename data2_12 "data2[12]") (joined (portRef Q (instanceRef r_wb_data2_12)) (portRef A (instanceRef r_wb_data1_RNO_0_12)) (portRef A (instanceRef r_wb_data2_RNIEQBV3_12)) )) (net N_2834 (joined (portRef Y (instanceRef r_dstate_1_RNIK87D3_4)) (portRef C (instanceRef r_wb_data2_RNIEQBV3_12)) )) (net (rename rdatav_0_1_0_iv_1_9 "rdatav_0_1_0_iv_1[9]") (joined (portRef Y (instanceRef r_wb_data2_RNI319Q8_9)) (portRef B (instanceRef r_wb_data2_RNIL28VF_9)) )) (net (rename dcramo_m_233 "dcramo_m[233]") (joined (portRef Y (instanceRef r_dstate_RNIRL725_2)) (portRef A (instanceRef r_wb_data2_RNI319Q8_9)) )) (net (rename data2_m_9 "data2_m[9]") (joined (portRef Y (instanceRef r_wb_data2_RNIUOVD_9)) (portRef B (instanceRef r_wb_data2_RNI319Q8_9)) )) (net (rename mcdo_m_9 "mcdo_m[9]") (joined (portRef Y (instanceRef r_dstate_1_RNIAI1A3_4)) (portRef C (instanceRef r_wb_data2_RNI319Q8_9)) )) (net (rename rdatav_0_1_0_iv_3_0 "rdatav_0_1_0_iv_3[0]") (joined (portRef Y (instanceRef r_wb_data2_RNIKDFUC_0)) (portRef rdatav_0_1_0_iv_3_0) )) (net (rename rdatav_0_1_0_iv_1_0 "rdatav_0_1_0_iv_1[0]") (joined (portRef Y (instanceRef r_wb_data2_RNI1P0E5_0)) (portRef A (instanceRef r_wb_data2_RNIKDFUC_0)) )) (net (rename dcramo_m_224 "dcramo_m[224]") (joined (portRef Y (instanceRef r_dstate_RNIPL725_0_2)) (portRef B (instanceRef r_wb_data2_RNIKDFUC_0)) )) (net (rename dcramo_m_96 "dcramo_m[96]") (joined (portRef Y (instanceRef r_nomds_RNIQU6E2_4)) (portRef C (instanceRef r_wb_data2_RNIKDFUC_0)) )) (net (rename ics_m_0 "ics_m[0]") (joined (portRef Y (instanceRef r_cctrl_ics_RNIGOKU1_0)) (portRef A (instanceRef r_wb_data2_RNI1P0E5_0)) )) (net (rename data2_m_0 "data2_m[0]") (joined (portRef Y (instanceRef r_wb_data2_RNILKUD_0)) (portRef B (instanceRef r_wb_data2_RNI1P0E5_0)) )) (net (rename mcdo_m_0 "mcdo_m[0]") (joined (portRef Y (instanceRef r_dstate_1_RNISBD13_4)) (portRef C (instanceRef r_wb_data2_RNI1P0E5_0)) )) (net (rename rdatav_0_1_0_iv_0_3_0 "rdatav_0_1_0_iv_0_3[2]") (joined (portRef Y (instanceRef r_dstate_0_RNI5J17D_4)) (portRef rdatav_0_1_0_iv_0_3_0) )) (net (rename hrdata_1 "hrdata[2]") (joined (portRef hrdata_1) (portRef B (instanceRef r_wb_data2_RNO_2)) (portRef B (instanceRef r_dstate_0_RNI5J17D_4)) (portRef A (instanceRef r_read_RNILU3C1)) )) (net (rename rdatav_0_1_0_iv_0_2_2 "rdatav_0_1_0_iv_0_2[2]") (joined (portRef Y (instanceRef r_wb_data2_RNIL92T9_2)) (portRef C (instanceRef r_dstate_0_RNI5J17D_4)) )) (net N_2450 (joined (portRef Y (instanceRef r_nomds_RNIQU6E2_5)) (portRef A (instanceRef r_wb_data2_RNIL92T9_2)) )) (net (rename rdatav_0_1_0_iv_0_0_2 "rdatav_0_1_0_iv_0_0[2]") (joined (portRef Y (instanceRef r_wb_data2_RNI2LJC2_2)) (portRef B (instanceRef r_wb_data2_RNIL92T9_2)) )) (net N_132 (joined (portRef Y (instanceRef r_dstate_RNIPL725_2)) (portRef C (instanceRef r_wb_data2_RNIL92T9_2)) )) (net N_2452 (joined (portRef Y (instanceRef r_wb_data2_RNINSUD_2)) (portRef A (instanceRef r_wb_data2_RNI2LJC2_2)) )) (net N_2451 (joined (portRef Y (instanceRef r_cctrl_dcs_RNIBOKU1_0)) (portRef B (instanceRef r_wb_data2_RNI2LJC2_2)) )) (net (rename rdatav_0_1_1_iv_4_11 "rdatav_0_1_1_iv_4[28]") (joined (portRef Y (instanceRef r_dstate_0_RNINQCOF_4)) (portRef rdatav_0_1_1_iv_4_11) )) (net (rename mcdo_m_28 "mcdo_m[28]") (joined (portRef Y (instanceRef r_dstate_0_RNIBJMU3_4)) (portRef A (instanceRef r_dstate_0_RNINQCOF_4)) )) (net (rename rdatav_0_1_1_iv_2_28 "rdatav_0_1_1_iv_2[28]") (joined (portRef Y (instanceRef r_wb_data2_RNIH8FB9_28)) (portRef B (instanceRef r_dstate_0_RNINQCOF_4)) )) (net (rename dcramo_m_124 "dcramo_m[124]") (joined (portRef Y (instanceRef r_nomds_RNIRU6E2)) (portRef C (instanceRef r_dstate_0_RNINQCOF_4)) )) (net (rename rdatav_0_1_1_iv_1_28 "rdatav_0_1_1_iv_1[28]") (joined (portRef Y (instanceRef r_wb_data2_RNIHI794_28)) (portRef C (instanceRef r_wb_data2_RNIH8FB9_28)) )) (net (rename data2_m_28 "data2_m[28]") (joined (portRef Y (instanceRef r_wb_data2_RNI3M4I_28)) (portRef B (instanceRef r_wb_data2_RNIHI794_28)) )) (net (rename rdatav_0_1_0_iv_2_7 "rdatav_0_1_0_iv_2[29]") (joined (portRef Y (instanceRef r_wb_data2_RNI956IC_29)) (portRef rdatav_0_1_0_iv_2_7) )) (net (rename mcdo_m_29 "mcdo_m[29]") (joined (portRef Y (instanceRef r_dstate_0_RNIAQIF4_4)) (portRef A (instanceRef r_wb_data2_RNI956IC_29)) )) (net (rename rdatav_0_1_0_iv_0_29 "rdatav_0_1_0_iv_0[29]") (joined (portRef Y (instanceRef r_wb_data2_RNI4CCK5_29)) (portRef B (instanceRef r_wb_data2_RNI956IC_29)) )) (net (rename dcramo_m_125 "dcramo_m[125]") (joined (portRef Y (instanceRef r_nomds_RNIRU6E2_0)) (portRef C (instanceRef r_wb_data2_RNI956IC_29)) )) (net (rename data2_29 "data2[29]") (joined (portRef Q (instanceRef r_wb_data2_29)) (portRef A (instanceRef r_wb_data1_RNO_0_29)) (portRef A (instanceRef r_wb_data2_RNI4CCK5_29)) )) (net (rename dcramo_m_253 "dcramo_m[253]") (joined (portRef Y (instanceRef r_dstate_RNI0M725_2)) (portRef C (instanceRef r_wb_data2_RNI4CCK5_29)) )) (net (rename rdatav_0_1_0_iv_1_23 "rdatav_0_1_0_iv_1[23]") (joined (portRef Y (instanceRef r_wb_data2_RNIKOI99_23)) (portRef B (instanceRef r_nomds_RNID5GEG)) )) (net (rename mcdo_m_23 "mcdo_m[23]") (joined (portRef Y (instanceRef r_dstate_0_RNIOC6L3_4)) (portRef A (instanceRef r_wb_data2_RNIKOI99_23)) )) (net (rename data2_m_23 "data2_m[23]") (joined (portRef Y (instanceRef r_wb_data2_RNIUL4I_23)) (portRef B (instanceRef r_wb_data2_RNIKOI99_23)) )) (net (rename dcramo_m_247 "dcramo_m[247]") (joined (portRef Y (instanceRef r_dstate_RNIUL725_2)) (portRef C (instanceRef r_wb_data2_RNIKOI99_23)) )) (net (rename rdatav_0_1_0_iv_1_27 "rdatav_0_1_0_iv_1[27]") (joined (portRef Y (instanceRef r_wb_data2_RNIN5R49_27)) (portRef B (instanceRef r_nomds_RNI8U6GG)) )) (net (rename mcdo_m_27 "mcdo_m[27]") (joined (portRef Y (instanceRef r_dstate_0_RNIMPEG3_4)) (portRef A (instanceRef r_wb_data2_RNIN5R49_27)) )) (net (rename data2_m_27 "data2_m[27]") (joined (portRef Y (instanceRef r_wb_data2_RNI2M4I_27)) (portRef B (instanceRef r_wb_data2_RNIN5R49_27)) )) (net (rename dcramo_m_251 "dcramo_m[251]") (joined (portRef Y (instanceRef r_dstate_RNIVL725_2)) (portRef C (instanceRef r_wb_data2_RNIN5R49_27)) )) (net (rename rdatav_0_1_1_iv_4_0 "rdatav_0_1_1_iv_4[17]") (joined (portRef Y (instanceRef r_dstate_0_RNILS2QF_4)) (portRef rdatav_0_1_1_iv_4_0) )) (net (rename rdatav_0_1_1_iv_2_17 "rdatav_0_1_1_iv_2[17]") (joined (portRef Y (instanceRef r_wb_data2_RNIA4FB9_17)) (portRef A (instanceRef r_dstate_0_RNILS2QF_4)) )) (net (rename mcdo_m_17 "mcdo_m[17]") (joined (portRef Y (instanceRef r_dstate_0_RNIHPC04_4)) (portRef B (instanceRef r_dstate_0_RNILS2QF_4)) )) (net (rename dcramo_m_113 "dcramo_m[113]") (joined (portRef Y (instanceRef r_nomds_RNIQU6E2_2)) (portRef C (instanceRef r_dstate_0_RNILS2QF_4)) )) (net u0_DOUTA1_2 (joined (portRef u0_DOUTA1_2) (portRef A (instanceRef r_wb_data2_RNIA4FB9_17)) (portRef A (instanceRef r_size_RNIH8QMN_1)) )) (net (rename rdatav_0_1_1_iv_1_17 "rdatav_0_1_1_iv_1[17]") (joined (portRef Y (instanceRef r_wb_data2_RNIDE794_17)) (portRef C (instanceRef r_wb_data2_RNIA4FB9_17)) )) (net (rename data2_m_17 "data2_m[17]") (joined (portRef Y (instanceRef r_wb_data2_RNIVH4I_17)) (portRef B (instanceRef r_wb_data2_RNIDE794_17)) )) (net (rename rdatav_0_1_0_iv_1_26 "rdatav_0_1_0_iv_1[26]") (joined (portRef Y (instanceRef r_wb_data2_RNI3F2J9_26)) (portRef A (instanceRef r_nomds_RNIPG1OG)) )) (net (rename dcramo_m_250 "dcramo_m[250]") (joined (portRef Y (instanceRef r_dstate_RNIVL725_0_2)) (portRef A (instanceRef r_wb_data2_RNI3F2J9_26)) )) (net (rename data2_m_26 "data2_m[26]") (joined (portRef Y (instanceRef r_wb_data2_RNI1M4I_26)) (portRef B (instanceRef r_wb_data2_RNI3F2J9_26)) )) (net (rename mcdo_m_26 "mcdo_m[26]") (joined (portRef Y (instanceRef r_dstate_0_RNI33MU3_4)) (portRef C (instanceRef r_wb_data2_RNI3F2J9_26)) )) (net (rename rdatav_0_1_0_iv_1_13 "rdatav_0_1_0_iv_1[13]") (joined (portRef Y (instanceRef r_wb_data2_RNI11US9_13)) (portRef B (instanceRef r_nomds_RNIODR1H)) )) (net (rename mcdo_m_13 "mcdo_m[13]") (joined (portRef Y (instanceRef r_dstate_0_RNIAPH84_4)) (portRef A (instanceRef r_wb_data2_RNI11US9_13)) )) (net (rename data2_m_13 "data2_m[13]") (joined (portRef Y (instanceRef r_wb_data2_RNIRH4I_13)) (portRef B (instanceRef r_wb_data2_RNI11US9_13)) )) (net (rename dcramo_m_237 "dcramo_m[237]") (joined (portRef Y (instanceRef r_dstate_RNISL725_2)) (portRef C (instanceRef r_wb_data2_RNI11US9_13)) )) (net nomds_1_0_0_a2_0 (joined (portRef Y (instanceRef r_nomds_RNO_3)) (portRef A (instanceRef r_nomds_RNO_1)) )) (net req (joined (portRef Q (instanceRef r_req)) (portRef A (instanceRef r_req_RNO_0)) (portRef B (instanceRef r_req_RNI5I4L1)) (portRef A (instanceRef r_nomds_RNO_3)) (portRef A (instanceRef r_wb_lock_RNO_4)) (portRef req) )) (net dstate_11_0 (joined (portRef Y (instanceRef r_hit_RNO_1)) (portRef A (instanceRef r_hit_RNO_0)) )) (net (rename dcs_1 "dcs[1]") (joined (portRef Q (instanceRef r_cctrl_dcs_1)) (portRef A (instanceRef r_cctrl_dcs_RNICOKU1_1)) (portRef A (instanceRef r_cctrl_dcs_RNO_0_1)) (portRef A (instanceRef r_cctrl_dcs_RNIH9PN_1)) (portRef B (instanceRef r_cctrl_dcs_RNIC2PJ_1)) (portRef B (instanceRef r_hit_RNO_1)) (portRef B (instanceRef r_hit_RNO_6)) (portRef (member dcs 0)) )) (net (rename icsZ0Z_0 "ics_0") (joined (portRef Y (instanceRef r_cctrl_ics_RNO_2_1)) (portRef A (instanceRef r_cctrl_ics_RNO_1_1)) )) (net ifrz (joined (portRef Q (instanceRef r_cctrl_ifrz)) (portRef A (instanceRef r_cctrl_ifrz_RNIK4GR1)) (portRef A (instanceRef r_cctrl_ics_RNO_2_1)) )) (net (rename rdatav_0_1_1_iv_1_11 "rdatav_0_1_1_iv_1[11]") (joined (portRef Y (instanceRef r_wb_data2_RNI24FB9_11)) (portRef B (instanceRef r_dstate_1_RNINIV0I_4)) )) (net (rename rdatav_0_1_1_iv_0_11 "rdatav_0_1_1_iv_0[11]") (joined (portRef Y (instanceRef r_wb_data2_RNI7E794_11)) (portRef C (instanceRef r_wb_data2_RNI24FB9_11)) )) (net (rename data2_m_11 "data2_m[11]") (joined (portRef Y (instanceRef r_wb_data2_RNIPH4I_11)) (portRef A (instanceRef r_wb_data2_RNI7E794_11)) )) (net (rename rdatav_0_1_3_6 "rdatav_0_1_3[6]") (joined (portRef Y (instanceRef r_dstate_i_0_RNIES2N3_5)) (portRef C (instanceRef r_wb_data2_RNI43A79_7)) (portRef C (instanceRef r_wb_data2_RNI3V979_6)) (portRef B (instanceRef r_wb_data2_RNI7E794_11)) )) (net (rename rdatav_0_1_0_iv_0_3_2 "rdatav_0_1_0_iv_0_3[4]") (joined (portRef Y (instanceRef r_wb_data2_RNI8MGTD_4)) (portRef rdatav_0_1_0_iv_0_3_2) )) (net N_2683 (joined (portRef Y (instanceRef r_dstate_RNIQL725_1_2)) (portRef A (instanceRef r_wb_data2_RNI8MGTD_4)) )) (net (rename rdatav_0_1_0_iv_0_1_4 "rdatav_0_1_0_iv_0_1[4]") (joined (portRef Y (instanceRef r_wb_data2_RNIKN054_4)) (portRef B (instanceRef r_wb_data2_RNI8MGTD_4)) )) (net N_2831 (joined (portRef Y (instanceRef r_dstate_RNIQ88M4_2)) (portRef C (instanceRef r_wb_data2_RNI8MGTD_4)) )) (net N_2833 (joined (portRef Y (instanceRef r_wb_data2_RNIP4VD_4)) (portRef B (instanceRef r_wb_data2_RNIKN054_4)) )) (net N_2832 (joined (portRef Y (instanceRef r_cctrl_ifrz_RNIK4GR1)) (portRef C (instanceRef r_wb_data2_RNIKN054_4)) )) (net (rename rdatav_0_1_1_iv_1_6 "rdatav_0_1_1_iv_1[6]") (joined (portRef Y (instanceRef r_wb_data2_RNI3V979_6)) (portRef B (instanceRef r_dstate_1_RNIJ4JIH_4)) )) (net (rename data2_m_6 "data2_m[6]") (joined (portRef Y (instanceRef r_wb_data2_RNIRCVD_6)) (portRef A (instanceRef r_wb_data2_RNI3V979_6)) )) (net (rename dcramo_m_230 "dcramo_m[230]") (joined (portRef Y (instanceRef r_dstate_RNIQL725_0_2)) (portRef B (instanceRef r_wb_data2_RNI3V979_6)) )) (net (rename rdatav_0_1_1_iv_1_7 "rdatav_0_1_1_iv_1[7]") (joined (portRef Y (instanceRef r_wb_data2_RNI43A79_7)) (portRef A (instanceRef r_dstate_0_RNIHQQ2H_4)) )) (net (rename data2_m_7 "data2_m[7]") (joined (portRef Y (instanceRef r_wb_data2_RNISGVD_7)) (portRef A (instanceRef r_wb_data2_RNI43A79_7)) )) (net (rename dcramo_m_231 "dcramo_m[231]") (joined (portRef Y (instanceRef r_dstate_RNIQL725_2)) (portRef B (instanceRef r_wb_data2_RNI43A79_7)) )) (net (rename rdatav_0_1_1_iv_3_5 "rdatav_0_1_1_iv_3[5]") (joined (portRef Y (instanceRef r_dstate_RNIAA0VA_2)) (portRef B (instanceRef r_dstate_0_RNINMA6J_4)) )) (net (rename rdatav_0_1_0_iv_0_10 "rdatav_0_1_0_iv_0[10]") (joined (portRef Y (instanceRef r_wb_data2_RNIJ7CK5_10)) (portRef A (instanceRef r_wb_data2_RNIVR3QD_10)) )) (net (rename data2_10 "data2[10]") (joined (portRef Q (instanceRef r_wb_data2_10)) (portRef A (instanceRef r_wb_data1_RNO_0_10)) (portRef A (instanceRef r_wb_data2_RNIJ7CK5_10)) )) (net (rename dcramo_m_234 "dcramo_m[234]") (joined (portRef Y (instanceRef r_dstate_RNIRL725_0_2)) (portRef C (instanceRef r_wb_data2_RNIJ7CK5_10)) )) (net (rename ico_m_0_145 "ico_m_0[145]") (joined (portRef Y (instanceRef r_dstate_RNISCUV3_2)) (portRef A (instanceRef r_dstate_RNIR88M4_0_2)) )) (net u0_DOUTA3_12 (joined (portRef u0_DOUTA3_12) (portRef A (instanceRef r_dstate_RNISCUV3_2)) )) (net (rename ddatainv_0_1_0_16 "ddatainv_0_1_0[16]") (joined (portRef Y (instanceRef r_xaddress_RNIKST94_0_0)) (portRef A (instanceRef r_xaddress_RNIB0688_1_0)) )) (net ddatainv_0_0_sqmuxa (joined (portRef Y (instanceRef r_xaddress_RNIAUU42_0_0)) (portRef B (instanceRef r_dstate_RNIVIC89_0_1)) (portRef B (instanceRef r_dstate_RNI7OF89_0_1)) (portRef B (instanceRef r_xaddress_RNIKST94_0)) (portRef B (instanceRef r_dstate_RNIFRD89_1)) (portRef B (instanceRef r_dstate_RNI77D89_0_1)) (portRef B (instanceRef r_dstate_RNINUB89_0_1)) (portRef A (instanceRef r_dstate_RNISTGFH1_1)) (portRef A (instanceRef r_dstate_RNIOSSTG1_1)) (portRef A (instanceRef r_dstate_RNI8CBSG1_1)) (portRef A (instanceRef r_xaddress_RNIKST94_0_0)) )) (net ddatainv_0_2_sqmuxa (joined (portRef Y (instanceRef r_xaddress_RNIAUU42_2_0)) (portRef B (instanceRef r_dstate_RNIVIC89_1)) (portRef B (instanceRef r_dstate_RNISPM79_1)) (portRef B (instanceRef r_dstate_RNI4EN79_1)) (portRef B (instanceRef r_dstate_RNI7OF89_1)) (portRef B (instanceRef r_dstate_RNIKMO79_1)) (portRef B (instanceRef r_dstate_RNI77D89_1)) (portRef B (instanceRef r_dstate_RNINUB89_1)) (portRef A (instanceRef r_xaddress_RNIB0688_1)) (portRef A (instanceRef r_dstate_RNIOPHJD1_1)) (portRef B (instanceRef r_xaddress_RNIKST94_1_0)) (portRef B (instanceRef r_xaddress_RNIKST94_0_0)) )) (net (rename ddatainv_0_1_0_24 "ddatainv_0_1_0[24]") (joined (portRef Y (instanceRef r_xaddress_RNIKST94_1_0)) (portRef A (instanceRef r_xaddress_RNIB0688_0_0)) )) (net rdatav_0_1_sqmuxa_1_a2_0_a2_0 (joined (portRef Y (instanceRef r_dstate_RNI5UP6_2)) (portRef A (instanceRef r_dstate_RNI411L2_2)) )) (net (rename dstate_i_0_RNI3CH12_5 "dstate_i_0_RNI3CH12[5]") (joined (portRef Y (instanceRef r_dstate_i_0_RNI3CH12_5)) (portRef C (instanceRef r_dstate_RNI411L2_2)) )) (net un1_dci_11_0_a2_0_o2_2_a0_0 (joined (portRef Y (instanceRef dctrl_un1_dci_11_0_a2_0_o2_2_a0_0)) (portRef B (instanceRef r_nomds_RNI53S48)) )) (net trap_0_sqmuxa_7_1_0 (joined (portRef trap_0_sqmuxa_7_1_0) (portRef A (instanceRef dctrl_un1_dci_11_0_a2_0_o2_2_a0_0)) )) (net holdn (joined (portRef holdn) (portRef B (instanceRef dctrl_un1_dci_11_0_a2_0_o2_2_a0_0)) )) (net un1_dci_11_0_a2_0_o2_2_2_tz_0 (joined (portRef Y (instanceRef dctrl_un1_dci_11_0_a2_0_o2_2_2_tz_0)) (portRef B (instanceRef r_nomds_RNIS8OLA)) )) (net un5_trap (joined (portRef un5_trap) (portRef B (instanceRef dctrl_un1_dci_11_0_a2_0_o2_2_2_tz_0)) )) (net ddatainv_0_0_sqmuxa_0 (joined (portRef Y (instanceRef r_xaddress_RNISPBN_1_0)) (portRef A (instanceRef r_xaddress_RNIAUU42_0_0)) )) (net (rename maddress_0_0 "maddress_0[0]") (joined (portRef Y (instanceRef r_xaddress_RNITOLB_0)) (portRef B (instanceRef r_xaddress_RNIAUU42_0)) (portRef B (instanceRef r_xaddress_RNISPBN_0)) (portRef A (instanceRef r_xaddress_RNISPBN_0_0)) (portRef A (instanceRef r_xaddress_RNISPBN_1_0)) )) (net (rename maddress_1 "maddress[1]") (joined (portRef Y (instanceRef r_xaddress_RNIV0MB_1)) (portRef B (instanceRef r_xaddress_RNID59P1_1)) (portRef A (instanceRef r_xaddress_RNID59P1_0_1)) (portRef A (instanceRef r_xaddress_RNIAUU42_0)) (portRef A (instanceRef r_xaddress_RNISPBN_0)) (portRef B (instanceRef r_xaddress_RNISPBN_0_0)) (portRef B (instanceRef r_xaddress_RNISPBN_1_0)) )) (net ddatainv_0_1_sqmuxa_0 (joined (portRef Y (instanceRef r_xaddress_RNISPBN_0_0)) (portRef A (instanceRef r_xaddress_RNIAUU42_1_0)) )) (net ddatainv_0_2_sqmuxa_0 (joined (portRef Y (instanceRef r_xaddress_RNISPBN_0)) (portRef A (instanceRef r_xaddress_RNIAUU42_2_0)) )) (net holdn_0_sqmuxa_2_i_a2_0 (joined (portRef Y (instanceRef r_cctrl_dcs_RNIFDMD_0)) (portRef A (instanceRef r_cctrl_dcs_RNIE2CE7_0)) )) (net dstate_tr0_25_0_0_a2_2 (joined (portRef Y (instanceRef r_dstate_i_0_RNIGRML_5)) (portRef A (instanceRef r_cctrl_dcs_RNIGBO78_0)) )) (net lock (joined (portRef lock) (portRef A (instanceRef r_wb_lock_RNO_2)) (portRef C (instanceRef r_cctrl_dcs_RNIE2CE7_0)) (portRef B (instanceRef r_dstate_i_0_RNIGRML_5)) (portRef B (instanceRef r_wb_lock_RNO_5)) )) (net dstate_tr0_25_0_0_a2_1_0 (joined (portRef Y (instanceRef r_cctrl_dcs_RNI14SO_0)) (portRef B (instanceRef r_cctrl_dcs_RNIGBO78_0)) )) (net dstate_tr0_25_0_0_a2_0 (joined (portRef Y (instanceRef r_cctrl_dcs_RNINV8B_0)) (portRef C (instanceRef r_cctrl_dcs_RNI14SO_0)) )) (net newptag_sn_m2_i_o2_m5_0_a2_3 (joined (portRef Y (instanceRef r_flush_0_RNI7SJF1)) (portRef A (instanceRef r_flush_0_RNIUENCT1)) (portRef A (instanceRef r_flush_0_RNI4C4L2)) )) (net newptag_sn_m2_i_o2_m5_0_a2_1 (joined (portRef Y (instanceRef r_flush_0_RNI3LFK)) (portRef A (instanceRef r_flush_0_RNI7SJF1)) )) (net mds_1_1_iv_0 (joined (portRef Y (instanceRef r_read_RNIQERH1)) (portRef C (instanceRef r_read_RNI19M63)) )) (net me_size_1_1_m9_0_a2_3 (joined (portRef me_size_1_1_m9_0_a2_3) (portRef A (instanceRef r_read_RNIQERH1)) )) (net mds_1_1_iv_0_4_tz (joined (portRef Y (instanceRef dctrl_mds_1_1_iv_0_4_tz)) (portRef B (instanceRef r_read_RNIQERH1)) )) (net (rename rdatasel_1_iv_0_a2_0_a2_0_0_8 "rdatasel_1_iv_0_a2_0_a2_0_0[8]") (joined (portRef Y (instanceRef r_dstate_i_0_RNI6DUH_5)) (portRef A (instanceRef r_dstate_i_0_RNIPQBQ1_5)) )) (net un1_eholdn_2_8 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_8)) (portRef A (instanceRef dctrl_un1_eholdn_2)) )) (net un1_eholdn_2_6 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_6)) (portRef A (instanceRef dctrl_un1_eholdn_2_8)) )) (net un1_eholdn_2_7 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_7)) (portRef B (instanceRef dctrl_un1_eholdn_2)) )) (net un1_eholdn_2_5 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_5)) (portRef A (instanceRef dctrl_un1_eholdn_2_7)) )) (net N_247 (joined (portRef Y (instanceRef dctrl_v_cctrlwr4_0_a2_0_0_a2)) (portRef A (instanceRef dctrl_mexc_1_0_2_0_1)) (portRef B (instanceRef r_dstate_i_0_RNI8OLG2_5)) (portRef C (instanceRef dctrl_un1_eholdn_2_7)) )) (net un1_eholdn_2_3 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_3)) (portRef A (instanceRef dctrl_un1_eholdn_2_6)) )) (net un1_eholdn_2_4 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_4)) (portRef B (instanceRef dctrl_un1_eholdn_2_6)) )) (net un1_eholdn_2_0 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_0)) (portRef A (instanceRef dctrl_un1_eholdn_2_5)) )) (net N_246 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_7_0_a2_1)) (portRef B (instanceRef r_cctrlwr_RNO)) (portRef C (instanceRef dctrl_un1_eholdn_2_5)) )) (net N_227 (joined (portRef Y (instanceRef dctrl_v_cctrlwr14_0_a2_0_0_a2)) (portRef B (instanceRef r_dstate_tr0_23_0_0_0_o2)) (portRef A (instanceRef dctrl_un1_eholdn_2_4)) )) (net N_2557 (joined (portRef Y (instanceRef N_121_i_i_o2)) (portRef C (instanceRef N_2439_i_i_a2_1)) (portRef A (instanceRef rdatasel_12_i_o4_7)) (portRef C (instanceRef r_dstate_tr11_14_a2_5_a2_3)) (portRef A (instanceRef un1_eholdn_1_0_a2)) (portRef B (instanceRef r_dstate_tr0_22_0_0_o2)) (portRef A (instanceRef dctrl_v_cctrlwr4_0_a2_2_a2)) (portRef A (instanceRef r_dstate_RNIKQS11_3)) (portRef B (instanceRef dctrl_un1_eholdn_2_4)) )) (net N_2525 (joined (portRef Y (instanceRef dctrl_un24_dsu_2_0_i)) (portRef C (instanceRef dctrl_un1_eholdn_2_4)) )) (net un1_eholdn_2_1 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_1)) (portRef A (instanceRef dctrl_un1_eholdn_2_3)) )) (net N_210 (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_7_0_a2)) (portRef B (instanceRef dctrl_un1_eholdn_2_3)) )) (net cctrlwr13_0_0 (joined (portRef Y (instanceRef dctrl_v_cctrlwr13_0_0)) (portRef A (instanceRef dctrl_un1_eholdn_2_1)) )) (net cctrlwr12_0 (joined (portRef Y (instanceRef dctrl_v_cctrlwr12_0_0)) (portRef B (instanceRef dctrl_un1_eholdn_2_1)) )) (net cctrlwr13_1 (joined (portRef Y (instanceRef dctrl_v_cctrlwr12_0)) (portRef A (instanceRef dctrl_un1_eholdn_2_0)) (portRef C (instanceRef dctrl_un1_eholdn_2_1)) )) (net cctrlwr13_0 (joined (portRef Y (instanceRef dctrl_v_cctrlwr13_0)) (portRef B (instanceRef dctrl_v_cctrlwr13_0_0)) (portRef B (instanceRef dctrl_un1_eholdn_2_0)) )) (net cctrlwr5 (joined (portRef Y (instanceRef dctrl_v_cctrlwr5)) (portRef C (instanceRef dctrl_un1_eholdn_2_0)) )) (net holdn_1_sqmuxa_1_2_1 (joined (portRef Y (instanceRef r_dstate_i_0_RNI2LFJ_5)) (portRef C (instanceRef r_dstate_i_0_RNI8OLG2_5)) )) (net (rename asi_4 "asi[4]") (joined (portRef (member asi 0)) (portRef A (instanceRef dctrl_lramwr_1_3_0_a2)) (portRef A (instanceRef dctrl_un19_eholdn_2_0_a2_0_a2_0_a2_0_o2)) (portRef A (instanceRef r_dstate_tr0_22_0_0_o2)) (portRef A (instanceRef r_dstate_tr0_24_0_0_o2_0)) (portRef A (instanceRef dctrl_v_cctrlwr12_0)) (portRef B (instanceRef r_dstate_i_RNI1I6S_5)) (portRef B (instanceRef r_dstate_tr11_14_a2_5_a2_0)) (portRef A (instanceRef r_dstate_RNITG9M1_3)) (portRef B (instanceRef r_dstate_tr11_14_a2_5_a2_1_0)) (portRef A (instanceRef r_dstate_RNIDM9K_3)) (portRef A (instanceRef dctrl_un24_dsu_2_0_i_0)) (portRef B (instanceRef r_dstate_i_RNIRDJE_5)) (portRef A (instanceRef r_dstate_i_0_RNI2LFJ_5)) (portRef B (instanceRef r_cctrl_dcs_RNI14SO_0)) )) (net flush (joined (portRef flush) (portRef A (instanceRef r_dstate_i_0_RNIIV0T1_5)) (portRef A (instanceRef r_dstate_i_RNIH0JN_5)) (portRef B (instanceRef r_dstate_i_0_RNIVKFJ_5)) (portRef B (instanceRef r_dstate_i_0_RNI2LFJ_5)) (portRef B (instanceRef r_dstate_ns_i_a2_i_0_a2_RNO_3)) )) (net un15_validrawv_0_a2_1_a2_19 (joined (portRef Y (instanceRef r_flush2_RNI4OQD3)) (portRef B (instanceRef r_flush2_RNIVB5P6)) (portRef B (instanceRef r_hit_RNO_3)) (portRef B (instanceRef r_flush2_RNISBQM5)) )) (net un15_validrawv_0_a2_1_a2_13 (joined (portRef Y (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_13)) (portRef A (instanceRef r_flush2_RNI4OQD3)) )) (net un15_validrawv_0_a2_1_a2_12 (joined (portRef Y (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_12)) (portRef B (instanceRef r_flush2_RNI4OQD3)) )) (net un15_validrawv_0_a2_1_a2_16 (joined (portRef Y (instanceRef r_flush2_RNIF1JA1)) (portRef C (instanceRef r_flush2_RNI4OQD3)) )) (net un15_validrawv_0_a2_1_a2_18 (joined (portRef Y (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_18)) (portRef A (instanceRef r_flush2_RNIVB5P6)) (portRef A (instanceRef r_hit_RNO_3)) (portRef A (instanceRef r_flush2_RNISBQM5)) )) (net un15_validrawv_0_a2_1_a2_7 (joined (portRef Y (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_7)) (portRef A (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_18)) )) (net un15_validrawv_0_a2_1_a2_6 (joined (portRef Y (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_6)) (portRef B (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_18)) )) (net un15_validrawv_0_a2_1_a2_15 (joined (portRef Y (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_15)) (portRef C (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_18)) )) (net un15_validrawv_0_a2_1_a2_1 (joined (portRef Y (instanceRef r_flush2_RNI1LBH)) (portRef A (instanceRef r_flush2_RNIF1JA1)) )) (net hitv3_19_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_19_0)) (portRef B (instanceRef r_flush2_RNIF1JA1)) )) (net un15_validrawv_0_a2_1_a2_10 (joined (portRef Y (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_10)) (portRef C (instanceRef r_flush2_RNIF1JA1)) )) (net hitv3_14_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_14_0)) (portRef A (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_15)) )) (net hitv3_12_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_12_0)) (portRef B (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_15)) )) (net un15_validrawv_0_a2_1_a2_9 (joined (portRef Y (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_9)) (portRef C (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_15)) )) (net N_521_i_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_13_0_0_x2)) (portRef A (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_13)) )) (net N_520_i_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_8_0_0_x2)) (portRef B (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_13)) )) (net un15_validrawv_0_a2_1_a2_5 (joined (portRef Y (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_5)) (portRef C (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_13)) )) (net N_517_i_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_2_0_0_x2)) (portRef A (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_12)) )) (net N_516_i_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_9_0_0_x2)) (portRef B (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_12)) )) (net un15_validrawv_0_a2_1_a2_3 (joined (portRef Y (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_3)) (portRef C (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_12)) )) (net (rename maddress_29 "maddress[29]") (joined (portRef maddress_29) (portRef A (instanceRef r_xaddress_RNO_29)) (portRef A (instanceRef r_wb_addr_RNO_29)) (portRef A (instanceRef r_wb_data1_RNO_29)) (portRef A (instanceRef r_dstate_0_RNIJRHOQR1_4)) (portRef A (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_10)) (portRef A (instanceRef r_read_RNI1T854)) )) (net hitv3_18_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_18_0)) (portRef C (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_10)) )) (net (rename maddress_27 "maddress[27]") (joined (portRef maddress_27) (portRef A (instanceRef r_size_RNIGDHJ1_1)) (portRef A (instanceRef r_wb_data1_RNO_27)) (portRef A (instanceRef r_wb_addr_RNO_1_27)) (portRef A (instanceRef r_xaddress_RNO_27)) (portRef A (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_9)) (portRef A (instanceRef r_dstate_0_RNIF4B1_4)) )) (net hitv3_16_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_16_0)) (portRef C (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_9)) )) (net hitv3_11_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_11_0)) (portRef C (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_7)) )) (net (rename maddress_17 "maddress[17]") (joined (portRef maddress_17) (portRef A (instanceRef r_xaddress_RNO_17)) (portRef A (instanceRef r_wb_data1_RNO_17)) (portRef A (instanceRef r_wb_addr_RNO_17)) (portRef A (instanceRef r_xaddress_RNI1HH8VM1_17)) (portRef A (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_6)) (portRef A (instanceRef r_read_RNI3O2M3)) )) (net hitv3_7_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_7_0)) (portRef C (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_6)) )) (net (rename maddress_13 "maddress[13]") (joined (portRef maddress_13) (portRef A (instanceRef r_xaddress_RNO_13)) (portRef A (instanceRef r_wb_data1_RNO_13)) (portRef A (instanceRef r_dstate_RNIHAPQA_1)) (portRef A (instanceRef r_wb_addr_RNO_13)) (portRef A (instanceRef r_xaddress_RNI20J6_13)) (portRef A (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_5)) (portRef A (instanceRef r_read_RNION7U3)) )) (net hitv3_3_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_3_0)) (portRef C (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_5)) )) (net (rename maddress_18 "maddress[18]") (joined (portRef maddress_18) (portRef A (instanceRef r_wb_addr_RNO_1_18)) (portRef A (instanceRef r_wb_data1_RNO_18)) (portRef A (instanceRef r_xaddress_RNO_18)) (portRef A (instanceRef r_dstate_1_RNII7IOQR1_4)) (portRef A (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_3)) (portRef A (instanceRef r_read_RNIJNSA3)) )) (net N_519_i_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_0_0_0_x2)) (portRef C (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_3)) )) (net N_515_i_i_0 (joined (portRef Y (instanceRef dctrl_0_hitv3_4_0_0_x2)) (portRef A (instanceRef r_flush2_RNI1LBH)) )) (net mds_1_1_iv_0_a0_0 (joined (portRef Y (instanceRef r_read_RNIAPR61)) (portRef A (instanceRef r_read_RNI19M63)) )) (net N_184_i_0 (joined (portRef Y (instanceRef r_read_RNI9KLJ)) (portRef A (instanceRef r_read_RNIAPR61)) (portRef C (instanceRef r_read_RNIQERH1)) (portRef N_184_i_0) )) (net N_2802_0 (joined (portRef N_2802_0) (portRef B (instanceRef r_read_RNIAPR61)) )) (net hready_1_sqmuxa (joined (portRef hready_1_sqmuxa) (portRef C (instanceRef r_read_RNIAPR61)) )) (net mexc_1_0_3_a1_1_1 (joined (portRef Y (instanceRef r_dstate_i_RNIH0JN_5)) (portRef A (instanceRef r_dstate_i_RNI39PI1_5)) )) (net (rename rdatav_0_1_0_iv_0_o2_0_0_4 "rdatav_0_1_0_iv_0_o2_0_0[4]") (joined (portRef Y (instanceRef dctrl_rdatav_0_1_0_iv_0_o2_0_0_4)) (portRef B (instanceRef r_dstate_1_RNIVH4M1_4)) )) (net un24_dsu_2_0_i_o2 (joined (portRef Y (instanceRef dctrl_un24_dsu_2_0_i_o2)) (portRef B (instanceRef dctrl_un24_dsu_2_0_i)) (portRef B (instanceRef dctrl_rdatav_0_1_0_iv_0_o2_0_0_4)) )) (net dstate_tr11_14_a2_5_o2_0 (joined (portRef Y (instanceRef r_dstate_tr11_14_a2_5_o2_0)) (portRef B (instanceRef r_dstate_tr11_14_a2_5_o2)) )) (net dstate_tr11_14_a2_5_a2_1_0 (joined (portRef Y (instanceRef r_dstate_tr11_14_a2_5_a2_1_0)) (portRef A (instanceRef r_dstate_tr11_14_a2_5_o2_0)) )) (net N_2560 (joined (portRef Y (instanceRef r_dstate_tr11_14_a2_5_o2_3)) (portRef B (instanceRef r_dstate_tr11_14_a2_5_o2_0)) )) (net N_2865 (joined (portRef Y (instanceRef r_dstate_tr11_14_a2_5_a2_2)) (portRef C (instanceRef r_dstate_tr11_14_a2_5_o2_0)) )) (net (rename faddr_7 "faddr[7]") (joined (portRef Q (instanceRef r_faddr_7)) (portRef B (instanceRef un1_r_faddr_I_38)) (portRef B (instanceRef r_faddr_RNIMVM8U_7)) (portRef A (instanceRef r_faddr_RNIR4M6_7)) )) (net N_2880 (joined (portRef Y (instanceRef r_dstate_i_RNILRP7_5)) (portRef A (instanceRef r_dstate_i_RNIUVCL_5)) (portRef A (instanceRef r_dstate_i_RNI1I6S_5)) (portRef A (instanceRef r_dstate_i_RNIRDJE_5)) )) (net mds_1_1_iv_0_4_tz_0 (joined (portRef Y (instanceRef dctrl_mds_1_1_iv_0_4_tz_0)) (portRef A (instanceRef dctrl_mds_1_1_iv_0_4_tz)) )) (net me_size_1_1_m9_0_a2_0 (joined (portRef me_size_1_1_m9_0_a2_0) (portRef A (instanceRef dctrl_mds_1_1_iv_0_4_tz_0)) )) (net N_5719_1 (joined (portRef N_5719_1) (portRef B (instanceRef dctrl_mds_1_1_iv_0_4_tz_0)) )) (net un24_dsu_2_0_i_0 (joined (portRef Y (instanceRef dctrl_un24_dsu_2_0_i_0)) (portRef A (instanceRef dctrl_un24_dsu_2_0_i)) )) (net N_2588 (joined (portRef Y (instanceRef dctrl_un24_dsu_2_0_i_o2_1)) (portRef B (instanceRef r_dstate_ns_i_a2_i_i_m2_2)) (portRef B (instanceRef r_dstate_tr11_14_a2_5_a2_2)) (portRef B (instanceRef dctrl_un24_dsu_2_0_i_0)) )) (net (rename mexc_1_sqmuxa_0_a2_1_0Z0Z_0 "mexc_1_sqmuxa_0_a2_1_0_0") (joined (portRef Y (instanceRef mexc_1_sqmuxa_0_a2_1_0_0)) (portRef A (instanceRef r_flush_0_RNICS8D2)) )) (net N_2366_3 (joined (portRef Y (instanceRef r_dstate_tr5_0_3_0_a2_0_a2_0_a2_0_a2)) (portRef A (instanceRef dctrl_un1_eholdn_2_7_0_a2)) (portRef A (instanceRef mexc_1_sqmuxa_0_a2_1_0_0)) )) (net N_2545 (joined (portRef Y (instanceRef dctrl_un19_eholdn_2_0_a2_0_a2_0_a2_0_o2)) (portRef A (instanceRef r_dstate_tr11_14_a2_5_a2_3)) (portRef B (instanceRef dctrl_rdatav_0_1_0_iv_0_o2_2_4)) (portRef B (instanceRef dctrl_v_cctrlwr6_0_a2_0_a2_0)) (portRef B (instanceRef dctrl_v_cctrlwr14_0_a2_0_0_a2)) (portRef B (instanceRef dctrl_un1_eholdn_2_7_0_a2)) (portRef B (instanceRef r_dstate_i_RNIUVCL_5)) (portRef B (instanceRef r_dstate_RNIKQS11_3)) (portRef C (instanceRef dctrl_v_cctrlwr4_0_a2_0_0_a2)) (portRef B (instanceRef mexc_1_sqmuxa_0_a2_1_0_0)) )) (net (rename rdatasel_12_i_a4_3_0_a2_0_a2_0_a2_0_7 "rdatasel_12_i_a4_3_0_a2_0_a2_0_a2_0[7]") (joined (portRef Y (instanceRef r_dstate_i_0_RNIG40L_5)) (portRef A (instanceRef r_dstate_i_0_RNIQ7UJ1_5)) (portRef A (instanceRef r_dstate_i_0_RNI3CH12_5)) )) (net N_2551 (joined (portRef Y (instanceRef N_62_i_0_o2)) (portRef B (instanceRef N_2439_i_i_a2_1)) (portRef B (instanceRef dctrl_un13_eholdn_0_a2_0_a2)) (portRef B (instanceRef un1_eholdn_1_0_a2)) (portRef B (instanceRef r_dstate_tr0_24_0_0_o2_2)) (portRef A (instanceRef dctrl_un1_eholdn_2_7_0_a2_1)) (portRef B (instanceRef r_dstate_i_0_RNIG40L_5)) )) (net (rename asi_3 "asi[3]") (joined (portRef (member asi 1)) (portRef D (instanceRef r_asi_3)) (portRef A (instanceRef r_dstate_tr0_24_0_0_o2_1)) (portRef A (instanceRef r_dstate_i_RNILRP7_5)) (portRef B (instanceRef r_dstate_tr5_0_3_0_a2_0_a2_0_a2_0_a2)) (portRef A (instanceRef N_121_i_i_o2)) (portRef C (instanceRef dctrl_un24_dsu_2_0_i_o2)) (portRef S (instanceRef r_dstate_ns_i_a2_i_i_m2_2)) (portRef A (instanceRef r_dstate_tr11_14_a2_5_o2_3)) (portRef B (instanceRef dctrl_v_cctrlwr6_0_a2_0_a2)) (portRef A (instanceRef N_2439_i_i_a2_0)) (portRef A (instanceRef r_dstate_tr11_14_a2_5_a2_2)) (portRef B (instanceRef dctrl_un1_eholdn_2_7_0_a2_2)) (portRef A (instanceRef r_dstate_i_0_RNIGI6E_5)) (portRef C (instanceRef r_dstate_i_0_RNIG2VI2_5)) (portRef B (instanceRef dctrl_v_cctrlwr4_0_a2_0_0_a2)) (portRef A (instanceRef dctrl_v_cctrlwr5)) (portRef A (instanceRef r_dstate_tr11_14_a2_5_a2_0)) (portRef A (instanceRef dctrl_v_cctrlwr13_0_0)) (portRef C (instanceRef dctrl_v_cctrlwr12_0_0)) (portRef C (instanceRef r_dstate_RNIDM9K_3)) (portRef B (instanceRef r_cctrl_dcs_RNIFDMD_0)) )) (net (rename asi_1 "asi[1]") (joined (portRef (member asi 3)) (portRef A (instanceRef dctrl_un1_eholdn_2_7_0_a2_0_0_a2)) (portRef B (instanceRef dctrl_un19_eholdn_2_0_a2_0_a2_0_a2_0_o2)) (portRef A (instanceRef dctrl_un24_dsu_2_0_i_o2_1)) (portRef A (instanceRef dctrl_un24_dsu_2_0_i_o2)) (portRef B (instanceRef r_dstate_tr0_24_0_0_o2_0)) (portRef A (instanceRef N_62_i_0_o2)) (portRef B (instanceRef dctrl_un24_dsu_2_0_i_a2)) (portRef A (instanceRef dctrl_v_cctrlwr13_0)) (portRef C (instanceRef dctrl_v_cctrlwr5)) (portRef A (instanceRef r_dstate_tr0_22_0_0_a2_1_0)) (portRef B (instanceRef dctrl_v_cctrlwr12_0_0)) (portRef A (instanceRef r_dstate_tr11_14_a2_5_a2_1_0)) (portRef A (instanceRef r_dstate_i_0_RNIVKFJ_5)) )) (net flush_0_sqmuxa_6_4 (joined (portRef Y (instanceRef r_xaddress_RNIE8B4_4)) (portRef B (instanceRef r_xaddress_RNIBQ0E_4)) )) (net flush_0_sqmuxa_6_2 (joined (portRef Y (instanceRef r_xaddress_RNI8O52_5)) (portRef A (instanceRef r_xaddress_RNIE8B4_4)) )) (net flush_0_sqmuxa_6_3 (joined (portRef Y (instanceRef r_cctrlwr_RNIGD95)) (portRef A (instanceRef r_xaddress_RNIBQ0E_4)) )) (net cctrlwr (joined (portRef Q (instanceRef r_cctrlwr)) (portRef A (instanceRef r_cctrlwr_RNIGD95)) )) (net (rename addr_2 "addr[2]") (joined (portRef Q (instanceRef r_xaddress_2)) (portRef B (instanceRef r_xaddress_RNI19MB_2)) (portRef C (instanceRef r_wb_addr_RNO_2_2)) (portRef B (instanceRef r_xaddress_RNIN84B4_2)) (portRef B (instanceRef r_xaddress_RNO_3_2)) (portRef C (instanceRef r_cctrlwr_RNIGD95)) (portRef A (instanceRef r_burst_RNO_9)) (portRef addr_2) )) (net (rename asi_0 "asi[0]") (joined (portRef (member asi 4)) (portRef D (instanceRef r_asi_0)) (portRef B (instanceRef r_dstate_tr11_14_a2_5_a2_3)) (portRef B (instanceRef dctrl_v_cctrlwr4_0_a2_0_0_a2_0)) (portRef B (instanceRef dctrl_un24_dsu_2_0_i_o2)) (portRef S (instanceRef r_dstate_tr11_14_a2_5_o2_3)) (portRef B (instanceRef N_62_i_0_o2)) (portRef A (instanceRef dctrl_rdatav_0_1_0_iv_0_o2_2_4)) (portRef A (instanceRef dctrl_un24_dsu_2_0_i_o2_0)) (portRef A (instanceRef dctrl_v_cctrlwr14_0_a2_0_0_a2)) (portRef C (instanceRef dctrl_un1_eholdn_2_7_0_a2)) (portRef B (instanceRef dctrl_v_cctrlwr13_0)) (portRef A (instanceRef dctrl_v_cctrlwr12_0_0)) (portRef A (instanceRef dctrl_dwrite_1_iv_0_m4_i_a3_0)) )) (net (rename asi_2 "asi[2]") (joined (portRef (member asi 2)) (portRef D (instanceRef r_asi_2)) (portRef A (instanceRef r_dstate_tr5_0_3_0_a2_0_a2_0_a2_0_a2)) (portRef B (instanceRef dctrl_lramwr_1_3_0_a2)) (portRef B (instanceRef N_121_i_i_o2)) (portRef A (instanceRef dctrl_v_cctrlwr4_0_a2_0_0_a2_0)) (portRef B (instanceRef dctrl_un24_dsu_2_0_i_o2_1)) (portRef B (instanceRef r_dstate_tr11_14_a2_5_o2_3)) (portRef A (instanceRef r_dstate_tr0_24_0_0_o2_2)) (portRef C (instanceRef dctrl_rdatav_0_1_0_iv_0_o2_2_4)) (portRef B (instanceRef dctrl_un24_dsu_2_0_i_o2_0)) (portRef B (instanceRef dctrl_v_cctrlwr12_0)) (portRef B (instanceRef r_dstate_tr0_22_0_0_a2_1_0)) (portRef A (instanceRef r_cctrl_dcs_RNI14SO_0)) )) (net N_2742 (joined (portRef Y (instanceRef r_dstate_0_RNIBRHOQR1_4)) (portRef A (instanceRef r_xaddress_RNID0H8VM1_21)) )) (net (rename addr_27 "addr[27]") (joined (portRef Q (instanceRef r_xaddress_27)) (portRef A (instanceRef r_wb_addr_RNO_2_27)) (portRef B (instanceRef r_xaddress_RNO_27)) (portRef B (instanceRef r_xaddress_RNI7BISQR1_27)) )) (net (rename maddress_20 "maddress[20]") (joined (portRef maddress_20) (portRef A (instanceRef r_xaddress_RNO_20)) (portRef A (instanceRef r_wb_data1_RNO_20)) (portRef A (instanceRef r_wb_addr_RNO_20)) (portRef B (instanceRef dctrl_0_hitv3_8_0_0_x2)) (portRef A (instanceRef newptag_2_RNO_0_20)) (portRef A (instanceRef r_read_RNIF8C33)) )) (net flush_0_RNI2N6NQR1 (joined (portRef Y (instanceRef r_flush_0_RNI2N6NQR1)) (portRef S (instanceRef r_xaddress_RNI45HH8S1_30)) (portRef S (instanceRef r_xaddress_RNIFNVH4R3_14)) (portRef A (instanceRef r_dstate_1_RNI9NANQR1_4)) (portRef S (instanceRef r_xaddress_RNILQ8H4R3_19)) (portRef S (instanceRef r_xaddress_RNIL6O48S1_24)) (portRef S (instanceRef r_xaddress_RNI1Q8H4R3_15)) (portRef S (instanceRef r_xaddress_RNIVQEG4R3_12)) (portRef S (instanceRef r_xaddress_RNI4P6H4R3_13)) (portRef B (instanceRef r_dstate_0_RNIJRHOQR1_4)) (portRef A (instanceRef r_dstate_0_RNIFRHOQR1_4)) (portRef A (instanceRef r_dstate_0_RNIHRHOQR1_4)) (portRef B (instanceRef r_dstate_0_RNIGRHOQR1_4)) (portRef B (instanceRef r_dstate_0_RNICRHOQR1_4)) (portRef B (instanceRef r_dstate_0_RNIDNHOQR1_4)) (portRef B (instanceRef newptag_2_RNO_0_20)) (portRef B (instanceRef r_dstate_0_RNIBRHOQR1_4)) (portRef flush_0_RNI2N6NQR1) )) (net N_2686 (joined (portRef Y (instanceRef r_dstate_0_RNICRHOQR1_4)) (portRef A (instanceRef r_xaddress_RNIQOH8VM1_22)) )) (net hready_RNICLR2 (joined (portRef hready_RNICLR2) (portRef B (instanceRef dctrl_mds_1_1_iv_0_4_tz)) )) (net nomds_RNITCEAE (joined (portRef Y (instanceRef r_nomds_RNITCEAE)) (portRef A (instanceRef r_nomds_RNIUO2511)) )) (net nomds_RNI53S48 (joined (portRef Y (instanceRef r_nomds_RNI53S48)) (portRef B (instanceRef r_nomds_RNIUO2511)) )) (net un1_dci_11_0_a2_0_o2_2_1 (joined (portRef Y (instanceRef r_nomds_RNIS8OLA)) (portRef C (instanceRef r_nomds_RNIUO2511)) )) (net trap_a0_0 (joined (portRef trap_a0_0) (portRef A (instanceRef r_nomds_RNITCEAE)) )) (net un1_dci_11_0_a2_0_o2_2_0 (joined (portRef Y (instanceRef r_nomds_RNIGK9H)) (portRef C (instanceRef r_nomds_RNIS8OLA)) (portRef A (instanceRef r_nomds_RNI53S48)) (portRef B (instanceRef r_nomds_RNITCEAE)) )) (net trap_0_4 (joined (portRef trap_0_4) (portRef C (instanceRef r_nomds_RNI53S48)) (portRef C (instanceRef r_nomds_RNITCEAE)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef Y (instanceRef r_dstate_RNIDU8KDR3_1)) (portRef (member dstate_rnidu8kdr3 0)) )) (net dwrite_1_iv_0_N_6 (joined (portRef Y (instanceRef r_flush_0_RNI06EHSP1)) (portRef C (instanceRef r_dstate_RNIDU8KDR3_1)) )) (net dwrite_1_iv_0tt_m2 (joined (portRef Y (instanceRef dctrl_dwrite_1_iv_0tt_m2)) (portRef A (instanceRef r_flush_0_RNI06EHSP1)) )) (net N_2745 (joined (portRef Y (instanceRef r_dstate_0_RNIGRHOQR1_4)) (portRef N_2745) )) (net (rename maddress_26 "maddress[26]") (joined (portRef maddress_26) (portRef A (instanceRef r_wb_data1_RNO_26)) (portRef A (instanceRef r_wb_addr_RNO_26)) (portRef A (instanceRef r_xaddress_RNO_26)) (portRef B (instanceRef dctrl_0_hitv3_14_0)) (portRef A (instanceRef r_dstate_0_RNIGRHOQR1_4)) (portRef A (instanceRef r_read_RNIN5CK3)) )) (net N_2698 (joined (portRef Y (instanceRef r_dstate_0_RNIHRHOQR1_4)) (portRef N_2698) )) (net N_2766 (joined (portRef Y (instanceRef r_dstate_0_RNIFRHOQR1_4)) (portRef N_2766) )) (net (rename dco_i_1_132 "dco_i_1[132]") (joined (portRef Y (instanceRef r_read_RNI19M63)) (portRef (member dco_i_1 0)) )) (net N_2307 (joined (portRef N_2307) (portRef B (instanceRef r_read_RNI19M63)) )) (net N_2748 (joined (portRef Y (instanceRef r_dstate_0_RNIJRHOQR1_4)) (portRef N_2748) )) (net nomds_RNIGEAR02 (joined (portRef Y (instanceRef r_nomds_RNIGEAR02)) (portRef B (instanceRef r_nomds_RNI0BVGE)) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef Y (instanceRef newptag_sn_m2_i_o2_0_mZ0Z3)) (portRef B (instanceRef r_flush_0_RNIUENCT1)) (portRef A (instanceRef r_xaddress_RNIMJVIOP1_4)) (portRef C (instanceRef r_nomds_RNIGEAR02)) (portRef C (instanceRef r_flush_0_RNI06EHSP1)) (portRef newptag_sn_m2_i_o2_0_m3) )) (net nomds_RNI251GTP1 (joined (portRef Y (instanceRef r_nomds_RNI251GTP1)) (portRef C (instanceRef r_nomds_RNI0BVGE)) )) (net (rename vitdatain_0_1_a0_3_23 "vitdatain_0_1_a0_3[23]") (joined (portRef (member vitdatain_0_1_a0_3 0)) (portRef C (instanceRef r_nomds_RNI251GTP1)) )) (net holdn_RNO_0 (joined (portRef Y (instanceRef r_holdn_RNO)) (portRef D (instanceRef r_holdn)) )) (net N_1546_i (joined (portRef Y (instanceRef r_holdn_RNO_0)) (portRef A (instanceRef r_holdn_RNO)) )) (net newptag_sn_m2_i_o2_N_8 (joined (portRef Y (instanceRef r_flush_0_RNI0SALQP1_0)) (portRef A (instanceRef r_flush_0_RNI2N6NQR1)) )) (net flush_0_RNIUENCT1 (joined (portRef Y (instanceRef r_flush_0_RNIUENCT1)) (portRef A (instanceRef r_flush_0_RNI2RR102)) (portRef B (instanceRef r_flush_0_RNI0SALQP1)) (portRef A (instanceRef r_dstate_0_RNI6BV102_4)) (portRef A (instanceRef r_xaddress_RNI5F7702_25)) (portRef C (instanceRef r_xaddress_RNI3GMQQP1_25)) (portRef B (instanceRef r_flush_0_RNI0SALQP1_0)) )) (net (rename dstate_i_RNIBEK0ER1_5 "dstate_i_RNIBEK0ER1[5]") (joined (portRef Y (instanceRef r_dstate_i_RNIBEK0ER1_5)) (portRef B (instanceRef r_dstate_i_RNI46ICVU1_5)) )) (net tdiagwrite_3_0_m6_i_a4 (joined (portRef Y (instanceRef dctrl_tdiagwrite_3_0_m6_i_a4)) (portRef C (instanceRef r_dstate_i_RNIPNTBH3_5)) (portRef C (instanceRef r_dstate_i_RNI18JRDR1_5)) (portRef C (instanceRef r_dstate_i_RNIBEK0ER1_5)) )) (net flush_0_RNI5OTFHS1 (joined (portRef Y (instanceRef r_flush_0_RNI5OTFHS1)) (portRef B (instanceRef r_flush_0_RNIOP4B612)) )) (net (rename newptag_2_a2_3_a0_1_22 "newptag_2_a2_3_a0_1[22]") (joined (portRef (member newptag_2_a2_3_a0_1 0)) (portRef A (instanceRef r_xaddress_RNI3GMQQP1_25)) (portRef B (instanceRef newptag_sn_m2_i_o2_m4_0_a3_0_0)) )) (net flush_0_RNI4C4L2 (joined (portRef Y (instanceRef r_flush_0_RNI4C4L2)) (portRef B (instanceRef r_flush_0_RNI2RR102)) (portRef B (instanceRef r_dstate_0_RNI6BV102_4)) (portRef B (instanceRef r_xaddress_RNI5F7702_25)) )) (net (rename dstate_RNO_2_3 "dstate_RNO_2[3]") (joined (portRef Y (instanceRef r_dstate_RNO_2_3)) (portRef S (instanceRef r_dstate_RNO_0_3)) )) (net (rename dstate_ns_i_a2_i_i_2_2 "dstate_ns_i_a2_i_i_2[2]") (joined (portRef Y (instanceRef r_dstate_RNI9O7F5_3)) (portRef A (instanceRef r_dstate_RNO_1_3)) (portRef B (instanceRef r_dstate_RNO_2_3)) )) (net mexc_1_0_3_a1_1 (joined (portRef Y (instanceRef r_dstate_i_RNI39PI1_5)) (portRef mexc_1_0_3_a1_1) )) (net N_2813 (joined (portRef Y (instanceRef r_dstate_RNITG9M1_3)) (portRef B (instanceRef r_dstate_RNI9O7F5_3)) )) (net un16_casaen_0_1 (joined (portRef un16_casaen_0_1) (portRef B (instanceRef r_dstate_i_RNIPNTBH3_5)) (portRef A (instanceRef dctrl_dwrite_1_iv_0tt_m2)) (portRef A (instanceRef r_nomds_RNITMJ1BU)) (portRef B (instanceRef r_holdn_RNO_4)) )) (net un1_addout_27 (joined (portRef un1_addout_27) (portRef B (instanceRef dctrl_dwrite_1_iv_0tt_m2)) (portRef B (instanceRef r_nomds_RNITMJ1BU)) (portRef C (instanceRef dctrl_tdiagwrite_3_0_m6_i_a0_1)) )) (net twrite_2_sqmuxa_1_a0_4 (joined (portRef Y (instanceRef r_nomds_RNIS602NU)) (portRef A (instanceRef r_nomds_RNISAOR402)) (portRef B (instanceRef r_dstate_i_0_RNIRCAFT32_5)) )) (net un1_addout_25_14 (joined (portRef un1_addout_25_14) (portRef A (instanceRef twrite_2_sqmuxa_1_a0_1)) )) (net (rename eaddress_14 "eaddress[16]") (joined (portRef eaddress_14) (portRef B (instanceRef twrite_2_sqmuxa_1_a0_1)) )) (net (rename xaddress_RNIE9I8VM1_18 "xaddress_RNIE9I8VM1[18]") (joined (portRef Y (instanceRef r_xaddress_RNIE9I8VM1_18)) (portRef (member xaddress_rnie9i8vm1 0)) )) (net N_2782 (joined (portRef Y (instanceRef r_xaddress_RNI57ISQR1_18)) (portRef A (instanceRef r_xaddress_RNIE9I8VM1_18)) )) (net N_2784 (joined (portRef Y (instanceRef r_dstate_i_RNINQDJ9V1_5)) (portRef B (instanceRef r_xaddress_RNIE9I8VM1_18)) )) (net N_2783 (joined (portRef Y (instanceRef r_dstate_1_RNII7IOQR1_4)) (portRef C (instanceRef r_xaddress_RNIE9I8VM1_18)) )) (net (rename xaddress_RNIH8G8VM1_16 "xaddress_RNIH8G8VM1[16]") (joined (portRef Y (instanceRef r_xaddress_RNIH8G8VM1_16)) (portRef (member xaddress_rnih8g8vm1 0)) )) (net (rename addr_16 "addr[16]") (joined (portRef Q (instanceRef r_xaddress_16)) (portRef A (instanceRef r_wb_addr_RNO_2_16)) (portRef B (instanceRef r_xaddress_RNO_16)) (portRef A (instanceRef r_xaddress_RNIH8G8VM1_16)) )) (net (rename xaddress_RNIQOH8VM1_22 "xaddress_RNIQOH8VM1[22]") (joined (portRef Y (instanceRef r_xaddress_RNIQOH8VM1_22)) (portRef (member xaddress_rniqoh8vm1 0)) )) (net N_2687 (joined (portRef Y (instanceRef r_dstate_i_RNICIDJ9V1_5)) (portRef B (instanceRef r_xaddress_RNIQOH8VM1_22)) )) (net N_2685 (joined (portRef Y (instanceRef r_xaddress_RNI2BISQR1_22)) (portRef C (instanceRef r_xaddress_RNIQOH8VM1_22)) )) (net N_2589_i (joined (portRef Y (instanceRef r_dstate_ns_i_a2_i_i_m2_2)) (portRef C (instanceRef r_dstate_RNITG9M1_3)) )) (net (rename dstate_RNI8CBSG1_1 "dstate_RNI8CBSG1[1]") (joined (portRef Y (instanceRef r_dstate_RNI8CBSG1_1)) (portRef (member dstate_rni8cbsg1 0)) )) (net (rename edata_4 "edata[4]") (joined (portRef Y (instanceRef r_dstate_RNIIRN27_1)) (portRef A (instanceRef r_dstate_RNISPM79_1)) (portRef A (instanceRef r_dstate_RNISPM79_0_1)) (portRef A (instanceRef r_dstate_RNIV01S8_1)) (portRef A (instanceRef r_dstate_RNI9VV0B_1)) (portRef B (instanceRef r_dstate_RNI8CBSG1_1)) )) (net (rename dstate_RNIR83TF1_1 "dstate_RNIR83TF1[1]") (joined (portRef Y (instanceRef r_dstate_RNIR83TF1_1)) (portRef (member dstate_rnir83tf1 0)) )) (net (rename edata_m_0_i_9 "edata_m_0_i[9]") (joined (portRef Y (instanceRef r_dstate_RNITRDUB_0_1)) (portRef B (instanceRef r_dstate_RNIR83TF1_1)) )) (net (rename edata_m_4_i_1 "edata_m_4_i[1]") (joined (portRef Y (instanceRef r_dstate_RNIVIC89_0_1)) (portRef C (instanceRef r_dstate_RNIR83TF1_1)) )) (net (rename dstate_RNI3JQ791_1 "dstate_RNI3JQ791[1]") (joined (portRef Y (instanceRef r_dstate_RNI3JQ791_1)) (portRef (member dstate_rni3jq791 0)) )) (net (rename edata_m_2_i_4 "edata_m_2_i[4]") (joined (portRef Y (instanceRef r_dstate_RNISPM79_0_1)) (portRef A (instanceRef r_dstate_RNI3JQ791_1)) )) (net (rename edata_m_3_i_4 "edata_m_3_i[4]") (joined (portRef Y (instanceRef r_dstate_RNIV01S8_1)) (portRef C (instanceRef r_dstate_RNI3JQ791_1)) )) (net (rename dstate_RNIA7SI91_1 "dstate_RNIA7SI91[1]") (joined (portRef Y (instanceRef r_dstate_RNIA7SI91_1)) (portRef (member dstate_rnia7si91 0)) )) (net (rename edata_m_2_i_3 "edata_m_2_i[3]") (joined (portRef Y (instanceRef r_dstate_RNIFRD89_0_1)) (portRef A (instanceRef r_dstate_RNIA7SI91_1)) )) (net (rename edata_m_3_i_3 "edata_m_3_i[3]") (joined (portRef Y (instanceRef r_dstate_RNII2OS8_1)) (portRef C (instanceRef r_dstate_RNIA7SI91_1)) )) (net (rename dstate_RNI0V0E91_1 "dstate_RNI0V0E91[1]") (joined (portRef Y (instanceRef r_dstate_RNI0V0E91_1)) (portRef (member dstate_rni0v0e91 0)) )) (net (rename edata_m_2_i_0 "edata_m_2_i[0]") (joined (portRef Y (instanceRef r_dstate_RNINUB89_1_1)) (portRef A (instanceRef r_dstate_RNI0V0E91_1)) )) (net (rename edata_m_3_i_0 "edata_m_3_i[0]") (joined (portRef Y (instanceRef r_dstate_RNIQ5MS8_1)) (portRef C (instanceRef r_dstate_RNI0V0E91_1)) )) (net (rename dstate_RNIOPHJD1_1 "dstate_RNIOPHJD1[1]") (joined (portRef Y (instanceRef r_dstate_RNIOPHJD1_1)) (portRef (member dstate_rniophjd1 0)) )) (net (rename edata_3 "edata[3]") (joined (portRef Y (instanceRef r_dstate_RNI5TE37_1)) (portRef A (instanceRef r_dstate_RNIFRD89_1)) (portRef A (instanceRef r_dstate_RNII2OS8_1)) (portRef A (instanceRef r_dstate_RNIFRD89_0_1)) (portRef A (instanceRef r_dstate_RNIS0N1B_1)) (portRef B (instanceRef r_dstate_RNIOPHJD1_1)) )) (net lock_2_m (joined (portRef Y (instanceRef r_wb_lock_RNO_1)) (portRef A (instanceRef r_wb_lock_RNO)) )) (net (rename dstate_RNIJ6PE91_1 "dstate_RNIJ6PE91[1]") (joined (portRef Y (instanceRef r_dstate_RNIJ6PE91_1)) (portRef (member dstate_rnij6pe91 0)) )) (net (rename edata_m_2_i_7 "edata_m_2_i[7]") (joined (portRef Y (instanceRef r_dstate_RNIKMO79_0_1)) (portRef A (instanceRef r_dstate_RNIJ6PE91_1)) )) (net (rename edata_m_3_i_7 "edata_m_3_i[7]") (joined (portRef Y (instanceRef r_dstate_RNINT2S8_1)) (portRef C (instanceRef r_dstate_RNIJ6PE91_1)) )) (net (rename xaddress_RNIFBR7VM1_23 "xaddress_RNIFBR7VM1[23]") (joined (portRef Y (instanceRef r_xaddress_RNIFBR7VM1_23)) (portRef (member xaddress_rnifbr7vm1 0)) )) (net (rename maddress_23 "maddress[23]") (joined (portRef maddress_23) (portRef B (instanceRef dctrl_0_hitv3_11_0)) (portRef A (instanceRef r_wb_addr_RNO_1_23)) (portRef A (instanceRef r_xaddress_RNO_23)) (portRef A (instanceRef r_wb_data1_RNO_23)) (portRef A (instanceRef r_xaddress_RNIFBR7VM1_23)) (portRef A (instanceRef r_read_RNI9FSA3)) )) (net N_2867 (joined (portRef Y (instanceRef r_dstate_1_RNI9NANQR1_4)) (portRef B (instanceRef r_dstate_1_RNII7IOQR1_4)) (portRef B (instanceRef r_xaddress_RNI1HH8VM1_17)) (portRef B (instanceRef r_xaddress_RNIFBR7VM1_23)) )) (net lock_1 (joined (portRef Y (instanceRef r_wb_lock_RNO)) (portRef D (instanceRef r_wb_lock)) )) (net (rename dci_m_109 "dci_m[109]") (joined (portRef Y (instanceRef r_wb_lock_RNO_2)) (portRef B (instanceRef r_wb_lock_RNO)) )) (net lock_6_m (joined (portRef Y (instanceRef r_wb_lock_RNO_3)) (portRef C (instanceRef r_wb_lock_RNO)) )) (net nullify (joined (portRef nullify) (portRef B (instanceRef r_dstate_i_RNITAFVJR1_5)) (portRef B (instanceRef r_xaddress_RNIR2QMJR1_4)) (portRef C (instanceRef r_nomds_RNILJ1VOR1)) (portRef C (instanceRef r_wb_lock_RNO_3)) (portRef B (instanceRef r_dstate_tr5_2_8_0_a2_1_0_o2)) )) (net stpend_RNIL3MK1 (joined (portRef Y (instanceRef r_stpend_RNIL3MK1)) (portRef B (instanceRef r_nomds_RNO_1)) (portRef B (instanceRef r_hit_RNO_0)) (portRef B (instanceRef r_dstate_1_RNIS3QK1_4)) (portRef B (instanceRef r_dstate_1_RNIS3QK1_0_4)) (portRef B (instanceRef r_hit_RNO_2)) (portRef A (instanceRef r_stpend_RNI9ANQ6)) )) (net N_42 (joined (portRef Y (instanceRef r_flush_0_RNIISIE3)) (portRef C (instanceRef r_dstate_i_RNIAUMB5_5)) (portRef B (instanceRef r_flush_0_RNIE7MNG)) (portRef C (instanceRef r_stpend_RNI9ANQ6)) )) (net N_128_i (joined (portRef Y (instanceRef r_cctrlwr_0_0_o2_i_a2)) (portRef A (instanceRef r_cctrlwr_RNO)) (portRef C (instanceRef r_flush_0_RNIDJNV23)) )) (net twrite_15 (joined (portRef Y (instanceRef r_nomds_RNI0BVGE)) (portRef B (instanceRef r_dstate_i_RNIGKVHE_5)) (portRef B (instanceRef r_flush_RNICD8ME)) (portRef S (instanceRef r_valid_0_RNO_0_0)) (portRef S (instanceRef r_valid_0_RNO_0_1)) (portRef S (instanceRef r_valid_0_RNO_0_2)) (portRef S (instanceRef r_valid_0_RNO_0_3)) )) (net (rename xaddress_RNI1HH8VM1_17 "xaddress_RNI1HH8VM1[17]") (joined (portRef Y (instanceRef r_xaddress_RNI1HH8VM1_17)) (portRef (member xaddress_rni1hh8vm1 0)) )) (net N_2863 (joined (portRef Y (instanceRef r_dstate_tr11_14_a2_5_a2_0)) (portRef C (instanceRef r_dstate_tr11_14_a2_5_o2)) )) (net N_2866 (joined (portRef Y (instanceRef r_dstate_tr11_14_a2_5_a2_3)) (portRef A (instanceRef r_dstate_tr11_14_a2_5_o2)) )) (net N_2710 (joined (portRef Y (instanceRef r_wb_data1_RNO_1_21)) (portRef C (instanceRef r_wb_data1_RNO_21)) )) (net (rename maddress_21 "maddress[21]") (joined (portRef maddress_21) (portRef A (instanceRef r_xaddress_RNO_21)) (portRef A (instanceRef r_wb_addr_RNO_21)) (portRef A (instanceRef r_size_RNIADHJ1_1)) (portRef B (instanceRef dctrl_0_hitv3_9_0_0_x2)) (portRef B (instanceRef r_wb_data1_RNO_1_21)) (portRef A (instanceRef r_dstate_0_RNI94B1_4)) )) (net (rename dstate_RNIOSSTG1_1 "dstate_RNIOSSTG1[1]") (joined (portRef Y (instanceRef r_dstate_RNIOSSTG1_1)) (portRef (member dstate_rniosstg1 0)) )) (net (rename edata_7 "edata[7]") (joined (portRef Y (instanceRef r_dstate_RNIAOP27_1)) (portRef A (instanceRef r_dstate_RNIKMO79_1)) (portRef A (instanceRef r_dstate_RNINT2S8_1)) (portRef A (instanceRef r_dstate_RNIKMO79_0_1)) (portRef A (instanceRef r_dstate_RNI1S11B_1)) (portRef B (instanceRef r_dstate_RNIOSSTG1_1)) )) (net (rename dstate_RNIUUCH91_1 "dstate_RNIUUCH91[1]") (joined (portRef Y (instanceRef r_dstate_RNIUUCH91_1)) (portRef (member dstate_rniuuch91 0)) )) (net (rename xaddress_RNID0H8VM1_21 "xaddress_RNID0H8VM1[21]") (joined (portRef Y (instanceRef r_xaddress_RNID0H8VM1_21)) (portRef (member xaddress_rnid0h8vm1 0)) )) (net N_2743 (joined (portRef Y (instanceRef r_dstate_i_RNI1QCJ9V1_5)) (portRef B (instanceRef r_xaddress_RNID0H8VM1_21)) )) (net N_2741 (joined (portRef Y (instanceRef r_xaddress_RNI1BISQR1_21)) (portRef C (instanceRef r_xaddress_RNID0H8VM1_21)) )) (net N_257 (joined (portRef Y (instanceRef r_wb_addr_RNO_9)) (portRef D (instanceRef r_wb_addr_9)) )) (net N_2719 (joined (portRef Y (instanceRef r_wb_addr_RNO_0_9)) (portRef A (instanceRef r_wb_addr_RNO_9)) )) (net N_2720 (joined (portRef Y (instanceRef r_wb_addr_RNO_1_9)) (portRef B (instanceRef r_wb_addr_RNO_9)) )) (net N_2718 (joined (portRef Y (instanceRef r_wb_addr_RNO_2_9)) (portRef C (instanceRef r_wb_addr_RNO_9)) )) (net lramwr_1_3 (joined (portRef Y (instanceRef dctrl_lramwr_1_3_0_a2)) (portRef A (instanceRef dctrl_un1_eholdn_2_7_0_a2_2)) (portRef B (instanceRef dctrl_v_cctrlwr5)) )) (net N_2809 (joined (portRef Y (instanceRef dctrl_un24_dsu_2_0_i_a2)) (portRef C (instanceRef dctrl_un24_dsu_2_0_i)) )) (net N_2137_i (joined (portRef Y (instanceRef r_cctrlwr_RNO)) (portRef D (instanceRef r_cctrlwr)) )) (net N_2575 (joined (portRef Y (instanceRef r_dstate_i_RNITAFVJR1_5)) (portRef A (instanceRef r_dstate_RNO_1)) (portRef C (instanceRef r_cctrlwr_RNO)) )) (net (rename xaddress_1_2 "xaddress_1[2]") (joined (portRef Y (instanceRef r_xaddress_RNO_2)) (portRef D (instanceRef r_xaddress_2)) )) (net (rename dstate_RNIOR582_0_1 "dstate_RNIOR582_0[1]") (joined (portRef Y (instanceRef r_dstate_RNIOR582_0_1)) (portRef E (instanceRef r_wb_data2_31)) (portRef E (instanceRef r_wb_data2_30)) (portRef E (instanceRef r_wb_data2_29)) (portRef E (instanceRef r_wb_data2_28)) (portRef E (instanceRef r_wb_data2_27)) (portRef E (instanceRef r_wb_data2_26)) (portRef E (instanceRef r_wb_data2_25)) (portRef E (instanceRef r_wb_data2_24)) (portRef E (instanceRef r_wb_data2_9)) (portRef E (instanceRef r_wb_data2_8)) (portRef E (instanceRef r_wb_data2_7)) (portRef E (instanceRef r_wb_data2_6)) (portRef E (instanceRef r_wb_data2_5)) (portRef E (instanceRef r_wb_data2_4)) (portRef E (instanceRef r_wb_data2_3)) (portRef E (instanceRef r_wb_data2_2)) )) (net N_2890 (joined (portRef Y (instanceRef dctrl_v_cctrlwr4_0_a2_0_0_a2_0)) (portRef A (instanceRef dctrl_v_cctrlwr4_0_a2_0_0_a2)) )) (net (rename data_0_12 "data_0[13]") (joined (portRef Y (instanceRef r_nomds_RNIODR1H)) (portRef data_0_12) )) (net (rename dcramo_m_109 "dcramo_m[109]") (joined (portRef Y (instanceRef r_nomds_RNIV7Q72_7)) (portRef A (instanceRef r_nomds_RNIODR1H)) )) (net (rename ico_m_147 "ico_m[147]") (joined (portRef Y (instanceRef r_dstate_RNIO43T4_2_2)) (portRef C (instanceRef r_nomds_RNIODR1H)) )) (net (rename data_0_25 "data_0[26]") (joined (portRef Y (instanceRef r_nomds_RNIPG1OG)) (portRef data_0_25) )) (net (rename dcramo_m_122 "dcramo_m[122]") (joined (portRef Y (instanceRef r_nomds_RNI08Q72_1)) (portRef B (instanceRef r_nomds_RNIPG1OG)) )) (net (rename ico_m_160 "ico_m[160]") (joined (portRef Y (instanceRef r_dstate_RNIMP4T4_2)) (portRef C (instanceRef r_nomds_RNIPG1OG)) )) (net ddatainv_0_3_sqmuxa (joined (portRef Y (instanceRef r_xaddress_RNIAUU42_0)) (portRef A (instanceRef r_xaddress_RNIN38U3_0)) (portRef A (instanceRef r_xaddress_RNIB0688_0)) )) (net ddatainv_0_3_sqmuxa_2 (joined (portRef Y (instanceRef r_size_RNIE4JD1_1_0)) (portRef B (instanceRef r_xaddress_RNIAUU42_0_0)) (portRef B (instanceRef r_xaddress_RNIAUU42_1_0)) (portRef B (instanceRef r_xaddress_RNIAUU42_2_0)) (portRef C (instanceRef r_xaddress_RNIAUU42_0)) )) (net (rename size_1_0 "size_1[0]") (joined (portRef Y (instanceRef r_size_RNI6JGF_0)) (portRef B (instanceRef r_size_RNIE4JD1_0)) (portRef A (instanceRef r_size_RNIE4JD1_0_0)) (portRef B (instanceRef r_size_RNIE4JD1_1_0)) )) (net (rename ico_m_144 "ico_m[144]") (joined (portRef Y (instanceRef r_dstate_RNIR88M4_2)) (portRef C (instanceRef r_wb_data2_RNIVR3QD_10)) )) (net u0_DOUTA2_12 (joined (portRef u0_DOUTA2_12) (portRef B (instanceRef r_dstate_RNIR88M4_2)) )) (net (rename data_0_9 "data_0[10]") (joined (portRef Y (instanceRef r_wb_data2_RNIVR3QD_10)) (portRef data_0_9) )) (net (rename mcdo_m_10 "mcdo_m[10]") (joined (portRef Y (instanceRef r_dstate_0_RNIHBFF3_4)) (portRef B (instanceRef r_wb_data2_RNIVR3QD_10)) )) (net ddatainv_0_5_sqmuxa_1 (joined (portRef Y (instanceRef r_size_RNIE4JD1_0_0)) (portRef A (instanceRef r_xaddress_RNID59P1_1)) (portRef B (instanceRef r_xaddress_RNID59P1_0_1)) )) (net un6_size (joined (portRef Y (instanceRef r_size_RNIE4JD1_0)) (portRef A (instanceRef r_xaddress_RNIIUV42_0_2)) (portRef A (instanceRef r_xaddress_RNIIUV42_1_2)) (portRef A (instanceRef r_xaddress_RNIIUV42_2)) (portRef A (instanceRef r_xaddress_RNIIUV42_2_2)) )) (net (rename dstate_RNISU72D1_1 "dstate_RNISU72D1[1]") (joined (portRef Y (instanceRef r_dstate_RNISU72D1_1)) (portRef (member dstate_rnisu72d1 0)) )) (net (rename edata_m_i_10 "edata_m_i[10]") (joined (portRef Y (instanceRef r_dstate_RNIQ60KC_1)) (portRef B (instanceRef r_dstate_RNISU72D1_1)) )) (net (rename edata_m_1_i_2 "edata_m_1_i[2]") (joined (portRef Y (instanceRef r_dstate_RNI77D89_1)) (portRef C (instanceRef r_dstate_RNISU72D1_1)) )) (net (rename dstate_RNIILTR91_1 "dstate_RNIILTR91[1]") (joined (portRef Y (instanceRef r_dstate_RNIILTR91_1)) (portRef (member dstate_rniiltr91 0)) )) (net (rename edata_m_2_i_1 "edata_m_2_i[1]") (joined (portRef Y (instanceRef r_dstate_RNIVIC89_1_1)) (portRef A (instanceRef r_dstate_RNIILTR91_1)) )) (net (rename edata_m_3_i_1 "edata_m_3_i[1]") (joined (portRef Y (instanceRef r_dstate_RNI2QMS8_1)) (portRef C (instanceRef r_dstate_RNIILTR91_1)) )) (net (rename dstate_RNI08ULU_1 "dstate_RNI08ULU[1]") (joined (portRef Y (instanceRef r_dstate_RNI08ULU_1)) (portRef (member dstate_rni08ulu 0)) )) (net (rename edata_6 "edata[6]") (joined (portRef Y (instanceRef r_dstate_RNITPG37_1)) (portRef A (instanceRef r_dstate_RNI7OF89_1)) (portRef A (instanceRef r_dstate_RNI7OF89_0_1)) (portRef A (instanceRef r_dstate_RNIAVPS8_1)) (portRef A (instanceRef r_dstate_RNI7OF89_1_1)) (portRef A (instanceRef r_dstate_RNI08ULU_1)) )) (net N_8502_tz (joined (portRef Y (instanceRef r_xaddress_RNIN38U3_0)) (portRef B (instanceRef r_dstate_RNI1S11B_1)) (portRef B (instanceRef r_dstate_RNIHJ01B_1)) (portRef B (instanceRef r_dstate_RNI9VV0B_1)) (portRef B (instanceRef r_dstate_RNIS0N1B_1)) (portRef B (instanceRef r_dstate_RNIKCM1B_1)) (portRef B (instanceRef r_dstate_RNICOL1B_1)) (portRef B (instanceRef r_xaddress_RNIB0688_1_0)) (portRef B (instanceRef r_dstate_RNI5432U_1)) (portRef B (instanceRef r_xaddress_RNIB0688_0_0)) (portRef B (instanceRef r_dstate_RNI08ULU_1)) )) (net (rename rdatasel_1_8 "rdatasel_1[8]") (joined (portRef Y (instanceRef r_dstate_i_0_RNIPQBQ1_5)) (portRef B (instanceRef r_nomds_RNIV7Q72_4)) (portRef B (instanceRef r_nomds_RNIV7Q72_2)) (portRef B (instanceRef r_nomds_RNIV7Q72_6)) (portRef B (instanceRef r_nomds_RNI08Q72)) (portRef B (instanceRef r_nomds_RNIV7Q72_7)) (portRef B (instanceRef r_nomds_RNIV7Q72_3)) (portRef B (instanceRef r_nomds_RNI08Q72_1)) (portRef B (instanceRef r_nomds_RNI08Q72_0)) (portRef B (instanceRef dctrl_rdatav_0_1_0_iv_RNO_1_22)) (portRef B (instanceRef r_nomds_RNIV7Q72_1)) (portRef B (instanceRef r_nomds_RNIV7Q72_0)) (portRef B (instanceRef r_nomds_RNIV7Q72_5)) (portRef B (instanceRef r_nomds_RNIV7Q72)) (portRef B (instanceRef r_nomds_RNIQU6E2_1)) (portRef B (instanceRef r_nomds_RNIQU6E2)) (portRef B (instanceRef r_nomds_RNIQU6E2_0)) (portRef B (instanceRef r_nomds_RNIQU6E2_3)) (portRef B (instanceRef r_nomds_RNIQU6E2_2)) (portRef B (instanceRef r_nomds_RNIQU6E2_4)) (portRef B (instanceRef r_nomds_RNIRU6E2)) (portRef B (instanceRef r_nomds_RNIRU6E2_0)) (portRef B (instanceRef r_nomds_RNIRU6E2_1)) (portRef B (instanceRef r_dstate_i_0_RNIU7H12_5)) (portRef C (instanceRef r_nomds_RNIQU6E2_5)) )) (net (rename data_0_26 "data_0[27]") (joined (portRef Y (instanceRef r_nomds_RNI8U6GG)) (portRef data_0_26) )) (net (rename dcramo_m_123 "dcramo_m[123]") (joined (portRef Y (instanceRef r_nomds_RNIRU6E2_1)) (portRef A (instanceRef r_nomds_RNI8U6GG)) )) (net (rename ico_m_161 "ico_m[161]") (joined (portRef Y (instanceRef r_dstate_RNIMP4T4_0_2)) (portRef C (instanceRef r_nomds_RNI8U6GG)) )) (net N_2586 (joined (portRef Y (instanceRef r_holdn_RNI7TE83)) (portRef B (instanceRef r_dstate_RNIHB864_0)) (portRef C (instanceRef r_holdn_RNIJVRO3)) )) (net N_2569 (joined (portRef Y (instanceRef r_dstate_RNIN5LE2_3)) (portRef A (instanceRef r_holdn_RNI7TE83)) )) (net N_50 (joined (portRef Y (instanceRef r_hit_RNO_4)) (portRef C (instanceRef r_hit_RNO)) )) (net hit_1 (joined (portRef Y (instanceRef r_hit_RNO)) (portRef D (instanceRef r_hit)) )) (net hit_3_m_0_i (joined (portRef Y (instanceRef r_hit_RNO_2)) (portRef A (instanceRef r_hit_RNO)) )) (net un15_validrawv_m_i (joined (portRef Y (instanceRef r_hit_RNO_3)) (portRef B (instanceRef r_hit_RNO)) )) (net N_2678 (joined (portRef Y (instanceRef r_cctrl_dcs_RNO_1_1)) (portRef C (instanceRef r_cctrl_dcs_RNO_1)) )) (net flush_0_sqmuxa (joined (portRef Y (instanceRef r_xaddress_RNIR2QMJR1_4)) (portRef E (instanceRef r_cctrl_dfrz)) (portRef E (instanceRef r_cctrl_ifrz)) (portRef S (instanceRef r_cctrl_burst_RNO_0)) (portRef S (instanceRef r_cctrl_ics_RNO_0_1)) (portRef B (instanceRef r_xaddress_RNI1N1OJR1_4)) (portRef S (instanceRef r_cctrl_ics_RNO_0_0)) (portRef S (instanceRef r_cctrl_dcs_RNO_0_0)) (portRef S (instanceRef r_cctrl_dcs_RNO_0_1)) (portRef C (instanceRef r_cctrl_dcs_RNO_1_1)) )) (net (rename dcs_RNO_1 "dcs_RNO[1]") (joined (portRef Y (instanceRef r_cctrl_dcs_RNO_1)) (portRef D (instanceRef r_cctrl_dcs_1)) )) (net N_2607 (joined (portRef Y (instanceRef r_cctrl_dcs_RNO_0_1)) (portRef B (instanceRef r_cctrl_dcs_RNO_1)) )) (net burst_1 (joined (portRef Y (instanceRef r_burst_RNO_1)) (portRef A (instanceRef r_burst_RNO_0)) )) (net burst_2_sqmuxa (joined (portRef Y (instanceRef r_dstate_i_0_RNI22K8702_5)) (portRef B (instanceRef r_stpend_RNO)) (portRef A (instanceRef r_burst_RNO_1)) )) (net req_0_sqmuxa_1 (joined (portRef Y (instanceRef r_nomds_RNISAOR402)) (portRef A (instanceRef r_dstate_i_0_RNIG3S9H02_5)) (portRef B (instanceRef r_flush_0_RNIAIEJL02)) (portRef C (instanceRef r_dstate_i_0_RNI22K8702_5)) )) (net stpend_RNIJP8V5 (joined (portRef Y (instanceRef r_stpend_RNIJP8V5)) (portRef E (instanceRef r_wb_addr_31)) (portRef E (instanceRef r_wb_addr_29)) (portRef E (instanceRef r_wb_addr_28)) (portRef E (instanceRef r_wb_addr_26)) (portRef E (instanceRef r_wb_addr_25)) (portRef E (instanceRef r_wb_addr_24)) (portRef E (instanceRef r_wb_addr_21)) (portRef E (instanceRef r_wb_addr_20)) (portRef E (instanceRef r_wb_addr_19)) (portRef E (instanceRef r_wb_addr_17)) (portRef E (instanceRef r_wb_addr_15)) (portRef E (instanceRef r_wb_addr_14)) (portRef E (instanceRef r_wb_addr_13)) (portRef E (instanceRef r_wb_addr_12)) (portRef E (instanceRef r_wb_addr_11)) (portRef E (instanceRef r_wb_addr_7)) (portRef E (instanceRef r_wb_addr_6)) (portRef E (instanceRef r_wb_addr_5)) (portRef E (instanceRef r_wb_addr_4)) (portRef E (instanceRef r_wb_size_1)) (portRef E (instanceRef r_wb_size_0)) (portRef E (instanceRef r_wb_read)) )) (net (rename data_0_22 "data_0[23]") (joined (portRef Y (instanceRef r_nomds_RNID5GEG)) (portRef data_0_22) )) (net (rename dcramo_m_119 "dcramo_m[119]") (joined (portRef Y (instanceRef r_nomds_RNIV7Q72_1)) (portRef A (instanceRef r_nomds_RNID5GEG)) )) (net (rename ico_m_157 "ico_m[157]") (joined (portRef Y (instanceRef r_dstate_RNIQ43T4_0_2)) (portRef C (instanceRef r_nomds_RNID5GEG)) )) (net (rename dstate_RNI5C6E91_1 "dstate_RNI5C6E91[1]") (joined (portRef Y (instanceRef r_dstate_RNI5C6E91_1)) (portRef (member dstate_rni5c6e91 0)) )) (net (rename edata_m_2_i_6 "edata_m_2_i[6]") (joined (portRef Y (instanceRef r_dstate_RNI7OF89_1_1)) (portRef A (instanceRef r_dstate_RNI5C6E91_1)) )) (net (rename edata_m_3_i_6 "edata_m_3_i[6]") (joined (portRef Y (instanceRef r_dstate_RNIAVPS8_1)) (portRef C (instanceRef r_dstate_RNI5C6E91_1)) )) (net (rename dstate_RNISTGFH1_1 "dstate_RNISTGFH1[1]") (joined (portRef Y (instanceRef r_dstate_RNISTGFH1_1)) (portRef (member dstate_rnistgfh1 0)) )) (net read_RNIV144H1 (joined (portRef Y (instanceRef r_read_RNIV144H1)) (portRef read_RNIV144H1) )) (net (rename edata_m_0_i_14 "edata_m_0_i[14]") (joined (portRef Y (instanceRef r_dstate_RNI5UQKC_0_1)) (portRef B (instanceRef r_read_RNIV144H1)) )) (net (rename edata_m_4_i_6 "edata_m_4_i[6]") (joined (portRef Y (instanceRef r_dstate_RNI7OF89_0_1)) (portRef C (instanceRef r_read_RNIV144H1)) )) (net (rename data_0_5 "data_0[6]") (joined (portRef Y (instanceRef r_dstate_1_RNIJ4JIH_4)) (portRef data_0_5) )) (net (rename ico_m_140 "ico_m[140]") (joined (portRef Y (instanceRef r_dstate_RNIQ88M4_2_2)) (portRef A (instanceRef r_dstate_1_RNIJ4JIH_4)) )) (net (rename mcdo_m_6 "mcdo_m[6]") (joined (portRef Y (instanceRef r_dstate_1_RNIMS0L3_4)) (portRef C (instanceRef r_dstate_1_RNIJ4JIH_4)) )) (net N_1914_1 (joined (portRef Y (instanceRef r_xaddress_RNIKST94_0)) (portRef C (instanceRef r_xaddress_RNIB0688_0)) (portRef C (instanceRef r_xaddress_RNIB0688_1)) )) (net (rename ico_m_139 "ico_m[139]") (joined (portRef Y (instanceRef r_dstate_RNIQ88M4_1_2)) (portRef C (instanceRef r_dstate_0_RNINMA6J_4)) )) (net u0_DOUTA1_13 (joined (portRef u0_DOUTA1_13) (portRef B (instanceRef r_dstate_RNIQ88M4_1_2)) )) (net cdwrite_0_sqmuxa_i_0_0 (joined (portRef cdwrite_0_sqmuxa_i_0_0) (portRef C (instanceRef r_dstate_RNIQ88M4_2)) (portRef C (instanceRef r_dstate_RNIQ88M4_2_2)) (portRef B (instanceRef r_dstate_RNIR88M4_0_2)) (portRef C (instanceRef r_dstate_RNIQ88M4_0_2)) (portRef C (instanceRef r_dstate_RNIQ88M4_1_2)) (portRef C (instanceRef r_dstate_RNIR88M4_2)) )) (net (rename data_0_4 "data_0[5]") (joined (portRef Y (instanceRef r_dstate_0_RNINMA6J_4)) (portRef data_0_4) )) (net (rename mcdo_m_5 "mcdo_m[5]") (joined (portRef Y (instanceRef r_dstate_0_RNIJ32H3_4)) (portRef A (instanceRef r_dstate_0_RNINMA6J_4)) )) (net N_250 (joined (portRef Y (instanceRef r_dstate_i_0_RNIQ7UJ1_5)) (portRef B (instanceRef r_cctrl_dfrz_RNI8FMN1)) (portRef A (instanceRef r_dstate_i_0_RNI1TNN1_5)) (portRef B (instanceRef r_dstate_i_0_RNI7EHR1_0_5)) (portRef C (instanceRef r_dstate_i_0_RNI7EHR1_5)) )) (net (rename maddress_3 "maddress[3]") (joined (portRef maddress_3) (portRef A (instanceRef r_size_RNICE3M1_1)) (portRef S (instanceRef dctrl_0_genmux_un6_validrawv_1)) (portRef S (instanceRef dctrl_0_genmux_un6_validrawv_2)) (portRef A (instanceRef r_wb_data1_RNO_3)) (portRef B (instanceRef dctrl_vmask_0_1_0_3)) (portRef A (instanceRef r_dstate_RNI5TE37_1)) (portRef A (instanceRef r_xaddress_RNI3HMB_3)) (portRef A (instanceRef r_xaddress_RNO_3)) (portRef A (instanceRef r_xaddress_RNIPG4B4_3)) (portRef A (instanceRef r_wb_addr_RNO_0_3)) (portRef B (instanceRef r_dstate_i_0_RNI1TNN1_5)) (portRef B (instanceRef r_cctrl_dcs_RNO_0_1)) (portRef A (instanceRef r_dstate_i_0_RNI7EHR1_0_5)) (portRef B (instanceRef r_dstate_i_0_RNI7EHR1_5)) )) (net (rename ico_m_141 "ico_m[141]") (joined (portRef Y (instanceRef r_dstate_RNIQ88M4_0_2)) (portRef C (instanceRef r_dstate_0_RNIHQQ2H_4)) )) (net u0_DOUTA3_13 (joined (portRef u0_DOUTA3_13) (portRef B (instanceRef r_dstate_RNIQ88M4_0_2)) )) (net (rename data_0_6 "data_0[7]") (joined (portRef Y (instanceRef r_dstate_0_RNIHQQ2H_4)) (portRef data_0_6) )) (net (rename mcdo_m_7 "mcdo_m[7]") (joined (portRef Y (instanceRef r_dstate_0_RNIJE853_4)) (portRef B (instanceRef r_dstate_0_RNIHQQ2H_4)) )) (net (rename dstate_RNI5432U_1 "dstate_RNI5432U[1]") (joined (portRef Y (instanceRef r_dstate_RNI5432U_1)) (portRef (member dstate_rni5432u 0)) )) (net (rename edata_0 "edata[0]") (joined (portRef Y (instanceRef r_dstate_RNID0D37_1)) (portRef A (instanceRef r_dstate_RNINUB89_0_1)) (portRef A (instanceRef r_dstate_RNIQ5MS8_1)) (portRef A (instanceRef r_dstate_RNINUB89_1_1)) (portRef A (instanceRef r_dstate_RNINUB89_1)) (portRef A (instanceRef r_dstate_RNI5432U_1)) )) (net (rename size_RNITKMLU_1 "size_RNITKMLU[1]") (joined (portRef Y (instanceRef r_size_RNITKMLU_1)) (portRef (member size_rnitkmlu 0)) )) (net (rename dci_m_i_73 "dci_m_i[73]") (joined (portRef Y (instanceRef r_size_RNIOOMT7_1)) (portRef B (instanceRef r_size_RNITKMLU_1)) )) (net (rename dstate_RNICOL1B_1 "dstate_RNICOL1B[1]") (joined (portRef Y (instanceRef r_dstate_RNICOL1B_1)) (portRef C (instanceRef r_size_RNITKMLU_1)) )) (net (rename size_RNIS2OAU_1 "size_RNIS2OAU[1]") (joined (portRef Y (instanceRef r_size_RNIS2OAU_1)) (portRef (member size_rnis2oau 0)) )) (net (rename dci_m_i_74 "dci_m_i[74]") (joined (portRef Y (instanceRef r_size_RNIV8NT7_1)) (portRef B (instanceRef r_size_RNIS2OAU_1)) )) (net (rename dstate_RNIKCM1B_1 "dstate_RNIKCM1B[1]") (joined (portRef Y (instanceRef r_dstate_RNIKCM1B_1)) (portRef C (instanceRef r_size_RNIS2OAU_1)) )) (net (rename size_RNI1G16U_1 "size_RNI1G16U[1]") (joined (portRef Y (instanceRef r_size_RNI1G16U_1)) (portRef (member size_rni1g16u 0)) )) (net (rename dci_m_i_75 "dci_m_i[75]") (joined (portRef Y (instanceRef r_size_RNI6PNT7_1)) (portRef B (instanceRef r_size_RNI1G16U_1)) )) (net (rename dstate_RNIS0N1B_1 "dstate_RNIS0N1B[1]") (joined (portRef Y (instanceRef r_dstate_RNIS0N1B_1)) (portRef C (instanceRef r_size_RNI1G16U_1)) )) (net (rename size_RNIIU3PU_1 "size_RNIIU3PU[1]") (joined (portRef Y (instanceRef r_size_RNIIU3PU_1)) (portRef (member size_rniiu3pu 0)) )) (net (rename dci_m_i_76 "dci_m_i[76]") (joined (portRef Y (instanceRef r_size_RNIIJ0T7_1)) (portRef B (instanceRef r_size_RNIIU3PU_1)) )) (net (rename dstate_RNI9VV0B_1 "dstate_RNI9VV0B[1]") (joined (portRef Y (instanceRef r_dstate_RNI9VV0B_1)) (portRef C (instanceRef r_size_RNIIU3PU_1)) )) (net (rename size_RNIQAFGU_1 "size_RNIQAFGU[1]") (joined (portRef Y (instanceRef r_size_RNIQAFGU_1)) (portRef (member size_rniqafgu 0)) )) (net (rename dci_m_i_77 "dci_m_i[77]") (joined (portRef Y (instanceRef r_size_RNIP31T7_1)) (portRef B (instanceRef r_size_RNIQAFGU_1)) )) (net (rename dstate_RNIHJ01B_1 "dstate_RNIHJ01B[1]") (joined (portRef Y (instanceRef r_dstate_RNIHJ01B_1)) (portRef C (instanceRef r_size_RNIQAFGU_1)) )) (net (rename size_RNIQ6O4U_1 "size_RNIQ6O4U[1]") (joined (portRef Y (instanceRef r_size_RNIQ6O4U_1)) (portRef (member size_rniq6o4u 0)) )) (net (rename dci_m_i_79 "dci_m_i[79]") (joined (portRef Y (instanceRef r_size_RNI742T7_1)) (portRef B (instanceRef r_size_RNIQ6O4U_1)) )) (net (rename dstate_RNI1S11B_1 "dstate_RNI1S11B[1]") (joined (portRef Y (instanceRef r_dstate_RNI1S11B_1)) (portRef C (instanceRef r_size_RNIQ6O4U_1)) )) (net (rename dstate_RNIVAPCB1_1 "dstate_RNIVAPCB1[1]") (joined (portRef Y (instanceRef r_dstate_RNIVAPCB1_1)) (portRef (member dstate_rnivapcb1 0)) )) (net (rename edata_m_i_8 "edata_m_i[8]") (joined (portRef Y (instanceRef r_dstate_RNIHRCUB_1)) (portRef B (instanceRef r_dstate_RNIVAPCB1_1)) )) (net (rename edata_m_1_i_0 "edata_m_1_i[0]") (joined (portRef Y (instanceRef r_dstate_RNINUB89_1)) (portRef C (instanceRef r_dstate_RNIVAPCB1_1)) )) (net (rename dstate_RNIGBKHB1_1 "dstate_RNIGBKHB1[1]") (joined (portRef Y (instanceRef r_dstate_RNIGBKHB1_1)) (portRef (member dstate_rnigbkhb1 0)) )) (net (rename edata_m_i_9 "edata_m_i[9]") (joined (portRef Y (instanceRef r_dstate_RNITRDUB_1)) (portRef B (instanceRef r_dstate_RNIGBKHB1_1)) )) (net (rename edata_m_1_i_1 "edata_m_1_i[1]") (joined (portRef Y (instanceRef r_dstate_RNIVIC89_1)) (portRef C (instanceRef r_dstate_RNIGBKHB1_1)) )) (net (rename dstate_RNIBJ5KD1_1 "dstate_RNIBJ5KD1[1]") (joined (portRef Y (instanceRef r_dstate_RNIBJ5KD1_1)) (portRef (member dstate_rnibj5kd1 0)) )) (net (rename edata_m_i_12 "edata_m_i[12]") (joined (portRef Y (instanceRef r_dstate_RNIN1AJC_1)) (portRef B (instanceRef r_dstate_RNIBJ5KD1_1)) )) (net (rename edata_m_1_i_4 "edata_m_1_i[4]") (joined (portRef Y (instanceRef r_dstate_RNISPM79_1)) (portRef C (instanceRef r_dstate_RNIBJ5KD1_1)) )) (net (rename dstate_RNIR5PQD1_1 "dstate_RNIR5PQD1[1]") (joined (portRef Y (instanceRef r_dstate_RNIR5PQD1_1)) (portRef (member dstate_rnir5pqd1 0)) )) (net (rename edata_m_i_13 "edata_m_i[13]") (joined (portRef Y (instanceRef r_dstate_RNIUF2KC_1)) (portRef B (instanceRef r_dstate_RNIR5PQD1_1)) )) (net (rename edata_m_1_i_5 "edata_m_1_i[5]") (joined (portRef Y (instanceRef r_dstate_RNI4EN79_1)) (portRef C (instanceRef r_dstate_RNIR5PQD1_1)) )) (net read_RNIGQ6ND1 (joined (portRef Y (instanceRef r_read_RNIGQ6ND1)) (portRef read_RNIGQ6ND1) )) (net (rename edata_m_i_14 "edata_m_i[14]") (joined (portRef Y (instanceRef r_dstate_RNI5UQKC_1)) (portRef B (instanceRef r_read_RNIGQ6ND1)) )) (net (rename edata_m_1_i_6 "edata_m_1_i[6]") (joined (portRef Y (instanceRef r_dstate_RNI7OF89_1)) (portRef C (instanceRef r_read_RNIGQ6ND1)) )) (net (rename dstate_RNIPKCMD1_1 "dstate_RNIPKCMD1[1]") (joined (portRef Y (instanceRef r_dstate_RNIPKCMD1_1)) (portRef (member dstate_rnipkcmd1 0)) )) (net (rename edata_m_i_15 "edata_m_i[15]") (joined (portRef Y (instanceRef r_dstate_RNIM04KC_1)) (portRef B (instanceRef r_dstate_RNIPKCMD1_1)) )) (net (rename edata_m_1_i_7 "edata_m_1_i[7]") (joined (portRef Y (instanceRef r_dstate_RNIKMO79_1)) (portRef C (instanceRef r_dstate_RNIPKCMD1_1)) )) (net (rename dstate_RNITLPG91_1 "dstate_RNITLPG91[1]") (joined (portRef Y (instanceRef r_dstate_RNITLPG91_1)) (portRef (member dstate_rnitlpg91 0)) )) (net (rename edata_m_2_i_2 "edata_m_2_i[2]") (joined (portRef Y (instanceRef r_dstate_RNI77D89_1_1)) (portRef A (instanceRef r_dstate_RNITLPG91_1)) )) (net (rename edata_m_3_i_2 "edata_m_3_i[2]") (joined (portRef Y (instanceRef r_dstate_RNIAENS8_1)) (portRef C (instanceRef r_dstate_RNITLPG91_1)) )) (net (rename dstate_RNIAK9OF1_1 "dstate_RNIAK9OF1[1]") (joined (portRef Y (instanceRef r_dstate_RNIAK9OF1_1)) (portRef (member dstate_rniak9of1 0)) )) (net (rename edata_m_0_i_8 "edata_m_0_i[8]") (joined (portRef Y (instanceRef r_dstate_RNIHRCUB_0_1)) (portRef B (instanceRef r_dstate_RNIAK9OF1_1)) )) (net (rename edata_m_4_i_0 "edata_m_4_i[0]") (joined (portRef Y (instanceRef r_dstate_RNINUB89_0_1)) (portRef C (instanceRef r_dstate_RNIAK9OF1_1)) )) (net (rename dstate_RNI8BDUG1_1 "dstate_RNI8BDUG1[1]") (joined (portRef Y (instanceRef r_dstate_RNI8BDUG1_1)) (portRef (member dstate_rni8bdug1 0)) )) (net (rename edata_m_0_i_10 "edata_m_0_i[10]") (joined (portRef Y (instanceRef r_dstate_RNIQ60KC_0_1)) (portRef B (instanceRef r_dstate_RNI8BDUG1_1)) )) (net (rename edata_m_4_i_2 "edata_m_4_i[2]") (joined (portRef Y (instanceRef r_dstate_RNI77D89_0_1)) (portRef C (instanceRef r_dstate_RNI8BDUG1_1)) )) (net read_RNILMNHG1 (joined (portRef Y (instanceRef r_read_RNILMNHG1)) (portRef read_RNILMNHG1) )) (net (rename edata_m_0_i_11 "edata_m_0_i[11]") (joined (portRef Y (instanceRef r_dstate_RNI1LOKC_0_1)) (portRef B (instanceRef r_read_RNILMNHG1)) )) (net (rename edata_m_4_i_3 "edata_m_4_i[3]") (joined (portRef Y (instanceRef r_dstate_RNIFRD89_1)) (portRef C (instanceRef r_read_RNILMNHG1)) )) (net N_240 (joined (portRef Y (instanceRef r_dstate_RNIIRS9_0_2)) (portRef B (instanceRef r_dstate_RNO_0)) (portRef B (instanceRef r_read_RNI9KLJ)) )) (net N_2656 (joined (portRef Y (instanceRef r_dstate_RNIB83N_0)) (portRef C (instanceRef r_dstate_RNIHB864_0)) )) (net N_2579 (joined (portRef Y (instanceRef un1_r_dstate_16_i_o2)) (portRef B (instanceRef r_holdn_RNIJVRO3)) (portRef C (instanceRef r_dstate_RNIB83N_0)) )) (net N_101 (joined (portRef Y (instanceRef r_holdn_RNIJVRO3)) (portRef S (instanceRef r_xaddress_RNI8HC9C_3)) (portRef S (instanceRef r_xaddress_RNI8S8LG_6)) (portRef S (instanceRef r_xaddress_RNI7NRNP_10)) (portRef S (instanceRef r_xaddress_RNIOD80U_11)) (portRef S (instanceRef r_xaddress_RNITIJ8D_4)) (portRef S (instanceRef r_xaddress_RNIM5MFM_9)) (portRef S (instanceRef r_xaddress_RNIOMACF_5)) (portRef S (instanceRef r_xaddress_RNIEP65I_8)) (portRef S (instanceRef r_xaddress_RNI9P28C_2)) (portRef S (instanceRef r_xaddress_RNI8J4HH_7)) )) (net N_251 (joined (portRef Y (instanceRef r_wb_addr_RNO_1)) (portRef D (instanceRef r_wb_addr_1)) )) (net N_2713 (joined (portRef Y (instanceRef r_wb_addr_RNO_0_1)) (portRef A (instanceRef r_wb_addr_RNO_1)) )) (net N_2714 (joined (portRef Y (instanceRef r_wb_addr_RNO_1_1)) (portRef B (instanceRef r_wb_addr_RNO_1)) )) (net N_2712 (joined (portRef Y (instanceRef r_wb_addr_RNO_2_1)) (portRef C (instanceRef r_wb_addr_RNO_1)) )) (net N_255 (joined (portRef Y (instanceRef r_wb_addr_RNO_8)) (portRef D (instanceRef r_wb_addr_8)) )) (net N_2716 (joined (portRef Y (instanceRef r_wb_addr_RNO_0_8)) (portRef A (instanceRef r_wb_addr_RNO_8)) )) (net N_2717 (joined (portRef Y (instanceRef r_wb_addr_RNO_1_8)) (portRef B (instanceRef r_wb_addr_RNO_8)) )) (net N_2715 (joined (portRef Y (instanceRef r_wb_addr_RNO_2_8)) (portRef C (instanceRef r_wb_addr_RNO_8)) )) (net N_259 (joined (portRef Y (instanceRef r_wb_addr_RNO_16)) (portRef D (instanceRef r_wb_addr_16)) )) (net N_2722 (joined (portRef Y (instanceRef r_wb_addr_RNO_0_16)) (portRef A (instanceRef r_wb_addr_RNO_16)) )) (net N_2723 (joined (portRef Y (instanceRef r_wb_addr_RNO_1_16)) (portRef B (instanceRef r_wb_addr_RNO_16)) )) (net N_2721 (joined (portRef Y (instanceRef r_wb_addr_RNO_2_16)) (portRef C (instanceRef r_wb_addr_RNO_16)) )) (net N_261 (joined (portRef Y (instanceRef r_wb_addr_RNO_22)) (portRef D (instanceRef r_wb_addr_22)) )) (net N_2725 (joined (portRef Y (instanceRef r_wb_addr_RNO_0_22)) (portRef A (instanceRef r_wb_addr_RNO_22)) )) (net N_2726 (joined (portRef Y (instanceRef r_wb_addr_RNO_1_22)) (portRef B (instanceRef r_wb_addr_RNO_22)) )) (net N_2724 (joined (portRef Y (instanceRef r_wb_addr_RNO_2_22)) (portRef C (instanceRef r_wb_addr_RNO_22)) )) (net N_263 (joined (portRef Y (instanceRef r_wb_addr_RNO_23)) (portRef D (instanceRef r_wb_addr_23)) )) (net N_2728 (joined (portRef Y (instanceRef r_wb_addr_RNO_0_23)) (portRef A (instanceRef r_wb_addr_RNO_23)) )) (net N_2729 (joined (portRef Y (instanceRef r_wb_addr_RNO_1_23)) (portRef B (instanceRef r_wb_addr_RNO_23)) )) (net N_2727 (joined (portRef Y (instanceRef r_wb_addr_RNO_2_23)) (portRef C (instanceRef r_wb_addr_RNO_23)) )) (net N_265 (joined (portRef Y (instanceRef r_wb_addr_RNO_27)) (portRef D (instanceRef r_wb_addr_27)) )) (net N_2731 (joined (portRef Y (instanceRef r_wb_addr_RNO_0_27)) (portRef A (instanceRef r_wb_addr_RNO_27)) )) (net N_2732 (joined (portRef Y (instanceRef r_wb_addr_RNO_1_27)) (portRef B (instanceRef r_wb_addr_RNO_27)) )) (net N_2730 (joined (portRef Y (instanceRef r_wb_addr_RNO_2_27)) (portRef C (instanceRef r_wb_addr_RNO_27)) )) (net N_325 (joined (portRef Y (instanceRef r_wb_addr_RNO_18)) (portRef D (instanceRef r_wb_addr_18)) )) (net N_2872_i (joined (portRef Y (instanceRef r_stpend_RNIO2SO5)) (portRef B (instanceRef r_wb_addr_RNO_2_1)) (portRef B (instanceRef r_wb_addr_RNO_2_8)) (portRef B (instanceRef r_wb_addr_RNO_2_16)) (portRef B (instanceRef r_wb_addr_RNO_2_22)) (portRef B (instanceRef r_wb_addr_RNO_2_23)) (portRef B (instanceRef r_wb_addr_RNO_2_27)) (portRef B (instanceRef r_wb_addr_RNO_2_9)) (portRef A (instanceRef r_wb_addr_RNO_18)) )) (net (rename addr_18 "addr[18]") (joined (portRef Q (instanceRef r_xaddress_18)) (portRef B (instanceRef r_xaddress_RNO_18)) (portRef A (instanceRef r_xaddress_RNI57ISQR1_18)) (portRef B (instanceRef r_wb_addr_RNO_18)) )) (net N_2892 (joined (portRef Y (instanceRef r_dstate_i_0_RNISGGH_5)) (portRef B (instanceRef r_stpend_RNIF2K41)) (portRef A (instanceRef r_dstate_i_0_RNIG2VI2_5)) (portRef A (instanceRef r_dstate_i_0_RNIA78K2_5)) )) (net N_2555 (joined (portRef Y (instanceRef r_dstate_tr0_24_0_0_o2_0)) (portRef A (instanceRef N_2439_i_i_a2_1)) (portRef B (instanceRef r_dstate_tr0_24_0_0_o2_1)) (portRef B (instanceRef dctrl_v_cctrlwr4_0_a2_2_a2)) (portRef A (instanceRef r_stpend_RNIF2K41)) (portRef B (instanceRef r_dstate_i_0_RNIA78K2_5)) )) (net (rename size_1 "size[1]") (joined (portRef (member size 0)) (portRef D (instanceRef r_size_1)) (portRef A (instanceRef r_size_RNI8RGF_1)) (portRef C (instanceRef r_flush2_RNISBQM5)) (portRef A (instanceRef dctrl_v_burst_7_0_i_0)) (portRef A (instanceRef mexc_1_sqmuxa_0_o2_i_a2)) (portRef A (instanceRef r_wb_size_RNO_1)) (portRef B (instanceRef r_dstate_i_RNIL6LK2_5)) (portRef A (instanceRef r_stpend_RNIFT7B)) )) (net rdatav_0_1_sqmuxa_1 (joined (portRef Y (instanceRef r_dstate_RNIRHA15_2)) (portRef B (instanceRef r_dstate_RNIPL725_1_2)) (portRef B (instanceRef r_dstate_RNITL725_1_2)) (portRef B (instanceRef r_dstate_RNIPL725_2_2)) (portRef B (instanceRef r_dstate_RNIRL725_1_2)) (portRef B (instanceRef r_dstate_RNISL725_0_2)) (portRef B (instanceRef r_dstate_RNI0M725_1_2)) (portRef B (instanceRef r_dstate_RNI0M725_0_2)) (portRef B (instanceRef dctrl_rdatav_0_1_0_iv_RNO_4_22)) (portRef B (instanceRef r_dstate_RNIVL725_2_2)) (portRef B (instanceRef r_dstate_RNIQL725_1_2)) (portRef B (instanceRef r_dstate_RNISL725_2_2)) (portRef B (instanceRef r_dstate_RNIUL725_1_2)) (portRef B (instanceRef r_dstate_RNIVL725_1_2)) (portRef B (instanceRef r_dstate_RNITL725_2)) (portRef B (instanceRef r_dstate_RNITL725_0_2)) (portRef B (instanceRef r_dstate_RNISL725_1_2)) )) (net (rename data_0_8 "data_0[9]") (joined (portRef Y (instanceRef r_wb_data2_RNIL28VF_9)) (portRef data_0_8) )) (net (rename dcramo_m_127 "dcramo_m[127]") (joined (portRef Y (instanceRef r_nomds_RNI08Q72)) (portRef A (instanceRef r_wb_data2_RNI8S2KF_8)) (portRef A (instanceRef r_wb_data2_RNILDKHG_31)) (portRef A (instanceRef r_wb_data2_RNIL28VF_9)) )) (net (rename ico_m_143 "ico_m[143]") (joined (portRef Y (instanceRef r_dstate_RNIIP4T4_2)) (portRef C (instanceRef r_wb_data2_RNIL28VF_9)) )) (net (rename data_0_10 "data_0[11]") (joined (portRef Y (instanceRef r_dstate_1_RNINIV0I_4)) (portRef data_0_10) )) (net (rename ico_m_145 "ico_m[145]") (joined (portRef Y (instanceRef r_dstate_RNIR88M4_0_2)) (portRef A (instanceRef r_dstate_1_RNINIV0I_4)) )) (net (rename mcdo_m_11 "mcdo_m[11]") (joined (portRef Y (instanceRef r_dstate_1_RNIQ58V3_4)) (portRef C (instanceRef r_dstate_1_RNINIV0I_4)) )) (net u0_DOUTA2_13 (joined (portRef u0_DOUTA2_13) (portRef B (instanceRef r_dstate_RNIQ88M4_2_2)) )) (net eenaddr (joined (portRef eenaddr) (portRef B (instanceRef r_nomds_RNIRGU903)) (portRef A (instanceRef dctrl_lramwr_4_0_a2)) (portRef B (instanceRef r_cctrlwr_0_0_o2_i_a2)) (portRef C (instanceRef r_holdn_RNI8VR813)) (portRef C (instanceRef r_nomds_RNIJ5FF13)) )) (net (rename data_0_19 "data_0[20]") (joined (portRef Y (instanceRef r_nomds_RNII64NF)) (portRef data_0_19) )) (net N_2777 (joined (portRef Y (instanceRef r_nomds_RNIQU6E2_0)) (portRef A (instanceRef r_nomds_RNII64NF)) )) (net N_2839 (joined (portRef Y (instanceRef r_dstate_RNIQ43T4_2)) (portRef C (instanceRef r_nomds_RNII64NF)) )) (net (rename data_0_24 "data_0[25]") (joined (portRef Y (instanceRef r_nomds_RNITOS6G)) (portRef data_0_24) )) (net N_2711 (joined (portRef Y (instanceRef r_nomds_RNIQU6E2)) (portRef A (instanceRef r_nomds_RNITOS6G)) )) (net N_2843 (joined (portRef Y (instanceRef r_dstate_RNIR43T4_2)) (portRef C (instanceRef r_nomds_RNITOS6G)) )) (net (rename data_0_17 "data_0[18]") (joined (portRef Y (instanceRef r_nomds_RNIKLKUF)) (portRef data_0_17) )) (net N_2708 (joined (portRef Y (instanceRef r_nomds_RNIQU6E2_1)) (portRef A (instanceRef r_nomds_RNIKLKUF)) )) (net N_2847 (joined (portRef Y (instanceRef r_dstate_RNIP43T4_1_2)) (portRef C (instanceRef r_nomds_RNIKLKUF)) )) (net (rename data_0_13 "data_0[14]") (joined (portRef Y (instanceRef r_nomds_RNIECO2I)) (portRef data_0_13) )) (net N_2855 (joined (portRef Y (instanceRef r_dstate_RNIO43T4_1_2)) (portRef B (instanceRef r_nomds_RNIECO2I)) )) (net N_2881 (joined (portRef Y (instanceRef r_xaddress_RNI1N1OJR1_4)) (portRef B (instanceRef r_flush_0_RNI87MVIN3)) )) (net u0_DOUTA0_13 (joined (portRef u0_DOUTA0_13) (portRef B (instanceRef r_dstate_RNIQ88M4_2)) )) (net N_191_i (joined (portRef Y (instanceRef r_dstate_RNO_0_0)) (portRef A (instanceRef r_dstate_RNO_0)) )) (net (rename data_0_23 "data_0[24]") (joined (portRef Y (instanceRef r_nomds_RNIJ4DCG)) (portRef data_0_23) )) (net (rename dcramo_m_120 "dcramo_m[120]") (joined (portRef Y (instanceRef r_nomds_RNIV7Q72_0)) (portRef A (instanceRef r_nomds_RNIJ4DCG)) )) (net (rename ico_m_158 "ico_m[158]") (joined (portRef Y (instanceRef r_dstate_RNIR43T4_0_2)) (portRef C (instanceRef r_nomds_RNIJ4DCG)) )) (net (rename data_0_30 "data_0[31]") (joined (portRef Y (instanceRef r_wb_data2_RNILDKHG_31)) (portRef data_0_30) )) (net (rename ico_m_165 "ico_m[165]") (joined (portRef Y (instanceRef r_dstate_RNINP4T4_0_2)) (portRef C (instanceRef r_wb_data2_RNILDKHG_31)) )) (net (rename data_0_7 "data_0[8]") (joined (portRef Y (instanceRef r_wb_data2_RNI8S2KF_8)) (portRef data_0_7) )) (net (rename ico_m_142 "ico_m[142]") (joined (portRef Y (instanceRef r_dstate_RNIIP4T4_0_2)) (portRef C (instanceRef r_wb_data2_RNI8S2KF_8)) )) (net (rename data_0_0 "data_0[1]") (joined (portRef Y (instanceRef r_dstate_RNIO7O8I_2)) (portRef data_0_0) )) (net (rename diagdata_1 "diagdata[1]") (joined (portRef diagdata_1) (portRef A (instanceRef r_dstate_RNIO7O8I_2)) )) (net flush_0_sqmuxa_6 (joined (portRef Y (instanceRef r_xaddress_RNIBQ0E_4)) (portRef A (instanceRef r_xaddress_RNIR2QMJR1_4)) (portRef B (instanceRef r_xaddress_RNIHE8F_4)) (portRef flush_0_sqmuxa_6) )) (net un16_casaen (joined (portRef Y (instanceRef v_faddr_2_sqmuxa_i_a2_m2_e)) (portRef C (instanceRef r_xaddress_RNIMJVIOP1_4)) (portRef B (instanceRef r_dstate_i_RNI18JRDR1_5)) )) (net (rename xaddress_RNIMJVIOP1_4 "xaddress_RNIMJVIOP1[4]") (joined (portRef Y (instanceRef r_xaddress_RNIMJVIOP1_4)) (portRef A (instanceRef r_flush_0_RNIE3IQML3)) )) (net flush_0_RNIE3IQML3 (joined (portRef Y (instanceRef r_flush_0_RNIE3IQML3)) (portRef E (instanceRef r_faddr_7)) (portRef E (instanceRef r_faddr_6)) (portRef E (instanceRef r_faddr_5)) (portRef E (instanceRef r_faddr_4)) (portRef E (instanceRef r_faddr_3)) (portRef E (instanceRef r_faddr_2)) (portRef E (instanceRef r_faddr_1)) (portRef E (instanceRef r_faddr_0)) )) (net un1_addout_28 (joined (portRef Y (instanceRef newptag_2_a2_25_m2_e_0)) (portRef B (instanceRef r_nomds_RNI0CP1LR1)) (portRef B (instanceRef r_nomds_RNISAOR402)) (portRef A (instanceRef r_holdn_RNO_4)) (portRef un1_addout_28) )) (net N_159_i (joined (portRef Y (instanceRef r_dstate_RNII7CS3_0_2)) (portRef B (instanceRef r_dstate_RNIL43T4_2)) (portRef B (instanceRef r_dstate_RNIP43T4_2)) (portRef B (instanceRef r_dstate_RNIIP4T4_0_2)) (portRef B (instanceRef r_dstate_RNIO43T4_2)) (portRef B (instanceRef r_dstate_RNINP4T4_2)) (portRef B (instanceRef r_dstate_RNINP4T4_0_2)) (portRef B (instanceRef dctrl_rdatav_0_1_0_iv_RNO_22)) (portRef B (instanceRef r_dstate_RNIR43T4_0_2)) (portRef B (instanceRef r_dstate_RNIO43T4_0_2)) (portRef B (instanceRef r_dstate_RNIQ43T4_2)) (portRef B (instanceRef r_dstate_RNIR43T4_2)) (portRef B (instanceRef r_dstate_RNIP43T4_1_2)) (portRef B (instanceRef r_dstate_RNIP43T4_0_2)) (portRef B (instanceRef r_dstate_RNIO43T4_1_2)) (portRef B (instanceRef r_dstate_RNIIP4T4_2)) )) (net nomds_RNILJ1VOR1 (joined (portRef Y (instanceRef r_nomds_RNILJ1VOR1)) (portRef B (instanceRef r_valid_0_RNO_0)) (portRef B (instanceRef r_valid_0_RNO_1)) (portRef B (instanceRef r_valid_0_RNO_2)) (portRef B (instanceRef r_valid_0_RNO_3)) )) (net N_37 (joined (portRef Y (instanceRef r_cctrl_dcs_RNIQP5P7_0)) (portRef A (instanceRef r_cctrl_dcs_RNI6HV38_0)) (portRef A (instanceRef r_dstate_i_0_RNI0H16A_0_5)) )) (net N_2449 (joined (portRef Y (instanceRef r_dstate_RNIL43T4_1_2)) (portRef N_2449) )) (net (rename diagdata_2 "diagdata[2]") (joined (portRef diagdata_2) (portRef A (instanceRef r_dstate_RNIL43T4_1_2)) )) (net mexc_1_0_2_0_1 (joined (portRef Y (instanceRef dctrl_mexc_1_0_2_0_1)) (portRef mexc_1_0_2_0_1) )) (net (rename mexc_1_sqmuxa_0_a2_0Z0Z_0 "mexc_1_sqmuxa_0_a2_0_0") (joined (portRef Y (instanceRef mexc_1_sqmuxa_0_a2_0_0)) (portRef B (instanceRef dctrl_mexc_1_0_2_0_1)) )) (net mexc_1_m6_i_a4_0 (joined (portRef mexc_1_m6_i_a4_0) (portRef C (instanceRef dctrl_mexc_1_0_2_0_1)) )) (net un6_annul_1 (joined (portRef un6_annul_1) (portRef A (instanceRef r_nomds_RNIS8OLA)) )) (net (rename newptag_2_a2_3_1_tz_i_22 "newptag_2_a2_3_1_tz_i[22]") (joined (portRef (member newptag_2_a2_3_1_tz_i 0)) (portRef A (instanceRef r_flush_0_RNI0SALQP1)) )) (net me_nullify2_1_b0_m3_0_a2_1 (joined (portRef me_nullify2_1_b0_m3_0_a2_1) (portRef S (instanceRef dctrl_dwrite_1_iv_0tt_m2)) )) (net newptag_sn_m2_i_o2_N_7 (joined (portRef Y (instanceRef r_flush_0_RNI2RR102)) (portRef B (instanceRef r_flush_0_RNI2N6NQR1)) )) (net tdiagwrite_3_0_N_7 (joined (portRef Y (instanceRef r_dstate_i_RNI46ICVU1_5)) (portRef A (instanceRef r_dstate_i_RNIPEDQV12_5)) (portRef A (instanceRef r_dstate_i_RNIGF2EVU1_5)) )) (net (rename dstate_i_RNIPNTBH3_5 "dstate_i_RNIPNTBH3[5]") (joined (portRef Y (instanceRef r_dstate_i_RNIPNTBH3_5)) (portRef A (instanceRef r_dstate_i_RNI46ICVU1_5)) )) (net flush_0_RNIJ17RK4 (joined (portRef Y (instanceRef r_flush_0_RNIJ17RK4)) (portRef A (instanceRef r_flush_0_RNIOP4B612)) )) (net (rename addr_25 "addr[25]") (joined (portRef Q (instanceRef r_xaddress_25)) (portRef B (instanceRef r_xaddress_RNO_25)) (portRef B (instanceRef r_wb_addr_RNO_25)) (portRef A (instanceRef r_xaddress_RNI3KB5_25)) )) (net N_2528 (joined (portRef Y (instanceRef r_dstate_RNO_0_3)) (portRef B (instanceRef r_dstate_RNO_3)) )) (net (rename dstate_RNO_1_3 "dstate_RNO_1[3]") (joined (portRef Y (instanceRef r_dstate_RNO_1_3)) (portRef A (instanceRef r_dstate_RNO_0_3)) )) (net casa_RNI55N3OP1 (joined (portRef casa_RNI55N3OP1) (portRef B (instanceRef r_dstate_RNO_0_3)) )) (net N_2884 (joined (portRef Y (instanceRef mexc_1_sqmuxa_0_o2_i_a2)) (portRef A (instanceRef r_flush_0_RNIIHVE)) (portRef B (instanceRef mexc_1_sqmuxa_0_a2_0_0)) )) (net holdn_1_sqmuxa (joined (portRef Y (instanceRef r_stpend_RNIRME52)) (portRef B (instanceRef r_dstate_i_0_RNI0H16A_0_5)) )) (net enaddr (joined (portRef enaddr) (portRef A (instanceRef r_nomds_RNIBK9H)) (portRef A (instanceRef r_dstate_i_RNI5HO7_5)) (portRef A (instanceRef un1_r_dstate_16_i_o2)) (portRef B (instanceRef dctrl_lramwr_4_0_a2_0)) (portRef A (instanceRef r_nomds_RNIGK9H)) (portRef C (instanceRef r_nomds_RNIBK9H_0)) )) (net annul_1 (joined (portRef annul_1) (portRef A (instanceRef dctrl_tdiagwrite_3_0_m6_i_a4)) (portRef A (instanceRef newptag_sn_m2_i_o2_0_mZ0Z3)) (portRef B (instanceRef dctrl_un1_dci_11_0_a2_0_o2_a0)) )) (net (rename rstate_li_0_0 "rstate_li_0[0]") (joined (portRef (member rstate_li_0 0)) (portRef A (instanceRef dctrl_un1_dci_11_0_a2_0_o2_a1)) (portRef A (instanceRef dctrl_tdiagwrite_3_0_m6_i_a4_0)) (portRef B (instanceRef newptag_sn_m2_i_o2_0_mZ0Z3)) (portRef A (instanceRef dctrl_un1_dci_11_0_a2_0_o2_2_2_tz_0)) )) (net un1_addout (joined (portRef un1_addout) (portRef A (instanceRef v_faddr_2_sqmuxa_i_a2_m2_e)) )) (net un16_casaen_0_2 (joined (portRef un16_casaen_0_2) (portRef B (instanceRef v_faddr_2_sqmuxa_i_a2_m2_e)) (portRef A (instanceRef r_nomds_RNI0CP1LR1)) )) (net tdiagwrite_3_0_m6_e (joined (portRef Y (instanceRef dctrl_tdiagwrite_3_0_m6_e)) (portRef B (instanceRef dctrl_tdiagwrite_3_0_m6_i_a4)) (portRef B (instanceRef dctrl_tdiagwrite_3_0_m6_i_a4_0)) (portRef S (instanceRef newptag_sn_m2_i_o2_0_mZ0Z3)) (portRef tdiagwrite_3_0_m6_e) )) (net nullify_1_sqmuxa_2_2 (joined (portRef nullify_1_sqmuxa_2_2) (portRef B (instanceRef dctrl_un1_dci_11_0_a2_0_o2_a1)) (portRef A (instanceRef dctrl_tdiagwrite_3_0_m6_e)) (portRef C (instanceRef dctrl_un1_dci_11_0_a2_0_o2_a0)) )) (net nullify2_0_sqmuxa_i_0 (joined (portRef nullify2_0_sqmuxa_i_0) (portRef B (instanceRef dctrl_tdiagwrite_3_0_m6_e)) (portRef A (instanceRef dctrl_un1_dci_11_0_a2_0_o2_a0)) )) (net (rename dstate_ns_i_a2_15_tz_0 "dstate_ns_i_a2_15_tz[0]") (joined (portRef Y (instanceRef r_cctrl_dcs_RNI58EH_0)) (portRef A (instanceRef r_cctrl_dcs_RNINTPI2_0)) )) (net nomds_2 (joined (portRef Y (instanceRef r_nomds_RNIRCHA_0)) (portRef C (instanceRef r_nomds_RNO_1)) (portRef B (instanceRef r_holdn_RNO_3)) (portRef B (instanceRef r_cctrl_dcs_RNI58EH_0)) )) (net mexc_1_0_2_tz (joined (portRef Y (instanceRef r_flush_0_RNICS8D2)) (portRef mexc_1_0_2_tz) )) (net N_2654 (joined (portRef Y (instanceRef r_flush_0_RNIVLBJ)) (portRef B (instanceRef r_flush_0_RNICS8D2)) )) (net mexc_1_sqmuxa_0_a2_1_0 (joined (portRef Y (instanceRef r_flush_0_RNIRTMU)) (portRef C (instanceRef r_flush_0_RNICS8D2)) )) (net (rename edata_1 "edata[1]") (joined (portRef Y (instanceRef r_dstate_RNILKD37_1)) (portRef A (instanceRef r_dstate_RNIVIC89_1)) (portRef A (instanceRef r_dstate_RNIVIC89_0_1)) (portRef A (instanceRef r_dstate_RNI2QMS8_1)) (portRef A (instanceRef r_dstate_RNIVIC89_1_1)) (portRef A (instanceRef r_dstate_RNICOL1B_1)) )) (net (rename edata_2 "edata[2]") (joined (portRef Y (instanceRef r_dstate_RNIT8E37_1)) (portRef A (instanceRef r_dstate_RNI77D89_1_1)) (portRef A (instanceRef r_dstate_RNIAENS8_1)) (portRef A (instanceRef r_dstate_RNI77D89_1)) (portRef A (instanceRef r_dstate_RNI77D89_0_1)) (portRef A (instanceRef r_dstate_RNIKCM1B_1)) )) (net ddatainv_0_5_sqmuxa (joined (portRef Y (instanceRef r_xaddress_RNID59P1_0_1)) (portRef B (instanceRef r_dstate_RNITRDUB_1)) (portRef B (instanceRef r_dstate_RNIN1AJC_1)) (portRef B (instanceRef r_dstate_RNIUF2KC_1)) (portRef B (instanceRef r_dstate_RNI5UQKC_1)) (portRef B (instanceRef r_dstate_RNIM04KC_1)) (portRef B (instanceRef r_dstate_RNIQ60KC_1)) (portRef B (instanceRef r_dstate_RNI1LOKC_1)) (portRef B (instanceRef r_dstate_RNIHRCUB_1)) (portRef B (instanceRef r_xaddress_RNIN38U3_0)) )) (net N_2521_tz (joined (portRef Y (instanceRef r_dstate_0_RNIJFOQ1_4)) (portRef B (instanceRef r_dstate_ns_i_a2_i_i_o2_RNIC4422_1)) )) (net N_2891 (joined (portRef Y (instanceRef r_flush_0_RNIIHVE)) (portRef B (instanceRef r_flush_0_RNIVLBJ)) (portRef A (instanceRef r_flush_0_RNIVLBJ_0)) )) (net (rename edata_8 "edata[8]") (joined (portRef Y (instanceRef r_dstate_RNI4M35A_1)) (portRef A (instanceRef r_dstate_RNIHRCUB_0_1)) (portRef A (instanceRef r_dstate_RNIHRCUB_1)) )) (net (rename edata_11 "edata[11]") (joined (portRef Y (instanceRef r_dstate_RNIKFFRA_1)) (portRef A (instanceRef r_dstate_RNI1LOKC_0_1)) (portRef A (instanceRef r_dstate_RNI1LOKC_1)) )) (net (rename edata_9 "edata[9]") (joined (portRef Y (instanceRef r_dstate_RNIGM45A_1)) (portRef A (instanceRef r_dstate_RNITRDUB_1)) (portRef A (instanceRef r_dstate_RNITRDUB_0_1)) )) (net (rename edata_10 "edata[10]") (joined (portRef Y (instanceRef r_dstate_RNID1NQA_1)) (portRef A (instanceRef r_dstate_RNIQ60KC_1)) (portRef A (instanceRef r_dstate_RNIQ60KC_0_1)) )) (net (rename edata_12 "edata[12]") (joined (portRef Y (instanceRef r_dstate_RNIAS0QA_1)) (portRef A (instanceRef r_dstate_RNIN1AJC_1)) (portRef A (instanceRef r_dstate_RNIN1AJC_0_1)) )) (net u0_DOUTA0 (joined (portRef u0_DOUTA0) (portRef A (instanceRef r_xaddress_RNIG4398_1_0)) (portRef A (instanceRef r_wb_data2_RNIH8FB9_28)) )) (net (rename dstate_nss_2 "dstate_nss[2]") (joined (portRef Y (instanceRef r_dstate_RNO_3)) (portRef D (instanceRef r_dstate_3)) )) (net (rename dstate_nss_4 "dstate_nss[4]") (joined (portRef Y (instanceRef r_dstate_RNO_1)) (portRef D (instanceRef r_dstate_1)) )) (net (rename vmaskraw_1_0 "vmaskraw_1[0]") (joined (portRef Y (instanceRef r_xaddress_RNIIUV42_2_2)) (portRef B (instanceRef r_xaddress_RNINB5C2_2)) (portRef C (instanceRef r_valid_0_RNIA1NG2_0)) )) (net (rename maddress_0_2 "maddress_0[2]") (joined (portRef Y (instanceRef r_xaddress_RNI19MB_2)) (portRef B (instanceRef r_xaddress_RNIIUV42_0_2)) (portRef B (instanceRef r_xaddress_RNIIUV42_1_2)) (portRef B (instanceRef r_xaddress_RNIIUV42_2)) (portRef B (instanceRef r_xaddress_RNIIUV42_2_2)) )) (net (rename maddress_0_3 "maddress_0[3]") (joined (portRef Y (instanceRef r_xaddress_RNI3HMB_3)) (portRef C (instanceRef r_xaddress_RNIIUV42_0_2)) (portRef C (instanceRef r_xaddress_RNIIUV42_1_2)) (portRef C (instanceRef r_xaddress_RNIIUV42_2)) (portRef C (instanceRef r_xaddress_RNIIUV42_2_2)) )) (net (rename vmaskraw_1_1 "vmaskraw_1[1]") (joined (portRef Y (instanceRef r_xaddress_RNIIUV42_2)) (portRef B (instanceRef r_xaddress_RNINB5C2_2_2)) (portRef C (instanceRef r_valid_0_RNIB1NG2_1)) )) (net (rename vmaskraw_1_2 "vmaskraw_1[2]") (joined (portRef Y (instanceRef r_xaddress_RNIIUV42_1_2)) (portRef B (instanceRef r_xaddress_RNINB5C2_0_2)) (portRef C (instanceRef r_valid_0_RNIC1NG2_2)) )) (net (rename vmaskraw_1_3 "vmaskraw_1[3]") (joined (portRef Y (instanceRef r_xaddress_RNIIUV42_0_2)) (portRef B (instanceRef r_xaddress_RNINB5C2_1_2)) (portRef C (instanceRef r_valid_0_RNID1NG2_3)) )) (net N_1826 (joined (portRef Y (instanceRef r_xaddress_RNI20J6_13)) (portRef B (instanceRef r_xaddress_RNI4P6H4R3_13)) )) (net (rename addr_13 "addr[13]") (joined (portRef Q (instanceRef r_xaddress_13)) (portRef B (instanceRef r_xaddress_RNO_13)) (portRef B (instanceRef r_wb_addr_RNO_13)) (portRef B (instanceRef r_xaddress_RNI20J6_13)) )) (net N_1837 (joined (portRef Y (instanceRef r_xaddress_RNIA8J6_24)) (portRef B (instanceRef r_xaddress_RNIL6O48S1_24)) )) (net (rename maddress_24 "maddress[24]") (joined (portRef maddress_24) (portRef A (instanceRef r_wb_data1_RNO_24)) (portRef B (instanceRef dctrl_0_hitv3_12_0)) (portRef A (instanceRef r_xaddress_RNO_24)) (portRef A (instanceRef r_wb_addr_RNO_24)) (portRef A (instanceRef r_xaddress_RNIA8J6_24)) (portRef A (instanceRef r_read_RNI5L5F3)) )) (net (rename addr_24 "addr[24]") (joined (portRef Q (instanceRef r_xaddress_24)) (portRef B (instanceRef r_xaddress_RNO_24)) (portRef B (instanceRef r_wb_addr_RNO_24)) (portRef B (instanceRef r_xaddress_RNIA8J6_24)) )) (net (rename xaddress_RNI4P6H4R3_13 "xaddress_RNI4P6H4R3[13]") (joined (portRef Y (instanceRef r_xaddress_RNI4P6H4R3_13)) (portRef (member xaddress_rni4p6h4r3 0)) )) (net N_1848 (joined (portRef Y (instanceRef r_dstate_i_RNI02DJ9V1_5)) (portRef A (instanceRef r_xaddress_RNI4P6H4R3_13)) )) (net (rename edata2_0_iv_13 "edata2_0_iv[13]") (joined (portRef (member edata2_0_iv 10)) (portRef A (instanceRef r_wb_data2_RNO_13)) (portRef B (instanceRef r_wb_data1_RNO_0_13)) (portRef B (instanceRef r_dstate_RNIHAPQA_1)) (portRef A (instanceRef r_dstate_i_RNI02DJ9V1_5)) (portRef B (instanceRef r_size_RNID3SLF_1)) )) (net N_1605 (joined (portRef Y (instanceRef r_wb_addr_RNO_12)) (portRef D (instanceRef r_wb_addr_12)) )) (net (rename addr_12 "addr[12]") (joined (portRef Q (instanceRef r_xaddress_12)) (portRef B (instanceRef r_xaddress_RNO_12)) (portRef B (instanceRef r_xaddress_RNI3GJ6_12)) (portRef B (instanceRef r_wb_addr_RNO_12)) )) (net N_1617 (joined (portRef Y (instanceRef r_wb_addr_RNO_24)) (portRef D (instanceRef r_wb_addr_24)) )) (net (rename addr_22 "addr[22]") (joined (portRef Q (instanceRef r_xaddress_22)) (portRef A (instanceRef r_wb_addr_RNO_2_22)) (portRef B (instanceRef r_xaddress_RNO_22)) (portRef A (instanceRef r_xaddress_RNI2BISQR1_22)) )) (net (rename edata2_0_iv_20 "edata2_0_iv[20]") (joined (portRef (member edata2_0_iv 3)) (portRef A (instanceRef r_wb_data2_RNO_20)) (portRef B (instanceRef r_wb_data1_RNO_0_20)) (portRef A (instanceRef newptag_2_RNO_1_20)) (portRef B (instanceRef r_size_RNIQJVQE_1)) )) (net (rename edata2_iv_25 "edata2_iv[25]") (joined (portRef (member edata2_iv 6)) (portRef A (instanceRef r_wb_data2_RNO_25)) (portRef B (instanceRef r_wb_data1_RNO_0_25)) (portRef A (instanceRef r_dstate_i_RNI3HPLCV1_5)) (portRef B (instanceRef r_size_RNIGL5DI_1)) )) (net (rename edata2_0_iv_18 "edata2_0_iv[18]") (joined (portRef (member edata2_0_iv 5)) (portRef A (instanceRef r_wb_data2_RNO_18)) (portRef B (instanceRef r_wb_data1_RNO_0_18)) (portRef A (instanceRef r_dstate_i_RNINQDJ9V1_5)) (portRef B (instanceRef r_size_RNIVRH2F_1)) )) (net N_2614 (joined (portRef Y (instanceRef r_wb_data2_RNO_16)) (portRef D (instanceRef r_wb_data2_16)) )) (net (rename hrdata_15 "hrdata[16]") (joined (portRef hrdata_15) (portRef B (instanceRef r_dstate_1_RNIUJII3_4)) (portRef B (instanceRef r_wb_data2_RNO_16)) (portRef B (instanceRef r_read_RNI0PMK1)) )) (net N_2617 (joined (portRef Y (instanceRef r_wb_data2_RNO_19)) (portRef D (instanceRef r_wb_data2_19)) )) (net (rename edata2_0_iv_19 "edata2_0_iv[19]") (joined (portRef (member edata2_0_iv 4)) (portRef A (instanceRef r_dstate_i_RNI2JEJ9V1_5)) (portRef B (instanceRef r_wb_data1_RNO_0_19)) (portRef A (instanceRef r_wb_data2_RNO_19)) (portRef B (instanceRef r_size_RNIS4J4F_1)) )) (net (rename hrdata_18 "hrdata[19]") (joined (portRef hrdata_18) (portRef B (instanceRef r_dstate_1_RNILQU03_4)) (portRef B (instanceRef r_wb_data2_RNO_19)) (portRef B (instanceRef r_read_RNIMUBP1)) )) (net N_2622 (joined (portRef Y (instanceRef r_wb_data2_RNO_28)) (portRef D (instanceRef r_wb_data2_28)) )) (net (rename edata2_iv_28 "edata2_iv[28]") (joined (portRef (member edata2_iv 3)) (portRef B (instanceRef r_wb_data1_RNO_0_28)) (portRef A (instanceRef r_wb_data2_RNO_28)) (portRef B (instanceRef r_size_RNI4MQ3F_1)) )) (net (rename dmao_i_1_28 "dmao_i_1[43]") (joined (portRef dmao_i_1_28) (portRef B (instanceRef r_dstate_0_RNIBJMU3_4)) (portRef B (instanceRef r_wb_data2_RNO_28)) (portRef B (instanceRef r_read_RNIG8R02)) )) (net N_2641 (joined (portRef Y (instanceRef r_wb_data2_RNO_11)) (portRef D (instanceRef r_wb_data2_11)) )) (net (rename edata2_0_iv_11 "edata2_0_iv[11]") (joined (portRef (member edata2_0_iv 12)) (portRef B (instanceRef r_dstate_RNIKFFRA_1)) (portRef B (instanceRef r_wb_data1_RNO_0_11)) (portRef A (instanceRef r_wb_data2_RNO_11)) (portRef A (instanceRef r_size_RNIQGAOB_1)) )) (net (rename hrdata_10 "hrdata[11]") (joined (portRef hrdata_10) (portRef B (instanceRef r_dstate_1_RNIQ58V3_4)) (portRef B (instanceRef r_wb_data2_RNO_11)) (portRef B (instanceRef r_read_RNISAC12)) )) (net N_1606 (joined (portRef Y (instanceRef r_wb_addr_RNO_13)) (portRef D (instanceRef r_wb_addr_13)) )) (net N_1825 (joined (portRef Y (instanceRef r_xaddress_RNI3GJ6_12)) (portRef B (instanceRef r_xaddress_RNIVQEG4R3_12)) )) (net (rename maddress_12 "maddress[12]") (joined (portRef maddress_12) (portRef A (instanceRef r_size_RNI89HJ1_1)) (portRef A (instanceRef r_xaddress_RNO_12)) (portRef B (instanceRef dctrl_0_hitv3_0_0_0_x2)) (portRef A (instanceRef r_dstate_RNIAS0QA_1)) (portRef A (instanceRef r_wb_data1_RNO_12)) (portRef A (instanceRef r_xaddress_RNI3GJ6_12)) (portRef A (instanceRef r_wb_addr_RNO_12)) )) (net N_1608 (joined (portRef Y (instanceRef r_wb_addr_RNO_15)) (portRef D (instanceRef r_wb_addr_15)) )) (net (rename maddress_15 "maddress[15]") (joined (portRef maddress_15) (portRef A (instanceRef r_wb_data1_RNO_15)) (portRef B (instanceRef dctrl_0_hitv3_3_0)) (portRef A (instanceRef r_xaddress_RNO_15)) (portRef A (instanceRef r_dstate_RNI9RQQA_1)) (portRef A (instanceRef r_xaddress_RNI9GJ6_15)) (portRef A (instanceRef r_wb_addr_RNO_15)) (portRef A (instanceRef r_read_RNIOSMP3)) )) (net (rename addr_15 "addr[15]") (joined (portRef Q (instanceRef r_xaddress_15)) (portRef B (instanceRef r_xaddress_RNO_15)) (portRef B (instanceRef r_xaddress_RNI9GJ6_15)) (portRef B (instanceRef r_wb_addr_RNO_15)) )) (net (rename maddress_19 "maddress[19]") (joined (portRef maddress_19) (portRef A (instanceRef r_xaddress_RNO_19)) (portRef A (instanceRef r_wb_data1_RNO_19)) (portRef A (instanceRef r_wb_addr_RNO_19)) (portRef A (instanceRef r_xaddress_RNIHGJ6_19)) (portRef B (instanceRef dctrl_0_hitv3_7_0)) (portRef A (instanceRef r_read_RNI58TC3)) )) (net N_1613 (joined (portRef Y (instanceRef r_wb_addr_RNO_20)) (portRef D (instanceRef r_wb_addr_20)) )) (net N_1618 (joined (portRef Y (instanceRef r_wb_addr_RNO_25)) (portRef D (instanceRef r_wb_addr_25)) )) (net N_1679 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_19)) (portRef B (instanceRef r_wb_data1_RNO_19)) )) (net N_1624 (joined (portRef Y (instanceRef r_wb_addr_RNO_31)) (portRef D (instanceRef r_wb_addr_31)) )) (net (rename addr_4_2 "addr_4[2]") (joined (portRef Y (instanceRef r_wb_addr_RNO_0_2)) (portRef A (instanceRef r_wb_addr_RNO_2)) )) (net un85_nbo (joined (portRef un85_nbo) (portRef A (instanceRef r_wb_addr_RNO_0_2)) )) (net ready (joined (portRef ready) (portRef A (instanceRef r_stpend_RNI8TUJ7_0)) (portRef A (instanceRef r_stpend_RNIL3MK1)) (portRef A (instanceRef r_req_RNI5I4L1)) (portRef B (instanceRef r_wb_addr_RNO_0_2)) (portRef A (instanceRef r_stpend_RNI8TUJ7)) )) (net N_76 (joined (portRef Y (instanceRef r_wb_addr_RNO_2)) (portRef D (instanceRef r_wb_addr_2)) )) (net N_1595 (joined (portRef Y (instanceRef r_wb_addr_RNO_1_2)) (portRef B (instanceRef r_wb_addr_RNO_2)) )) (net N_1847 (joined (portRef Y (instanceRef r_dstate_i_RNIQJKI9V1_5)) (portRef A (instanceRef r_xaddress_RNIVQEG4R3_12)) )) (net (rename edata2_0_iv_12 "edata2_0_iv[12]") (joined (portRef (member edata2_0_iv 11)) (portRef A (instanceRef r_wb_data2_RNO_12)) (portRef B (instanceRef r_dstate_RNIAS0QA_1)) (portRef B (instanceRef r_wb_data1_RNO_0_12)) (portRef A (instanceRef r_dstate_i_RNIQJKI9V1_5)) (portRef B (instanceRef r_read_RNICJ1GF)) )) (net (rename xaddress_RNIVQEG4R3_12 "xaddress_RNIVQEG4R3[12]") (joined (portRef Y (instanceRef r_xaddress_RNIVQEG4R3_12)) (portRef (member xaddress_rnivqeg4r3 0)) )) (net N_1850 (joined (portRef Y (instanceRef r_dstate_i_RNIMIEJ9V1_5)) (portRef A (instanceRef r_xaddress_RNI1Q8H4R3_15)) )) (net (rename edata2_0_iv_15 "edata2_0_iv[15]") (joined (portRef (member edata2_0_iv 8)) (portRef B (instanceRef r_wb_data1_RNO_0_15)) (portRef A (instanceRef r_wb_data2_RNO_15)) (portRef B (instanceRef r_dstate_RNI9RQQA_1)) (portRef A (instanceRef r_dstate_i_RNIMIEJ9V1_5)) (portRef B (instanceRef r_size_RNI3PCHF_1)) )) (net (rename xaddress_RNI1Q8H4R3_15 "xaddress_RNI1Q8H4R3[15]") (joined (portRef Y (instanceRef r_xaddress_RNI1Q8H4R3_15)) (portRef (member xaddress_rni1q8h4r3 0)) )) (net N_1828 (joined (portRef Y (instanceRef r_xaddress_RNI9GJ6_15)) (portRef B (instanceRef r_xaddress_RNI1Q8H4R3_15)) )) (net (rename un1_p0_2_0_d0 "un1_p0_2[362]") (joined (portRef Y (instanceRef r_xaddress_RNIL6O48S1_24)) (portRef un1_p0_2_0_d0) )) (net (rename edata2_iv_24 "edata2_iv[24]") (joined (portRef (member edata2_iv 7)) (portRef B (instanceRef r_wb_data1_RNO_0_24)) (portRef A (instanceRef r_wb_data2_RNO_24)) (portRef A (instanceRef r_xaddress_RNIL6O48S1_24)) (portRef B (instanceRef r_size_RNIJLD8I_1)) )) (net (rename xaddress_RNILQ8H4R3_19 "xaddress_RNILQ8H4R3[19]") (joined (portRef Y (instanceRef r_xaddress_RNILQ8H4R3_19)) (portRef (member xaddress_rnilq8h4r3 0)) )) (net N_1854 (joined (portRef Y (instanceRef r_dstate_i_RNI2JEJ9V1_5)) (portRef A (instanceRef r_xaddress_RNILQ8H4R3_19)) )) (net N_1832 (joined (portRef Y (instanceRef r_xaddress_RNIHGJ6_19)) (portRef B (instanceRef r_xaddress_RNILQ8H4R3_19)) )) (net (rename addr_19 "addr[19]") (joined (portRef Q (instanceRef r_xaddress_19)) (portRef B (instanceRef r_xaddress_RNO_19)) (portRef B (instanceRef r_wb_addr_RNO_19)) (portRef B (instanceRef r_xaddress_RNIHGJ6_19)) )) (net N_2747 (joined (portRef Y (instanceRef r_xaddress_RNI9BISQR1_29)) (portRef N_2747) )) (net (rename addr_29 "addr[29]") (joined (portRef Q (instanceRef r_xaddress_29)) (portRef B (instanceRef r_xaddress_RNO_29)) (portRef B (instanceRef r_wb_addr_RNO_29)) (portRef A (instanceRef r_xaddress_RNI9BISQR1_29)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef Y (instanceRef r_faddr_RNIVNQNH_3)) (portRef (member faddr_rnivnqnh 0)) )) (net (rename taddr_7_7 "taddr_7[7]") (joined (portRef Y (instanceRef r_xaddress_RNI8J4HH_7)) (portRef A (instanceRef r_faddr_RNIVNQNH_3)) )) (net (rename edata2_0_iv_23 "edata2_0_iv[23]") (joined (portRef (member edata2_0_iv 0)) (portRef B (instanceRef r_wb_data1_RNO_0_23)) (portRef A (instanceRef r_wb_data2_RNO_23)) (portRef A (instanceRef r_dstate_i_RNISKMI9V1_5)) (portRef B (instanceRef r_size_RNIQDQ1F_1)) )) (net N_2482 (joined (portRef Y (instanceRef r_wb_lock_RNO_0)) (portRef E (instanceRef r_wb_lock)) )) (net (rename size_0_1 "size_0[1]") (joined (portRef Q (instanceRef r_size_1)) (portRef B (instanceRef r_size_RNI8RGF_1)) (portRef B (instanceRef r_wb_size_RNO_1)) (portRef A (instanceRef r_size_RNIFQT5_0)) )) (net (rename size_0_0 "size_0[0]") (joined (portRef Q (instanceRef r_size_0)) (portRef B (instanceRef r_size_RNI6JGF_0)) (portRef B (instanceRef r_wb_size_RNO_0)) (portRef B (instanceRef r_size_RNIFQT5_0)) )) (net N_2628 (joined (portRef Y (instanceRef r_wb_size_RNO_0)) (portRef D (instanceRef r_wb_size_0)) )) (net (rename size_0 "size[0]") (joined (portRef (member size 1)) (portRef D (instanceRef r_size_0)) (portRef A (instanceRef r_size_RNI6JGF_0)) (portRef B (instanceRef dctrl_v_burst_7_0_i_0)) (portRef B (instanceRef mexc_1_sqmuxa_0_o2_i_a2)) (portRef A (instanceRef r_wb_size_RNO_0)) (portRef C (instanceRef r_dstate_i_0_RNISGGH_5)) )) (net (rename dstate_i_5 "dstate_i[5]") (joined (portRef Q (instanceRef r_dstate_i_5)) (portRef A (instanceRef r_dstate_i_RNIGKVHE_5)) (portRef S (instanceRef r_dstate_i_RNIHMST4_5)) (portRef S (instanceRef r_dstate_i_RNIJMST4_5)) (portRef S (instanceRef r_dstate_i_RNIKMST4_5)) (portRef B (instanceRef r_dstate_i_RNI5HO7_5)) (portRef S (instanceRef r_dstate_i_RNIIMST4_5)) (portRef B (instanceRef r_dstate_i_RNIGLP2LR1_5)) (portRef B (instanceRef r_dstate_i_RNILRP7_5)) (portRef B (instanceRef r_dstate_i_RNIB4PP_5)) (portRef B (instanceRef r_nomds_RNI1096)) (portRef A (instanceRef r_nomds_RNO_2)) (portRef C (instanceRef r_hit_RNO_3)) (portRef B (instanceRef r_dstate_i_RNIV8LR1_5)) (portRef B (instanceRef r_dstate_i_RNISIG2_5)) (portRef S (instanceRef r_wb_read_RNO)) (portRef S (instanceRef r_wb_size_RNO_1)) (portRef S (instanceRef r_wb_size_RNO_0)) )) (net N_2629 (joined (portRef Y (instanceRef r_wb_size_RNO_1)) (portRef D (instanceRef r_wb_size_1)) )) (net (rename edata2_0_iv_17 "edata2_0_iv[17]") (joined (portRef (member edata2_0_iv 6)) (portRef B (instanceRef r_wb_data1_RNO_0_17)) (portRef A (instanceRef r_wb_data2_RNO_17)) (portRef A (instanceRef r_dstate_i_RNIC2DJ9V1_5)) (portRef B (instanceRef r_size_RNI44NDF_1)) )) (net N_2438 (joined (portRef Y (instanceRef r_wb_read_RNO)) (portRef D (instanceRef r_wb_read)) )) (net N_1849 (joined (portRef Y (instanceRef r_dstate_i_RNI6G5K9V1_5)) (portRef A (instanceRef r_xaddress_RNIFNVH4R3_14)) )) (net (rename xaddress_RNIFNVH4R3_14 "xaddress_RNIFNVH4R3[14]") (joined (portRef Y (instanceRef r_xaddress_RNIFNVH4R3_14)) (portRef (member xaddress_rnifnvh4r3 0)) )) (net N_1827 (joined (portRef Y (instanceRef r_xaddress_RNI7GJ6_14)) (portRef B (instanceRef r_xaddress_RNIFNVH4R3_14)) )) (net (rename addr_14 "addr[14]") (joined (portRef Q (instanceRef r_xaddress_14)) (portRef B (instanceRef r_xaddress_RNO_14)) (portRef B (instanceRef r_wb_addr_RNO_14)) (portRef B (instanceRef r_xaddress_RNI7GJ6_14)) )) (net read_2 (joined (portRef Y (instanceRef r_read_RNI0MHE_0)) (portRef A (instanceRef r_read_RNIUGH31)) (portRef A (instanceRef r_read_RNI6P3N1)) (portRef A (instanceRef r_read_RNI0LSR1)) (portRef A (instanceRef r_read_RNIOO6J1)) (portRef A (instanceRef r_read_RNIO3D71)) (portRef A (instanceRef r_read_RNIRBD71)) (portRef A (instanceRef r_read_RNICN5C1)) (portRef A (instanceRef r_read_RNIFEMA2)) (portRef A (instanceRef r_read_RNIDJ562)) (portRef A (instanceRef r_read_RNI5EBN1)) (portRef A (instanceRef r_read_RNI6RQF1)) (portRef A (instanceRef r_read_RNIADJV1)) (portRef C (instanceRef r_size_RNI5P9I1_1)) (portRef B (instanceRef r_size_RNI5P9I1_1_1)) (portRef A (instanceRef r_read_RNIFFNH2)) (portRef A (instanceRef r_read_RNIMEH22)) (portRef A (instanceRef r_read_RNIM0KH1)) (portRef A (instanceRef r_read_RNISNP02)) (portRef A (instanceRef r_read_RNIT1BN1)) (portRef read_2) )) (net un1_mcdo (joined (portRef Y (instanceRef r_dstate_i_0_RNIG3S9H02_5)) (portRef B (instanceRef r_burst_RNO_2)) (portRef B (instanceRef r_req_RNO)) )) (net N_2682 (joined (portRef Y (instanceRef r_dstate_1_RNIVGF33_4)) (portRef N_2682) )) (net N_2876 (joined (portRef Y (instanceRef r_dstate_1_RNIVH4M1_4)) (portRef A (instanceRef r_dstate_1_RNIK87D3_4)) (portRef A (instanceRef r_dstate_1_RNI5NDN2_4)) (portRef A (instanceRef r_dstate_1_RNI99673_4)) (portRef A (instanceRef r_dstate_1_RNI4AUU2_4)) (portRef A (instanceRef r_dstate_1_RNILQU03_4)) (portRef A (instanceRef r_dstate_1_RNIIODC3_4)) (portRef A (instanceRef r_dstate_1_RNIVGF33_4)) )) (net N_2520 (joined (portRef Y (instanceRef r_wb_data1_RNO_21)) (portRef D (instanceRef r_wb_data1_21)) )) (net N_2603 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_21)) (portRef B (instanceRef r_wb_data1_RNO_21)) )) (net N_2578 (joined (portRef Y (instanceRef r_dstate_RNIUDDG4_2)) (portRef B (instanceRef r_nomds_RNIOCKU6)) )) (net (rename diagdata_21 "diagdata[21]") (joined (portRef diagdata_21) (portRef A (instanceRef r_dstate_RNIUDDG4_2)) )) (net N_2600 (joined (portRef Y (instanceRef r_dstate_i_0_RNIU7H12_5)) (portRef A (instanceRef r_nomds_RNIOCKU6)) )) (net u0_RD13 (joined (portRef u0_RD13) (portRef A (instanceRef r_dstate_i_0_RNIU7H12_5)) (portRef A (instanceRef dctrl_0_hitv3_9_0_0_x2)) )) (net (rename data2_21 "data2[21]") (joined (portRef Q (instanceRef r_wb_data2_21)) (portRef A (instanceRef r_wb_data2_RNISL4I_21)) (portRef A (instanceRef r_wb_data1_RNO_0_21)) )) (net (rename edata2_0_iv_21 "edata2_0_iv[21]") (joined (portRef (member edata2_0_iv 2)) (portRef A (instanceRef r_dstate_i_RNI1QCJ9V1_5)) (portRef A (instanceRef r_wb_data2_RNO_21)) (portRef B (instanceRef r_wb_data1_RNO_0_21)) (portRef B (instanceRef r_size_RNIM3KNB_1)) )) (net N_2619 (joined (portRef Y (instanceRef r_wb_data2_RNO_21)) (portRef D (instanceRef r_wb_data2_21)) )) (net (rename hrdata_20 "hrdata[21]") (joined (portRef hrdata_20) (portRef B (instanceRef r_dstate_0_RNI0H6N3_4)) (portRef B (instanceRef r_wb_data2_RNO_21)) (portRef B (instanceRef r_read_RNIFJSC3)) )) (net N_1614 (joined (portRef Y (instanceRef r_wb_addr_RNO_21)) (portRef D (instanceRef r_wb_addr_21)) )) (net (rename addr_21 "addr[21]") (joined (portRef Q (instanceRef r_xaddress_21)) (portRef B (instanceRef r_xaddress_RNO_21)) (portRef A (instanceRef r_xaddress_RNI1BISQR1_21)) (portRef B (instanceRef r_wb_addr_RNO_21)) )) (net u0_DOUTA1_1 (joined (portRef u0_DOUTA1_1) (portRef A (instanceRef r_dstate_RNIUL725_0_2)) (portRef A (instanceRef r_xaddress_RNIE4398_0)) )) (net nomds_RNIOCKU6 (joined (portRef Y (instanceRef r_nomds_RNIOCKU6)) (portRef nomds_RNIOCKU6) )) (net (rename maddress_9 "maddress[9]") (joined (portRef maddress_9) (portRef A (instanceRef r_wb_data1_RNO_9)) (portRef A (instanceRef r_dstate_RNIGM45A_1)) (portRef A (instanceRef r_xaddress_RNI516B4_9)) (portRef A (instanceRef r_xaddress_RNO_9)) (portRef A (instanceRef r_wb_addr_RNO_1_9)) (portRef A (instanceRef r_read_RNIUT923)) )) (net N_331 (joined (portRef Y (instanceRef r_xaddress_RNO_18)) (portRef D (instanceRef r_xaddress_18)) )) (net (rename xaddress_RNO_9 "xaddress_RNO[9]") (joined (portRef Y (instanceRef r_xaddress_RNO_9)) (portRef D (instanceRef r_xaddress_9)) )) (net (rename addr_9 "addr[9]") (joined (portRef Q (instanceRef r_xaddress_9)) (portRef B (instanceRef r_xaddress_RNI516B4_9)) (portRef B (instanceRef r_xaddress_RNO_9)) (portRef A (instanceRef r_wb_addr_RNO_2_9)) (portRef addr_9) )) (net N_1682 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_22)) (portRef B (instanceRef r_wb_data1_RNO_22)) )) (net (rename data2_22 "data2[22]") (joined (portRef Q (instanceRef r_wb_data2_22)) (portRef A (instanceRef dctrl_rdatav_0_1_0_iv_RNO_5_22)) (portRef A (instanceRef r_wb_data1_RNO_0_22)) )) (net (rename edata2_0_iv_22 "edata2_0_iv[22]") (joined (portRef (member edata2_0_iv 1)) (portRef A (instanceRef r_wb_data2_RNO_22)) (portRef B (instanceRef r_size_RNI1SKNB_1)) (portRef B (instanceRef r_wb_data1_RNO_0_22)) (portRef A (instanceRef r_dstate_i_RNICIDJ9V1_5)) )) (net (rename data1_1_22 "data1_1[22]") (joined (portRef Y (instanceRef r_wb_data1_RNO_22)) (portRef D (instanceRef r_wb_data1_22)) )) (net N_1674 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_14)) (portRef B (instanceRef r_wb_data1_RNO_14)) )) (net (rename data2_14 "data2[14]") (joined (portRef Q (instanceRef r_wb_data2_14)) (portRef A (instanceRef r_wb_data2_RNISH4I_14)) (portRef A (instanceRef r_wb_data1_RNO_0_14)) )) (net (rename edata2_0_iv_14 "edata2_0_iv[14]") (joined (portRef (member edata2_0_iv 9)) (portRef B (instanceRef r_size_RNIRPCOB_1)) (portRef B (instanceRef r_dstate_RNIOOHRA_1)) (portRef A (instanceRef r_wb_data2_RNO_14)) (portRef B (instanceRef r_wb_data1_RNO_0_14)) (portRef A (instanceRef r_dstate_i_RNI6G5K9V1_5)) )) (net (rename data1_1_14 "data1_1[14]") (joined (portRef Y (instanceRef r_wb_data1_RNO_14)) (portRef D (instanceRef r_wb_data1_14)) )) (net (rename maddress_14 "maddress[14]") (joined (portRef maddress_14) (portRef A (instanceRef r_size_RNIA9HJ1_1)) (portRef B (instanceRef dctrl_0_hitv3_2_0_0_x2)) (portRef A (instanceRef r_xaddress_RNO_14)) (portRef A (instanceRef r_dstate_RNIOOHRA_1)) (portRef A (instanceRef r_wb_addr_RNO_14)) (portRef A (instanceRef r_wb_data1_RNO_14)) (portRef A (instanceRef r_xaddress_RNI7GJ6_14)) )) (net N_1607 (joined (portRef Y (instanceRef r_wb_addr_RNO_14)) (portRef D (instanceRef r_wb_addr_14)) )) (net N_2613 (joined (portRef Y (instanceRef r_wb_data2_RNO_14)) (portRef D (instanceRef r_wb_data2_14)) )) (net (rename dmao_i_1_14 "dmao_i_1[29]") (joined (portRef dmao_i_1_14) (portRef B (instanceRef r_dstate_1_RNIIODC3_4)) (portRef B (instanceRef r_wb_data2_RNO_14)) (portRef B (instanceRef r_read_RNIT5CO3)) )) (net (rename edata_14 "edata[14]") (joined (portRef Y (instanceRef r_dstate_RNIOOHRA_1)) (portRef A (instanceRef r_dstate_RNI5UQKC_1)) (portRef A (instanceRef r_dstate_RNI5UQKC_0_1)) )) (net (rename dstate_nss_3 "dstate_nss[3]") (joined (portRef Y (instanceRef r_dstate_RNO_2)) (portRef D (instanceRef r_dstate_2)) )) (net N_170 (joined (portRef Y (instanceRef r_dstate_RNIIRS9_2)) (portRef C (instanceRef r_icenable_RNO)) (portRef B (instanceRef r_dstate_RNO_2)) )) (net holdn_RNO_2 (joined (portRef Y (instanceRef r_holdn_RNO_2)) (portRef B (instanceRef r_holdn_RNO_0)) )) (net rdatasel_0_sqmuxa_m (joined (portRef Y (instanceRef rdatasel_0_sqmuxa_0_a2_0_a2)) (portRef C (instanceRef r_dstate_RNIMGMF3_2)) (portRef B (instanceRef r_icenable_RNO)) )) (net dsuen (joined (portRef dsuen) (portRef S (instanceRef dctrl_vmask_0_1_0_0)) (portRef S (instanceRef dctrl_vmask_0_1_0_2)) (portRef S (instanceRef dctrl_vmask_0_1_0_3)) (portRef S (instanceRef dctrl_vmask_0_1_0_1)) (portRef C (instanceRef N_2439_i_i_a2)) (portRef A (instanceRef r_holdn_RNO_0)) (portRef A (instanceRef r_dstate_i_RNISIG2_5)) (portRef A (instanceRef tdiagwrite_1_sqmuxa)) (portRef B (instanceRef r_dstate_i_RNIGF2EVU1_5)) (portRef A (instanceRef r_cctrlwr_0_0_o2_i_a2)) (portRef A (instanceRef rdatasel_0_sqmuxa_0_a2_0_a2)) (portRef B (instanceRef r_flush_0_RNIISIE3)) )) (net N_230_i (joined (portRef Y (instanceRef dctrl_un1_eholdn_2_7_0_a2_2)) (portRef C (instanceRef r_dstate_i_0_RNIQ7UJ1_5)) (portRef B (instanceRef rdatasel_12_i_o4_7)) (portRef B (instanceRef dctrl_un1_eholdn_2_7_0_a2_1)) )) (net flush_0_RNI24UTV12 (joined (portRef Y (instanceRef r_flush_0_RNI24UTV12)) (portRef S (instanceRef r_flush_RNIRUPHB22)) (portRef S (instanceRef r_flush_RNID7RHB22)) (portRef S (instanceRef r_flush_RNIMRRHB22)) (portRef S (instanceRef r_flush_RNI4JQHB22)) )) (net tdiagwrite_1 (joined (portRef Y (instanceRef r_dstate_i_RNIPEDQV12_5)) (portRef B (instanceRef r_flush_0_RNI24UTV12)) )) (net N_96 (joined (portRef Y (instanceRef r_dstate_1_RNI57CS3_4)) (portRef N_96) )) (net mexc (joined (portRef mexc) (portRef D (instanceRef r_mexc)) (portRef A (instanceRef r_dstate_1_RNI57CS3_4)) (portRef B (instanceRef r_flush_0_RNIIO2B2)) )) (net lramwr_4 (joined (portRef Y (instanceRef dctrl_lramwr_4_0_a2)) (portRef B (instanceRef r_dstate_i_RNIPEDQV12_5)) )) (net (rename tdiagwrite_1_sqmuxaZ0 "tdiagwrite_1_sqmuxa") (joined (portRef Y (instanceRef tdiagwrite_1_sqmuxa)) (portRef S (instanceRef r_dstate_i_RNIPEDQV12_5)) )) (net un1_eholdn_1 (joined (portRef Y (instanceRef un1_eholdn_1_0_a2)) (portRef A (instanceRef r_dstate_tr0_23_0_0_0_o2)) (portRef B (instanceRef tdiagwrite_1_sqmuxa)) )) (net N_2874 (joined (portRef Y (instanceRef N_2439_i_i_a2_0)) (portRef B (instanceRef N_2439_i_i_a2)) )) (net N_2877 (joined (portRef Y (instanceRef dctrl_v_cctrlwr6_0_a2_0_a2_0)) (portRef A (instanceRef dctrl_v_cctrlwr6_0_a2_0_a2)) (portRef B (instanceRef N_2439_i_i_a2_0)) )) (net N_2549 (joined (portRef Y (instanceRef dctrl_rdatav_0_1_0_iv_0_o2_2_4)) (portRef A (instanceRef r_dstate_1_RNIVH4M1_4)) )) (net (rename data2_13 "data2[13]") (joined (portRef Q (instanceRef r_wb_data2_13)) (portRef A (instanceRef r_wb_data1_RNO_0_13)) (portRef A (instanceRef r_wb_data2_RNIRH4I_13)) )) (net u0_DOUTA1_3 (joined (portRef u0_DOUTA1_3) (portRef A (instanceRef r_dstate_RNISL725_2)) (portRef A (instanceRef r_size_RNIP7VUN_1)) )) (net (rename diagdata_13 "diagdata[13]") (joined (portRef diagdata_13) (portRef A (instanceRef r_dstate_RNIO43T4_2_2)) )) (net (rename data2_26 "data2[26]") (joined (portRef Q (instanceRef r_wb_data2_26)) (portRef A (instanceRef r_wb_data1_RNO_0_26)) (portRef A (instanceRef r_wb_data2_RNI1M4I_26)) )) (net u0_DOUTA2_0 (joined (portRef u0_DOUTA2_0) (portRef A (instanceRef r_dstate_RNIVL725_0_2)) (portRef A (instanceRef r_size_RNI7TV1R_1)) )) (net (rename diagdata_26 "diagdata[26]") (joined (portRef diagdata_26) (portRef A (instanceRef r_dstate_RNIMP4T4_2)) )) (net (rename dmao_i_1_26 "dmao_i_1[41]") (joined (portRef dmao_i_1_26) (portRef B (instanceRef r_wb_data2_RNO_26)) (portRef B (instanceRef r_dstate_0_RNI33MU3_4)) (portRef B (instanceRef r_read_RNI8OQ02)) )) (net (rename maddress_0_d0 "maddress[0]") (joined (portRef maddress_0_d0) (portRef A (instanceRef r_wb_data1_RNO_0)) (portRef B (instanceRef dctrl_vmask_0_1_0_0)) (portRef B (instanceRef r_cctrl_ics_RNO_0_0)) (portRef A (instanceRef r_dstate_RNID0D37_1)) (portRef A (instanceRef r_wb_addr_RNO_0)) (portRef A (instanceRef r_xaddress_RNO_0)) (portRef A (instanceRef r_xaddress_RNITOLB_0)) (portRef A (instanceRef r_read_RNI7JKP2)) )) (net (rename addr_0 "addr[0]") (joined (portRef Q (instanceRef r_xaddress_0)) (portRef B (instanceRef r_wb_addr_RNO_0)) (portRef B (instanceRef r_xaddress_RNO_0)) (portRef B (instanceRef r_xaddress_RNITOLB_0)) )) (net (rename addr_1 "addr[1]") (joined (portRef Q (instanceRef r_xaddress_1)) (portRef A (instanceRef r_wb_addr_RNO_2_1)) (portRef B (instanceRef r_xaddress_RNO_1)) (portRef B (instanceRef r_xaddress_RNIV0MB_1)) )) (net (rename edata2_iv_26 "edata2_iv[26]") (joined (portRef (member edata2_iv 5)) (portRef A (instanceRef r_wb_data2_RNO_26)) (portRef B (instanceRef r_wb_data1_RNO_0_26)) (portRef A (instanceRef r_dstate_i_RNIC990DV1_5)) (portRef B (instanceRef r_size_RNIOOSOI_1)) )) (net N_201 (joined (portRef Y (instanceRef r_xaddress_RNO_22)) (portRef D (instanceRef r_xaddress_22)) )) (net N_282 (joined (portRef Y (instanceRef r_xaddress_RNO_26)) (portRef D (instanceRef r_xaddress_26)) )) (net N_329 (joined (portRef Y (instanceRef r_xaddress_RNO_1)) (portRef D (instanceRef r_xaddress_1)) )) (net (rename maddress_0_1 "maddress_0[1]") (joined (portRef maddress_0_1) (portRef A (instanceRef r_size_RNIA63M1_1)) (portRef B (instanceRef r_cctrl_ics_RNO_0_1)) (portRef A (instanceRef r_wb_addr_RNO_1_1)) (portRef A (instanceRef r_dstate_RNILKD37_1)) (portRef A (instanceRef r_wb_data1_RNO_1)) (portRef B (instanceRef dctrl_vmask_0_1_0_1)) (portRef A (instanceRef r_xaddress_RNO_1)) (portRef A (instanceRef r_xaddress_RNIV0MB_1)) )) (net (rename xaddress_RNO_0 "xaddress_RNO[0]") (joined (portRef Y (instanceRef r_xaddress_RNO_0)) (portRef D (instanceRef r_xaddress_0)) )) (net u0_DOUTA2_4 (joined (portRef u0_DOUTA2_4) (portRef A (instanceRef r_dstate_RNIRL725_0_2)) (portRef A (instanceRef r_size_RNIRGQ5N_1)) )) (net (rename hrdata_9 "hrdata[10]") (joined (portRef hrdata_9) (portRef B (instanceRef r_wb_data2_RNO_10)) (portRef B (instanceRef r_dstate_0_RNIHBFF3_4)) (portRef B (instanceRef r_read_RNIM0KH1)) )) (net N_1619 (joined (portRef Y (instanceRef r_wb_addr_RNO_26)) (portRef D (instanceRef r_wb_addr_26)) )) (net N_1670 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_10)) (portRef B (instanceRef r_wb_data1_RNO_10)) )) (net (rename edata2_0_iv_10 "edata2_0_iv[10]") (joined (portRef (member edata2_0_iv 13)) (portRef A (instanceRef r_wb_data2_RNO_10)) (portRef B (instanceRef r_dstate_RNID1NQA_1)) (portRef B (instanceRef r_wb_data1_RNO_0_10)) (portRef A (instanceRef r_size_RNIGCNSE_1)) )) (net N_2615 (joined (portRef Y (instanceRef r_wb_data2_RNO_17)) (portRef D (instanceRef r_wb_data2_17)) )) (net (rename dmao_i_1_17 "dmao_i_1[32]") (joined (portRef dmao_i_1_17) (portRef B (instanceRef r_dstate_0_RNIHPC04_4)) (portRef B (instanceRef r_wb_data2_RNO_17)) (portRef B (instanceRef r_read_RNIMEH22)) )) (net (rename data2_17 "data2[17]") (joined (portRef Q (instanceRef r_wb_data2_17)) (portRef A (instanceRef r_wb_data1_RNO_0_17)) (portRef A (instanceRef r_wb_data2_RNIVH4I_17)) )) (net (rename ico_m_19 "ico_m[151]") (joined (portRef Y (instanceRef r_dstate_RNIP43T4_2_2)) (portRef ico_m_19) )) (net (rename diagdata_17 "diagdata[17]") (joined (portRef diagdata_17) (portRef A (instanceRef r_dstate_RNIP43T4_2_2)) )) (net N_1610 (joined (portRef Y (instanceRef r_wb_addr_RNO_17)) (portRef D (instanceRef r_wb_addr_17)) )) (net N_1677 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_17)) (portRef B (instanceRef r_wb_data1_RNO_17)) )) (net (rename data1_1_17 "data1_1[17]") (joined (portRef Y (instanceRef r_wb_data1_RNO_17)) (portRef D (instanceRef r_wb_data1_17)) )) (net (rename xaddress_RNO_8 "xaddress_RNO[8]") (joined (portRef Y (instanceRef r_xaddress_RNO_8)) (portRef D (instanceRef r_xaddress_8)) )) (net (rename maddress_8 "maddress[8]") (joined (portRef maddress_8) (portRef A (instanceRef r_wb_data1_RNO_8)) (portRef A (instanceRef r_wb_addr_RNO_1_8)) (portRef A (instanceRef r_dstate_RNI4M35A_1)) (portRef A (instanceRef r_xaddress_RNI3P5B4_8)) (portRef A (instanceRef r_xaddress_RNO_8)) (portRef A (instanceRef r_read_RNICEHT2)) )) (net N_1666 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_6)) (portRef B (instanceRef r_wb_data1_RNO_6)) )) (net (rename data2_6 "data2[6]") (joined (portRef Q (instanceRef r_wb_data2_6)) (portRef A (instanceRef r_wb_data2_RNIRCVD_6)) (portRef A (instanceRef r_wb_data1_RNO_0_6)) )) (net (rename edata2_0_iv_6 "edata2_0_iv[6]") (joined (portRef (member edata2_0_iv 17)) (portRef B (instanceRef r_dstate_RNITPG37_1)) (portRef A (instanceRef r_wb_data2_RNO_6)) (portRef B (instanceRef r_wb_data1_RNO_0_6)) (portRef A (instanceRef r_size_RNICA5KJ_1)) )) (net (rename data1_1_6 "data1_1[6]") (joined (portRef Y (instanceRef r_wb_data1_RNO_6)) (portRef D (instanceRef r_wb_data1_6)) )) (net (rename maddress_6 "maddress[6]") (joined (portRef maddress_6) (portRef A (instanceRef r_xaddress_RNIV85B4_6)) (portRef A (instanceRef r_xaddress_RNO_6)) (portRef A (instanceRef r_wb_addr_RNO_6)) (portRef A (instanceRef r_dstate_RNITPG37_1)) (portRef A (instanceRef r_wb_data1_RNO_6)) (portRef A (instanceRef r_size_RNIFQ3M1_1)) )) (net u0_RD1 (joined (portRef u0_RD1) (portRef C (instanceRef r_nomds_RNIRU6E2_1)) (portRef B (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_9)) )) (net (rename diagdata_27 "diagdata[27]") (joined (portRef diagdata_27) (portRef A (instanceRef r_dstate_RNIMP4T4_0_2)) )) (net N_2636 (joined (portRef Y (instanceRef r_wb_data2_RNO_6)) (portRef D (instanceRef r_wb_data2_6)) )) (net (rename hrdata_5 "hrdata[6]") (joined (portRef hrdata_5) (portRef B (instanceRef r_dstate_1_RNIMS0L3_4)) (portRef B (instanceRef r_wb_data2_RNO_6)) (portRef B (instanceRef r_read_RNI7S8D3)) )) (net (rename hrdata_26 "hrdata[27]") (joined (portRef hrdata_26) (portRef B (instanceRef r_wb_data2_RNO_27)) (portRef B (instanceRef r_dstate_0_RNIMPEG3_4)) (portRef B (instanceRef r_read_RNIBS463)) )) (net u0_DOUTA3_0 (joined (portRef u0_DOUTA3_0) (portRef A (instanceRef r_dstate_RNIVL725_2)) (portRef A (instanceRef r_xaddress_RNIF4398_0)) )) (net (rename data2_27 "data2[27]") (joined (portRef Q (instanceRef r_wb_data2_27)) (portRef A (instanceRef r_wb_data1_RNO_0_27)) (portRef A (instanceRef r_wb_data2_RNI2M4I_27)) )) (net icenable_1 (joined (portRef Y (instanceRef r_icenable_RNO)) (portRef D (instanceRef r_icenable)) )) (net diagrdy (joined (portRef diagrdy) (portRef A (instanceRef r_dstate_RNIIRS9_0_2)) (portRef A (instanceRef r_icenable_RNO)) (portRef B (instanceRef r_dstate_RNIIRS9_2)) )) (net nomds_2_m (joined (portRef Y (instanceRef r_holdn_RNO_3)) (portRef C (instanceRef r_holdn_RNO_0)) )) (net (rename asi_0_3 "asi_0[3]") (joined (portRef Q (instanceRef r_asi_3)) (portRef A (instanceRef r_asi_RNI6ESG_2)) )) (net (rename asi_0_2 "asi_0[2]") (joined (portRef Q (instanceRef r_asi_2)) (portRef B (instanceRef r_asi_RNI6ESG_2)) )) (net hit_1_sqmuxa_1 (joined (portRef Y (instanceRef r_hit_RNO_0)) (portRef E (instanceRef r_hit)) )) (net N_1593 (joined (portRef Y (instanceRef r_wb_addr_RNO_0)) (portRef D (instanceRef r_wb_addr_0)) )) (net N_2606 (joined (portRef Y (instanceRef r_cctrl_dcs_RNO_0_0)) (portRef B (instanceRef r_cctrl_dcs_RNO_0)) )) (net (rename dcs_RNO_0 "dcs_RNO[0]") (joined (portRef Y (instanceRef r_cctrl_dcs_RNO_0)) (portRef D (instanceRef r_cctrl_dcs_0)) )) (net N_1998 (joined (portRef Y (instanceRef r_burst_RNO_0)) (portRef B (instanceRef r_burst_RNO)) )) (net burst_1_sqmuxa_2 (joined (portRef Y (instanceRef r_burst_RNO_2)) (portRef S (instanceRef r_burst_RNO_0)) )) (net burst_RNO_0 (joined (portRef Y (instanceRef r_burst_RNO)) (portRef D (instanceRef r_burst)) )) (net N_2135_i (joined (portRef Y (instanceRef r_stpend_RNO)) (portRef D (instanceRef r_stpend)) )) (net (rename dstate_i_RNIV8LR1_5 "dstate_i_RNIV8LR1[5]") (joined (portRef Y (instanceRef r_dstate_i_RNIV8LR1_5)) (portRef S (instanceRef r_wb_addr_RNO_28)) (portRef B (instanceRef r_wb_addr_RNO_1_1)) (portRef B (instanceRef r_wb_addr_RNO_1_8)) (portRef B (instanceRef r_wb_addr_RNO_1_16)) (portRef B (instanceRef r_wb_addr_RNO_1_22)) (portRef B (instanceRef r_wb_addr_RNO_1_23)) (portRef B (instanceRef r_wb_addr_RNO_1_27)) (portRef B (instanceRef r_wb_addr_RNO_1_18)) (portRef A (instanceRef r_stpend_RNIO2SO5)) (portRef S (instanceRef r_wb_addr_RNO_0_3)) (portRef S (instanceRef r_wb_addr_RNO_4)) (portRef S (instanceRef r_wb_addr_RNO_5)) (portRef S (instanceRef r_wb_addr_RNO_7)) (portRef S (instanceRef r_wb_addr_RNO_29)) (portRef S (instanceRef r_wb_addr_RNO_1_2)) (portRef S (instanceRef r_wb_addr_RNO_10)) (portRef S (instanceRef r_wb_addr_RNO_11)) )) (net (rename xaddress_RNO_30 "xaddress_RNO[30]") (joined (portRef Y (instanceRef r_xaddress_RNO_30)) (portRef D (instanceRef r_xaddress_30)) )) (net (rename addr_30 "addr[30]") (joined (portRef Q (instanceRef r_xaddress_30)) (portRef B (instanceRef r_xaddress_RNIB0K6_30)) (portRef B (instanceRef r_wb_addr_RNO_0_30)) (portRef B (instanceRef r_xaddress_RNO_30)) )) (net req_RNO_0 (joined (portRef Y (instanceRef r_req_RNO)) (portRef D (instanceRef r_req)) )) (net N_1997 (joined (portRef Y (instanceRef r_req_RNO_0)) (portRef A (instanceRef r_req_RNO)) )) (net grant (joined (portRef grant) (portRef S (instanceRef r_req_RNO_0)) (portRef A (instanceRef r_burst_RNO_2)) (portRef A (instanceRef r_wb_read_RNIIUKQ6)) )) (net burst (joined (portRef Q (instanceRef r_burst)) (portRef B (instanceRef r_req_RNO_0)) (portRef B (instanceRef r_burst_RNO_0)) (portRef burst) )) (net N_2124 (joined (portRef Y (instanceRef r_read_RNO_0)) (portRef B (instanceRef r_read_RNO)) )) (net read_RNO (joined (portRef Y (instanceRef r_read_RNO)) (portRef D (instanceRef r_read)) )) (net N_1599 (joined (portRef Y (instanceRef r_wb_addr_RNO_6)) (portRef D (instanceRef r_wb_addr_6)) )) (net (rename addr_6 "addr[6]") (joined (portRef Q (instanceRef r_xaddress_6)) (portRef B (instanceRef r_xaddress_RNIV85B4_6)) (portRef B (instanceRef r_xaddress_RNO_6)) (portRef B (instanceRef r_wb_addr_RNO_6)) (portRef B (instanceRef r_xaddress_RNIE8B4_4)) (portRef addr_6) )) (net (rename hrdata_22 "hrdata[23]") (joined (portRef hrdata_22) (portRef B (instanceRef r_wb_data2_RNO_23)) (portRef B (instanceRef r_dstate_0_RNIOC6L3_4)) (portRef B (instanceRef r_read_RNIT1BN1)) )) (net (rename data2_23 "data2[23]") (joined (portRef Q (instanceRef r_wb_data2_23)) (portRef A (instanceRef r_wb_data1_RNO_0_23)) (portRef A (instanceRef r_wb_data2_RNIUL4I_23)) )) (net u0_DOUTA3_1 (joined (portRef u0_DOUTA3_1) (portRef A (instanceRef r_dstate_RNIUL725_2)) (portRef A (instanceRef r_size_RNI8ITAN_1)) )) (net (rename diagdata_23 "diagdata[23]") (joined (portRef diagdata_23) (portRef A (instanceRef r_dstate_RNIQ43T4_0_2)) )) (net N_2608 (joined (portRef Y (instanceRef r_wb_data2_RNO_23)) (portRef D (instanceRef r_wb_data2_23)) )) (net N_1683 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_23)) (portRef B (instanceRef r_wb_data1_RNO_23)) )) (net (rename data1_1_23 "data1_1[23]") (joined (portRef Y (instanceRef r_wb_data1_RNO_23)) (portRef D (instanceRef r_wb_data1_23)) )) (net N_1750 (joined (portRef Y (instanceRef r_xaddress_RNI1H5B4_7)) (portRef B (instanceRef r_xaddress_RNI8J4HH_7)) )) (net (rename maddress_7 "maddress[7]") (joined (portRef maddress_7) (portRef A (instanceRef r_wb_data1_RNO_7)) (portRef A (instanceRef r_xaddress_RNO_7)) (portRef A (instanceRef r_dstate_RNIAOP27_1)) (portRef A (instanceRef r_wb_addr_RNO_7)) (portRef A (instanceRef r_xaddress_RNI1H5B4_7)) (portRef A (instanceRef r_read_RNI82HT2)) )) (net (rename addr_7 "addr[7]") (joined (portRef Q (instanceRef r_xaddress_7)) (portRef B (instanceRef r_xaddress_RNO_7)) (portRef B (instanceRef r_wb_addr_RNO_7)) (portRef B (instanceRef r_xaddress_RNI1H5B4_7)) (portRef B (instanceRef r_xaddress_RNI8O52_5)) (portRef addr_7) )) (net (rename dstate_RNIHB864_0 "dstate_RNIHB864[0]") (joined (portRef Y (instanceRef r_dstate_RNIHB864_0)) (portRef S (instanceRef r_xaddress_RNIPG4B4_3)) (portRef S (instanceRef r_xaddress_RNIV85B4_6)) (portRef S (instanceRef r_xaddress_RNI9RNC4_10)) (portRef S (instanceRef r_xaddress_RNIBRNC4_11)) (portRef S (instanceRef r_xaddress_RNIRO4B4_4)) (portRef S (instanceRef r_xaddress_RNI516B4_9)) (portRef S (instanceRef r_xaddress_RNIT05B4_5)) (portRef S (instanceRef r_xaddress_RNI3P5B4_8)) (portRef S (instanceRef r_xaddress_RNIN84B4_2)) (portRef S (instanceRef r_xaddress_RNI1H5B4_7)) )) (net (rename eaddress_5 "eaddress[7]") (joined (portRef eaddress_5) (portRef A (instanceRef r_xaddress_RNI8J4HH_7)) )) (net N_1745 (joined (portRef Y (instanceRef r_xaddress_RNIN84B4_2)) (portRef B (instanceRef r_xaddress_RNI9P28C_2)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef Y (instanceRef r_xaddress_RNI9P28C_2)) (portRef (member xaddress_rni9p28c 0)) )) (net (rename eaddress_0 "eaddress[2]") (joined (portRef eaddress_0) (portRef A (instanceRef r_xaddress_RNI9P28C_2)) )) (net N_1751 (joined (portRef Y (instanceRef r_xaddress_RNI3P5B4_8)) (portRef B (instanceRef r_xaddress_RNIEP65I_8)) )) (net (rename addr_8 "addr[8]") (joined (portRef Q (instanceRef r_xaddress_8)) (portRef A (instanceRef r_wb_addr_RNO_2_8)) (portRef B (instanceRef r_xaddress_RNI3P5B4_8)) (portRef B (instanceRef r_xaddress_RNO_8)) (portRef addr_8) )) (net (rename taddr_7_8 "taddr_7[8]") (joined (portRef Y (instanceRef r_xaddress_RNIEP65I_8)) (portRef A (instanceRef r_faddr_RNI6USBI_4)) )) (net (rename eaddress_6 "eaddress[8]") (joined (portRef eaddress_6) (portRef A (instanceRef r_xaddress_RNIEP65I_8)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef Y (instanceRef r_faddr_RNI6USBI_4)) (portRef (member faddr_rni6usbi 0)) )) (net (rename faddr_4 "faddr[4]") (joined (portRef Q (instanceRef r_faddr_4)) (portRef B (instanceRef un1_r_faddr_I_20)) (portRef C (instanceRef un1_r_faddr_I_23)) (portRef B (instanceRef un1_r_faddr_I_27)) (portRef B (instanceRef un1_r_faddr_I_34)) (portRef B (instanceRef r_faddr_RNI6USBI_4)) )) (net (rename edata_13 "edata[13]") (joined (portRef Y (instanceRef r_dstate_RNIHAPQA_1)) (portRef A (instanceRef r_dstate_RNIUF2KC_1)) (portRef A (instanceRef r_dstate_RNIUF2KC_0_1)) )) (net N_2610 (joined (portRef Y (instanceRef r_wb_data2_RNO_29)) (portRef D (instanceRef r_wb_data2_29)) )) (net (rename edata2_iv_29 "edata2_iv[29]") (joined (portRef (member edata2_iv 2)) (portRef B (instanceRef r_wb_data1_RNO_0_29)) (portRef A (instanceRef r_wb_data2_RNO_29)) (portRef B (instanceRef r_size_RNI9UA5F_1)) )) (net (rename dmao_i_1_29 "dmao_i_1[44]") (joined (portRef dmao_i_1_29) (portRef B (instanceRef r_dstate_0_RNIAQIF4_4)) (portRef B (instanceRef r_wb_data2_RNO_29)) (portRef B (instanceRef r_read_RNIFFNH2)) )) (net N_1689 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_29)) (portRef B (instanceRef r_wb_data1_RNO_29)) )) (net (rename data1_1_29 "data1_1[29]") (joined (portRef Y (instanceRef r_wb_data1_RNO_29)) (portRef D (instanceRef r_wb_data1_29)) )) (net N_1612 (joined (portRef Y (instanceRef r_wb_addr_RNO_19)) (portRef D (instanceRef r_wb_addr_19)) )) (net N_2047 (joined (portRef Y (instanceRef r_nomds_RNO_0)) (portRef B (instanceRef r_nomds_RNO)) )) (net nomds_1 (joined (portRef Y (instanceRef r_nomds_RNO_1)) (portRef A (instanceRef r_nomds_RNO_0)) )) (net N_2399 (joined (portRef Y (instanceRef r_nomds_RNO_2)) (portRef S (instanceRef r_nomds_RNO_0)) )) (net nomds_RNO (joined (portRef Y (instanceRef r_nomds_RNO)) (portRef D (instanceRef r_nomds)) )) (net N_73 (joined (portRef Y (instanceRef r_nomds_RNI1096)) (portRef B (instanceRef r_wb_data2_RNIT9I7_3)) (portRef A (instanceRef r_nomds_RNIV7Q72_4)) (portRef B (instanceRef r_wb_data2_RNI3RNB_16)) (portRef A (instanceRef r_nomds_RNIV7Q72_2)) (portRef A (instanceRef r_nomds_RNIV7Q72_6)) (portRef B (instanceRef r_wb_data2_RNI2UI7_8)) (portRef A (instanceRef r_nomds_RNI08Q72)) (portRef A (instanceRef r_nomds_RNIV7Q72_7)) (portRef B (instanceRef r_wb_data2_RNI2RNB_15)) (portRef A (instanceRef r_nomds_RNIV7Q72_3)) (portRef A (instanceRef r_nomds_RNI08Q72_1)) (portRef A (instanceRef r_nomds_RNI08Q72_0)) (portRef B (instanceRef r_wb_data2_RNI43OB_31)) (portRef B (instanceRef dctrl_rdatav_0_1_0_iv_RNO_5_22)) (portRef A (instanceRef dctrl_rdatav_0_1_0_iv_RNO_1_22)) (portRef A (instanceRef r_nomds_RNIV7Q72_1)) (portRef B (instanceRef r_wb_data2_RNI4VNB_24)) (portRef A (instanceRef r_nomds_RNIV7Q72_0)) (portRef A (instanceRef r_nomds_RNIV7Q72_5)) (portRef A (instanceRef r_nomds_RNIV7Q72)) )) (net (rename maddress_30 "maddress[30]") (joined (portRef maddress_30) (portRef B (instanceRef dctrl_0_hitv3_18_0)) (portRef A (instanceRef r_wb_data1_RNO_30)) (portRef A (instanceRef r_xaddress_RNIB0K6_30)) (portRef A (instanceRef r_wb_addr_RNO_0_30)) (portRef A (instanceRef r_size_RNICHHJ1_1)) (portRef A (instanceRef r_xaddress_RNO_30)) )) (net N_1623 (joined (portRef Y (instanceRef r_wb_addr_RNO_0_30)) (portRef B (instanceRef r_wb_addr_RNO_30)) )) (net (rename addr_1_30 "addr_1[30]") (joined (portRef Y (instanceRef r_wb_addr_RNO_30)) (portRef D (instanceRef r_wb_addr_30)) )) (net N_1843 (joined (portRef Y (instanceRef r_xaddress_RNIB0K6_30)) (portRef B (instanceRef r_xaddress_RNI45HH8S1_30)) )) (net (rename un1_p0_2_6 "un1_p0_2[368]") (joined (portRef Y (instanceRef r_xaddress_RNI45HH8S1_30)) (portRef un1_p0_2_6) )) (net (rename edata2_iv_30 "edata2_iv[30]") (joined (portRef (member edata2_iv 1)) (portRef B (instanceRef r_wb_data1_RNO_0_30)) (portRef A (instanceRef r_wb_data2_RNO_30)) (portRef A (instanceRef r_xaddress_RNI45HH8S1_30)) (portRef B (instanceRef r_size_RNIS606F_1)) )) (net N_209 (joined (portRef Y (instanceRef r_xaddress_RNO_14)) (portRef D (instanceRef r_xaddress_14)) )) (net N_2644 (joined (portRef Y (instanceRef r_wb_data2_RNO_30)) (portRef D (instanceRef r_wb_data2_30)) )) (net (rename dmao_i_1_30 "dmao_i_1[45]") (joined (portRef dmao_i_1_30) (portRef B (instanceRef r_dstate_1_RNIP9024_4)) (portRef B (instanceRef r_wb_data2_RNO_30)) (portRef B (instanceRef r_read_RNI70MN3)) )) (net write (joined (portRef write) (portRef B (instanceRef r_holdn_RNIRBQ6)) (portRef A (instanceRef dctrl_lramwr_4_0_a2_0)) )) (net (rename dstate_RNIK7282_0_3 "dstate_RNIK7282_0[3]") (joined (portRef Y (instanceRef r_dstate_RNIK7282_0_3)) (portRef S (instanceRef r_wb_data1_RNO_0)) (portRef S (instanceRef r_wb_data1_RNO_3)) (portRef S (instanceRef r_wb_data1_RNO_2)) (portRef S (instanceRef r_wb_data1_RNO_5)) (portRef S (instanceRef r_wb_data1_RNO_7)) (portRef S (instanceRef r_wb_data1_RNO_4)) (portRef S (instanceRef r_wb_data1_RNO_9)) (portRef S (instanceRef r_wb_data1_RNO_24)) (portRef S (instanceRef r_wb_data1_RNO_15)) (portRef S (instanceRef r_wb_data1_RNO_27)) (portRef S (instanceRef r_wb_data1_RNO_8)) (portRef S (instanceRef r_wb_data1_RNO_12)) (portRef S (instanceRef r_wb_data1_RNO_13)) (portRef S (instanceRef r_wb_data1_RNO_1)) (portRef S (instanceRef r_wb_data1_RNO_30)) (portRef S (instanceRef r_wb_data1_RNO_25)) (portRef S (instanceRef r_wb_data1_RNO_19)) )) (net N_1748 (joined (portRef Y (instanceRef r_xaddress_RNIT05B4_5)) (portRef B (instanceRef r_xaddress_RNIOMACF_5)) )) (net (rename addr_5 "addr[5]") (joined (portRef Q (instanceRef r_xaddress_5)) (portRef B (instanceRef r_xaddress_RNO_5)) (portRef B (instanceRef r_wb_addr_RNO_5)) (portRef B (instanceRef r_xaddress_RNIT05B4_5)) (portRef A (instanceRef r_xaddress_RNI8O52_5)) (portRef addr_5) )) (net (rename taddr_7_5 "taddr_7[5]") (joined (portRef Y (instanceRef r_xaddress_RNIOMACF_5)) (portRef A (instanceRef r_faddr_RNIG8PKF_1)) )) (net (rename eaddress_3 "eaddress[5]") (joined (portRef eaddress_3) (portRef A (instanceRef r_xaddress_RNIOMACF_5)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef Y (instanceRef r_faddr_RNIG8PKF_1)) (portRef (member faddr_rnig8pkf 0)) )) (net flush_1 (joined (portRef Q (instanceRef r_flush)) (portRef B (instanceRef r_flush2_RNO)) (portRef A (instanceRef r_flush_RNICD8ME)) (portRef B (instanceRef r_flush_RNITO535)) (portRef B (instanceRef r_flush_RNIVO535)) (portRef B (instanceRef r_flush_RNI0P535)) (portRef S (instanceRef r_faddr_RNI1ENTG_2)) (portRef B (instanceRef r_faddr_RNO_0)) (portRef B (instanceRef r_faddr_RNO_1)) (portRef B (instanceRef r_faddr_RNO_2)) (portRef B (instanceRef r_faddr_RNO_3)) (portRef B (instanceRef r_faddr_RNO_4)) (portRef B (instanceRef r_faddr_RNO_5)) (portRef B (instanceRef r_faddr_RNO_6)) (portRef S (instanceRef r_faddr_RNI49A0Q_6)) (portRef S (instanceRef r_faddr_RNIMVM8U_7)) (portRef A (instanceRef r_flush_RNIDV0T1)) (portRef B (instanceRef r_faddr_RNO_7)) (portRef B (instanceRef r_flush_RNIUO535)) (portRef S (instanceRef r_faddr_RNIK42HD_0)) (portRef S (instanceRef r_faddr_RNIIN4OM_5)) (portRef S (instanceRef r_faddr_RNIG8PKF_1)) )) (net N_1752 (joined (portRef Y (instanceRef r_xaddress_RNI516B4_9)) (portRef B (instanceRef r_xaddress_RNIM5MFM_9)) )) (net (rename taddr_7_9 "taddr_7[9]") (joined (portRef Y (instanceRef r_xaddress_RNIM5MFM_9)) (portRef A (instanceRef r_faddr_RNIIN4OM_5)) )) (net (rename eaddress_7 "eaddress[9]") (joined (portRef eaddress_7) (portRef A (instanceRef r_xaddress_RNIM5MFM_9)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef Y (instanceRef r_faddr_RNIIN4OM_5)) (portRef (member faddr_rniin4om 0)) )) (net (rename faddr_5 "faddr[5]") (joined (portRef Q (instanceRef r_faddr_5)) (portRef B (instanceRef un1_r_faddr_I_24)) (portRef C (instanceRef un1_r_faddr_I_30)) (portRef C (instanceRef un1_r_faddr_I_34)) (portRef B (instanceRef r_faddr_RNIIN4OM_5)) )) (net N_1747 (joined (portRef Y (instanceRef r_xaddress_RNIRO4B4_4)) (portRef B (instanceRef r_xaddress_RNITIJ8D_4)) )) (net (rename maddress_4 "maddress[4]") (joined (portRef maddress_4) (portRef D (instanceRef r_cctrl_ifrz)) (portRef A (instanceRef r_wb_data1_RNO_4)) (portRef A (instanceRef r_xaddress_RNO_4)) (portRef A (instanceRef r_dstate_RNIIRN27_1)) (portRef A (instanceRef r_wb_addr_RNO_4)) (portRef A (instanceRef r_xaddress_RNIRO4B4_4)) (portRef A (instanceRef r_read_RNID70I3)) )) (net (rename addr_4 "addr[4]") (joined (portRef Q (instanceRef r_xaddress_4)) (portRef B (instanceRef r_xaddress_RNO_4)) (portRef B (instanceRef r_wb_addr_RNO_4)) (portRef B (instanceRef r_xaddress_RNIRO4B4_4)) (portRef C (instanceRef r_xaddress_RNIE8B4_4)) (portRef addr_4) )) (net (rename taddr_7_4 "taddr_7[4]") (joined (portRef Y (instanceRef r_xaddress_RNITIJ8D_4)) (portRef A (instanceRef r_faddr_RNIK42HD_0)) )) (net (rename eaddress_2 "eaddress[4]") (joined (portRef eaddress_2) (portRef A (instanceRef r_xaddress_RNITIJ8D_4)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef Y (instanceRef r_faddr_RNIK42HD_0)) (portRef (member faddr_rnik42hd 0)) )) (net u0_DOUTA1 (joined (portRef u0_DOUTA1) (portRef A (instanceRef r_dstate_RNI0M725_2)) (portRef A (instanceRef r_size_RNIOFP781_1)) )) (net u0_RD3 (joined (portRef u0_RD3) (portRef C (instanceRef r_nomds_RNIRU6E2_0)) (portRef B (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_10)) )) (net (rename ico_m_31 "ico_m[163]") (joined (portRef Y (instanceRef r_dstate_RNINP4T4_2_2)) (portRef ico_m_31) )) (net (rename diagdata_29 "diagdata[29]") (joined (portRef diagdata_29) (portRef A (instanceRef r_dstate_RNINP4T4_2_2)) )) (net (rename ics_0 "ics[0]") (joined (portRef Q (instanceRef r_cctrl_ics_0)) (portRef A (instanceRef r_cctrl_ics_RNO_0_0)) (portRef A (instanceRef r_cctrl_ics_RNIGOKU1_0)) (portRef B (instanceRef r_cctrl_ics_RNO_2_1)) (portRef (member ics 1)) )) (net N_2601_i_0 (joined (portRef Y (instanceRef r_dstate_i_0_RNI1TNN1_5)) (portRef B (instanceRef r_cctrl_dcs_RNICOKU1_1)) (portRef B (instanceRef r_cctrl_burst_RNI79TQ1)) (portRef B (instanceRef r_cctrl_ics_RNIHOKU1_1)) (portRef B (instanceRef r_dstate_i_0_RNIIV0T1_5)) (portRef B (instanceRef r_cctrl_ifrz_RNIK4GR1)) (portRef B (instanceRef r_flush_RNIDV0T1)) (portRef B (instanceRef r_cctrl_dcs_RNIBOKU1_0)) (portRef B (instanceRef r_cctrl_ics_RNIGOKU1_0)) )) (net (rename data2_0 "data2[0]") (joined (portRef Q (instanceRef r_wb_data2_0)) (portRef A (instanceRef r_wb_data1_RNO_0_0)) (portRef A (instanceRef r_wb_data2_RNILKUD_0)) )) (net (rename data2_5 "data2[5]") (joined (portRef Q (instanceRef r_wb_data2_5)) (portRef A (instanceRef r_wb_data1_RNO_0_5)) (portRef A (instanceRef r_wb_data2_RNIQ8VD_5)) )) (net N_2633 (joined (portRef Y (instanceRef r_wb_data2_RNO_2)) (portRef D (instanceRef r_wb_data2_2)) )) (net (rename data2_7 "data2[7]") (joined (portRef Q (instanceRef r_wb_data2_7)) (portRef A (instanceRef r_wb_data1_RNO_0_7)) (portRef A (instanceRef r_wb_data2_RNISGVD_7)) )) (net u0_DOUTA3_5 (joined (portRef u0_DOUTA3_5) (portRef A (instanceRef r_dstate_RNIQL725_2)) (portRef A (instanceRef r_read_RNII6K6B)) )) (net u0_DOUTA2_6 (joined (portRef u0_DOUTA2_6) (portRef A (instanceRef r_dstate_RNIPL725_2)) (portRef A (instanceRef r_read_RNI9DABB)) )) (net (rename data2_2 "data2[2]") (joined (portRef Q (instanceRef r_wb_data2_2)) (portRef A (instanceRef r_wb_data1_RNO_0_2)) (portRef A (instanceRef r_wb_data2_RNINSUD_2)) )) (net N_38_i_0 (joined (portRef Y (instanceRef r_dstate_1_RNIUGDC2_4)) (portRef A (instanceRef r_dstate_1_RNI8U753_4)) (portRef A (instanceRef r_dstate_1_RNIUJII3_4)) (portRef A (instanceRef r_dstate_1_RNI4KVK3_4)) (portRef A (instanceRef r_dstate_1_RNIP6953_4)) (portRef A (instanceRef r_dstate_1_RNIBE144_4)) (portRef A (instanceRef r_dstate_1_RNIM2GP3_4)) (portRef A (instanceRef r_dstate_1_RNIP9024_4)) (portRef A (instanceRef r_dstate_1_RNIQILU3_4)) (portRef A (instanceRef r_dstate_1_RNIMS0L3_4)) (portRef A (instanceRef r_dstate_1_RNIQ58V3_4)) (portRef A (instanceRef r_dstate_1_RNIAI1A3_4)) (portRef A (instanceRef r_dstate_1_RNISBD13_4)) )) (net N_2567 (joined (portRef Y (instanceRef r_dstate_tr0_24_0_0_o2_1)) (portRef A (instanceRef r_dstate_i_RNIB4PP_5)) )) (net N_2875 (joined (portRef Y (instanceRef N_2439_i_i_a2_1)) (portRef A (instanceRef N_2439_i_i_a2)) )) (net (rename dstate_4 "dstate[4]") (joined (portRef Q (instanceRef r_dstate_4)) (portRef A (instanceRef r_dstate_RNI88RR1_4)) (portRef S (instanceRef r_wb_data2_RNO_25)) (portRef S (instanceRef r_wb_data2_RNO_4)) (portRef S (instanceRef r_wb_data2_RNO_12)) (portRef S (instanceRef r_wb_data2_RNO_18)) (portRef S (instanceRef r_wb_data2_RNO_20)) (portRef S (instanceRef r_wb_data2_RNO_22)) (portRef S (instanceRef r_wb_data2_RNO_27)) (portRef S (instanceRef r_wb_data2_RNO_31)) (portRef S (instanceRef r_wb_data2_RNO_26)) (portRef S (instanceRef r_wb_data2_RNO_24)) (portRef S (instanceRef r_wb_data2_RNO_0)) (portRef S (instanceRef r_wb_data2_RNO_1)) (portRef S (instanceRef r_wb_data2_RNO_3)) (portRef S (instanceRef r_wb_data2_RNO_5)) (portRef S (instanceRef r_wb_data2_RNO_7)) (portRef S (instanceRef r_wb_data2_RNO_8)) (portRef S (instanceRef r_wb_data2_RNO_9)) (portRef S (instanceRef r_wb_data2_RNO_10)) (portRef S (instanceRef r_wb_data2_RNO_13)) (portRef S (instanceRef r_wb_data2_RNO_15)) (portRef B (instanceRef r_dstate_RNIFLTI_3)) (portRef A (instanceRef r_dstate_RNIILB12_4)) )) (net N_2666 (joined (portRef Y (instanceRef r_dstate_i_RNIGLP2LR1_5)) (portRef A (instanceRef r_dstate_i_RNIA29TQ22_5)) )) (net (rename data1_1_11 "data1_1[11]") (joined (portRef Y (instanceRef r_wb_data1_RNO_11)) (portRef D (instanceRef r_wb_data1_11)) )) (net (rename maddress_11 "maddress[11]") (joined (portRef maddress_11) (portRef A (instanceRef r_xaddress_RNIBRNC4_11)) (portRef A (instanceRef r_xaddress_RNO_11)) (portRef A (instanceRef r_dstate_RNIKFFRA_1)) (portRef A (instanceRef r_wb_addr_RNO_11)) (portRef A (instanceRef r_wb_data1_RNO_11)) (portRef A (instanceRef r_size_RNI79HJ1_1)) )) (net N_1671 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_11)) (portRef B (instanceRef r_wb_data1_RNO_11)) )) (net (rename data2_11 "data2[11]") (joined (portRef Q (instanceRef r_wb_data2_11)) (portRef A (instanceRef r_wb_data2_RNIPH4I_11)) (portRef A (instanceRef r_wb_data1_RNO_0_11)) )) (net N_1604 (joined (portRef Y (instanceRef r_wb_addr_RNO_11)) (portRef D (instanceRef r_wb_addr_11)) )) (net N_1603 (joined (portRef Y (instanceRef r_wb_addr_RNO_10)) (portRef D (instanceRef r_wb_addr_10)) )) (net (rename maddress_10 "maddress[10]") (joined (portRef maddress_10) (portRef A (instanceRef r_xaddress_RNI9RNC4_10)) (portRef A (instanceRef r_xaddress_RNO_10)) (portRef A (instanceRef r_dstate_RNID1NQA_1)) (portRef A (instanceRef r_wb_data1_RNO_10)) (portRef A (instanceRef r_wb_addr_RNO_10)) (portRef A (instanceRef r_read_RNIS9553)) )) (net N_1590 (joined (portRef Y (instanceRef r_wb_addr_RNO_2_2)) (portRef B (instanceRef r_wb_addr_RNO_1_2)) )) (net (rename maddress_28 "maddress[28]") (joined (portRef maddress_28) (portRef A (instanceRef r_size_RNIHDHJ1_1)) (portRef A (instanceRef r_wb_addr_RNO_28)) (portRef A (instanceRef r_xaddress_RNO_28)) (portRef A (instanceRef r_wb_data1_RNO_28)) (portRef B (instanceRef dctrl_0_hitv3_16_0)) (portRef A (instanceRef r_dstate_0_RNIG4B1_4)) )) (net (rename ico_m_30 "ico_m[162]") (joined (portRef Y (instanceRef r_dstate_RNINP4T4_1_2)) (portRef ico_m_30) )) (net (rename diagdata_28 "diagdata[28]") (joined (portRef diagdata_28) (portRef A (instanceRef r_dstate_RNINP4T4_1_2)) )) (net u0_RD2 (joined (portRef u0_RD2) (portRef C (instanceRef r_nomds_RNIRU6E2)) (portRef A (instanceRef dctrl_0_hitv3_16_0)) )) (net (rename data2_28 "data2[28]") (joined (portRef Q (instanceRef r_wb_data2_28)) (portRef A (instanceRef r_wb_data1_RNO_0_28)) (portRef A (instanceRef r_wb_data2_RNI3M4I_28)) )) (net (rename ico_m_2 "ico_m[134]") (joined (portRef Y (instanceRef r_dstate_RNIL43T4_0_2)) (portRef ico_m_2) )) (net (rename diagdata_0 "diagdata[0]") (joined (portRef diagdata_0) (portRef A (instanceRef r_dstate_RNIL43T4_0_2)) )) (net u0_DOUTA0_6 (joined (portRef u0_DOUTA0_6) (portRef A (instanceRef r_dstate_RNIPL725_0_2)) (portRef A (instanceRef r_read_RNIGNN2B)) )) (net (rename data1_1_28 "data1_1[28]") (joined (portRef Y (instanceRef r_wb_data1_RNO_28)) (portRef D (instanceRef r_wb_data1_28)) )) (net N_1688 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_28)) (portRef B (instanceRef r_wb_data1_RNO_28)) )) (net (rename data1_1_26 "data1_1[26]") (joined (portRef Y (instanceRef r_wb_data1_RNO_26)) (portRef D (instanceRef r_wb_data1_26)) )) (net N_1686 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_26)) (portRef B (instanceRef r_wb_data1_RNO_26)) )) (net (rename data1_1_18 "data1_1[18]") (joined (portRef Y (instanceRef r_wb_data1_RNO_18)) (portRef D (instanceRef r_wb_data1_18)) )) (net N_1678 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_18)) (portRef B (instanceRef r_wb_data1_RNO_18)) )) (net (rename data1_1_10 "data1_1[10]") (joined (portRef Y (instanceRef r_wb_data1_RNO_10)) (portRef D (instanceRef r_wb_data1_10)) )) (net (rename data2_18 "data2[18]") (joined (portRef Q (instanceRef r_wb_data2_18)) (portRef A (instanceRef r_wb_data2_RNI0I4I_18)) (portRef A (instanceRef r_wb_data1_RNO_0_18)) )) (net (rename diagdata_9 "diagdata[9]") (joined (portRef diagdata_9) (portRef A (instanceRef r_dstate_RNIIP4T4_2)) )) (net u0_DOUTA1_4 (joined (portRef u0_DOUTA1_4) (portRef A (instanceRef r_dstate_RNIRL725_2)) (portRef A (instanceRef r_size_RNIKSPAM_1)) )) (net (rename data2_9 "data2[9]") (joined (portRef Q (instanceRef r_wb_data2_9)) (portRef A (instanceRef r_wb_data1_RNO_0_9)) (portRef A (instanceRef r_wb_data2_RNIUOVD_9)) )) (net (rename data1_1_31 "data1_1[31]") (joined (portRef Y (instanceRef r_wb_data1_RNO_31)) (portRef D (instanceRef r_wb_data1_31)) )) (net N_1691 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_31)) (portRef B (instanceRef r_wb_data1_RNO_31)) )) (net (rename data1_1_20 "data1_1[20]") (joined (portRef Y (instanceRef r_wb_data1_RNO_20)) (portRef D (instanceRef r_wb_data1_20)) )) (net N_1680 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_20)) (portRef B (instanceRef r_wb_data1_RNO_20)) )) (net (rename data2_31 "data2[31]") (joined (portRef Q (instanceRef r_wb_data2_31)) (portRef A (instanceRef r_wb_data2_RNI43OB_31)) (portRef A (instanceRef r_wb_data1_RNO_0_31)) )) (net (rename edata2_iv_31 "edata2_iv[31]") (joined (portRef (member edata2_iv 0)) (portRef A (instanceRef r_wb_data2_RNO_31)) (portRef B (instanceRef r_wb_data1_RNO_0_31)) (portRef B (instanceRef r_size_RNILNH4F_1)) )) (net (rename data2_20 "data2[20]") (joined (portRef Q (instanceRef r_wb_data2_20)) (portRef A (instanceRef r_wb_data2_RNIRL4I_20)) (portRef A (instanceRef r_wb_data1_RNO_0_20)) )) (net (rename data1_1_19 "data1_1[19]") (joined (portRef Y (instanceRef r_wb_data1_RNO_19)) (portRef D (instanceRef r_wb_data1_19)) )) (net (rename addr_1_3 "addr_1[3]") (joined (portRef Y (instanceRef r_wb_addr_RNO_3)) (portRef D (instanceRef r_wb_addr_3)) )) (net N_1596 (joined (portRef Y (instanceRef r_wb_addr_RNO_0_3)) (portRef B (instanceRef r_wb_addr_RNO_3)) )) (net N_1622 (joined (portRef Y (instanceRef r_wb_addr_RNO_29)) (portRef D (instanceRef r_wb_addr_29)) )) (net N_1600 (joined (portRef Y (instanceRef r_wb_addr_RNO_7)) (portRef D (instanceRef r_wb_addr_7)) )) (net N_1598 (joined (portRef Y (instanceRef r_wb_addr_RNO_5)) (portRef D (instanceRef r_wb_addr_5)) )) (net (rename maddress_5 "maddress[5]") (joined (portRef maddress_5) (portRef D (instanceRef r_cctrl_dfrz)) (portRef A (instanceRef r_size_RNIEM3M1_1)) (portRef A (instanceRef r_wb_data1_RNO_5)) (portRef A (instanceRef r_xaddress_RNO_5)) (portRef A (instanceRef r_dstate_RNIQFO27_1)) (portRef A (instanceRef r_wb_addr_RNO_5)) (portRef A (instanceRef r_xaddress_RNIT05B4_5)) )) (net N_1597 (joined (portRef Y (instanceRef r_wb_addr_RNO_4)) (portRef D (instanceRef r_wb_addr_4)) )) (net (rename addr_3 "addr[3]") (joined (portRef Q (instanceRef r_xaddress_3)) (portRef B (instanceRef r_xaddress_RNI3HMB_3)) (portRef B (instanceRef r_xaddress_RNO_3)) (portRef B (instanceRef r_xaddress_RNIPG4B4_3)) (portRef B (instanceRef r_wb_addr_RNO_0_3)) (portRef B (instanceRef r_cctrlwr_RNIGD95)) (portRef addr_3) )) (net (rename data1_1_25 "data1_1[25]") (joined (portRef Y (instanceRef r_wb_data1_RNO_25)) (portRef D (instanceRef r_wb_data1_25)) )) (net N_1685 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_25)) (portRef B (instanceRef r_wb_data1_RNO_25)) )) (net (rename data2_25 "data2[25]") (joined (portRef Q (instanceRef r_wb_data2_25)) (portRef A (instanceRef r_wb_data2_RNI0M4I_25)) (portRef A (instanceRef r_wb_data1_RNO_0_25)) )) (net N_1729 (joined (portRef Y (instanceRef rdatasel_12_i_o4_7)) (portRef C (instanceRef r_dstate_i_0_RNI3CH12_5)) )) (net N_1775 (joined (portRef Y (instanceRef r_flush_RNIUO535)) (portRef A (instanceRef r_flush_RNI4JQHB22)) )) (net (rename vmask_0_5_1 "vmask_0_5[1]") (joined (portRef Y (instanceRef r_dstate_i_RNIIMST4_5)) (portRef B (instanceRef r_valid_0_RNO_0_1)) (portRef A (instanceRef r_flush_RNIUO535)) )) (net flush_RNI4JQHB22 (joined (portRef Y (instanceRef r_flush_RNI4JQHB22)) (portRef flush_RNI4JQHB22) )) (net N_1769 (joined (portRef Y (instanceRef dctrl_vmask_0_1_0_1)) (portRef B (instanceRef r_flush_RNI4JQHB22)) )) (net (rename data1_1_30 "data1_1[30]") (joined (portRef Y (instanceRef r_wb_data1_RNO_30)) (portRef D (instanceRef r_wb_data1_30)) )) (net N_1690 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_30)) (portRef B (instanceRef r_wb_data1_RNO_30)) )) (net (rename data1_1_1 "data1_1[1]") (joined (portRef Y (instanceRef r_wb_data1_RNO_1)) (portRef D (instanceRef r_wb_data1_1)) )) (net N_1661 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_1)) (portRef B (instanceRef r_wb_data1_RNO_1)) )) (net (rename edata2_0_iv_1 "edata2_0_iv[1]") (joined (portRef (member edata2_0_iv 22)) (portRef B (instanceRef r_size_RNIOOMT7_1)) (portRef A (instanceRef r_wb_data2_RNO_1)) (portRef B (instanceRef r_dstate_RNILKD37_1)) (portRef B (instanceRef r_wb_data1_RNO_0_1)) (portRef A (instanceRef dctrl_vmask_0_1_0_1)) )) (net (rename data1_1_13 "data1_1[13]") (joined (portRef Y (instanceRef r_wb_data1_RNO_13)) (portRef D (instanceRef r_wb_data1_13)) )) (net N_1673 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_13)) (portRef B (instanceRef r_wb_data1_RNO_13)) )) (net (rename data1_1_12 "data1_1[12]") (joined (portRef Y (instanceRef r_wb_data1_RNO_12)) (portRef D (instanceRef r_wb_data1_12)) )) (net N_1672 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_12)) (portRef B (instanceRef r_wb_data1_RNO_12)) )) (net u0_RD9 (joined (portRef u0_RD9) (portRef C (instanceRef r_nomds_RNIQU6E2_2)) (portRef B (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_6)) )) (net u0_DOUTA2_5 (joined (portRef u0_DOUTA2_5) (portRef A (instanceRef r_dstate_RNIQL725_0_2)) (portRef A (instanceRef r_read_RNIH0CMB)) )) (net (rename vmask_0_4_1 "vmask_0_4[1]") (joined (portRef Y (instanceRef r_xaddress_RNINB5C2_2_2)) (portRef A (instanceRef r_dstate_i_RNIIMST4_5)) )) (net N_1735 (joined (portRef Y (instanceRef r_valid_0_RNIB1NG2_1)) (portRef B (instanceRef r_dstate_i_RNIIMST4_5)) )) (net (rename valid_0_1 "valid_0[1]") (joined (portRef Q (instanceRef r_valid_0_1)) (portRef B (instanceRef r_valid_0_RNIB1NG2_1)) )) (net (rename edata2_0_iv_9 "edata2_0_iv[9]") (joined (portRef (member edata2_0_iv 14)) (portRef B (instanceRef r_wb_data1_RNO_0_9)) (portRef A (instanceRef r_wb_data2_RNO_9)) (portRef B (instanceRef r_dstate_RNIGM45A_1)) (portRef A (instanceRef r_size_RNI9OM1E_1)) )) (net (rename edata2_0_iv_8 "edata2_0_iv[8]") (joined (portRef (member edata2_0_iv 15)) (portRef B (instanceRef r_wb_data1_RNO_0_8)) (portRef A (instanceRef r_wb_data2_RNO_8)) (portRef B (instanceRef r_dstate_RNI4M35A_1)) (portRef A (instanceRef r_size_RNICCTSD_1)) )) (net (rename edata2_0_iv_2 "edata2_0_iv[2]") (joined (portRef (member edata2_0_iv 21)) (portRef B (instanceRef r_size_RNIV8NT7_1)) (portRef A (instanceRef dctrl_vmask_0_1_0_2)) (portRef B (instanceRef r_wb_data1_RNO_0_2)) (portRef B (instanceRef r_dstate_RNIT8E37_1)) (portRef A (instanceRef r_wb_data2_RNO_2)) )) (net (rename edata2_0_iv_0 "edata2_0_iv[0]") (joined (portRef (member edata2_0_iv 23)) (portRef B (instanceRef r_wb_data1_RNO_0_0)) (portRef A (instanceRef dctrl_vmask_0_1_0_0)) (portRef A (instanceRef r_wb_data2_RNO_0)) (portRef B (instanceRef r_dstate_RNID0D37_1)) (portRef B (instanceRef r_size_RNI10E0J_1)) )) (net un6_validrawv (joined (portRef Y (instanceRef dctrl_0_genmux_un6_validrawv_3)) (portRef C (instanceRef r_flush2_RNIVB5P6)) )) (net (rename data2_RNO_15 "data2_RNO[15]") (joined (portRef Y (instanceRef r_wb_data2_RNO_15)) (portRef D (instanceRef r_wb_data2_15)) )) (net (rename data2_RNO_13 "data2_RNO[13]") (joined (portRef Y (instanceRef r_wb_data2_RNO_13)) (portRef D (instanceRef r_wb_data2_13)) )) (net cfgsel_RNIFO4S1 (joined (portRef cfgsel_RNIFO4S1) (portRef B (instanceRef r_read_RNIFEMA2)) (portRef B (instanceRef r_wb_data2_RNO_13)) (portRef B (instanceRef r_dstate_0_RNIAPH84_4)) )) (net (rename data2_RNO_10 "data2_RNO[10]") (joined (portRef Y (instanceRef r_wb_data2_RNO_10)) (portRef D (instanceRef r_wb_data2_10)) )) (net (rename data2_RNO_9 "data2_RNO[9]") (joined (portRef Y (instanceRef r_wb_data2_RNO_9)) (portRef D (instanceRef r_wb_data2_9)) )) (net (rename hrdata_8 "hrdata[9]") (joined (portRef hrdata_8) (portRef B (instanceRef r_read_RNICN5C1)) (portRef B (instanceRef r_wb_data2_RNO_9)) (portRef B (instanceRef r_dstate_1_RNIAI1A3_4)) )) (net (rename data2_RNO_8 "data2_RNO[8]") (joined (portRef Y (instanceRef r_wb_data2_RNO_8)) (portRef D (instanceRef r_wb_data2_8)) )) (net (rename data2_RNO_7 "data2_RNO[7]") (joined (portRef Y (instanceRef r_wb_data2_RNO_7)) (portRef D (instanceRef r_wb_data2_7)) )) (net (rename edata2_0_iv_7 "edata2_0_iv[7]") (joined (portRef (member edata2_0_iv 16)) (portRef A (instanceRef r_size_RNI742T7_1)) (portRef B (instanceRef r_wb_data1_RNO_0_7)) (portRef A (instanceRef r_wb_data2_RNO_7)) (portRef B (instanceRef r_dstate_RNIAOP27_1)) )) (net (rename hrdata_6 "hrdata[7]") (joined (portRef hrdata_6) (portRef B (instanceRef r_read_RNIO3D71)) (portRef B (instanceRef r_wb_data2_RNO_7)) (portRef B (instanceRef r_dstate_0_RNIJE853_4)) )) (net (rename data2_RNO_5 "data2_RNO[5]") (joined (portRef Y (instanceRef r_wb_data2_RNO_5)) (portRef D (instanceRef r_wb_data2_5)) )) (net (rename edata2_0_iv_5 "edata2_0_iv[5]") (joined (portRef (member edata2_0_iv 18)) (portRef A (instanceRef r_size_RNIP31T7_1)) (portRef B (instanceRef r_wb_data1_RNO_0_5)) (portRef A (instanceRef r_wb_data2_RNO_5)) (portRef B (instanceRef r_dstate_RNIQFO27_1)) )) (net (rename hrdatas_RNIO2L41_5 "hrdatas_RNIO2L41[5]") (joined (portRef (member hrdatas_rnio2l41 0)) (portRef B (instanceRef r_read_RNIOO6J1)) (portRef B (instanceRef r_wb_data2_RNO_5)) (portRef B (instanceRef r_dstate_0_RNIJ32H3_4)) )) (net (rename data2_RNO_3 "data2_RNO[3]") (joined (portRef Y (instanceRef r_wb_data2_RNO_3)) (portRef D (instanceRef r_wb_data2_3)) )) (net (rename hrdata_2 "hrdata[3]") (joined (portRef hrdata_2) (portRef B (instanceRef r_dstate_1_RNI8U753_4)) (portRef B (instanceRef r_wb_data2_RNO_3)) (portRef B (instanceRef r_read_RNIMHFT2)) )) (net (rename data2_RNO_1 "data2_RNO[1]") (joined (portRef Y (instanceRef r_wb_data2_RNO_1)) (portRef D (instanceRef r_wb_data2_1)) )) (net (rename data2_RNO_0 "data2_RNO[0]") (joined (portRef Y (instanceRef r_wb_data2_RNO_0)) (portRef D (instanceRef r_wb_data2_0)) )) (net (rename dmao_i_1_0 "dmao_i_1[15]") (joined (portRef dmao_i_1_0) (portRef B (instanceRef r_read_RNIUGH31)) (portRef B (instanceRef r_wb_data2_RNO_0)) (portRef B (instanceRef r_dstate_1_RNISBD13_4)) )) (net (rename data2_RNO_24 "data2_RNO[24]") (joined (portRef Y (instanceRef r_wb_data2_RNO_24)) (portRef D (instanceRef r_wb_data2_24)) )) (net (rename dmao_i_1_24 "dmao_i_1[39]") (joined (portRef dmao_i_1_24) (portRef B (instanceRef r_dstate_1_RNIM2GP3_4)) (portRef B (instanceRef r_wb_data2_RNO_24)) (portRef B (instanceRef r_read_RNIO7KR1)) )) (net (rename data2_RNO_26 "data2_RNO[26]") (joined (portRef Y (instanceRef r_wb_data2_RNO_26)) (portRef D (instanceRef r_wb_data2_26)) )) (net (rename data2_RNO_31 "data2_RNO[31]") (joined (portRef Y (instanceRef r_wb_data2_RNO_31)) (portRef D (instanceRef r_wb_data2_31)) )) (net (rename dmao_i_1_31 "dmao_i_1[46]") (joined (portRef dmao_i_1_31) (portRef B (instanceRef r_dstate_1_RNIQILU3_4)) (portRef B (instanceRef r_wb_data2_RNO_31)) (portRef B (instanceRef r_read_RNISNP02)) )) (net (rename data2_RNO_27 "data2_RNO[27]") (joined (portRef Y (instanceRef r_wb_data2_RNO_27)) (portRef D (instanceRef r_wb_data2_27)) )) (net (rename edata2_iv_27 "edata2_iv[27]") (joined (portRef (member edata2_iv 4)) (portRef B (instanceRef r_size_RNIB595F_1)) (portRef B (instanceRef r_wb_data1_RNO_0_27)) (portRef A (instanceRef r_wb_data2_RNO_27)) (portRef A (instanceRef r_xaddress_RNIT6KT7R3_27)) )) (net (rename data2_RNO_22 "data2_RNO[22]") (joined (portRef Y (instanceRef r_wb_data2_RNO_22)) (portRef D (instanceRef r_wb_data2_22)) )) (net (rename data2_RNO_20 "data2_RNO[20]") (joined (portRef Y (instanceRef r_wb_data2_RNO_20)) (portRef D (instanceRef r_wb_data2_20)) )) (net (rename data2_RNO_18 "data2_RNO[18]") (joined (portRef Y (instanceRef r_wb_data2_RNO_18)) (portRef D (instanceRef r_wb_data2_18)) )) (net (rename data2_RNO_12 "data2_RNO[12]") (joined (portRef Y (instanceRef r_wb_data2_RNO_12)) (portRef D (instanceRef r_wb_data2_12)) )) (net cfgsel_RNILM2N1 (joined (portRef cfgsel_RNILM2N1) (portRef B (instanceRef r_dstate_1_RNIK87D3_4)) (portRef B (instanceRef r_wb_data2_RNO_12)) (portRef B (instanceRef r_read_RNITL5P3)) )) (net (rename data2_RNO_4 "data2_RNO[4]") (joined (portRef Y (instanceRef r_wb_data2_RNO_4)) (portRef D (instanceRef r_wb_data2_4)) )) (net (rename edata2_0_iv_4 "edata2_0_iv[4]") (joined (portRef (member edata2_0_iv 19)) (portRef A (instanceRef r_size_RNIIJ0T7_1)) (portRef B (instanceRef r_wb_data1_RNO_0_4)) (portRef A (instanceRef r_wb_data2_RNO_4)) (portRef B (instanceRef r_dstate_RNIIRN27_1)) )) (net (rename hrdata_3 "hrdata[4]") (joined (portRef hrdata_3) (portRef B (instanceRef r_read_RNI0LSR1)) (portRef B (instanceRef r_wb_data2_RNO_4)) (portRef B (instanceRef r_dstate_1_RNIVGF33_4)) )) (net (rename data2_RNO_25 "data2_RNO[25]") (joined (portRef Y (instanceRef r_wb_data2_RNO_25)) (portRef D (instanceRef r_wb_data2_25)) )) (net N_2605 (joined (portRef Y (instanceRef r_cctrl_ics_RNO_0_0)) (portRef B (instanceRef r_cctrl_ics_RNO_0)) )) (net (rename data2_16 "data2[16]") (joined (portRef Q (instanceRef r_wb_data2_16)) (portRef A (instanceRef r_wb_data2_RNI3RNB_16)) (portRef A (instanceRef dctrl_v_wb_data1_1_i_a2_RNO_16)) )) (net holdn_RNIA71D (joined (portRef Y (instanceRef r_holdn_RNIA71D)) (portRef E (instanceRef r_size_1)) (portRef E (instanceRef r_size_0)) (portRef E (instanceRef r_asi_3)) (portRef E (instanceRef r_asi_2)) (portRef E (instanceRef r_asi_0)) (portRef S (instanceRef r_xaddress_RNO_3)) (portRef S (instanceRef r_xaddress_RNO_4)) (portRef S (instanceRef r_xaddress_RNO_5)) (portRef S (instanceRef r_xaddress_RNO_6)) (portRef S (instanceRef r_xaddress_RNO_7)) (portRef S (instanceRef r_xaddress_RNO_12)) (portRef S (instanceRef r_xaddress_RNO_13)) (portRef S (instanceRef r_xaddress_RNO_24)) (portRef S (instanceRef r_xaddress_RNO_15)) (portRef S (instanceRef r_xaddress_RNO_27)) (portRef S (instanceRef r_xaddress_RNO_16)) (portRef S (instanceRef r_xaddress_RNO_19)) (portRef S (instanceRef r_xaddress_RNO_20)) (portRef S (instanceRef r_xaddress_RNO_21)) )) (net (rename xaddress_RNO_11 "xaddress_RNO[11]") (joined (portRef Y (instanceRef r_xaddress_RNO_11)) (portRef D (instanceRef r_xaddress_11)) )) (net (rename addr_11 "addr[11]") (joined (portRef Q (instanceRef r_xaddress_11)) (portRef B (instanceRef r_xaddress_RNIBRNC4_11)) (portRef B (instanceRef r_xaddress_RNO_11)) (portRef B (instanceRef r_wb_addr_RNO_11)) (portRef addr_11) )) (net (rename xaddress_RNO_10 "xaddress_RNO[10]") (joined (portRef Y (instanceRef r_xaddress_RNO_10)) (portRef D (instanceRef r_xaddress_10)) )) (net (rename addr_10 "addr[10]") (joined (portRef Q (instanceRef r_xaddress_10)) (portRef B (instanceRef r_xaddress_RNI9RNC4_10)) (portRef B (instanceRef r_xaddress_RNO_10)) (portRef B (instanceRef r_wb_addr_RNO_10)) (portRef addr_10) )) (net (rename xaddress_RNO_17 "xaddress_RNO[17]") (joined (portRef Y (instanceRef r_xaddress_RNO_17)) (portRef D (instanceRef r_xaddress_17)) )) (net N_333 (joined (portRef Y (instanceRef r_xaddress_RNO_28)) (portRef D (instanceRef r_xaddress_28)) )) (net N_295 (joined (portRef Y (instanceRef r_xaddress_RNO_31)) (portRef D (instanceRef r_xaddress_31)) )) (net (rename addr_31 "addr[31]") (joined (portRef Q (instanceRef r_xaddress_31)) (portRef B (instanceRef r_xaddress_RNO_31)) (portRef B (instanceRef r_wb_addr_RNO_31)) (portRef addr_31) )) (net N_293 (joined (portRef Y (instanceRef r_xaddress_RNO_25)) (portRef D (instanceRef r_xaddress_25)) )) (net N_291 (joined (portRef Y (instanceRef r_xaddress_RNO_23)) (portRef D (instanceRef r_xaddress_23)) )) (net N_284 (joined (portRef Y (instanceRef r_xaddress_RNO_29)) (portRef D (instanceRef r_xaddress_29)) )) (net N_280 (joined (portRef Y (instanceRef r_xaddress_RNO_21)) (portRef D (instanceRef r_xaddress_21)) )) (net N_278 (joined (portRef Y (instanceRef r_xaddress_RNO_20)) (portRef D (instanceRef r_xaddress_20)) )) (net N_276 (joined (portRef Y (instanceRef r_xaddress_RNO_19)) (portRef D (instanceRef r_xaddress_19)) )) (net N_274 (joined (portRef Y (instanceRef r_xaddress_RNO_16)) (portRef D (instanceRef r_xaddress_16)) )) (net N_213 (joined (portRef Y (instanceRef r_xaddress_RNO_27)) (portRef D (instanceRef r_xaddress_27)) )) (net N_211 (joined (portRef Y (instanceRef r_xaddress_RNO_15)) (portRef D (instanceRef r_xaddress_15)) )) (net N_203 (joined (portRef Y (instanceRef r_xaddress_RNO_24)) (portRef D (instanceRef r_xaddress_24)) )) (net N_199 (joined (portRef Y (instanceRef r_xaddress_RNO_13)) (portRef D (instanceRef r_xaddress_13)) )) (net N_197 (joined (portRef Y (instanceRef r_xaddress_RNO_12)) (portRef D (instanceRef r_xaddress_12)) )) (net N_188 (joined (portRef Y (instanceRef r_faddr_RNO_7)) (portRef D (instanceRef r_faddr_7)) )) (net N_168 (joined (portRef Y (instanceRef r_cctrl_ics_RNO_0)) (portRef D (instanceRef r_cctrl_ics_0)) )) (net N_141 (joined (portRef Y (instanceRef r_xaddress_RNO_7)) (portRef D (instanceRef r_xaddress_7)) )) (net (rename xaddress_RNO_6 "xaddress_RNO[6]") (joined (portRef Y (instanceRef r_xaddress_RNO_6)) (portRef D (instanceRef r_xaddress_6)) )) (net (rename xaddress_RNO_5 "xaddress_RNO[5]") (joined (portRef Y (instanceRef r_xaddress_RNO_5)) (portRef D (instanceRef r_xaddress_5)) )) (net N_126 (joined (portRef Y (instanceRef r_xaddress_RNO_4)) (portRef D (instanceRef r_xaddress_4)) )) (net (rename diagdata_14 "diagdata[14]") (joined (portRef diagdata_14) (portRef A (instanceRef r_dstate_RNIO43T4_1_2)) )) (net u0_DOUTA3_2 (joined (portRef u0_DOUTA3_2) (portRef A (instanceRef r_dstate_RNITL725_0_2)) (portRef A (instanceRef r_size_RNI99MDN_1)) )) (net N_2851 (joined (portRef Y (instanceRef r_dstate_RNIP43T4_0_2)) (portRef N_2851) )) (net (rename diagdata_19 "diagdata[19]") (joined (portRef diagdata_19) (portRef A (instanceRef r_dstate_RNIP43T4_0_2)) )) (net u0_DOUTA2_2 (joined (portRef u0_DOUTA2_2) (portRef A (instanceRef r_dstate_RNITL725_2)) (portRef A (instanceRef r_size_RNIC0LBN_1)) )) (net (rename diagdata_18 "diagdata[18]") (joined (portRef diagdata_18) (portRef A (instanceRef r_dstate_RNIP43T4_1_2)) )) (net (rename hrdata_17 "hrdata[18]") (joined (portRef hrdata_17) (portRef B (instanceRef r_read_RNI5EBN1)) (portRef B (instanceRef r_dstate_1_RNI4AUU2_4)) (portRef B (instanceRef r_wb_data2_RNO_18)) )) (net u0_DOUTA1_0 (joined (portRef u0_DOUTA1_0) (portRef A (instanceRef r_dstate_RNIVL725_1_2)) (portRef A (instanceRef r_size_RNIVP8MQ_1)) )) (net (rename diagdata_25 "diagdata[25]") (joined (portRef diagdata_25) (portRef A (instanceRef r_dstate_RNIR43T4_2)) )) (net (rename dmao_i_1_25 "dmao_i_1[40]") (joined (portRef dmao_i_1_25) (portRef B (instanceRef r_read_RNIADJV1)) (portRef B (instanceRef r_dstate_1_RNI99673_4)) (portRef B (instanceRef r_wb_data2_RNO_25)) )) (net u0_DOUTA0_1 (joined (portRef u0_DOUTA0_1) (portRef A (instanceRef r_dstate_RNIUL725_1_2)) (portRef A (instanceRef r_size_RNI8O24N_1)) )) (net (rename diagdata_20 "diagdata[20]") (joined (portRef diagdata_20) (portRef A (instanceRef r_dstate_RNIQ43T4_2)) )) (net (rename hrdata_19 "hrdata[20]") (joined (portRef hrdata_19) (portRef B (instanceRef r_read_RNI6RQF1)) (portRef B (instanceRef r_dstate_1_RNI5NDN2_4)) (portRef B (instanceRef r_wb_data2_RNO_20)) )) (net u0_DOUTA0_3 (joined (portRef u0_DOUTA0_3) (portRef A (instanceRef r_dstate_RNISL725_2_2)) (portRef A (instanceRef r_read_RNION4PN)) )) (net N_2835 (joined (portRef Y (instanceRef r_dstate_RNIO43T4_0_2)) (portRef N_2835) )) (net (rename diagdata_12 "diagdata[12]") (joined (portRef diagdata_12) (portRef A (instanceRef r_dstate_RNIO43T4_0_2)) )) (net (rename data2_4 "data2[4]") (joined (portRef Q (instanceRef r_wb_data2_4)) (portRef A (instanceRef r_wb_data1_RNO_0_4)) (portRef A (instanceRef r_wb_data2_RNIP4VD_4)) )) (net u0_RD4_0 (joined (portRef u0_RD4_0) (portRef C (instanceRef r_nomds_RNIQU6E2_3)) (portRef A (instanceRef dctrl_0_hitv3_0_0_0_x2)) )) (net u0_RD12 (joined (portRef u0_RD12) (portRef C (instanceRef r_nomds_RNIQU6E2_0)) (portRef A (instanceRef dctrl_0_hitv3_8_0_0_x2)) )) (net u0_RD17 (joined (portRef u0_RD17) (portRef C (instanceRef r_nomds_RNIQU6E2)) (portRef A (instanceRef dctrl_0_hitv3_13_0_0_x2)) )) (net u0_RD10 (joined (portRef u0_RD10) (portRef C (instanceRef r_nomds_RNIQU6E2_1)) (portRef B (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_3)) )) (net u0_RD11 (joined (portRef u0_RD11) (portRef C (instanceRef r_nomds_RNIV7Q72)) (portRef A (instanceRef dctrl_0_hitv3_7_0)) )) (net u0_RD6 (joined (portRef u0_RD6) (portRef C (instanceRef r_nomds_RNIV7Q72_5)) (portRef A (instanceRef dctrl_0_hitv3_2_0_0_x2)) )) (net u0_DOUTA0_5 (joined (portRef u0_DOUTA0_5) (portRef A (instanceRef r_dstate_RNIQL725_1_2)) (portRef A (instanceRef r_read_RNINB3RB)) )) (net N_70 (joined (portRef Y (instanceRef r_stpend_RNI8TUJ7_0)) (portRef E (instanceRef r_wb_data1_31)) (portRef E (instanceRef r_wb_data1_30)) (portRef E (instanceRef r_wb_data1_29)) (portRef E (instanceRef r_wb_data1_28)) (portRef E (instanceRef r_wb_data1_27)) (portRef E (instanceRef r_wb_data1_26)) (portRef E (instanceRef r_wb_data1_25)) (portRef E (instanceRef r_wb_data1_24)) (portRef E (instanceRef r_wb_data1_9)) (portRef E (instanceRef r_wb_data1_8)) (portRef E (instanceRef r_wb_data1_7)) (portRef E (instanceRef r_wb_data1_6)) (portRef E (instanceRef r_wb_data1_5)) (portRef E (instanceRef r_wb_data1_4)) (portRef E (instanceRef r_wb_data1_3)) (portRef E (instanceRef r_wb_data1_2)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef Y (instanceRef r_faddr_RNIMVM8U_7)) (portRef (member faddr_rnimvm8u 0)) )) (net (rename taddr_7_11 "taddr_7[11]") (joined (portRef Y (instanceRef r_xaddress_RNIOD80U_11)) (portRef A (instanceRef r_faddr_RNIMVM8U_7)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef Y (instanceRef r_faddr_RNI49A0Q_6)) (portRef (member faddr_rni49a0q 0)) )) (net (rename taddr_7_10 "taddr_7[10]") (joined (portRef Y (instanceRef r_xaddress_RNI7NRNP_10)) (portRef A (instanceRef r_faddr_RNI49A0Q_6)) )) (net (rename faddr_6 "faddr[6]") (joined (portRef Q (instanceRef r_faddr_6)) (portRef B (instanceRef un1_r_faddr_I_31)) (portRef C (instanceRef un1_r_faddr_I_37)) (portRef B (instanceRef r_faddr_RNI49A0Q_6)) )) (net (rename eaddress_9 "eaddress[11]") (joined (portRef eaddress_9) (portRef A (instanceRef r_xaddress_RNIOD80U_11)) )) (net N_1754 (joined (portRef Y (instanceRef r_xaddress_RNIBRNC4_11)) (portRef B (instanceRef r_xaddress_RNIOD80U_11)) )) (net (rename eaddress_8 "eaddress[10]") (joined (portRef eaddress_8) (portRef A (instanceRef r_xaddress_RNI7NRNP_10)) )) (net N_1753 (joined (portRef Y (instanceRef r_xaddress_RNI9RNC4_10)) (portRef B (instanceRef r_xaddress_RNI7NRNP_10)) )) (net (rename faddr_1_6 "faddr_1[6]") (joined (portRef Y (instanceRef r_faddr_RNO_6)) (portRef D (instanceRef r_faddr_6)) )) (net I_31_0 (joined (portRef Y (instanceRef un1_r_faddr_I_31)) (portRef C (instanceRef r_faddr_RNO_6)) )) (net (rename faddr_1_5 "faddr_1[5]") (joined (portRef Y (instanceRef r_faddr_RNO_5)) (portRef D (instanceRef r_faddr_5)) )) (net I_24_0 (joined (portRef Y (instanceRef un1_r_faddr_I_24)) (portRef C (instanceRef r_faddr_RNO_5)) )) (net (rename faddr_1_4 "faddr_1[4]") (joined (portRef Y (instanceRef r_faddr_RNO_4)) (portRef D (instanceRef r_faddr_4)) )) (net I_20_0 (joined (portRef Y (instanceRef un1_r_faddr_I_20)) (portRef C (instanceRef r_faddr_RNO_4)) )) (net (rename faddr_1_3 "faddr_1[3]") (joined (portRef Y (instanceRef r_faddr_RNO_3)) (portRef D (instanceRef r_faddr_3)) )) (net I_13_5 (joined (portRef Y (instanceRef un1_r_faddr_I_13)) (portRef C (instanceRef r_faddr_RNO_3)) )) (net (rename faddr_1_2 "faddr_1[2]") (joined (portRef Y (instanceRef r_faddr_RNO_2)) (portRef D (instanceRef r_faddr_2)) )) (net I_9_0 (joined (portRef Y (instanceRef un1_r_faddr_I_9)) (portRef C (instanceRef r_faddr_RNO_2)) )) (net (rename faddr_1_1 "faddr_1[1]") (joined (portRef Y (instanceRef r_faddr_RNO_1)) (portRef D (instanceRef r_faddr_1)) )) (net I_5_0 (joined (portRef Y (instanceRef un1_r_faddr_I_5)) (portRef C (instanceRef r_faddr_RNO_1)) )) (net (rename faddr_1_0 "faddr_1[0]") (joined (portRef Y (instanceRef r_faddr_RNO_0)) (portRef D (instanceRef r_faddr_0)) )) (net (rename data1_1_8 "data1_1[8]") (joined (portRef Y (instanceRef r_wb_data1_RNO_8)) (portRef D (instanceRef r_wb_data1_8)) )) (net N_1668 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_8)) (portRef B (instanceRef r_wb_data1_RNO_8)) )) (net (rename data2_8 "data2[8]") (joined (portRef Q (instanceRef r_wb_data2_8)) (portRef A (instanceRef r_wb_data2_RNI2UI7_8)) (portRef A (instanceRef r_wb_data1_RNO_0_8)) )) (net (rename diagdata_24 "diagdata[24]") (joined (portRef diagdata_24) (portRef A (instanceRef r_dstate_RNIR43T4_0_2)) )) (net u0_DOUTA0_0 (joined (portRef u0_DOUTA0_0) (portRef A (instanceRef r_dstate_RNIVL725_2_2)) (portRef A (instanceRef r_size_RNI2QGHQ_1)) )) (net (rename data2_24 "data2[24]") (joined (portRef Q (instanceRef r_wb_data2_24)) (portRef A (instanceRef r_wb_data1_RNO_0_24)) (portRef A (instanceRef r_wb_data2_RNI4VNB_24)) )) (net (rename diagdata_22 "diagdata[22]") (joined (portRef diagdata_22) (portRef A (instanceRef dctrl_rdatav_0_1_0_iv_RNO_22)) )) (net u0_RD14 (joined (portRef u0_RD14) (portRef C (instanceRef dctrl_rdatav_0_1_0_iv_RNO_1_22)) (portRef B (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_7)) )) (net u0_DOUTA2_1 (joined (portRef u0_DOUTA2_1) (portRef A (instanceRef dctrl_rdatav_0_1_0_iv_RNO_4_22)) (portRef B (instanceRef r_xaddress_RNIE4398_0_0)) )) (net (rename diagdata_31 "diagdata[31]") (joined (portRef diagdata_31) (portRef A (instanceRef r_dstate_RNINP4T4_0_2)) )) (net u0_DOUTA3 (joined (portRef u0_DOUTA3) (portRef A (instanceRef r_dstate_RNI0M725_0_2)) (portRef A (instanceRef r_xaddress_RNIG4398_0)) )) (net (rename ico_m_32 "ico_m[164]") (joined (portRef Y (instanceRef r_dstate_RNINP4T4_2)) (portRef ico_m_32) )) (net (rename diagdata_30 "diagdata[30]") (joined (portRef diagdata_30) (portRef A (instanceRef r_dstate_RNINP4T4_2)) )) (net u0_RD0 (joined (portRef u0_RD0) (portRef C (instanceRef r_nomds_RNI08Q72_1)) (portRef A (instanceRef dctrl_0_hitv3_14_0)) )) (net (rename ics_RNO_1 "ics_RNO[1]") (joined (portRef Y (instanceRef r_cctrl_ics_RNO_1)) (portRef D (instanceRef r_cctrl_ics_1)) )) (net (rename ics_1_1 "ics_1[1]") (joined (portRef Y (instanceRef r_cctrl_ics_RNO_0_1)) (portRef B (instanceRef r_cctrl_ics_RNO_1)) )) (net (rename ics_2_1 "ics_2[1]") (joined (portRef Y (instanceRef r_cctrl_ics_RNO_1_1)) (portRef A (instanceRef r_cctrl_ics_RNO_0_1)) )) (net N_1621 (joined (portRef Y (instanceRef r_wb_addr_RNO_28)) (portRef D (instanceRef r_wb_addr_28)) )) (net (rename addr_28 "addr[28]") (joined (portRef Q (instanceRef r_xaddress_28)) (portRef B (instanceRef r_wb_addr_RNO_28)) (portRef B (instanceRef r_xaddress_RNO_28)) (portRef addr_28) )) (net u0_RD16 (joined (portRef u0_RD16) (portRef A (instanceRef dctrl_0_hitv3_12_0)) (portRef C (instanceRef r_nomds_RNIV7Q72_0)) )) (net u0_RD15 (joined (portRef u0_RD15) (portRef A (instanceRef dctrl_0_hitv3_11_0)) (portRef C (instanceRef r_nomds_RNIV7Q72_1)) )) (net u0_RD4 (joined (portRef u0_RD4) (portRef A (instanceRef dctrl_0_hitv3_18_0)) (portRef C (instanceRef r_nomds_RNI08Q72_0)) )) (net (rename dmao_i_1_15 "dmao_i_1[30]") (joined (portRef dmao_i_1_15) (portRef B (instanceRef r_read_RNIDJ562)) (portRef B (instanceRef r_dstate_1_RNIBE144_4)) (portRef B (instanceRef r_wb_data2_RNO_15)) )) (net (rename ico_m_17 "ico_m[149]") (joined (portRef Y (instanceRef r_dstate_RNIO43T4_2)) (portRef ico_m_17) )) (net (rename diagdata_15 "diagdata[15]") (joined (portRef diagdata_15) (portRef A (instanceRef r_dstate_RNIO43T4_2)) )) (net u0_RD7 (joined (portRef u0_RD7) (portRef C (instanceRef r_nomds_RNIV7Q72_3)) (portRef A (instanceRef dctrl_0_hitv3_3_0)) )) (net u0_DOUTA3_3 (joined (portRef u0_DOUTA3_3) (portRef A (instanceRef r_dstate_RNISL725_0_2)) (portRef A (instanceRef r_size_RNIFTFQN_1)) )) (net (rename data2_15 "data2[15]") (joined (portRef Q (instanceRef r_wb_data2_15)) (portRef A (instanceRef r_wb_data1_RNO_0_15)) (portRef A (instanceRef r_wb_data2_RNI2RNB_15)) )) (net (rename data1_1_27 "data1_1[27]") (joined (portRef Y (instanceRef r_wb_data1_RNO_27)) (portRef D (instanceRef r_wb_data1_27)) )) (net N_1687 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_27)) (portRef B (instanceRef r_wb_data1_RNO_27)) )) (net (rename data1_1_15 "data1_1[15]") (joined (portRef Y (instanceRef r_wb_data1_RNO_15)) (portRef D (instanceRef r_wb_data1_15)) )) (net N_1675 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_15)) (portRef B (instanceRef r_wb_data1_RNO_15)) )) (net u0_RD5 (joined (portRef u0_RD5) (portRef C (instanceRef r_nomds_RNIV7Q72_7)) (portRef B (instanceRef dctrl_0_un15_validrawv_0_a2_1_a2_5)) )) (net (rename hrdata_7 "hrdata[8]") (joined (portRef hrdata_7) (portRef B (instanceRef r_read_RNIRBD71)) (portRef B (instanceRef r_dstate_1_RNIP6953_4)) (portRef B (instanceRef r_wb_data2_RNO_8)) )) (net (rename diagdata_8 "diagdata[8]") (joined (portRef diagdata_8) (portRef A (instanceRef r_dstate_RNIIP4T4_0_2)) )) (net u0_RD5_0 (joined (portRef u0_RD5_0) (portRef C (instanceRef r_nomds_RNI08Q72)) (portRef A (instanceRef dctrl_0_hitv3_19_0)) )) (net u0_DOUTA0_4 (joined (portRef u0_DOUTA0_4) (portRef A (instanceRef r_dstate_RNIRL725_1_2)) (portRef A (instanceRef r_size_RNING06M_1)) )) (net (rename data1_1_24 "data1_1[24]") (joined (portRef Y (instanceRef r_wb_data1_RNO_24)) (portRef D (instanceRef r_wb_data1_24)) )) (net N_1684 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_24)) (portRef B (instanceRef r_wb_data1_RNO_24)) )) (net (rename data1_1_9 "data1_1[9]") (joined (portRef Y (instanceRef r_wb_data1_RNO_9)) (portRef D (instanceRef r_wb_data1_9)) )) (net N_1669 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_9)) (portRef B (instanceRef r_wb_data1_RNO_9)) )) (net (rename hrdata_0 "hrdata[1]") (joined (portRef hrdata_0) (portRef B (instanceRef r_read_RNI6P3N1)) (portRef B (instanceRef r_dstate_1_RNI4KVK3_4)) (portRef B (instanceRef r_wb_data2_RNO_1)) )) (net u0_RD1_0 (joined (portRef u0_RD1_0) (portRef A (instanceRef dctrl_0_genmux_un6_validrawv_2)) (portRef A (instanceRef r_valid_0_RNO_0_1)) (portRef C (instanceRef r_nomds_RNIV7Q72_6)) (portRef A (instanceRef r_xaddress_RNINB5C2_2_2)) )) (net (rename data1_1_4 "data1_1[4]") (joined (portRef Y (instanceRef r_wb_data1_RNO_4)) (portRef D (instanceRef r_wb_data1_4)) )) (net N_1664 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_4)) (portRef B (instanceRef r_wb_data1_RNO_4)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef Y (instanceRef r_faddr_RNI1ENTG_2)) (portRef (member faddr_rni1entg 0)) )) (net (rename taddr_7_6 "taddr_7[6]") (joined (portRef Y (instanceRef r_xaddress_RNI8S8LG_6)) (portRef A (instanceRef r_faddr_RNI1ENTG_2)) )) (net (rename faddr_2 "faddr[2]") (joined (portRef Q (instanceRef r_faddr_2)) (portRef B (instanceRef un1_r_faddr_I_9)) (portRef C (instanceRef un1_r_faddr_I_12)) (portRef C (instanceRef un1_r_faddr_I_16)) (portRef B (instanceRef r_faddr_RNI1ENTG_2)) )) (net (rename eaddress_4 "eaddress[6]") (joined (portRef eaddress_4) (portRef A (instanceRef r_xaddress_RNI8S8LG_6)) )) (net N_1749 (joined (portRef Y (instanceRef r_xaddress_RNIV85B4_6)) (portRef B (instanceRef r_xaddress_RNI8S8LG_6)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef Y (instanceRef r_xaddress_RNI8HC9C_3)) (portRef (member xaddress_rni8hc9c 0)) )) (net (rename eaddress_1 "eaddress[3]") (joined (portRef eaddress_1) (portRef A (instanceRef r_xaddress_RNI8HC9C_3)) )) (net N_1746 (joined (portRef Y (instanceRef r_xaddress_RNIPG4B4_3)) (portRef B (instanceRef r_xaddress_RNI8HC9C_3)) )) (net (rename data1_1_7 "data1_1[7]") (joined (portRef Y (instanceRef r_wb_data1_RNO_7)) (portRef D (instanceRef r_wb_data1_7)) )) (net N_1667 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_7)) (portRef B (instanceRef r_wb_data1_RNO_7)) )) (net (rename data1_1_5 "data1_1[5]") (joined (portRef Y (instanceRef r_wb_data1_RNO_5)) (portRef D (instanceRef r_wb_data1_5)) )) (net N_1665 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_5)) (portRef B (instanceRef r_wb_data1_RNO_5)) )) (net intack (joined (portRef intack) (portRef B (instanceRef r_cctrl_ics_RNO_1_1)) (portRef B (instanceRef r_cctrl_dcs_RNO_2_1)) )) (net (rename ics_1 "ics[1]") (joined (portRef Q (instanceRef r_cctrl_ics_1)) (portRef C (instanceRef r_cctrl_ics_RNO_1_1)) (portRef A (instanceRef r_cctrl_ics_RNIHOKU1_1)) (portRef (member ics 0)) )) (net (rename data1_1_2 "data1_1[2]") (joined (portRef Y (instanceRef r_wb_data1_RNO_2)) (portRef D (instanceRef r_wb_data1_2)) )) (net N_1662 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_2)) (portRef B (instanceRef r_wb_data1_RNO_2)) )) (net burst_RNO (joined (portRef Y (instanceRef r_cctrl_burst_RNO)) (portRef D (instanceRef r_cctrl_burst)) )) (net burst_RNO_0_0 (joined (portRef Y (instanceRef r_cctrl_burst_RNO_0)) (portRef B (instanceRef r_cctrl_burst_RNO)) )) (net (rename xaddress_2_3 "xaddress_2[3]") (joined (portRef Y (instanceRef r_xaddress_RNO_3)) (portRef D (instanceRef r_xaddress_3)) )) (net (rename edata2_0_iv_3 "edata2_0_iv[3]") (joined (portRef (member edata2_0_iv 20)) (portRef B (instanceRef r_size_RNI6PNT7_1)) (portRef B (instanceRef r_wb_data1_RNO_0_3)) (portRef A (instanceRef dctrl_vmask_0_1_0_3)) (portRef B (instanceRef r_dstate_RNI5TE37_1)) (portRef A (instanceRef r_wb_data2_RNO_3)) )) (net N_1777 (joined (portRef Y (instanceRef r_flush_RNI0P535)) (portRef A (instanceRef r_flush_RNIMRRHB22)) )) (net (rename vmask_0_5_3 "vmask_0_5[3]") (joined (portRef Y (instanceRef r_dstate_i_RNIKMST4_5)) (portRef B (instanceRef r_valid_0_RNO_0_3)) (portRef A (instanceRef r_flush_RNI0P535)) )) (net N_1776 (joined (portRef Y (instanceRef r_flush_RNIVO535)) (portRef A (instanceRef r_flush_RNID7RHB22)) )) (net (rename vmask_0_5_2 "vmask_0_5[2]") (joined (portRef Y (instanceRef r_dstate_i_RNIJMST4_5)) (portRef B (instanceRef r_valid_0_RNO_0_2)) (portRef A (instanceRef r_flush_RNIVO535)) )) (net N_1774 (joined (portRef Y (instanceRef r_flush_RNITO535)) (portRef A (instanceRef r_flush_RNIRUPHB22)) )) (net (rename vmask_0_5_0 "vmask_0_5[0]") (joined (portRef Y (instanceRef r_dstate_i_RNIHMST4_5)) (portRef B (instanceRef r_valid_0_RNO_0_0)) (portRef A (instanceRef r_flush_RNITO535)) )) (net (rename valid_0_1_3 "valid_0_1[3]") (joined (portRef Y (instanceRef r_valid_0_RNO_3)) (portRef D (instanceRef r_valid_0_3)) )) (net N_1893 (joined (portRef Y (instanceRef r_valid_0_RNO_0_3)) (portRef C (instanceRef r_valid_0_RNO_3)) )) (net (rename valid_0_1_2 "valid_0_1[2]") (joined (portRef Y (instanceRef r_valid_0_RNO_2)) (portRef D (instanceRef r_valid_0_2)) )) (net N_1892 (joined (portRef Y (instanceRef r_valid_0_RNO_0_2)) (portRef C (instanceRef r_valid_0_RNO_2)) )) (net (rename valid_0_1_1 "valid_0_1[1]") (joined (portRef Y (instanceRef r_valid_0_RNO_1)) (portRef D (instanceRef r_valid_0_1)) )) (net N_1891 (joined (portRef Y (instanceRef r_valid_0_RNO_0_1)) (portRef C (instanceRef r_valid_0_RNO_1)) )) (net (rename valid_0_1_0 "valid_0_1[0]") (joined (portRef Y (instanceRef r_valid_0_RNO_0)) (portRef D (instanceRef r_valid_0_0)) )) (net N_1890 (joined (portRef Y (instanceRef r_valid_0_RNO_0_0)) (portRef C (instanceRef r_valid_0_RNO_0)) )) (net u0_RD2_0 (joined (portRef u0_RD2_0) (portRef A (instanceRef r_xaddress_RNINB5C2_0_2)) (portRef B (instanceRef dctrl_0_genmux_un6_validrawv_1)) (portRef A (instanceRef r_valid_0_RNO_0_2)) (portRef B (instanceRef r_nomds_RNIQU6E2_5)) )) (net u0_RD0_0 (joined (portRef u0_RD0_0) (portRef A (instanceRef r_xaddress_RNINB5C2_2)) (portRef A (instanceRef dctrl_0_genmux_un6_validrawv_1)) (portRef A (instanceRef r_valid_0_RNO_0_0)) (portRef C (instanceRef r_nomds_RNIQU6E2_4)) )) (net (rename ico_m_18 "ico_m[150]") (joined (portRef Y (instanceRef r_dstate_RNIP43T4_2)) (portRef ico_m_18) )) (net (rename diagdata_16 "diagdata[16]") (joined (portRef diagdata_16) (portRef A (instanceRef r_dstate_RNIP43T4_2)) )) (net u0_RD8 (joined (portRef u0_RD8) (portRef C (instanceRef r_nomds_RNIV7Q72_2)) (portRef A (instanceRef dctrl_0_hitv3_4_0_0_x2)) )) (net u0_DOUTA0_2 (joined (portRef u0_DOUTA0_2) (portRef A (instanceRef r_dstate_RNITL725_1_2)) (portRef A (instanceRef r_size_RNIFQU8N_1)) )) (net (rename ico_m_5 "ico_m[137]") (joined (portRef Y (instanceRef r_dstate_RNIL43T4_2)) (portRef ico_m_5) )) (net (rename diagdata_3 "diagdata[3]") (joined (portRef diagdata_3) (portRef A (instanceRef r_dstate_RNIL43T4_2)) )) (net u0_RD3_0 (joined (portRef u0_RD3_0) (portRef A (instanceRef r_xaddress_RNINB5C2_1_2)) (portRef B (instanceRef dctrl_0_genmux_un6_validrawv_2)) (portRef C (instanceRef r_nomds_RNIV7Q72_4)) (portRef A (instanceRef r_valid_0_RNO_0_3)) )) (net u0_DOUTA3_6 (joined (portRef u0_DOUTA3_6) (portRef A (instanceRef r_dstate_RNIPL725_1_2)) (portRef A (instanceRef r_read_RNIVLI6B)) )) (net (rename data2_3 "data2[3]") (joined (portRef Q (instanceRef r_wb_data2_3)) (portRef A (instanceRef r_wb_data1_RNO_0_3)) (portRef A (instanceRef r_wb_data2_RNIT9I7_3)) )) (net flush_RNIMRRHB22 (joined (portRef Y (instanceRef r_flush_RNIMRRHB22)) (portRef flush_RNIMRRHB22) )) (net N_1771 (joined (portRef Y (instanceRef dctrl_vmask_0_1_0_3)) (portRef B (instanceRef r_flush_RNIMRRHB22)) )) (net flush_RNID7RHB22 (joined (portRef Y (instanceRef r_flush_RNID7RHB22)) (portRef flush_RNID7RHB22) )) (net N_1770 (joined (portRef Y (instanceRef dctrl_vmask_0_1_0_2)) (portRef B (instanceRef r_flush_RNID7RHB22)) )) (net flush_RNIRUPHB22 (joined (portRef Y (instanceRef r_flush_RNIRUPHB22)) (portRef flush_RNIRUPHB22) )) (net N_1768 (joined (portRef Y (instanceRef dctrl_vmask_0_1_0_0)) (portRef B (instanceRef r_flush_RNIRUPHB22)) )) (net (rename vmask_0_4_3 "vmask_0_4[3]") (joined (portRef Y (instanceRef r_xaddress_RNINB5C2_1_2)) (portRef A (instanceRef r_dstate_i_RNIKMST4_5)) )) (net N_1737 (joined (portRef Y (instanceRef r_valid_0_RNID1NG2_3)) (portRef B (instanceRef r_dstate_i_RNIKMST4_5)) )) (net (rename vmask_0_4_2 "vmask_0_4[2]") (joined (portRef Y (instanceRef r_xaddress_RNINB5C2_0_2)) (portRef A (instanceRef r_dstate_i_RNIJMST4_5)) )) (net N_1736 (joined (portRef Y (instanceRef r_valid_0_RNIC1NG2_2)) (portRef B (instanceRef r_dstate_i_RNIJMST4_5)) )) (net (rename vmask_0_4_0 "vmask_0_4[0]") (joined (portRef Y (instanceRef r_xaddress_RNINB5C2_2)) (portRef A (instanceRef r_dstate_i_RNIHMST4_5)) )) (net N_1734 (joined (portRef Y (instanceRef r_valid_0_RNIA1NG2_0)) (portRef B (instanceRef r_dstate_i_RNIHMST4_5)) )) (net (rename valid_0_3 "valid_0[3]") (joined (portRef Q (instanceRef r_valid_0_3)) (portRef B (instanceRef r_valid_0_RNID1NG2_3)) )) (net (rename valid_0_2 "valid_0[2]") (joined (portRef Q (instanceRef r_valid_0_2)) (portRef B (instanceRef r_valid_0_RNIC1NG2_2)) )) (net (rename valid_0_0 "valid_0[0]") (joined (portRef Q (instanceRef r_valid_0_0)) (portRef B (instanceRef r_valid_0_RNIA1NG2_0)) )) (net (rename data1_1_3 "data1_1[3]") (joined (portRef Y (instanceRef r_wb_data1_RNO_3)) (portRef D (instanceRef r_wb_data1_3)) )) (net N_1663 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_3)) (portRef B (instanceRef r_wb_data1_RNO_3)) )) (net (rename data1_1_0 "data1_1[0]") (joined (portRef Y (instanceRef r_wb_data1_RNO_0)) (portRef D (instanceRef r_wb_data1_0)) )) (net N_1660 (joined (portRef Y (instanceRef r_wb_data1_RNO_0_0)) (portRef B (instanceRef r_wb_data1_RNO_0)) )) (net N_1585 (joined (portRef Y (instanceRef dctrl_0_genmux_un6_validrawv_1)) (portRef A (instanceRef dctrl_0_genmux_un6_validrawv_3)) )) (net N_1586 (joined (portRef Y (instanceRef dctrl_0_genmux_un6_validrawv_2)) (portRef B (instanceRef dctrl_0_genmux_un6_validrawv_3)) )) (net flush_RNICD8ME (joined (portRef Y (instanceRef r_flush_RNICD8ME)) (portRef flush_RNICD8ME) )) (net valid_0_2_sqmuxa (joined (portRef Y (instanceRef r_dstate_i_RNIGKVHE_5)) (portRef E (instanceRef r_valid_0_3)) (portRef E (instanceRef r_valid_0_2)) (portRef E (instanceRef r_valid_0_1)) (portRef E (instanceRef r_valid_0_0)) )) (net N_232_i (joined (portRef Y (instanceRef r_nomds_RNIBK9H)) (portRef C (instanceRef r_nomds_RNIRGU903)) )) (net lrr_1_sqmuxa (joined (portRef Y (instanceRef r_flush2_RNO)) (portRef D (instanceRef r_flush2)) )) (net (rename dstate_nss_5 "dstate_nss[5]") (joined (portRef Y (instanceRef r_dstate_RNO_0)) (portRef D (instanceRef r_dstate_0)) )) (net burst_0 (joined (portRef Q (instanceRef r_cctrl_burst)) (portRef A (instanceRef r_cctrl_burst_RNO_0)) (portRef A (instanceRef r_cctrl_burst_RNI79TQ1)) (portRef burst_0) )) (net u0_DOUTA2 (joined (portRef u0_DOUTA2) (portRef A (instanceRef r_xaddress_RNIG4398_0_0)) (portRef A (instanceRef r_dstate_RNI0M725_1_2)) )) (net u0_DOUTA2_3 (joined (portRef u0_DOUTA2_3) (portRef A (instanceRef r_xaddress_RNIC4398_0)) (portRef A (instanceRef r_dstate_RNISL725_1_2)) )) (net u0_DOUTA3_4 (joined (portRef u0_DOUTA3_4) (portRef A (instanceRef r_xaddress_RNIB4398_0)) (portRef A (instanceRef r_wb_data2_RNI24FB9_11)) )) (net u0_DOUTA1_5 (joined (portRef u0_DOUTA1_5) (portRef A (instanceRef r_xaddress_RNIA4398_1)) (portRef A (instanceRef r_dstate_RNIAA0VA_2)) )) (net u0_DOUTA1_6 (joined (portRef u0_DOUTA1_6) (portRef A (instanceRef r_xaddress_RNI94398_1)) (portRef A (instanceRef r_dstate_RNIPL725_2_2)) )) (net enable (joined (portRef Q (instanceRef r_icenable)) (portRef enable) )) (net lock_0 (joined (portRef Q (instanceRef r_wb_lock)) (portRef B (instanceRef r_wb_lock_RNO_4)) (portRef lock_0) )) (net read_3 (joined (portRef Q (instanceRef r_wb_read)) (portRef B (instanceRef r_wb_read_RNIIUKQ6)) (portRef read_3) )) (net mexc_0 (joined (portRef Q (instanceRef r_mexc)) (portRef mexc_0) )) (net (rename size_2_0 "size_2[0]") (joined (portRef Q (instanceRef r_wb_size_0)) (portRef (member size_2 1)) )) (net (rename size_2_1 "size_2[1]") (joined (portRef Q (instanceRef r_wb_size_1)) (portRef (member size_2 0)) )) (net (rename asi_0_0 "asi_0[0]") (joined (portRef Q (instanceRef r_asi_0)) (portRef asi_0_0) )) (net (rename data_0 "data[0]") (joined (portRef Q (instanceRef r_wb_data1_0)) (portRef (member data 31)) )) (net (rename data_1 "data[1]") (joined (portRef Q (instanceRef r_wb_data1_1)) (portRef (member data 30)) )) (net (rename data_2 "data[2]") (joined (portRef Q (instanceRef r_wb_data1_2)) (portRef (member data 29)) )) (net (rename data_3 "data[3]") (joined (portRef Q (instanceRef r_wb_data1_3)) (portRef (member data 28)) )) (net (rename data_4 "data[4]") (joined (portRef Q (instanceRef r_wb_data1_4)) (portRef (member data 27)) )) (net (rename data_5 "data[5]") (joined (portRef Q (instanceRef r_wb_data1_5)) (portRef (member data 26)) )) (net (rename data_6 "data[6]") (joined (portRef Q (instanceRef r_wb_data1_6)) (portRef (member data 25)) )) (net (rename data_7 "data[7]") (joined (portRef Q (instanceRef r_wb_data1_7)) (portRef (member data 24)) )) (net (rename data_8 "data[8]") (joined (portRef Q (instanceRef r_wb_data1_8)) (portRef (member data 23)) )) (net (rename data_9 "data[9]") (joined (portRef Q (instanceRef r_wb_data1_9)) (portRef (member data 22)) )) (net (rename data_10 "data[10]") (joined (portRef Q (instanceRef r_wb_data1_10)) (portRef (member data 21)) )) (net (rename data_11 "data[11]") (joined (portRef Q (instanceRef r_wb_data1_11)) (portRef (member data 20)) )) (net (rename data_12 "data[12]") (joined (portRef Q (instanceRef r_wb_data1_12)) (portRef (member data 19)) )) (net (rename data_13 "data[13]") (joined (portRef Q (instanceRef r_wb_data1_13)) (portRef (member data 18)) )) (net (rename data_14 "data[14]") (joined (portRef Q (instanceRef r_wb_data1_14)) (portRef (member data 17)) )) (net (rename data_15 "data[15]") (joined (portRef Q (instanceRef r_wb_data1_15)) (portRef (member data 16)) )) (net (rename data_16 "data[16]") (joined (portRef Q (instanceRef r_wb_data1_16)) (portRef (member data 15)) )) (net (rename data_17 "data[17]") (joined (portRef Q (instanceRef r_wb_data1_17)) (portRef (member data 14)) )) (net (rename data_18 "data[18]") (joined (portRef Q (instanceRef r_wb_data1_18)) (portRef (member data 13)) )) (net (rename data_19 "data[19]") (joined (portRef Q (instanceRef r_wb_data1_19)) (portRef (member data 12)) )) (net (rename data_20 "data[20]") (joined (portRef Q (instanceRef r_wb_data1_20)) (portRef (member data 11)) )) (net (rename data_21 "data[21]") (joined (portRef Q (instanceRef r_wb_data1_21)) (portRef (member data 10)) )) (net (rename data_22 "data[22]") (joined (portRef Q (instanceRef r_wb_data1_22)) (portRef (member data 9)) )) (net (rename data_23 "data[23]") (joined (portRef Q (instanceRef r_wb_data1_23)) (portRef (member data 8)) )) (net (rename data_24 "data[24]") (joined (portRef Q (instanceRef r_wb_data1_24)) (portRef (member data 7)) )) (net (rename data_25 "data[25]") (joined (portRef Q (instanceRef r_wb_data1_25)) (portRef (member data 6)) )) (net (rename data_26 "data[26]") (joined (portRef Q (instanceRef r_wb_data1_26)) (portRef (member data 5)) )) (net (rename data_27 "data[27]") (joined (portRef Q (instanceRef r_wb_data1_27)) (portRef (member data 4)) )) (net (rename data_28 "data[28]") (joined (portRef Q (instanceRef r_wb_data1_28)) (portRef (member data 3)) )) (net (rename data_29 "data[29]") (joined (portRef Q (instanceRef r_wb_data1_29)) (portRef (member data 2)) )) (net (rename data_30 "data[30]") (joined (portRef Q (instanceRef r_wb_data1_30)) (portRef (member data 1)) )) (net (rename data_31 "data[31]") (joined (portRef Q (instanceRef r_wb_data1_31)) (portRef (member data 0)) )) (net (rename address_0 "address[0]") (joined (portRef Q (instanceRef r_wb_addr_0)) (portRef (member address 31)) )) (net (rename address_1 "address[1]") (joined (portRef Q (instanceRef r_wb_addr_1)) (portRef A (instanceRef r_wb_addr_RNO_0_1)) (portRef (member address 30)) )) (net (rename address_2 "address[2]") (joined (portRef Q (instanceRef r_wb_addr_2)) (portRef C (instanceRef r_wb_addr_RNO_0_2)) (portRef (member address 29)) )) (net (rename address_3 "address[3]") (joined (portRef Q (instanceRef r_wb_addr_3)) (portRef A (instanceRef r_wb_addr_RNO_3)) (portRef (member address 28)) )) (net (rename address_4 "address[4]") (joined (portRef Q (instanceRef r_wb_addr_4)) (portRef (member address 27)) )) (net (rename address_5 "address[5]") (joined (portRef Q (instanceRef r_wb_addr_5)) (portRef (member address 26)) )) (net (rename address_6 "address[6]") (joined (portRef Q (instanceRef r_wb_addr_6)) (portRef (member address 25)) )) (net (rename address_7 "address[7]") (joined (portRef Q (instanceRef r_wb_addr_7)) (portRef (member address 24)) )) (net (rename address_8 "address[8]") (joined (portRef Q (instanceRef r_wb_addr_8)) (portRef A (instanceRef r_wb_addr_RNO_0_8)) (portRef (member address 23)) )) (net (rename address_9 "address[9]") (joined (portRef Q (instanceRef r_wb_addr_9)) (portRef A (instanceRef r_wb_addr_RNO_0_9)) (portRef (member address 22)) )) (net (rename address_10 "address[10]") (joined (portRef Q (instanceRef r_wb_addr_10)) (portRef (member address 21)) )) (net (rename address_11 "address[11]") (joined (portRef Q (instanceRef r_wb_addr_11)) (portRef (member address 20)) )) (net (rename address_12 "address[12]") (joined (portRef Q (instanceRef r_wb_addr_12)) (portRef (member address 19)) )) (net (rename address_13 "address[13]") (joined (portRef Q (instanceRef r_wb_addr_13)) (portRef (member address 18)) )) (net (rename address_14 "address[14]") (joined (portRef Q (instanceRef r_wb_addr_14)) (portRef (member address 17)) )) (net (rename address_15 "address[15]") (joined (portRef Q (instanceRef r_wb_addr_15)) (portRef (member address 16)) )) (net (rename address_16 "address[16]") (joined (portRef Q (instanceRef r_wb_addr_16)) (portRef A (instanceRef r_wb_addr_RNO_0_16)) (portRef (member address 15)) )) (net (rename address_17 "address[17]") (joined (portRef Q (instanceRef r_wb_addr_17)) (portRef (member address 14)) )) (net (rename address_18 "address[18]") (joined (portRef Q (instanceRef r_wb_addr_18)) (portRef A (instanceRef r_wb_addr_RNO_0_18)) (portRef (member address 13)) )) (net (rename address_19 "address[19]") (joined (portRef Q (instanceRef r_wb_addr_19)) (portRef (member address 12)) )) (net (rename address_20 "address[20]") (joined (portRef Q (instanceRef r_wb_addr_20)) (portRef (member address 11)) )) (net (rename address_21 "address[21]") (joined (portRef Q (instanceRef r_wb_addr_21)) (portRef (member address 10)) )) (net (rename address_22 "address[22]") (joined (portRef Q (instanceRef r_wb_addr_22)) (portRef A (instanceRef r_wb_addr_RNO_0_22)) (portRef (member address 9)) )) (net (rename address_23 "address[23]") (joined (portRef Q (instanceRef r_wb_addr_23)) (portRef A (instanceRef r_wb_addr_RNO_0_23)) (portRef (member address 8)) )) (net (rename address_24 "address[24]") (joined (portRef Q (instanceRef r_wb_addr_24)) (portRef (member address 7)) )) (net (rename address_25 "address[25]") (joined (portRef Q (instanceRef r_wb_addr_25)) (portRef (member address 6)) )) (net (rename address_26 "address[26]") (joined (portRef Q (instanceRef r_wb_addr_26)) (portRef (member address 5)) )) (net (rename address_27 "address[27]") (joined (portRef Q (instanceRef r_wb_addr_27)) (portRef A (instanceRef r_wb_addr_RNO_0_27)) (portRef (member address 4)) )) (net (rename address_28 "address[28]") (joined (portRef Q (instanceRef r_wb_addr_28)) (portRef (member address 3)) )) (net (rename address_29 "address[29]") (joined (portRef Q (instanceRef r_wb_addr_29)) (portRef (member address 2)) )) (net (rename address_30 "address[30]") (joined (portRef Q (instanceRef r_wb_addr_30)) (portRef A (instanceRef r_wb_addr_RNO_30)) (portRef (member address 1)) )) (net (rename address_31 "address[31]") (joined (portRef Q (instanceRef r_wb_addr_31)) (portRef (member address 0)) )) (net N_4 (joined (portRef Y (instanceRef un1_r_faddr_I_37)) (portRef A (instanceRef un1_r_faddr_I_38)) )) (net (rename DWACT_FINC_E_2 "DWACT_FINC_E[2]") (joined (portRef Y (instanceRef un1_r_faddr_I_34)) (portRef B (instanceRef un1_r_faddr_I_37)) )) (net N_9 (joined (portRef Y (instanceRef un1_r_faddr_I_30)) (portRef A (instanceRef un1_r_faddr_I_31)) )) (net (rename DWACT_FINC_E_1 "DWACT_FINC_E[1]") (joined (portRef Y (instanceRef un1_r_faddr_I_27)) (portRef B (instanceRef un1_r_faddr_I_30)) )) (net N_14 (joined (portRef Y (instanceRef un1_r_faddr_I_23)) (portRef A (instanceRef un1_r_faddr_I_24)) )) (net N_22 (joined (portRef Y (instanceRef un1_r_faddr_I_12)) (portRef A (instanceRef un1_r_faddr_I_13)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property dsu (integer 1)) (property dcen (integer 1)) (property drepl (integer 0)) (property dsets (integer 1)) (property dlinesize (integer 4)) (property dsetsize (integer 4)) (property dsetlock (integer 0)) (property dsnoop (integer 0)) (property dlram (integer 0)) (property dlramsize (integer 1)) (property dlramstart (integer 143)) (property ilram (integer 0)) (property ilramstart (integer 142)) (property itlbnum (integer 2)) (property dtlbnum (integer 2)) (property tlb_type (integer 1)) (property memtech (integer 10)) (property cached (integer 0)) (property mmupgsz (integer 0)) (property smp (integer 0)) (property mmuen (integer 0)) ) ) (cell mmu_icache (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename vaddress_rnie2gp4s1 "vaddress_RNIE2GP4S1[14:14]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnim2gp4s1 "vaddress_RNIM2GP4S1[18:18]") 1) (direction OUTPUT)) (port (array (rename istate_rni1pdn1 "istate_RNI1PDN1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnia2gp4s1 "vaddress_RNIA2GP4S1[12:12]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnio2gp4s1 "vaddress_RNIO2GP4S1[19:19]") 1) (direction OUTPUT)) (port (array (rename vaddress_rniiagp4s1 "vaddress_RNIIAGP4S1[23:23]") 1) (direction OUTPUT)) (port (array (rename istate_rnibnm52 "istate_RNIBNM52[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnij9ep1 "istate_RNIJ9EP1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni6slv1 "istate_RNI6SLV1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniptli1 "istate_RNIPTLI1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnic2gp4s1 "vaddress_RNIC2GP4S1[13:13]") 1) (direction OUTPUT)) (port (array (rename istate_rni6poa2 "istate_RNI6POA2[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniu0op1 "istate_RNIU0OP1[1:1]") 1) (direction OUTPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction OUTPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction OUTPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction OUTPUT)) (port (array (rename istate_rnilk561 "istate_RNILK561[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnieaoe1 "istate_RNIEAOE1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni4j0a1 "istate_RNI4J0A1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnir8hu1 "istate_RNIR8HU1[1:1]") 1) (direction OUTPUT)) (port (array (rename hrdatas_rnio2l41 "hrdatas_RNIO2L41[5:5]") 1) (direction INPUT)) (port (array (rename istate_rnikgrl1 "istate_RNIKGRL1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnim32a1 "istate_RNIM32A1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniqf2a1 "istate_RNIQF2A1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnicvqe1 "istate_RNICVQE1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniabmh1 "istate_RNIABMH1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnihle12 "istate_RNIHLE12[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni6u762 "istate_RNI6U762[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniq3pk1 "istate_RNIQ3PK1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnifnt02 "istate_RNIFNT02[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rninas02 "istate_RNINAS02[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnii2gp4s1 "vaddress_RNII2GP4S1[16:16]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnik2gp4s1 "vaddress_RNIK2GP4S1[17:17]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnicagp4s1 "vaddress_RNICAGP4S1[20:20]") 1) (direction OUTPUT)) (port (array (rename istate_rnit9tf1 "istate_RNIT9TF1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnigagp4s1 "vaddress_RNIGAGP4S1[22:22]") 1) (direction OUTPUT)) (port (array (rename istate_rnijmmr1 "istate_RNIJMMR1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni57t02 "istate_RNI57T02[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnifuph2 "istate_RNIFUPH2[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnil1742 "istate_RNIL1742[1:1]") 1) (direction OUTPUT)) (port un39_res_3 (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction OUTPUT)) (port (array (rename istate_rningdn1 "istate_RNINGDN1[1:1]") 1) (direction OUTPUT)) (port (array (rename address "address[31:2]") 30) (direction OUTPUT)) (port (array (rename istate_rniltpp1 "istate_RNILTPP1[1:1]") 1) (direction OUTPUT)) (port (array (rename addr "addr[11:2]") 10) (direction INPUT)) (port (array (rename istate_rnihpj22 "istate_RNIHPJ22[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnib7t42 "istate_RNIB7T42[1:1]") 1) (direction OUTPUT)) (port dmao_i_1_25 (direction INPUT)) (port dmao_i_1_0 (direction INPUT)) (port dmao_i_1_15 (direction INPUT)) (port dmao_i_1_28 (direction INPUT)) (port dmao_i_1_31 (direction INPUT)) (port dmao_i_1_24 (direction INPUT)) (port dmao_i_1_26 (direction INPUT)) (port dmao_i_1_30 (direction INPUT)) (port dmao_i_1_29 (direction INPUT)) (port dmao_i_1_17 (direction INPUT)) (port dmao_i_1_14 (direction INPUT)) (port dmao_i_1_22 (direction INPUT)) (port (array (rename istate_rnijllk1 "istate_RNIJLLK1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnig2gp4s1 "vaddress_RNIG2GP4S1[15:15]") 1) (direction OUTPUT)) (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnitkdp1 "istate_RNITKDP1[1:1]") 1) (direction OUTPUT)) (port hrdata_17 (direction INPUT)) (port hrdata_18 (direction INPUT)) (port hrdata_0 (direction INPUT)) (port hrdata_2 (direction INPUT)) (port hrdata_3 (direction INPUT)) (port hrdata_6 (direction INPUT)) (port hrdata_8 (direction INPUT)) (port hrdata_10 (direction INPUT)) (port hrdata_15 (direction INPUT)) (port hrdata_19 (direction INPUT)) (port hrdata_1 (direction INPUT)) (port hrdata_22 (direction INPUT)) (port hrdata_26 (direction INPUT)) (port hrdata_5 (direction INPUT)) (port hrdata_9 (direction INPUT)) (port hrdata_7 (direction INPUT)) (port hrdata_20 (direction INPUT)) (port (array (rename data_0 "data_0[31:0]") 32) (direction OUTPUT)) (port diagdata_14 (direction OUTPUT)) (port diagdata_18 (direction OUTPUT)) (port diagdata_12 (direction OUTPUT)) (port diagdata_19 (direction OUTPUT)) (port diagdata_25 (direction OUTPUT)) (port diagdata_0 (direction OUTPUT)) (port diagdata_3 (direction OUTPUT)) (port diagdata_1 (direction OUTPUT)) (port diagdata_8 (direction OUTPUT)) (port diagdata_9 (direction OUTPUT)) (port diagdata_15 (direction OUTPUT)) (port diagdata_16 (direction OUTPUT)) (port diagdata_28 (direction OUTPUT)) (port diagdata_31 (direction OUTPUT)) (port diagdata_20 (direction OUTPUT)) (port diagdata_22 (direction OUTPUT)) (port diagdata_24 (direction OUTPUT)) (port diagdata_30 (direction OUTPUT)) (port diagdata_29 (direction OUTPUT)) (port diagdata_23 (direction OUTPUT)) (port diagdata_2 (direction OUTPUT)) (port diagdata_27 (direction OUTPUT)) (port diagdata_17 (direction OUTPUT)) (port diagdata_26 (direction OUTPUT)) (port diagdata_13 (direction OUTPUT)) (port diagdata_21 (direction OUTPUT)) (port (array (rename vaddress_rnieagp4s1 "vaddress_RNIEAGP4S1[21:21]") 1) (direction OUTPUT)) (port npc1_0 (direction INPUT)) (port npc1_3 (direction INPUT)) (port npc0_i_3 (direction INPUT)) (port npc0_i_0 (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction OUTPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction OUTPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction OUTPUT)) (port rpc_9 (direction INPUT)) (port rpc_3 (direction INPUT)) (port rpc_8 (direction INPUT)) (port rpc_1 (direction INPUT)) (port rpc_0 (direction INPUT)) (port rpc_6 (direction INPUT)) (port rpc_7 (direction INPUT)) (port rpc_4 (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction OUTPUT)) (port (array (rename maddress "maddress[31:0]") 32) (direction INPUT)) (port (array (rename rstate_0_rnivpn36 "rstate_0_RNIVPN36[1:1]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a0_3 "vitdatain_0_1_a0_3[23:23]") 1) (direction INPUT)) (port (array (rename fpc "fpc[31:2]") 30) (direction INPUT)) (port (array (rename ics "ics[1:0]") 2) (direction INPUT)) (port (array (rename rstate_li_0 "rstate_li_0[0:0]") 1) (direction INPUT)) (port (array (rename eaddress "eaddress[31:31]") 1) (direction INPUT)) (port (array (rename asi "asi[0:0]") 1) (direction INPUT)) (port (array (rename un1_p0_2_0 "un1_p0_2_0[148:148]") 1) (direction OUTPUT)) (port diagrdy (direction OUTPUT)) (port u0_DOUTA2_11 (direction INPUT)) (port u0_DOUTA2_10 (direction INPUT)) (port u0_RD10_0 (direction INPUT)) (port N_892 (direction OUTPUT)) (port N_894 (direction OUTPUT)) (port u0_DOUTA0_11 (direction INPUT)) (port u0_DOUTA3_10 (direction INPUT)) (port u0_DOUTA1_8 (direction INPUT)) (port cfgsel_RNILM2N1 (direction INPUT)) (port cfgsel_RNIFO4S1 (direction INPUT)) (port u0_RD11_0 (direction INPUT)) (port u0_DOUTA0_14 (direction INPUT)) (port u0_DOUTA3_14 (direction INPUT)) (port u0_DOUTA1_14 (direction INPUT)) (port u0_DOUTA3_11 (direction INPUT)) (port u0_DOUTA0_13 (direction INPUT)) (port u0_DOUTA1_13 (direction INPUT)) (port u0_DOUTA2_13 (direction INPUT)) (port u0_DOUTA3_13 (direction INPUT)) (port u0_DOUTA1_12 (direction INPUT)) (port u0_DOUTA3_12 (direction INPUT)) (port u0_DOUTA0_10 (direction INPUT)) (port u0_DOUTA0_7 (direction INPUT)) (port u0_DOUTA3_7 (direction INPUT)) (port u0_RD2_1 (direction INPUT)) (port N_898 (direction OUTPUT)) (port u0_DOUTA0_9 (direction INPUT)) (port u0_RD8_0 (direction INPUT)) (port u0_RD12_0 (direction INPUT)) (port N_891 (direction OUTPUT)) (port N_893 (direction OUTPUT)) (port N_895 (direction OUTPUT)) (port N_896 (direction OUTPUT)) (port u0_DOUTA2_9 (direction INPUT)) (port u0_DOUTA0_8 (direction INPUT)) (port u0_DOUTA2_7 (direction INPUT)) (port u0_RD14_0 (direction INPUT)) (port u0_RD16_0 (direction INPUT)) (port u0_RD4_1 (direction INPUT)) (port hold_0 (direction OUTPUT)) (port N_897 (direction OUTPUT)) (port u0_DOUTA1_7 (direction INPUT)) (port flush2_RNI5NKK2 (direction OUTPUT)) (port flush2_RNI3JKK2 (direction OUTPUT)) (port flush2_RNIVAKK2 (direction OUTPUT)) (port u0_RD3_2 (direction INPUT)) (port u0_RD1_2 (direction INPUT)) (port u0_RD0_2 (direction INPUT)) (port lb_0_sqmuxa_2 (direction OUTPUT)) (port flush2_RNI1FKK2 (direction OUTPUT)) (port u0_DOUTA3_9 (direction INPUT)) (port u0_DOUTA2_14 (direction INPUT)) (port u0_RD2_2 (direction INPUT)) (port u0_DOUTA3_8 (direction INPUT)) (port u0_RD1_1 (direction INPUT)) (port fbranch (direction INPUT)) (port rbranch (direction INPUT)) (port u0_DOUTA1_10 (direction INPUT)) (port u0_DOUTA2_8 (direction INPUT)) (port u0_RD0_1 (direction INPUT)) (port u0_DOUTA2_12 (direction INPUT)) (port u0_DOUTA1_11 (direction INPUT)) (port u0_RD5_1 (direction INPUT)) (port u0_DOUTA0_12 (direction INPUT)) (port read (direction INPUT)) (port flush2_RNICRKB7 (direction OUTPUT)) (port u0_DOUTA1_9 (direction INPUT)) (port u0_RD7_0 (direction INPUT)) (port u0_RD6_0 (direction INPUT)) (port un18_hold_pc (direction INPUT)) (port annul_1 (direction INPUT)) (port de_hold_pc_1 (direction INPUT)) (port flush_0_sqmuxa_6 (direction INPUT)) (port un16_casaen_0_1 (direction INPUT)) (port un1_addout_27 (direction INPUT)) (port mds (direction OUTPUT)) (port grant (direction INPUT)) (port inull (direction INPUT)) (port un1_rabpmisstt_b0_i_a3_0_0 (direction INPUT)) (port un18_hold_pc_2 (direction INPUT)) (port rd_1_NE (direction INPUT)) (port flush_0 (direction OUTPUT)) (port u0_RD4_2 (direction INPUT)) (port u0_RD9_0 (direction INPUT)) (port u0_RD13_0 (direction INPUT)) (port u0_RD15_0 (direction INPUT)) (port u0_RD17_0 (direction INPUT)) (port u0_RD3_1 (direction INPUT)) (port u0_RD5_2 (direction INPUT)) (port read_0 (direction INPUT)) (port req (direction OUTPUT)) (port N_5711 (direction INPUT)) (port ba (direction INPUT)) (port hcache (direction INPUT)) (port mexc (direction INPUT)) (port hold (direction INPUT)) (port tdiagwrite_3_0_m6_e (direction INPUT)) (port un16_casaen_0_0 (direction INPUT)) (port ready (direction INPUT)) (port faddr_1_sqmuxa_m2_0 (direction OUTPUT)) (port rst (direction INPUT)) (port un1_addout_28_10 (direction INPUT)) (port burst_0 (direction INPUT)) (port burst (direction OUTPUT)) (port flush (direction INPUT)) (port newptag_sn_m2_i_o2_0_m3 (direction INPUT)) (port un1_ici (direction OUTPUT)) (port holdn (direction OUTPUT)) (port cdwrite_0_sqmuxa_i_0_0 (direction OUTPUT)) (port enable (direction INPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename r_flush2_0_0 "r.flush2_0_0") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_istate_RNIJGCD_1 "r.istate_RNIJGCD[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_istate_RNIQARG_1 "r.istate_RNIQARG[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_istate_0_0 "r.istate_0[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_istate_RNIVR9M_1 "r.istate_RNIVR9M[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_istate_RNIVGV8B1_1 "r.istate_RNIVGV8B1[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_istate_RNIVGV8B1_1_1 "r.istate_RNIVGV8B1_1[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_holdn_RNIFCHA_0 "r.holdn_RNIFCHA_0") (viewRef prim (cellRef CLKINT (libraryRef PA3))) ) (instance (rename un1_r_faddr_I_8 "un1_r.faddr_I_8") (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_19 "un1_r.faddr_I_19") (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance taddr_0_sqmuxa_1_0_o2 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance taddr_1_sqmuxa (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename ictrl_un1_ici_m2_i_0 "ictrl.un1_ici_m2_i_0") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance taddr_1_sqmuxa_RNO (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_flush_RNO_1 "r.flush_RNO_1") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_underrun_RNO_2 "r.underrun_RNO_2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ictrl_un1_ici_m2_i_0_0 "ictrl.un1_ici_m2_i_0_0") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_burst_RNI76RO1 "r.burst_RNI76RO1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_burst_RNINVI8 "r.burst_RNINVI8") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_flush_RNO_0 "r.flush_RNO_0") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_flush_RNO_2 "r.flush_RNO_2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename ictrl_un1_ici_m2_i_a0_0 "ictrl.un1_ici_m2_i_a0_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_underrun_RNID64J1 "r.underrun_RNID64J1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_overrun_RNI719J1 "r.overrun_RNI719J1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_flush_RNO_3 "r.flush_RNO_3") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_flush_RNO_5 "r.flush_RNO_5") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename ictrl_un1_ici_m2_i_a1_0 "ictrl.un1_ici_m2_i_a1_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_flush2_RNIP0513V "r.flush2_RNIP0513V") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_flush2_RNI9U2ORU "r.flush2_RNI9U2ORU") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_flush2_RNIQS0B95 "r.flush2_RNIQS0B95") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_flush2_RNIOMSI42 "r.flush2_RNIOMSI42") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename v_faddr_1_sqmuxa_m8_i_a3_0 "v.faddr_1_sqmuxa_m8_i_a3_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_istate_RNIP02C_1 "r.istate_RNIP02C[1]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_istate_RNO_0_1 "r.istate_RNO_0[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_istate_RNO_1_1 "r.istate_RNO_1[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_hit_RNIGD6Q3 "r.hit_RNIGD6Q3") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_hit_RNIR0VV "r.hit_RNIR0VV") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename ictrl_twrite_3_iv_0 "ictrl.twrite_3_iv_0") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_burst_RNO_7 "r.burst_RNO_7") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_underrun_RNIUQ18 "r.underrun_RNIUQ18") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_burst_RNO_6 "r.burst_RNO_6") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename ictrl_cdwrite_4_m_0_0 "ictrl.cdwrite_4_m_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_flush_RNIBQQA3 "r.flush_RNIBQQA3") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ictrl_hit_1_17 "ictrl.hit_1_17") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_flush_RNISE571 "r.flush_RNISE571") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename ictrl_hit_1_14 "ictrl.hit_1_14") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ictrl_hit_1_12 "ictrl.hit_1_12") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ictrl_hit_1_11 "ictrl.hit_1_11") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_flush_RNI2UKU "r.flush_RNI2UKU") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ictrl_hit_1_9 "ictrl.hit_1_9") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename ictrl_hit_1_7 "ictrl.hit_1_7") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename ictrl_hit_1_6 "ictrl.hit_1_6") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename ictrl_hit_1_5 "ictrl.hit_1_5") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename ictrl_hit_1_3 "ictrl.hit_1_3") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_flush_RNIBMND "r.flush_RNIBMND") (viewRef prim (cellRef XA1C (libraryRef PA3))) ) (instance (rename r_hit_RNIR3C95 "r.hit_RNIR3C95") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_holdn_RNO_1 "r.holdn_RNO_1") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_holdn_RNO_5 "r.holdn_RNO_5") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_holdn_RNO_4 "r.holdn_RNO_4") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename ictrl_un1_ici_m2_i_a0 "ictrl.un1_ici_m2_i_a0") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_flush2_RNI2V7PUT1 "r.flush2_RNI2V7PUT1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_flush2_RNIGUGHO4 "r.flush2_RNIGUGHO4") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_flush_RNO "r.flush_RNO") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename ictrl_un2_eholdn_1 "ictrl.un2_eholdn_1") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_underrun_RNISLRNE1 "r.underrun_RNISLRNE1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_burst_RNO_1 "r.burst_RNO_1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_burst_RNO_4 "r.burst_RNO_4") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_burst_RNO_3 "r.burst_RNO_3") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_flush2_0_0_RNI6KDT "r.flush2_0_0_RNI6KDT") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_flush_RNI61DP6 "r.flush_RNI61DP6") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_underrun_RNO_1 "r.underrun_RNO_1") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_overrun_RNILHQIC1 "r.overrun_RNILHQIC1") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_istate_0_RNIJHAN1_0 "r.istate_0_RNIJHAN1[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_faddr_RNIQ4L31_7 "r.faddr_RNIQ4L31[7]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_istate_RNIUSTR1_1 "r.istate_RNIUSTR1[1]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance taddr_1_sqmuxa_RNIVO7T (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_faddr_RNI19I00U1_7 "r.faddr_RNI19I00U1[7]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_flush_RNIN6PE5 "r.flush_RNIN6PE5") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_istate_RNINK7HD_1 "r.istate_RNINK7HD[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_flush2_RNI1JJS3R "r.flush2_RNI1JJS3R") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_flush2_RNIF12DIP "r.flush2_RNIF12DIP") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_hit_RNIR2PJ "r.hit_RNIR2PJ") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_flush_RNO_4 "r.flush_RNO_4") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_flush2_RNIAUFPG "r.flush2_RNIAUFPG") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ictrl_un1_icitt_m1_e "ictrl.un1_icitt_m1_e") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_faddr_RNIFA34UD_7 "r.faddr_RNIFA34UD[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_faddr_RNIDA021_7 "r.faddr_RNIDA021[7]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_vaddress_RNIROSOSC_6 "r.vaddress_RNIROSOSC[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNI73AH1_6 "r.vaddress_RNI73AH1[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNIFUHR1D_8 "r.vaddress_RNIFUHR1D[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_faddr_RNI069T_4 "r.faddr_RNI069T[4]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_faddr_RNIC5GRID_6 "r.faddr_RNIC5GRID[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_faddr_RNIAA021_6 "r.faddr_RNIAA021[6]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_faddr_RNIR9J23D_3 "r.faddr_RNIR9J23D[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_faddr_RNITT8T_3 "r.faddr_RNITT8T[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_vaddress_RNIH15UOC_5 "r.vaddress_RNIH15UOC[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_faddr_RNIND8T_1 "r.faddr_RNIND8T[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_flush2_0_0_RNI6HB41 "r.flush2_0_0_RNI6HB41") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance taddr_1_sqmuxa_RNISCE0LC (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNIKPHTL1_4 "r.vaddress_RNIKPHTL1[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance taddr_1_sqmuxa_RNIVRB652 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_vaddress_RNII4FLBD_9 "r.vaddress_RNII4FLBD[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNIG7BH1_9 "r.vaddress_RNIG7BH1[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance taddr_1_sqmuxa_RNIJ9QU2 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_vaddress_RNIOQU01D_7 "r.vaddress_RNIOQU01D[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNI9PO2P1_7 "r.vaddress_RNI9PO2P1[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNIO2BDK1_4 "r.vaddress_RNIO2BDK1[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_0_RNI034942_0 "r.istate_0_RNI034942[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_flush2_RNIQF7AG "r.flush2_RNIQF7AG") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename v_faddr_1_sqmuxa_m8_i_a4_0_0 "v.faddr_1_sqmuxa_m8_i_a4_0_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename ictrl_un2_eholdn "ictrl.un2_eholdn") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_2_0 "ictrl.0.hit4_2_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_3_0 "ictrl.0.hit4_3_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_burst_RNO_5 "r.burst_RNO_5") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_burst_RNO_2 "r.burst_RNO_2") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_istate_0_RNIOG3J6_0 "r.istate_0_RNIOG3J6[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_burst_RNO "r.burst_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_burst_RNO_0 "r.burst_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIT2BN_21 "r.vaddress_RNIT2BN[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNIEAGP4S1_21 "r.vaddress_RNIEAGP4S1[21]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_istate_RNI8TM01_2_1 "r.istate_RNI8TM01_2[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_0_RNISLEE1_0 "r.istate_0_RNISLEE1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNITKDP1_1 "r.istate_RNITKDP1[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIQL7E6_1 "r.istate_RNIQL7E6[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNIVQAN_15 "r.vaddress_RNIVQAN[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNIG2GP4S1_15 "r.vaddress_RNIG2GP4S1[15]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_istate_RNIVR9M_0_1 "r.istate_RNIVR9M_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_flush2_RNICRKB7 "r.flush2_RNICRKB7") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_flush2_RNI6HLV5 "r.flush2_RNI6HLV5") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_istate_0_RNIFRGS_0 "r.istate_0_RNIFRGS[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIJLLK1_1 "r.istate_RNIJLLK1[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIB7T42_1 "r.istate_RNIB7T42[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_flush_RNI0U5C "r.flush_RNI0U5C") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_istate_RNI6TM01_2_1 "r.istate_RNI6TM01_2[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_0_RNIAGN61_0 "r.istate_0_RNIAGN61[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI4IO01_0_1 "r.istate_RNI4IO01_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI7TM01_2_1 "r.istate_RNI7TM01_2[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_0_RNICUKN1_0 "r.istate_0_RNICUKN1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIHPJ22_1 "r.istate_RNIHPJ22[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_overrun_RNI57CLND "r.overrun_RNI57CLND") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI5UVI_1 "r.istate_RNI5UVI[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNI97TPVC_8 "r.vaddress_RNI97TPVC[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI4IO01_1 "r.istate_RNI4IO01[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNILTPP1_1 "r.istate_RNILTPP1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI3TM01_2_1 "r.istate_RNI3TM01_2[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_req_RNO_0 "r.req_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_req_RNO "r.req_RNO") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_burst_RNO_8 "r.burst_RNO_8") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_waddress_RNIG68G1_3 "r.waddress_RNIG68G1[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_underrun_RNILF0VC1 "r.underrun_RNILF0VC1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_istate_0_RNIJUM71_0 "r.istate_0_RNIJUM71[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_req_RNIAI4L1 "r.req_RNIAI4L1") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_istate_RNI8TM01_1_1 "r.istate_RNI8TM01_1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNINGDN1_1 "r.istate_RNINGDN1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_hit_RNO_0 "r.hit_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_valid_RNIGC6F2_1 "r.valid_RNIGC6F2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_istate_RNIPDUI_1 "r.istate_RNIPDUI[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIMKKE8C_2 "r.vaddress_RNIMKKE8C[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIERQU9C_2 "r.vaddress_RNIERQU9C[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_flush2_RNI1FKK2 "r.flush2_RNI1FKK2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_flush_RNI5IC2I1 "r.flush_RNI5IC2I1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_valid_RNIC9CB_0 "r.valid_RNIC9CB[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_valid_RNID9CB_1 "r.valid_RNID9CB[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_valid_RNIE9CB_2 "r.valid_RNIE9CB[2]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_valid_RNIGKHQ1_0 "r.valid_RNIGKHQ1[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_valid_RNIHKHQ1_1 "r.valid_RNIHKHQ1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_valid_RNIIKHQ1_2 "r.valid_RNIIKHQ1[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_valid_RNIJKHQ1_3 "r.valid_RNIJKHQ1[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_flush_RNIVKVP "r.flush_RNIVKVP") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_valid_RNIE86F2_0 "r.valid_RNIE86F2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_valid_RNIIG6F2_2 "r.valid_RNIIG6F2[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_valid_RNIKK6F2_3 "r.valid_RNIKK6F2[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_waddress_RNI5M5L_3 "r.waddress_RNI5M5L[3]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_waddress_RNI5M5L_1_3 "r.waddress_RNI5M5L_1[3]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_waddress_RNI5M5L_0_3 "r.waddress_RNI5M5L_0[3]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_flush_RNI5M5L "r.flush_RNI5M5L") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_flush2_RNIVAKK2 "r.flush2_RNIVAKK2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_flush2_RNI3JKK2 "r.flush2_RNI3JKK2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_flush2_RNI5NKK2 "r.flush2_RNI5NKK2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_hit_RNO "r.hit_RNO") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_istate_RNIQARG_0_1 "r.istate_RNIQARG_0[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_istate_RNIEKOM5_1 "r.istate_RNIEKOM5[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_valid_RNO_3 "r.valid_RNO[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_valid_RNO_2 "r.valid_RNO[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_valid_RNO_1 "r.valid_RNO[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_valid_RNO_0 "r.valid_RNO[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_valid_RNIF9CB_3 "r.valid_RNIF9CB[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ictrl_valid_1_3 "ictrl.valid_1_3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ictrl_valid_1_2 "ictrl.valid_1_2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ictrl_valid_1_1 "ictrl.valid_1_1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_waddress_RNI9S42_0_3 "r.waddress_RNI9S42_0[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_waddress_RNI9S42_3 "r.waddress_RNI9S42[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_waddress_RNI9S42_1_3 "r.waddress_RNI9S42_1[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_underrun_RNO_0 "r.underrun_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_overrun_RNO_0 "r.overrun_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_underrun_RNILHQIC1 "r.underrun_RNILHQIC1") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_holdn_RNO_2 "r.holdn_RNO_2") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_underrun_RNO "r.underrun_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_istate_RNIVGV8B1_0_1 "r.istate_RNIVGV8B1_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_waddress_RNO_0_2 "r.waddress_RNO_0[2]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_waddress_RNO_0_3 "r.waddress_RNO_0[3]") (viewRef prim (cellRef AX1A (libraryRef PA3))) ) (instance (rename r_waddress_RNO_2 "r.waddress_RNO[2]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_waddress_RNO_3 "r.waddress_RNO[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_0_RNI8VQ62_0 "r.istate_0_RNI8VQ62[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_vaddress_RNI1BBN_30 "r.vaddress_RNI1BBN[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIL1742_1 "r.istate_RNIL1742[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI5IO01_2_1 "r.istate_RNI5IO01_2[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_0_RNI7E711_0 "r.istate_0_RNI7E711[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_holdn_RNIFCHA "r.holdn_RNIFCHA") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_18_0 "ictrl.0.hit4_18_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_14_0 "ictrl.0.hit4_14_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_12_0 "ictrl.0.hit4_12_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_10_0 "ictrl.0.hit4_10_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_istate_RNIFUPH2_1 "r.istate_RNIFUPH2[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI57T02_1 "r.istate_RNI57T02[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIJMMR1_1 "r.istate_RNIJMMR1[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_0_RNIKU7P1_0 "r.istate_0_RNIKU7P1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_0_RNI08UL1_0 "r.istate_0_RNI08UL1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_0_RNIGNNG1_0 "r.istate_0_RNIGNNG1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_0_RNIHMM91_0 "r.istate_0_RNIHMM91[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI5IO01_1_1 "r.istate_RNI5IO01_1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI9TM01_0_1 "r.istate_RNI9TM01_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI8TM01_1 "r.istate_RNI8TM01[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIGAGP4S1_22 "r.vaddress_RNIGAGP4S1[22]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_vaddress_RNID3BN_29 "r.vaddress_RNID3BN[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIB3BN_28 "r.vaddress_RNIB3BN[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNI73BN_26 "r.vaddress_RNI73BN[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNI33BN_24 "r.vaddress_RNI33BN[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNIV2BN_22 "r.vaddress_RNIV2BN[22]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_istate_0_RNIKGI12_0 "r.istate_0_RNIKGI12[0]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_8_0 "ictrl.0.hit4_8_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_4_0 "ictrl.0.hit4_4_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_istate_RNIT9TF1_1 "r.istate_RNIT9TF1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_0_RNITAU41_0 "r.istate_0_RNITAU41[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI8TM01_0_1 "r.istate_RNI8TM01_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNICAGP4S1_20 "r.vaddress_RNICAGP4S1[20]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_vaddress_RNIK2GP4S1_17 "r.vaddress_RNIK2GP4S1[17]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_vaddress_RNII2GP4S1_16 "r.vaddress_RNII2GP4S1[16]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_vaddress_RNI3BBN_31 "r.vaddress_RNI3BBN[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIR2BN_20 "r.vaddress_RNIR2BN[20]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNI3RAN_17 "r.vaddress_RNI3RAN[17]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNI1RAN_16 "r.vaddress_RNI1RAN[16]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_16_0 "ictrl.0.hit4_16_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_istate_RNINAS02_1 "r.istate_RNINAS02[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIFNT02_1 "r.istate_RNIFNT02[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIQ3PK1_1 "r.istate_RNIQ3PK1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI6U762_1 "r.istate_RNI6U762[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIHLE12_1 "r.istate_RNIHLE12[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIABMH1_1 "r.istate_RNIABMH1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNICVQE1_1 "r.istate_RNICVQE1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIQF2A1_1 "r.istate_RNIQF2A1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIM32A1_1 "r.istate_RNIM32A1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIKGRL1_1 "r.istate_RNIKGRL1[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIR8HU1_1 "r.istate_RNIR8HU1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIKDGS1_0 "r.istate_RNIKDGS1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI8UHS1_0 "r.istate_RNI8UHS1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNILEDG1_0 "r.istate_RNILEDG1[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIF03T1_0 "r.istate_RNIF03T1[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIVCS71_0 "r.istate_RNIVCS71[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIAP331_0 "r.istate_RNIAP331[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIANRI1_0 "r.istate_RNIANRI1[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIAETE1_0 "r.istate_RNIAETE1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIIAJN1_0 "r.istate_RNIIAJN1[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI5IO01_1 "r.istate_RNI5IO01[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI5IO01_0_1 "r.istate_RNI5IO01_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI7TM01_1_1 "r.istate_RNI7TM01_1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI6TM01_1 "r.istate_RNI6TM01[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI0IO01_1 "r.istate_RNI0IO01[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI0IO01_0_1 "r.istate_RNI0IO01_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI3TM01_1_1 "r.istate_RNI3TM01_1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_flush_RNO_7 "r.flush_RNO_7") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_istate_RNI4J0A1_1 "r.istate_RNI4J0A1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIEAOE1_1 "r.istate_RNIEAOE1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNILK561_1 "r.istate_RNILK561[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIRO231_0 "r.istate_RNIRO231[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIF68V_0 "r.istate_RNIF68V[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI3TM01_1 "r.istate_RNI3TM01[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI3TM01_0_1 "r.istate_RNI3TM01_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNO_3 "r.vaddress_RNO[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_vaddress_RNO_2 "r.vaddress_RNO[2]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_vaddress_RNO_0_3 "r.vaddress_RNO_0[3]") (viewRef prim (cellRef AX1A (libraryRef PA3))) ) (instance (rename r_vaddress_RNO_0_2 "r.vaddress_RNO_0[2]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIF4TSCC_3 "r.vaddress_RNIF4TSCC[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNILLMCBC_3 "r.vaddress_RNILLMCBC[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIRLUI_1 "r.istate_RNIRLUI[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_faddr_RNIOM2UBD_5 "r.faddr_RNIOM2UBD[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_faddr_RNIUAG1TC_2 "r.faddr_RNIUAG1TC[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_faddr_RNITU19LC_0 "r.faddr_RNITU19LC[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIS94LGD_10 "r.vaddress_RNIS94LGD[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIK2HSMC_5 "r.vaddress_RNIK2HSMC[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNID2NN_1 "r.istate_RNID2NN[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_istate_RNI760J_1 "r.istate_RNI760J[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI1EVI_1 "r.istate_RNI1EVI[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIV5VI_1 "r.istate_RNIV5VI[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_istate_RNITTUI_1 "r.istate_RNITTUI[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIJGCD_0_1 "r.istate_RNIJGCD_0[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_holdn_RNO "r.holdn_RNO") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_overrun_RNO "r.overrun_RNO") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_istate_RNIU0OP1_1 "r.istate_RNIU0OP1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI19S12_0 "r.istate_RNI19S12[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNINEQI1_0 "r.istate_RNINEQI1[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_flush2_RNI0UAC "r.flush2_RNI0UAC") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_holdn_RNO_3 "r.holdn_RNO_3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_vaddress_RNISENTRD_11 "r.vaddress_RNISENTRD[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIF2NN_1 "r.istate_RNIF2NN[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_istate_RNI3MVI_1 "r.istate_RNI3MVI[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_holdn_RNO_0 "r.holdn_RNO_0") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_faddr_RNO_7 "r.faddr_RNO[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_faddr_RNO_6 "r.faddr_RNO[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_faddr_RNO_5 "r.faddr_RNO[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_faddr_RNO_4 "r.faddr_RNO[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_faddr_RNO_3 "r.faddr_RNO[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_faddr_RNO_2 "r.faddr_RNO[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_faddr_RNO_1 "r.faddr_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_faddr_RNO_0 "r.faddr_RNO[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_7_0 "ictrl.0.hit4_7_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_1_0 "ictrl.0.hit4_1_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_istate_RNI6POA2_1 "r.istate_RNI6POA2[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI34D62_0 "r.istate_RNI34D62[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_vaddress_RNIC2GP4S1_13 "r.vaddress_RNIC2GP4S1[13]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_vaddress_RNIRQAN_13 "r.vaddress_RNIRQAN[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_15_0 "ictrl.0.hit4_15_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_istate_RNIPTLI1_1 "r.istate_RNIPTLI1[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI6SLV1_1 "r.istate_RNI6SLV1[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIJ9EP1_1 "r.istate_RNIJ9EP1[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIBNM52_1 "r.istate_RNIBNM52[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI13AR1_0 "r.istate_RNI13AR1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIJN1J1_0 "r.istate_RNIJN1J1[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNIBK2L1_0 "r.istate_RNIBK2L1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI92B12_0 "r.istate_RNI92B12[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI9TM01_1 "r.istate_RNI9TM01[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI7TM01_1 "r.istate_RNI7TM01[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI6TM01_1_1 "r.istate_RNI6TM01_1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIIAGP4S1_23 "r.vaddress_RNIIAGP4S1[23]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_vaddress_RNIO2GP4S1_19 "r.vaddress_RNIO2GP4S1[19]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_vaddress_RNIA2GP4S1_12 "r.vaddress_RNIA2GP4S1[12]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_vaddress_RNI93BN_27 "r.vaddress_RNI93BN[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNI53BN_25 "r.vaddress_RNI53BN[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNI13BN_23 "r.vaddress_RNI13BN[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNI7RAN_19 "r.vaddress_RNI7RAN[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNIPQAN_12 "r.vaddress_RNIPQAN[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ictrl_0_hit4_6_0 "ictrl.0.hit4_6_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_istate_RNI1PDN1_1 "r.istate_RNI1PDN1[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNIQ32J1_0 "r.istate_RNIQ32J1[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI7IH02_0 "r.istate_RNI7IH02[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_istate_RNI7TM01_0_1 "r.istate_RNI7TM01_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_istate_RNI6TM01_0_1 "r.istate_RNI6TM01_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_vaddress_RNIM2GP4S1_18 "r.vaddress_RNIM2GP4S1[18]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_vaddress_RNIE2GP4S1_14 "r.vaddress_RNIE2GP4S1[14]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_vaddress_RNI5RAN_18 "r.vaddress_RNI5RAN[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_vaddress_RNITQAN_14 "r.vaddress_RNITQAN[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_istate_RNO_1 "r.istate_RNO[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_istate_RNI8KV1K1_1 "r.istate_RNI8KV1K1[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_flush_RNO_6 "r.flush_RNO_6") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_diagrdy "r.diagrdy") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_hit "r.hit") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_holdn "r.holdn") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_flush "r.flush") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_req "r.req") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_overrun "r.overrun") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_underrun "r.underrun") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_burst "r.burst") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_flush2 "r.flush2") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_istate_0 "r.istate[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_istate_1 "r.istate[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_faddr_0 "r.faddr[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_1 "r.faddr[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_2 "r.faddr[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_3 "r.faddr[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_4 "r.faddr[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_5 "r.faddr[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_6 "r.faddr[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_faddr_7 "r.faddr[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_valid_0 "r.valid[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_valid_1 "r.valid[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_valid_2 "r.valid[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_valid_3 "r.valid[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_2 "r.vaddress[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_vaddress_3 "r.vaddress[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_vaddress_4 "r.vaddress[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_5 "r.vaddress[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_6 "r.vaddress[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_7 "r.vaddress[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_8 "r.vaddress[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_9 "r.vaddress[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_10 "r.vaddress[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_11 "r.vaddress[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_12 "r.vaddress[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_13 "r.vaddress[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_14 "r.vaddress[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_15 "r.vaddress[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_16 "r.vaddress[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_17 "r.vaddress[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_18 "r.vaddress[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_19 "r.vaddress[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_20 "r.vaddress[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_21 "r.vaddress[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_22 "r.vaddress[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_23 "r.vaddress[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_24 "r.vaddress[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_25 "r.vaddress[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_26 "r.vaddress[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_27 "r.vaddress[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_28 "r.vaddress[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_29 "r.vaddress[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_30 "r.vaddress[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_vaddress_31 "r.vaddress[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_2 "r.waddress[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_waddress_3 "r.waddress[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_waddress_4 "r.waddress[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_5 "r.waddress[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_6 "r.waddress[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_7 "r.waddress[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_8 "r.waddress[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_9 "r.waddress[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_10 "r.waddress[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_11 "r.waddress[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_12 "r.waddress[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_13 "r.waddress[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_14 "r.waddress[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_15 "r.waddress[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_16 "r.waddress[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_17 "r.waddress[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_18 "r.waddress[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_19 "r.waddress[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_20 "r.waddress[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_21 "r.waddress[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_22 "r.waddress[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_23 "r.waddress[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_24 "r.waddress[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_25 "r.waddress[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_26 "r.waddress[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_27 "r.waddress[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_28 "r.waddress[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_29 "r.waddress[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_30 "r.waddress[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_waddress_31 "r.waddress[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename un1_r_faddr_I_38 "un1_r.faddr_I_38") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_37 "un1_r.faddr_I_37") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_34 "un1_r.faddr_I_34") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_31 "un1_r.faddr_I_31") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_30 "un1_r.faddr_I_30") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_27 "un1_r.faddr_I_27") (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_24 "un1_r.faddr_I_24") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_23 "un1_r.faddr_I_23") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_20 "un1_r.faddr_I_20") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_16 "un1_r.faddr_I_16") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_13 "un1_r.faddr_I_13") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_12 "un1_r.faddr_I_12") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_9 "un1_r.faddr_I_9") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_faddr_I_5 "un1_r.faddr_I_5") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename faddr_RNI19I00U1_7 "faddr_RNI19I00U1[7]") (joined (portRef Y (instanceRef r_faddr_RNI19I00U1_7)) (portRef D (instanceRef r_flush2)) (portRef D (instanceRef r_flush2_0_0)) )) (net idle_0 (joined (portRef Y (instanceRef r_istate_RNIJGCD_1)) (portRef S (instanceRef r_istate_RNINAS02_1)) (portRef S (instanceRef r_istate_RNIT9TF1_1)) (portRef S (instanceRef r_istate_RNIJMMR1_1)) (portRef S (instanceRef r_istate_RNI57T02_1)) (portRef S (instanceRef r_istate_RNIFUPH2_1)) (portRef S (instanceRef r_istate_RNIL1742_1)) (portRef B (instanceRef r_istate_RNIVGV8B1_0_1)) (portRef A (instanceRef r_istate_RNIEKOM5_1)) (portRef B (instanceRef r_istate_RNIQARG_0_1)) (portRef S (instanceRef r_hit_RNO_0)) (portRef S (instanceRef r_istate_RNINGDN1_1)) (portRef S (instanceRef r_istate_RNILTPP1_1)) (portRef S (instanceRef r_istate_RNIHPJ22_1)) (portRef S (instanceRef r_istate_RNIB7T42_1)) (portRef S (instanceRef r_istate_RNIJLLK1_1)) (portRef S (instanceRef r_istate_RNITKDP1_1)) (portRef B (instanceRef r_istate_RNINK7HD_1)) (portRef C (instanceRef r_burst_RNO_4)) (portRef B (instanceRef r_istate_RNIVGV8B1_1_1)) (portRef B (instanceRef r_istate_RNIVGV8B1_1)) (portRef B (instanceRef r_istate_RNIQARG_1)) )) (net (rename istate_1 "istate[1]") (joined (portRef Q (instanceRef r_istate_1)) (portRef A (instanceRef r_istate_RNIJGCD_0_1)) (portRef C (instanceRef r_burst_RNO_2)) (portRef C (instanceRef r_istate_RNIUSTR1_1)) (portRef B (instanceRef r_istate_RNO_1_1)) (portRef C (instanceRef r_istate_RNIP02C_1)) (portRef A (instanceRef r_istate_RNIJGCD_1)) )) (net (rename istate_0 "istate[0]") (joined (portRef Q (instanceRef r_istate_0)) (portRef S (instanceRef r_istate_RNI7IH02_0)) (portRef S (instanceRef r_istate_RNIQ32J1_0)) (portRef S (instanceRef r_istate_RNI92B12_0)) (portRef S (instanceRef r_istate_RNIBK2L1_0)) (portRef S (instanceRef r_istate_RNIJN1J1_0)) (portRef S (instanceRef r_istate_RNI13AR1_0)) (portRef S (instanceRef r_istate_RNI34D62_0)) (portRef A (instanceRef r_holdn_RNO_3)) (portRef S (instanceRef r_istate_RNINEQI1_0)) (portRef S (instanceRef r_istate_RNI19S12_0)) (portRef B (instanceRef r_istate_RNIJGCD_0_1)) (portRef S (instanceRef r_istate_RNIF68V_0)) (portRef S (instanceRef r_istate_RNIRO231_0)) (portRef S (instanceRef r_istate_RNIIAJN1_0)) (portRef S (instanceRef r_istate_RNIAETE1_0)) (portRef S (instanceRef r_istate_RNIANRI1_0)) (portRef S (instanceRef r_istate_RNIAP331_0)) (portRef S (instanceRef r_istate_RNIVCS71_0)) (portRef S (instanceRef r_istate_RNIF03T1_0)) (portRef S (instanceRef r_istate_RNILEDG1_0)) (portRef S (instanceRef r_istate_RNI8UHS1_0)) (portRef S (instanceRef r_istate_RNIKDGS1_0)) (portRef B (instanceRef r_istate_RNIJGCD_1)) )) (net diagen_0_sqmuxa_0 (joined (portRef Y (instanceRef r_istate_RNIQARG_1)) (portRef S (instanceRef r_vaddress_RNI3BBN_31)) (portRef S (instanceRef r_vaddress_RNIV2BN_22)) (portRef S (instanceRef r_vaddress_RNI33BN_24)) (portRef S (instanceRef r_vaddress_RNI73BN_26)) (portRef S (instanceRef r_vaddress_RNIB3BN_28)) (portRef S (instanceRef r_vaddress_RNID3BN_29)) (portRef S (instanceRef r_vaddress_RNI1BBN_30)) (portRef S (instanceRef r_valid_RNIKK6F2_3)) (portRef S (instanceRef r_valid_RNIIG6F2_2)) (portRef S (instanceRef r_valid_RNIE86F2_0)) (portRef S (instanceRef r_istate_RNIPDUI_1)) (portRef S (instanceRef r_valid_RNIGC6F2_1)) (portRef S (instanceRef r_istate_RNI5UVI_1)) (portRef A (instanceRef r_flush2_RNI6HLV5)) (portRef A (instanceRef r_istate_RNIVR9M_0_1)) (portRef S (instanceRef r_vaddress_RNIVQAN_15)) (portRef S (instanceRef r_istate_RNIQL7E6_1)) (portRef S (instanceRef r_vaddress_RNIT2BN_21)) (portRef C (instanceRef taddr_1_sqmuxa_RNIVO7T)) (portRef A (instanceRef r_flush2_0_0_RNI6KDT)) (portRef A (instanceRef r_istate_RNIVR9M_1)) )) (net (rename istate_0_0 "istate_0[0]") (joined (portRef Q (instanceRef r_istate_0_0)) (portRef S (instanceRef r_istate_0_RNITAU41_0)) (portRef C (instanceRef r_istate_0_RNIKGI12_0)) (portRef S (instanceRef r_istate_0_RNIHMM91_0)) (portRef S (instanceRef r_istate_0_RNIGNNG1_0)) (portRef S (instanceRef r_istate_0_RNI08UL1_0)) (portRef S (instanceRef r_istate_0_RNIKU7P1_0)) (portRef S (instanceRef r_istate_0_RNI7E711_0)) (portRef S (instanceRef r_istate_0_RNI8VQ62_0)) (portRef S (instanceRef r_overrun_RNO_0)) (portRef S (instanceRef r_underrun_RNO_0)) (portRef S (instanceRef r_istate_0_RNIJUM71_0)) (portRef S (instanceRef r_istate_0_RNICUKN1_0)) (portRef S (instanceRef r_istate_0_RNIAGN61_0)) (portRef S (instanceRef r_istate_0_RNIFRGS_0)) (portRef S (instanceRef r_istate_0_RNISLEE1_0)) (portRef A (instanceRef r_istate_0_RNIOG3J6_0)) (portRef A (instanceRef r_istate_RNIUSTR1_1)) (portRef A (instanceRef r_istate_0_RNIJHAN1_0)) (portRef A (instanceRef r_burst_RNO_6)) (portRef B (instanceRef r_burst_RNO_7)) (portRef B (instanceRef r_istate_RNO_0_1)) (portRef A (instanceRef r_istate_RNIP02C_1)) (portRef A (instanceRef taddr_1_sqmuxa_RNO)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_waddress_31)) (portRef CLK (instanceRef r_waddress_30)) (portRef CLK (instanceRef r_waddress_29)) (portRef CLK (instanceRef r_waddress_28)) (portRef CLK (instanceRef r_waddress_27)) (portRef CLK (instanceRef r_waddress_26)) (portRef CLK (instanceRef r_waddress_25)) (portRef CLK (instanceRef r_waddress_24)) (portRef CLK (instanceRef r_waddress_23)) (portRef CLK (instanceRef r_waddress_22)) (portRef CLK (instanceRef r_waddress_21)) (portRef CLK (instanceRef r_waddress_20)) (portRef CLK (instanceRef r_waddress_19)) (portRef CLK (instanceRef r_waddress_18)) (portRef CLK (instanceRef r_waddress_17)) (portRef CLK (instanceRef r_waddress_16)) (portRef CLK (instanceRef r_waddress_15)) (portRef CLK (instanceRef r_waddress_14)) (portRef CLK (instanceRef r_waddress_13)) (portRef CLK (instanceRef r_waddress_12)) (portRef CLK (instanceRef r_waddress_11)) (portRef CLK (instanceRef r_waddress_10)) (portRef CLK (instanceRef r_waddress_9)) (portRef CLK (instanceRef r_waddress_8)) (portRef CLK (instanceRef r_waddress_7)) (portRef CLK (instanceRef r_waddress_6)) (portRef CLK (instanceRef r_waddress_5)) (portRef CLK (instanceRef r_waddress_4)) (portRef CLK (instanceRef r_waddress_3)) (portRef CLK (instanceRef r_waddress_2)) (portRef CLK (instanceRef r_vaddress_31)) (portRef CLK (instanceRef r_vaddress_30)) (portRef CLK (instanceRef r_vaddress_29)) (portRef CLK (instanceRef r_vaddress_28)) (portRef CLK (instanceRef r_vaddress_27)) (portRef CLK (instanceRef r_vaddress_26)) (portRef CLK (instanceRef r_vaddress_25)) (portRef CLK (instanceRef r_vaddress_24)) (portRef CLK (instanceRef r_vaddress_23)) (portRef CLK (instanceRef r_vaddress_22)) (portRef CLK (instanceRef r_vaddress_21)) (portRef CLK (instanceRef r_vaddress_20)) (portRef CLK (instanceRef r_vaddress_19)) (portRef CLK (instanceRef r_vaddress_18)) (portRef CLK (instanceRef r_vaddress_17)) (portRef CLK (instanceRef r_vaddress_16)) (portRef CLK (instanceRef r_vaddress_15)) (portRef CLK (instanceRef r_vaddress_14)) (portRef CLK (instanceRef r_vaddress_13)) (portRef CLK (instanceRef r_vaddress_12)) (portRef CLK (instanceRef r_vaddress_11)) (portRef CLK (instanceRef r_vaddress_10)) (portRef CLK (instanceRef r_vaddress_9)) (portRef CLK (instanceRef r_vaddress_8)) (portRef CLK (instanceRef r_vaddress_7)) (portRef CLK (instanceRef r_vaddress_6)) (portRef CLK (instanceRef r_vaddress_5)) (portRef CLK (instanceRef r_vaddress_4)) (portRef CLK (instanceRef r_vaddress_3)) (portRef CLK (instanceRef r_vaddress_2)) (portRef CLK (instanceRef r_valid_3)) (portRef CLK (instanceRef r_valid_2)) (portRef CLK (instanceRef r_valid_1)) (portRef CLK (instanceRef r_valid_0)) (portRef CLK (instanceRef r_faddr_7)) (portRef CLK (instanceRef r_faddr_6)) (portRef CLK (instanceRef r_faddr_5)) (portRef CLK (instanceRef r_faddr_4)) (portRef CLK (instanceRef r_faddr_3)) (portRef CLK (instanceRef r_faddr_2)) (portRef CLK (instanceRef r_faddr_1)) (portRef CLK (instanceRef r_faddr_0)) (portRef CLK (instanceRef r_istate_1)) (portRef CLK (instanceRef r_istate_0)) (portRef CLK (instanceRef r_flush2)) (portRef CLK (instanceRef r_burst)) (portRef CLK (instanceRef r_underrun)) (portRef CLK (instanceRef r_overrun)) (portRef CLK (instanceRef r_req)) (portRef CLK (instanceRef r_flush)) (portRef CLK (instanceRef r_holdn)) (portRef CLK (instanceRef r_hit)) (portRef CLK (instanceRef r_diagrdy)) (portRef CLK (instanceRef r_istate_0_0)) (portRef CLK (instanceRef r_flush2_0_0)) )) (net (rename istate_nss_0 "istate_nss[0]") (joined (portRef Y (instanceRef r_istate_RNI8KV1K1_1)) (portRef D (instanceRef r_istate_0)) (portRef D (instanceRef r_istate_0_0)) )) (net vaddress_0_sqmuxa_i_1 (joined (portRef Y (instanceRef r_istate_RNIVGV8B1_1)) (portRef E (instanceRef r_waddress_18)) (portRef E (instanceRef r_waddress_17)) (portRef E (instanceRef r_waddress_16)) (portRef E (instanceRef r_waddress_15)) (portRef E (instanceRef r_waddress_14)) (portRef E (instanceRef r_waddress_13)) (portRef E (instanceRef r_waddress_12)) (portRef E (instanceRef r_waddress_11)) (portRef E (instanceRef r_waddress_10)) (portRef E (instanceRef r_vaddress_31)) (portRef E (instanceRef r_vaddress_30)) (portRef E (instanceRef r_vaddress_29)) (portRef E (instanceRef r_vaddress_28)) (portRef E (instanceRef r_vaddress_27)) (portRef E (instanceRef r_vaddress_26)) (portRef E (instanceRef r_vaddress_9)) (portRef E (instanceRef r_vaddress_8)) (portRef E (instanceRef r_vaddress_7)) (portRef E (instanceRef r_vaddress_6)) (portRef E (instanceRef r_vaddress_5)) (portRef E (instanceRef r_vaddress_4)) )) (net un2_eholdn (joined (portRef Y (instanceRef ictrl_un2_eholdn)) (portRef A (instanceRef r_istate_RNIVGV8B1_0_1)) (portRef A (instanceRef r_underrun_RNILHQIC1)) (portRef A (instanceRef r_overrun_RNILHQIC1)) (portRef A (instanceRef r_istate_RNIVGV8B1_1_1)) (portRef A (instanceRef r_istate_RNIVGV8B1_1)) )) (net vaddress_0_sqmuxa_i_0 (joined (portRef Y (instanceRef r_istate_RNIVGV8B1_1_1)) (portRef E (instanceRef r_vaddress_25)) (portRef E (instanceRef r_vaddress_24)) (portRef E (instanceRef r_vaddress_23)) (portRef E (instanceRef r_vaddress_22)) (portRef E (instanceRef r_vaddress_21)) (portRef E (instanceRef r_vaddress_20)) (portRef E (instanceRef r_vaddress_19)) (portRef E (instanceRef r_vaddress_18)) (portRef E (instanceRef r_vaddress_17)) (portRef E (instanceRef r_vaddress_16)) (portRef E (instanceRef r_vaddress_15)) (portRef E (instanceRef r_vaddress_14)) (portRef E (instanceRef r_vaddress_13)) (portRef E (instanceRef r_vaddress_12)) (portRef E (instanceRef r_vaddress_11)) (portRef E (instanceRef r_vaddress_10)) (portRef S (instanceRef r_vaddress_RNO_2)) (portRef S (instanceRef r_vaddress_RNO_3)) (portRef S (instanceRef r_waddress_RNO_3)) (portRef S (instanceRef r_waddress_RNO_2)) (portRef A (instanceRef r_flush_RNI5IC2I1)) )) (net holdn (joined (portRef Y (instanceRef r_holdn_RNIFCHA_0)) (portRef holdn) )) (net holdn_RNIFCHA (joined (portRef Y (instanceRef r_holdn_RNIFCHA)) (portRef A (instanceRef r_holdn_RNIFCHA_0)) )) (net N_25 (joined (portRef Y (instanceRef un1_r_faddr_I_8)) (portRef A (instanceRef un1_r_faddr_I_9)) )) (net (rename faddr_1 "faddr[1]") (joined (portRef Q (instanceRef r_faddr_1)) (portRef B (instanceRef un1_r_faddr_I_5)) (portRef B (instanceRef un1_r_faddr_I_12)) (portRef B (instanceRef un1_r_faddr_I_16)) (portRef B (instanceRef r_faddr_RNIND8T_1)) (portRef A (instanceRef un1_r_faddr_I_8)) )) (net (rename faddr_0 "faddr[0]") (joined (portRef Q (instanceRef r_faddr_0)) (portRef A (instanceRef un1_r_faddr_I_5)) (portRef A (instanceRef un1_r_faddr_I_12)) (portRef A (instanceRef un1_r_faddr_I_16)) (portRef B (instanceRef r_faddr_RNO_0)) (portRef B (instanceRef r_faddr_RNITU19LC_0)) (portRef B (instanceRef un1_r_faddr_I_8)) )) (net N_17 (joined (portRef Y (instanceRef un1_r_faddr_I_19)) (portRef A (instanceRef un1_r_faddr_I_20)) )) (net (rename faddr_3 "faddr[3]") (joined (portRef Q (instanceRef r_faddr_3)) (portRef B (instanceRef un1_r_faddr_I_13)) (portRef B (instanceRef un1_r_faddr_I_23)) (portRef A (instanceRef un1_r_faddr_I_27)) (portRef A (instanceRef un1_r_faddr_I_34)) (portRef B (instanceRef r_faddr_RNITT8T_3)) (portRef A (instanceRef un1_r_faddr_I_19)) )) (net (rename DWACT_FINC_E_0 "DWACT_FINC_E[0]") (joined (portRef Y (instanceRef un1_r_faddr_I_16)) (portRef A (instanceRef un1_r_faddr_I_23)) (portRef A (instanceRef un1_r_faddr_I_30)) (portRef A (instanceRef un1_r_faddr_I_37)) (portRef B (instanceRef un1_r_faddr_I_19)) )) (net N_1120 (joined (portRef Y (instanceRef taddr_0_sqmuxa_1_0_o2)) (portRef A (instanceRef taddr_1_sqmuxa)) )) (net flush2_RNI0UAC (joined (portRef Y (instanceRef r_flush2_RNI0UAC)) (portRef A (instanceRef r_istate_0_RNIKGI12_0)) (portRef A (instanceRef taddr_0_sqmuxa_1_0_o2)) )) (net underrun2 (joined (portRef Y (instanceRef r_req_RNIAI4L1)) (portRef C (instanceRef r_istate_RNO_1)) (portRef B (instanceRef r_holdn_RNO_3)) (portRef B (instanceRef r_istate_0_RNIKGI12_0)) (portRef B (instanceRef r_istate_RNIUSTR1_1)) (portRef A (instanceRef r_underrun_RNO_2)) (portRef B (instanceRef taddr_0_sqmuxa_1_0_o2)) )) (net (rename taddr_1_sqmuxaZ0 "taddr_1_sqmuxa") (joined (portRef Y (instanceRef taddr_1_sqmuxa)) (portRef B (instanceRef taddr_1_sqmuxa_RNIVO7T)) )) (net taddr_1_sqmuxa_0 (joined (portRef Y (instanceRef taddr_1_sqmuxa_RNO)) (portRef B (instanceRef taddr_1_sqmuxa)) )) (net un1_ici (joined (portRef Y (instanceRef ictrl_un1_ici_m2_i_0)) (portRef un1_ici) )) (net un1_ici_m2_i_a0 (joined (portRef Y (instanceRef ictrl_un1_ici_m2_i_a0)) (portRef A (instanceRef r_vaddress_RNIE2GP4S1_14)) (portRef A (instanceRef r_vaddress_RNIM2GP4S1_18)) (portRef A (instanceRef r_vaddress_RNIA2GP4S1_12)) (portRef A (instanceRef r_vaddress_RNIO2GP4S1_19)) (portRef A (instanceRef r_vaddress_RNIIAGP4S1_23)) (portRef A (instanceRef r_vaddress_RNIC2GP4S1_13)) (portRef A (instanceRef r_vaddress_RNII2GP4S1_16)) (portRef A (instanceRef r_vaddress_RNIK2GP4S1_17)) (portRef A (instanceRef r_vaddress_RNICAGP4S1_20)) (portRef A (instanceRef r_vaddress_RNIGAGP4S1_22)) (portRef A (instanceRef r_vaddress_RNIG2GP4S1_15)) (portRef A (instanceRef r_vaddress_RNIEAGP4S1_21)) (portRef A (instanceRef ictrl_un1_ici_m2_i_0)) )) (net un1_ici_m2_i_0_0 (joined (portRef Y (instanceRef ictrl_un1_ici_m2_i_0_0)) (portRef B (instanceRef r_vaddress_RNIE2GP4S1_14)) (portRef B (instanceRef r_vaddress_RNIM2GP4S1_18)) (portRef B (instanceRef r_vaddress_RNIA2GP4S1_12)) (portRef B (instanceRef r_vaddress_RNIO2GP4S1_19)) (portRef B (instanceRef r_vaddress_RNIIAGP4S1_23)) (portRef B (instanceRef r_vaddress_RNIC2GP4S1_13)) (portRef B (instanceRef r_vaddress_RNII2GP4S1_16)) (portRef B (instanceRef r_vaddress_RNIK2GP4S1_17)) (portRef B (instanceRef r_vaddress_RNICAGP4S1_20)) (portRef B (instanceRef r_vaddress_RNIGAGP4S1_22)) (portRef B (instanceRef r_vaddress_RNIG2GP4S1_15)) (portRef B (instanceRef r_vaddress_RNIEAGP4S1_21)) (portRef B (instanceRef ictrl_un1_ici_m2_i_0)) )) (net overrun (joined (portRef Q (instanceRef r_overrun)) (portRef A (instanceRef r_overrun_RNO_0)) (portRef S (instanceRef r_overrun_RNI57CLND)) (portRef B (instanceRef r_overrun_RNILHQIC1)) (portRef A (instanceRef r_underrun_RNIUQ18)) (portRef A (instanceRef r_overrun_RNI719J1)) (portRef B (instanceRef taddr_1_sqmuxa_RNO)) )) (net flush_3_0 (joined (portRef Y (instanceRef r_flush_RNO_1)) (portRef C (instanceRef r_flush_RNO)) )) (net flush_2_a1_1 (joined (portRef Y (instanceRef r_flush_RNO_3)) (portRef A (instanceRef r_flush_RNO_1)) )) (net flush_2_0 (joined (portRef Y (instanceRef r_flush_RNO_4)) (portRef C (instanceRef r_flush_RNO_1)) )) (net underrun_1_0 (joined (portRef Y (instanceRef r_underrun_RNO_2)) (portRef C (instanceRef r_underrun_RNO_1)) )) (net overrun_4 (joined (portRef Y (instanceRef r_overrun_RNILHQIC1)) (portRef C (instanceRef r_holdn_RNO_2)) (portRef B (instanceRef r_overrun_RNO_0)) (portRef B (instanceRef r_underrun_RNO_2)) )) (net un1_ici_m2_i_a1_0 (joined (portRef Y (instanceRef ictrl_un1_ici_m2_i_a1_0)) (portRef A (instanceRef ictrl_un1_ici_m2_i_0_0)) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef newptag_sn_m2_i_o2_0_m3) (portRef B (instanceRef ictrl_un1_ici_m2_i_0_0)) (portRef B (instanceRef r_flush_RNO_1)) )) (net req_4_1 (joined (portRef Y (instanceRef r_burst_RNI76RO1)) (portRef A (instanceRef r_underrun_RNISLRNE1)) )) (net req_4_0 (joined (portRef Y (instanceRef r_burst_RNINVI8)) (portRef A (instanceRef r_burst_RNI76RO1)) )) (net un1_mcio_1 (joined (portRef Y (instanceRef r_waddress_RNIG68G1_3)) (portRef C (instanceRef r_burst_RNO_3)) (portRef B (instanceRef r_burst_RNI76RO1)) )) (net flush_2_a0_2 (joined (portRef Y (instanceRef r_flush_RNO_0)) (portRef B (instanceRef r_flush_RNO)) )) (net flush_2_a0_0 (joined (portRef Y (instanceRef r_flush_RNO_2)) (portRef B (instanceRef r_flush_RNO_0)) )) (net un1_ici_m2_i_a0_0 (joined (portRef Y (instanceRef ictrl_un1_ici_m2_i_a0_0)) (portRef A (instanceRef ictrl_un1_ici_m2_i_a0)) )) (net (rename eaddress_31 "eaddress[31]") (joined (portRef (member eaddress 0)) (portRef B (instanceRef v_faddr_1_sqmuxa_m8_i_a3_0)) (portRef A (instanceRef ictrl_un1_ici_m2_i_a0_0)) (portRef A (instanceRef r_flush_RNO_0)) )) (net faddr_1_sqmuxa_m2_0 (joined (portRef Y (instanceRef ictrl_un1_icitt_m1_e)) (portRef B (instanceRef r_flush2_RNIAUFPG)) (portRef B (instanceRef ictrl_un1_ici_m2_i_a1_0)) (portRef B (instanceRef r_flush_RNO_3)) (portRef B (instanceRef ictrl_un1_ici_m2_i_a0_0)) (portRef B (instanceRef r_flush_RNO_2)) (portRef faddr_1_sqmuxa_m2_0) )) (net overrun_4_0 (joined (portRef Y (instanceRef r_underrun_RNID64J1)) (portRef C (instanceRef r_overrun_RNILHQIC1)) )) (net underrun (joined (portRef Q (instanceRef r_underrun)) (portRef C (instanceRef r_underrun_RNILHQIC1)) (portRef A (instanceRef r_underrun_RNO_0)) (portRef B (instanceRef r_underrun_RNIUQ18)) (portRef B (instanceRef r_underrun_RNID64J1)) )) (net un1_mcio_4_0 (joined (portRef Y (instanceRef r_overrun_RNI719J1)) (portRef B (instanceRef r_underrun_RNILHQIC1)) )) (net ready (joined (portRef ready) (portRef A (instanceRef r_vaddress_RNO_0_2)) (portRef A (instanceRef r_vaddress_RNO_0_3)) (portRef A (instanceRef r_waddress_RNO_0_3)) (portRef A (instanceRef r_waddress_RNO_0_2)) (portRef A (instanceRef r_req_RNIAI4L1)) (portRef B (instanceRef r_waddress_RNIG68G1_3)) (portRef C (instanceRef r_istate_0_RNIJHAN1_0)) (portRef B (instanceRef r_hit_RNIR3C95)) (portRef B (instanceRef r_burst_RNO_6)) (portRef B (instanceRef r_overrun_RNI719J1)) (portRef A (instanceRef r_underrun_RNID64J1)) )) (net flush_2_a1_0 (joined (portRef Y (instanceRef r_flush_RNO_5)) (portRef A (instanceRef r_flush_RNO_3)) )) (net rst (joined (portRef rst) (portRef C (instanceRef r_istate_RNI8KV1K1_1)) (portRef B (instanceRef r_istate_RNO_1)) (portRef C (instanceRef r_overrun_RNO)) (portRef A (instanceRef r_holdn_RNO)) (portRef A (instanceRef r_underrun_RNO)) (portRef C (instanceRef r_req_RNO)) (portRef A (instanceRef r_burst_RNO)) (portRef C (instanceRef r_flush_RNO_4)) (portRef A (instanceRef r_faddr_RNI19I00U1_7)) (portRef A (instanceRef r_flush_RNO_5)) (portRef A (instanceRef r_flush_RNO_2)) )) (net un16_casaen_0_0 (joined (portRef un16_casaen_0_0) (portRef A (instanceRef v_faddr_1_sqmuxa_m8_i_a3_0)) (portRef A (instanceRef ictrl_un1_ici_m2_i_a1_0)) (portRef B (instanceRef r_flush_RNO_5)) )) (net faddr_1_sqmuxa_m8_i_2_2 (joined (portRef Y (instanceRef r_flush2_RNIP0513V)) (portRef A (instanceRef r_flush2_RNI2V7PUT1)) )) (net faddr_1_sqmuxa_m8_i_a0_0 (joined (portRef Y (instanceRef r_flush2_RNIOMSI42)) (portRef A (instanceRef r_flush2_RNIP0513V)) )) (net faddr_1_sqmuxa_m8_i_a4_0 (joined (portRef Y (instanceRef v_faddr_1_sqmuxa_m8_i_a4_0_0)) (portRef B (instanceRef r_flush2_RNIP0513V)) )) (net flush2_RNI1JJS3R (joined (portRef Y (instanceRef r_flush2_RNI1JJS3R)) (portRef C (instanceRef r_flush2_RNIP0513V)) )) (net faddr_1_sqmuxa_m8_i_2_1 (joined (portRef Y (instanceRef r_flush2_RNI9U2ORU)) (portRef B (instanceRef r_flush2_RNI2V7PUT1)) )) (net flush2_RNIF12DIP (joined (portRef Y (instanceRef r_flush2_RNIF12DIP)) (portRef A (instanceRef r_flush2_RNI9U2ORU)) )) (net faddr_1_sqmuxa_m8_i_2_0 (joined (portRef Y (instanceRef r_flush2_RNIQS0B95)) (portRef B (instanceRef r_flush2_RNI9U2ORU)) )) (net flush2_RNIAUFPG (joined (portRef Y (instanceRef r_flush2_RNIAUFPG)) (portRef A (instanceRef r_flush2_RNIQS0B95)) )) (net flush2_RNIGUGHO4 (joined (portRef Y (instanceRef r_flush2_RNIGUGHO4)) (portRef B (instanceRef r_flush2_RNIQS0B95)) )) (net (rename rstate_li_0_0 "rstate_li_0[0]") (joined (portRef (member rstate_li_0 0)) (portRef B (instanceRef r_flush2_RNIOMSI42)) )) (net faddr_1_sqmuxa_m8_i_0 (joined (portRef Y (instanceRef r_flush2_RNIQF7AG)) (portRef A (instanceRef r_flush2_RNIAUFPG)) (portRef A (instanceRef r_flush2_RNIF12DIP)) (portRef A (instanceRef r_flush2_RNI1JJS3R)) (portRef B (instanceRef r_flush2_RNIGUGHO4)) (portRef C (instanceRef r_flush2_RNIOMSI42)) )) (net faddr_1_sqmuxa_m8_i_a3_0 (joined (portRef Y (instanceRef v_faddr_1_sqmuxa_m8_i_a3_0)) (portRef A (instanceRef r_flush2_RNIGUGHO4)) )) (net taddr_9_sn_m2_0 (joined (portRef Y (instanceRef r_istate_RNIP02C_1)) (portRef A (instanceRef taddr_1_sqmuxa_RNIVO7T)) )) (net istate_tr5_2 (joined (portRef Y (instanceRef r_istate_RNO_0_1)) (portRef A (instanceRef r_istate_RNO_1)) )) (net istate_tr5_0 (joined (portRef Y (instanceRef r_istate_RNO_1_1)) (portRef A (instanceRef r_istate_RNO_0_1)) )) (net (rename un1_p0_2_0_148 "un1_p0_2_0[148]") (joined (portRef Q (instanceRef r_flush2_0_0)) (portRef B (instanceRef r_flush2_0_0_RNI6HB41)) (portRef S (instanceRef r_faddr_RNIND8T_1)) (portRef S (instanceRef r_faddr_RNITT8T_3)) (portRef S (instanceRef r_faddr_RNIAA021_6)) (portRef S (instanceRef r_faddr_RNI069T_4)) (portRef S (instanceRef r_faddr_RNIDA021_7)) (portRef A (instanceRef r_faddr_RNIQ4L31_7)) (portRef B (instanceRef r_flush2_0_0_RNI6KDT)) (portRef C (instanceRef r_istate_RNO_0_1)) (portRef (member un1_p0_2_0 0)) )) (net twrite_3_iv_3 (joined (portRef Y (instanceRef r_hit_RNIGD6Q3)) (portRef A (instanceRef r_hit_RNIR3C95)) )) (net twrite_3_iv_1 (joined (portRef Y (instanceRef r_hit_RNIR0VV)) (portRef A (instanceRef r_hit_RNIGD6Q3)) )) (net twrite_3_iv_0 (joined (portRef Y (instanceRef ictrl_twrite_3_iv_0)) (portRef B (instanceRef r_hit_RNIGD6Q3)) )) (net mexc (joined (portRef mexc) (portRef C (instanceRef r_hit_RNIGD6Q3)) )) (net hit_RNIR2PJ (joined (portRef Y (instanceRef r_hit_RNIR2PJ)) (portRef A (instanceRef r_hit_RNIR0VV)) )) (net cacheon_1 (joined (portRef Y (instanceRef r_flush_RNI0U5C)) (portRef C (instanceRef r_flush_RNI5M5L)) (portRef C (instanceRef r_waddress_RNI5M5L_0_3)) (portRef C (instanceRef r_waddress_RNI5M5L_1_3)) (portRef C (instanceRef r_waddress_RNI5M5L_3)) (portRef C (instanceRef r_flush_RNIVKVP)) (portRef B (instanceRef r_underrun_RNILF0VC1)) (portRef C (instanceRef r_flush_RNI61DP6)) (portRef B (instanceRef r_hit_RNIR0VV)) )) (net hcache (joined (portRef hcache) (portRef A (instanceRef ictrl_twrite_3_iv_0)) )) (net ba (joined (portRef ba) (portRef B (instanceRef ictrl_twrite_3_iv_0)) )) (net N_5711 (joined (portRef N_5711) (portRef C (instanceRef ictrl_twrite_3_iv_0)) )) (net burst_3_m_0 (joined (portRef Y (instanceRef r_burst_RNO_7)) (portRef B (instanceRef r_burst_RNO_3)) )) (net mds_1_0 (joined (portRef Y (instanceRef r_underrun_RNIUQ18)) (portRef B (instanceRef r_istate_0_RNIJHAN1_0)) )) (net burst_2_sqmuxa_0 (joined (portRef Y (instanceRef r_burst_RNO_6)) (portRef A (instanceRef r_burst_RNO_2)) )) (net (rename cdwrite_4_m_0_0 "cdwrite_4_m_0[0]") (joined (portRef Y (instanceRef ictrl_cdwrite_4_m_0_0)) (portRef B (instanceRef r_istate_RNIQL7E6_1)) )) (net (rename asi_0 "asi[0]") (joined (portRef (member asi 0)) (portRef B (instanceRef r_istate_RNIVR9M_0_1)) (portRef C (instanceRef r_flush2_0_0_RNI6KDT)) (portRef A (instanceRef ictrl_cdwrite_4_m_0_0)) (portRef B (instanceRef r_istate_RNIVR9M_1)) )) (net read_0 (joined (portRef read_0) (portRef B (instanceRef ictrl_cdwrite_4_m_0_0)) )) (net hit_1_18 (joined (portRef Y (instanceRef r_flush_RNIBQQA3)) (portRef A (instanceRef r_flush_RNIN6PE5)) )) (net hit_1_12 (joined (portRef Y (instanceRef ictrl_hit_1_12)) (portRef A (instanceRef r_flush_RNIBQQA3)) )) (net hit_1_11 (joined (portRef Y (instanceRef ictrl_hit_1_11)) (portRef B (instanceRef r_flush_RNIBQQA3)) )) (net hit_1_15 (joined (portRef Y (instanceRef r_flush_RNISE571)) (portRef C (instanceRef r_flush_RNIBQQA3)) )) (net hit_1_17 (joined (portRef Y (instanceRef ictrl_hit_1_17)) (portRef B (instanceRef r_flush_RNIN6PE5)) )) (net hit_1_7 (joined (portRef Y (instanceRef ictrl_hit_1_7)) (portRef A (instanceRef ictrl_hit_1_17)) )) (net hit_1_6 (joined (portRef Y (instanceRef ictrl_hit_1_6)) (portRef B (instanceRef ictrl_hit_1_17)) )) (net hit_1_14 (joined (portRef Y (instanceRef ictrl_hit_1_14)) (portRef C (instanceRef ictrl_hit_1_17)) )) (net hit_1_10 (joined (portRef Y (instanceRef r_flush_RNI2UKU)) (portRef C (instanceRef r_flush_RNISE571)) )) (net hit4_16_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_16_0)) (portRef A (instanceRef ictrl_hit_1_14)) )) (net hit4_15_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_15_0)) (portRef B (instanceRef ictrl_hit_1_14)) )) (net hit_1_9 (joined (portRef Y (instanceRef ictrl_hit_1_9)) (portRef C (instanceRef ictrl_hit_1_14)) )) (net hit4_8_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_8_0)) (portRef A (instanceRef ictrl_hit_1_12)) )) (net hit4_7_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_7_0)) (portRef B (instanceRef ictrl_hit_1_12)) )) (net hit_1_5 (joined (portRef Y (instanceRef ictrl_hit_1_5)) (portRef C (instanceRef ictrl_hit_1_12)) )) (net hit4_4_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_4_0)) (portRef A (instanceRef ictrl_hit_1_11)) )) (net hit4_3_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_3_0)) (portRef B (instanceRef ictrl_hit_1_11)) )) (net hit_1_3 (joined (portRef Y (instanceRef ictrl_hit_1_3)) (portRef C (instanceRef ictrl_hit_1_11)) )) (net hit4_2_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_2_0)) (portRef A (instanceRef r_flush_RNI2UKU)) )) (net hit4_1_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_1_0)) (portRef B (instanceRef r_flush_RNI2UKU)) )) (net hit_1_0 (joined (portRef Y (instanceRef r_flush_RNIBMND)) (portRef C (instanceRef r_flush_RNI2UKU)) )) (net hit4_18_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_18_0)) (portRef C (instanceRef ictrl_hit_1_9)) )) (net hit4_14_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_14_0)) (portRef C (instanceRef ictrl_hit_1_7)) )) (net hit4_12_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_12_0)) (portRef C (instanceRef ictrl_hit_1_6)) )) (net hit4_10_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_10_0)) (portRef C (instanceRef ictrl_hit_1_5)) )) (net hit4_6_i_0 (joined (portRef Y (instanceRef ictrl_0_hit4_6_0)) (portRef C (instanceRef ictrl_hit_1_3)) )) (net twrite_3 (joined (portRef Y (instanceRef r_hit_RNIR3C95)) (portRef S (instanceRef r_valid_RNO_0)) (portRef S (instanceRef r_valid_RNO_1)) (portRef S (instanceRef r_valid_RNO_2)) (portRef S (instanceRef r_valid_RNO_3)) (portRef B (instanceRef r_istate_RNIEKOM5_1)) (portRef A (instanceRef r_hit_RNO)) (portRef B (instanceRef r_flush2_RNI6HLV5)) (portRef A (instanceRef r_istate_RNIQL7E6_1)) )) (net N_1119_i_0 (joined (portRef Y (instanceRef r_holdn_RNO_1)) (portRef A (instanceRef r_holdn_RNO_0)) )) (net holdn_RNO_4 (joined (portRef Y (instanceRef r_holdn_RNO_4)) (portRef A (instanceRef r_holdn_RNO_1)) )) (net taddr_0_sqmuxa_1 (joined (portRef Y (instanceRef r_istate_0_RNIKGI12_0)) (portRef S (instanceRef r_vaddress_RNISENTRD_11)) (portRef S (instanceRef r_vaddress_RNIK2HSMC_5)) (portRef S (instanceRef r_vaddress_RNIS94LGD_10)) (portRef S (instanceRef r_vaddress_RNILLMCBC_3)) (portRef B (instanceRef r_flush_RNO_7)) (portRef S (instanceRef r_vaddress_RNIMKKE8C_2)) (portRef S (instanceRef r_vaddress_RNI97TPVC_8)) (portRef A (instanceRef r_istate_0_RNI034942_0)) (portRef S (instanceRef r_vaddress_RNIO2BDK1_4)) (portRef S (instanceRef r_vaddress_RNI9PO2P1_7)) (portRef A (instanceRef taddr_1_sqmuxa_RNIJ9QU2)) (portRef B (instanceRef r_holdn_RNO_1)) )) (net holdn_RNO_5 (joined (portRef Y (instanceRef r_holdn_RNO_5)) (portRef C (instanceRef r_holdn_RNO_1)) )) (net underrun_1_sqmuxa_1_i_a1_1 (joined (portRef Y (instanceRef r_istate_RNINK7HD_1)) (portRef B (instanceRef r_holdn_RNO_4)) (portRef A (instanceRef r_holdn_RNO_5)) )) (net rd_1_NE (joined (portRef rd_1_NE) (portRef B (instanceRef r_holdn_RNO_5)) )) (net un1_rabpmisstt_b0_i_a3_0_0 (joined (portRef un1_rabpmisstt_b0_i_a3_0_0) (portRef A (instanceRef r_holdn_RNO_4)) )) (net un18_hold_pc_2 (joined (portRef un18_hold_pc_2) (portRef C (instanceRef r_holdn_RNO_4)) (portRef C (instanceRef r_holdn_RNO_5)) )) (net un1_addout_28_10 (joined (portRef un1_addout_28_10) (portRef B (instanceRef r_flush2_RNIF12DIP)) (portRef B (instanceRef ictrl_un1_ici_m2_i_a0)) (portRef C (instanceRef r_flush_RNO_0)) )) (net flush2_RNI2V7PUT1 (joined (portRef Y (instanceRef r_flush2_RNI2V7PUT1)) (portRef E (instanceRef r_faddr_7)) (portRef E (instanceRef r_faddr_6)) (portRef E (instanceRef r_faddr_5)) (portRef E (instanceRef r_faddr_4)) (portRef E (instanceRef r_faddr_3)) (portRef E (instanceRef r_faddr_2)) (portRef E (instanceRef r_faddr_1)) (portRef E (instanceRef r_faddr_0)) (portRef C (instanceRef r_faddr_RNI19I00U1_7)) )) (net flush_RNO (joined (portRef Y (instanceRef r_flush_RNO)) (portRef D (instanceRef r_flush)) )) (net (rename vitdatain_0_1_a0_3_23 "vitdatain_0_1_a0_3[23]") (joined (portRef (member vitdatain_0_1_a0_3 0)) (portRef A (instanceRef r_flush_RNO)) (portRef C (instanceRef ictrl_un1_ici_m2_i_a0)) )) (net un2_eholdn_1 (joined (portRef Y (instanceRef ictrl_un2_eholdn_1)) (portRef B (instanceRef ictrl_un2_eholdn)) (portRef C (instanceRef r_istate_RNINK7HD_1)) )) (net hold (joined (portRef hold) (portRef B (instanceRef r_holdn_RNIFCHA)) (portRef A (instanceRef ictrl_un2_eholdn_1)) (portRef B (instanceRef r_istate_RNIP02C_1)) )) (net inull (joined (portRef inull) (portRef B (instanceRef ictrl_un2_eholdn_1)) )) (net (rename rstate_0_RNIVPN36_1 "rstate_0_RNIVPN36[1]") (joined (portRef (member rstate_0_rnivpn36 0)) (portRef C (instanceRef ictrl_un2_eholdn_1)) )) (net req_4 (joined (portRef Y (instanceRef r_underrun_RNISLRNE1)) (portRef B (instanceRef r_req_RNO_0)) (portRef B (instanceRef r_burst_RNO_5)) )) (net underrun_0 (joined (portRef Y (instanceRef r_underrun_RNILF0VC1)) (portRef B (instanceRef r_underrun_RNISLRNE1)) )) (net burst_1 (joined (portRef Y (instanceRef r_burst_RNO_1)) (portRef A (instanceRef r_burst_RNO_0)) )) (net burst_3_m (joined (portRef Y (instanceRef r_burst_RNO_3)) (portRef A (instanceRef r_burst_RNO_1)) )) (net burst_2_m (joined (portRef Y (instanceRef r_burst_RNO_4)) (portRef B (instanceRef r_burst_RNO_1)) )) (net req_4_m (joined (portRef Y (instanceRef r_burst_RNO_5)) (portRef C (instanceRef r_burst_RNO_1)) )) (net (rename un4_validv_3 "un4_validv[3]") (joined (portRef Y (instanceRef r_burst_RNO_8)) (portRef A (instanceRef r_burst_RNO_4)) )) (net burst_0 (joined (portRef burst_0) (portRef B (instanceRef r_burst_RNO_4)) (portRef B (instanceRef r_burst_RNINVI8)) )) (net ctwrite_0_sqmuxa (joined (portRef Y (instanceRef r_flush2_0_0_RNI6KDT)) (portRef S (instanceRef r_flush2_RNICRKB7)) )) (net un5_eholdn (joined (portRef Y (instanceRef r_flush_RNI61DP6)) (portRef B (instanceRef r_flush_RNI5IC2I1)) (portRef A (instanceRef r_istate_RNINK7HD_1)) )) (net valid_1 (joined (portRef Y (instanceRef ictrl_valid_1_3)) (portRef A (instanceRef r_flush_RNI61DP6)) )) (net hit_1 (joined (portRef Y (instanceRef r_flush_RNIN6PE5)) (portRef A (instanceRef r_hit_RNO_0)) (portRef B (instanceRef r_flush_RNI61DP6)) )) (net underrun_1 (joined (portRef Y (instanceRef r_underrun_RNO_1)) (portRef B (instanceRef r_underrun_RNO_0)) )) (net N_1153 (joined (portRef Y (instanceRef r_overrun_RNI57CLND)) (portRef A (instanceRef r_holdn_RNO_2)) (portRef A (instanceRef r_underrun_RNO_1)) )) (net underrun_2 (joined (portRef Y (instanceRef r_underrun_RNILHQIC1)) (portRef B (instanceRef r_holdn_RNO_2)) (portRef A (instanceRef r_underrun_RNILF0VC1)) (portRef B (instanceRef r_underrun_RNO_1)) )) (net mds (joined (portRef Y (instanceRef r_istate_0_RNIJHAN1_0)) (portRef mds) )) (net flush2_0_sqmuxa (joined (portRef Y (instanceRef r_faddr_RNIQ4L31_7)) (portRef B (instanceRef r_faddr_RNI19I00U1_7)) )) (net (rename faddr_7 "faddr[7]") (joined (portRef Q (instanceRef r_faddr_7)) (portRef B (instanceRef un1_r_faddr_I_38)) (portRef B (instanceRef r_faddr_RNIDA021_7)) (portRef B (instanceRef r_faddr_RNIQ4L31_7)) )) (net I_38 (joined (portRef Y (instanceRef un1_r_faddr_I_38)) (portRef B (instanceRef r_faddr_RNO_7)) (portRef C (instanceRef r_faddr_RNIQ4L31_7)) )) (net N_1085 (joined (portRef Y (instanceRef r_istate_RNIUSTR1_1)) (portRef A (instanceRef r_istate_RNI8KV1K1_1)) )) (net (rename taddr_1_sqmuxa_RNIVO7TZ0 "taddr_1_sqmuxa_RNIVO7T") (joined (portRef Y (instanceRef taddr_1_sqmuxa_RNIVO7T)) (portRef S (instanceRef r_vaddress_RNIF4TSCC_3)) (portRef S (instanceRef r_vaddress_RNIERQU9C_2)) (portRef B (instanceRef taddr_1_sqmuxa_RNIJ9QU2)) (portRef S (instanceRef r_vaddress_RNIG7BH1_9)) (portRef A (instanceRef taddr_1_sqmuxa_RNIVRB652)) (portRef S (instanceRef r_vaddress_RNIKPHTL1_4)) (portRef A (instanceRef r_flush2_0_0_RNI6HB41)) (portRef S (instanceRef r_vaddress_RNI73AH1_6)) )) (net un1_addout_27 (joined (portRef un1_addout_27) (portRef B (instanceRef r_flush2_RNI1JJS3R)) )) (net un16_casaen_0_1 (joined (portRef un16_casaen_0_1) (portRef C (instanceRef r_flush2_RNIF12DIP)) (portRef C (instanceRef r_flush2_RNI1JJS3R)) )) (net (rename ics_0 "ics[0]") (joined (portRef (member ics 1)) (portRef A (instanceRef r_flush2_RNI0UAC)) (portRef B (instanceRef r_flush_RNIVKVP)) (portRef A (instanceRef r_flush_RNI0U5C)) (portRef A (instanceRef r_hit_RNIR2PJ)) (portRef A (instanceRef r_istate_RNO_1_1)) )) (net hit (joined (portRef Q (instanceRef r_hit)) (portRef A (instanceRef r_valid_RNIF9CB_3)) (portRef A (instanceRef r_valid_RNIE9CB_2)) (portRef A (instanceRef r_valid_RNID9CB_1)) (portRef A (instanceRef r_valid_RNIC9CB_0)) (portRef B (instanceRef r_hit_RNO_0)) (portRef C (instanceRef r_hit_RNIR2PJ)) )) (net flush_RNO_6 (joined (portRef Y (instanceRef r_flush_RNO_6)) (portRef A (instanceRef r_flush_RNO_4)) )) (net flush (joined (portRef flush) (portRef A (instanceRef r_flush2_RNIQF7AG)) (portRef B (instanceRef r_flush_RNO_4)) (portRef C (instanceRef ictrl_un1_ici_m2_i_0_0)) )) (net flush_0_sqmuxa_6 (joined (portRef flush_0_sqmuxa_6) (portRef B (instanceRef ictrl_un1_icitt_m1_e)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef Y (instanceRef r_faddr_RNIFA34UD_7)) (portRef (member faddr_rnifa34ud 0)) )) (net N_979 (joined (portRef Y (instanceRef r_vaddress_RNISENTRD_11)) (portRef A (instanceRef r_faddr_RNIFA34UD_7)) )) (net (rename faddr_RNIDA021_7 "faddr_RNIDA021[7]") (joined (portRef Y (instanceRef r_faddr_RNIDA021_7)) (portRef B (instanceRef r_faddr_RNIFA34UD_7)) )) (net flush2_0_0_RNI6HB41 (joined (portRef Y (instanceRef r_flush2_0_0_RNI6HB41)) (portRef S (instanceRef r_vaddress_RNIH15UOC_5)) (portRef S (instanceRef r_faddr_RNIR9J23D_3)) (portRef S (instanceRef r_faddr_RNIC5GRID_6)) (portRef S (instanceRef r_vaddress_RNIFUHR1D_8)) (portRef S (instanceRef r_faddr_RNIFA34UD_7)) )) (net N_957 (joined (portRef Y (instanceRef r_istate_RNIF2NN_1)) (portRef A (instanceRef r_faddr_RNIDA021_7)) )) (net (rename taddr_9_6 "taddr_9[6]") (joined (portRef Y (instanceRef r_vaddress_RNIROSOSC_6)) (portRef A (instanceRef r_faddr_RNIUAG1TC_2)) )) (net (rename rpc_4 "rpc[6]") (joined (portRef rpc_4) (portRef A (instanceRef r_vaddress_RNIROSOSC_6)) )) (net (rename vaddress_RNI73AH1_6 "vaddress_RNI73AH1[6]") (joined (portRef Y (instanceRef r_vaddress_RNI73AH1_6)) (portRef B (instanceRef r_vaddress_RNIROSOSC_6)) )) (net (rename taddr_1_sqmuxa_RNIJ9QUZ0Z2 "taddr_1_sqmuxa_RNIJ9QU2") (joined (portRef Y (instanceRef taddr_1_sqmuxa_RNIJ9QU2)) (portRef S (instanceRef r_vaddress_RNII4FLBD_9)) (portRef S (instanceRef r_vaddress_RNIROSOSC_6)) )) (net N_952 (joined (portRef Y (instanceRef r_istate_RNI1EVI_1)) (portRef A (instanceRef r_vaddress_RNI73AH1_6)) )) (net (rename vaddress_6 "vaddress[6]") (joined (portRef Q (instanceRef r_vaddress_6)) (portRef B (instanceRef r_vaddress_RNI73AH1_6)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef Y (instanceRef r_vaddress_RNIFUHR1D_8)) (portRef (member vaddress_rnifuhr1d 0)) )) (net N_976 (joined (portRef Y (instanceRef r_vaddress_RNI97TPVC_8)) (portRef A (instanceRef r_vaddress_RNIFUHR1D_8)) )) (net (rename faddr_RNI069T_4 "faddr_RNI069T[4]") (joined (portRef Y (instanceRef r_faddr_RNI069T_4)) (portRef B (instanceRef r_vaddress_RNIFUHR1D_8)) )) (net N_954 (joined (portRef Y (instanceRef r_istate_RNI5UVI_1)) (portRef A (instanceRef r_faddr_RNI069T_4)) )) (net (rename faddr_4 "faddr[4]") (joined (portRef Q (instanceRef r_faddr_4)) (portRef B (instanceRef un1_r_faddr_I_20)) (portRef C (instanceRef un1_r_faddr_I_23)) (portRef B (instanceRef un1_r_faddr_I_27)) (portRef B (instanceRef un1_r_faddr_I_34)) (portRef B (instanceRef r_faddr_RNI069T_4)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef Y (instanceRef r_faddr_RNIC5GRID_6)) (portRef (member faddr_rnic5grid 0)) )) (net N_978 (joined (portRef Y (instanceRef r_vaddress_RNIS94LGD_10)) (portRef A (instanceRef r_faddr_RNIC5GRID_6)) )) (net (rename faddr_RNIAA021_6 "faddr_RNIAA021[6]") (joined (portRef Y (instanceRef r_faddr_RNIAA021_6)) (portRef B (instanceRef r_faddr_RNIC5GRID_6)) )) (net N_956 (joined (portRef Y (instanceRef r_istate_RNID2NN_1)) (portRef A (instanceRef r_faddr_RNIAA021_6)) )) (net (rename faddr_6 "faddr[6]") (joined (portRef Q (instanceRef r_faddr_6)) (portRef B (instanceRef un1_r_faddr_I_31)) (portRef C (instanceRef un1_r_faddr_I_37)) (portRef B (instanceRef r_faddr_RNIAA021_6)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef Y (instanceRef r_faddr_RNIR9J23D_3)) (portRef (member faddr_rnir9j23d 0)) )) (net N_975 (joined (portRef Y (instanceRef r_vaddress_RNIOQU01D_7)) (portRef A (instanceRef r_faddr_RNIR9J23D_3)) )) (net (rename faddr_RNITT8T_3 "faddr_RNITT8T[3]") (joined (portRef Y (instanceRef r_faddr_RNITT8T_3)) (portRef B (instanceRef r_faddr_RNIR9J23D_3)) )) (net N_953 (joined (portRef Y (instanceRef r_istate_RNI3MVI_1)) (portRef A (instanceRef r_faddr_RNITT8T_3)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef Y (instanceRef r_vaddress_RNIH15UOC_5)) (portRef (member vaddress_rnih15uoc 0)) )) (net N_973 (joined (portRef Y (instanceRef r_vaddress_RNIK2HSMC_5)) (portRef A (instanceRef r_vaddress_RNIH15UOC_5)) )) (net (rename faddr_RNIND8T_1 "faddr_RNIND8T[1]") (joined (portRef Y (instanceRef r_faddr_RNIND8T_1)) (portRef B (instanceRef r_vaddress_RNIH15UOC_5)) )) (net N_951 (joined (portRef Y (instanceRef r_istate_RNIV5VI_1)) (portRef A (instanceRef r_faddr_RNIND8T_1)) )) (net (rename taddr_9_4 "taddr_9[4]") (joined (portRef Y (instanceRef taddr_1_sqmuxa_RNISCE0LC)) (portRef A (instanceRef r_faddr_RNITU19LC_0)) )) (net (rename vaddress_RNIKPHTL1_4 "vaddress_RNIKPHTL1[4]") (joined (portRef Y (instanceRef r_vaddress_RNIKPHTL1_4)) (portRef A (instanceRef taddr_1_sqmuxa_RNISCE0LC)) )) (net (rename npc0_i_0 "npc0_i[4]") (joined (portRef npc0_i_0) (portRef B (instanceRef taddr_1_sqmuxa_RNISCE0LC)) )) (net (rename taddr_1_sqmuxa_RNIVRBZ0Z652 "taddr_1_sqmuxa_RNIVRB652") (joined (portRef Y (instanceRef taddr_1_sqmuxa_RNIVRB652)) (portRef S (instanceRef taddr_1_sqmuxa_RNISCE0LC)) )) (net N_950 (joined (portRef Y (instanceRef r_istate_RNITTUI_1)) (portRef A (instanceRef r_vaddress_RNIKPHTL1_4)) )) (net (rename vaddress_RNIO2BDK1_4 "vaddress_RNIO2BDK1[4]") (joined (portRef Y (instanceRef r_vaddress_RNIO2BDK1_4)) (portRef B (instanceRef r_vaddress_RNIKPHTL1_4)) )) (net (rename istate_0_RNI034942_0 "istate_0_RNI034942[0]") (joined (portRef Y (instanceRef r_istate_0_RNI034942_0)) (portRef S (instanceRef r_vaddress_RNIOQU01D_7)) (portRef B (instanceRef taddr_1_sqmuxa_RNIVRB652)) )) (net (rename taddr_9_9 "taddr_9[9]") (joined (portRef Y (instanceRef r_vaddress_RNII4FLBD_9)) (portRef A (instanceRef r_faddr_RNIOM2UBD_5)) )) (net (rename rpc_7 "rpc[9]") (joined (portRef rpc_7) (portRef A (instanceRef r_vaddress_RNII4FLBD_9)) )) (net (rename vaddress_RNIG7BH1_9 "vaddress_RNIG7BH1[9]") (joined (portRef Y (instanceRef r_vaddress_RNIG7BH1_9)) (portRef B (instanceRef r_vaddress_RNII4FLBD_9)) )) (net N_955 (joined (portRef Y (instanceRef r_istate_RNI760J_1)) (portRef A (instanceRef r_vaddress_RNIG7BH1_9)) )) (net (rename vaddress_9 "vaddress[9]") (joined (portRef Q (instanceRef r_vaddress_9)) (portRef B (instanceRef r_vaddress_RNIG7BH1_9)) )) (net (rename vaddress_RNI9PO2P1_7 "vaddress_RNI9PO2P1[7]") (joined (portRef Y (instanceRef r_vaddress_RNI9PO2P1_7)) (portRef A (instanceRef r_vaddress_RNIOQU01D_7)) )) (net (rename npc0_i_3 "npc0_i[7]") (joined (portRef npc0_i_3) (portRef B (instanceRef r_vaddress_RNIOQU01D_7)) )) (net (rename vaddress_7 "vaddress[7]") (joined (portRef Q (instanceRef r_vaddress_7)) (portRef A (instanceRef r_vaddress_RNI9PO2P1_7)) )) (net (rename npc1_3 "npc1[7]") (joined (portRef npc1_3) (portRef B (instanceRef r_vaddress_RNI9PO2P1_7)) )) (net (rename vaddress_4 "vaddress[4]") (joined (portRef Q (instanceRef r_vaddress_4)) (portRef A (instanceRef r_vaddress_RNIO2BDK1_4)) )) (net (rename npc1_0 "npc1[4]") (joined (portRef npc1_0) (portRef B (instanceRef r_vaddress_RNIO2BDK1_4)) )) (net de_hold_pc_1 (joined (portRef de_hold_pc_1) (portRef B (instanceRef r_istate_0_RNI034942_0)) )) (net flush2 (joined (portRef Q (instanceRef r_flush2)) (portRef A (instanceRef r_flush_RNO_6)) (portRef A (instanceRef r_faddr_RNO_0)) (portRef A (instanceRef r_faddr_RNO_1)) (portRef A (instanceRef r_faddr_RNO_2)) (portRef A (instanceRef r_faddr_RNO_3)) (portRef A (instanceRef r_faddr_RNO_4)) (portRef A (instanceRef r_faddr_RNO_5)) (portRef A (instanceRef r_faddr_RNO_6)) (portRef A (instanceRef r_faddr_RNO_7)) (portRef B (instanceRef r_flush2_RNI0UAC)) (portRef S (instanceRef r_faddr_RNITU19LC_0)) (portRef S (instanceRef r_faddr_RNIUAG1TC_2)) (portRef S (instanceRef r_faddr_RNIOM2UBD_5)) (portRef A (instanceRef r_flush2_RNI5NKK2)) (portRef A (instanceRef r_flush2_RNI3JKK2)) (portRef A (instanceRef r_flush2_RNIVAKK2)) (portRef A (instanceRef r_flush2_RNI1FKK2)) (portRef C (instanceRef r_flush2_RNI6HLV5)) (portRef B (instanceRef r_flush2_RNIQF7AG)) )) (net annul_1 (joined (portRef annul_1) (portRef A (instanceRef v_faddr_1_sqmuxa_m8_i_a4_0_0)) )) (net tdiagwrite_3_0_m6_e (joined (portRef tdiagwrite_3_0_m6_e) (portRef B (instanceRef v_faddr_1_sqmuxa_m8_i_a4_0_0)) (portRef A (instanceRef r_flush2_RNIOMSI42)) )) (net un18_hold_pc (joined (portRef un18_hold_pc) (portRef A (instanceRef ictrl_un2_eholdn)) )) (net req_0_sqmuxa (joined (portRef Y (instanceRef r_istate_0_RNIOG3J6_0)) (portRef S (instanceRef r_req_RNO_0)) (portRef A (instanceRef r_burst_RNO_5)) )) (net (rename istateZ0Z_1 "istate_1") (joined (portRef Y (instanceRef r_burst_RNO_2)) (portRef S (instanceRef r_burst_RNO_0)) )) (net grant (joined (portRef grant) (portRef B (instanceRef r_istate_0_RNIOG3J6_0)) (portRef B (instanceRef r_burst_RNO_2)) (portRef A (instanceRef r_burst_RNO_3)) )) (net burst_RNO (joined (portRef Y (instanceRef r_burst_RNO)) (portRef D (instanceRef r_burst)) )) (net N_1028 (joined (portRef Y (instanceRef r_burst_RNO_0)) (portRef B (instanceRef r_burst_RNO)) )) (net burst (joined (portRef Q (instanceRef r_burst)) (portRef B (instanceRef r_burst_RNO_0)) (portRef A (instanceRef r_burst_RNINVI8)) (portRef burst) )) (net N_888 (joined (portRef Y (instanceRef r_vaddress_RNIT2BN_21)) (portRef C (instanceRef r_vaddress_RNIEAGP4S1_21)) )) (net (rename vaddress_21 "vaddress[21]") (joined (portRef Q (instanceRef r_vaddress_21)) (portRef A (instanceRef r_vaddress_RNIT2BN_21)) )) (net (rename maddress_21 "maddress[21]") (joined (portRef (member maddress 10)) (portRef A (instanceRef r_istate_RNITKDP1_1)) (portRef B (instanceRef r_vaddress_RNIT2BN_21)) (portRef A (instanceRef ictrl_un1_icitt_m1_e)) )) (net (rename vaddress_RNIEAGP4S1_21 "vaddress_RNIEAGP4S1[21]") (joined (portRef Y (instanceRef r_vaddress_RNIEAGP4S1_21)) (portRef (member vaddress_rnieagp4s1 0)) )) (net (rename diagdata_21 "diagdata[21]") (joined (portRef Y (instanceRef r_istate_RNI8TM01_2_1)) (portRef diagdata_21) )) (net u0_RD13_0 (joined (portRef u0_RD13_0) (portRef A (instanceRef r_istate_RNI8TM01_2_1)) (portRef B (instanceRef ictrl_hit_1_5)) )) (net cdwrite_0_sqmuxa_i_0_0 (joined (portRef Y (instanceRef r_istate_RNIVR9M_1)) (portRef S (instanceRef r_istate_RNI9TM01_0_1)) (portRef S (instanceRef r_istate_RNI5IO01_1_1)) (portRef S (instanceRef r_istate_RNI5IO01_2_1)) (portRef S (instanceRef r_istate_RNI8TM01_1_1)) (portRef S (instanceRef r_istate_RNI3TM01_2_1)) (portRef S (instanceRef r_istate_RNI4IO01_1)) (portRef S (instanceRef r_istate_RNI7TM01_2_1)) (portRef S (instanceRef r_istate_RNI4IO01_0_1)) (portRef S (instanceRef r_istate_RNI6TM01_2_1)) (portRef S (instanceRef r_istate_RNI8TM01_2_1)) (portRef cdwrite_0_sqmuxa_i_0_0) )) (net (rename data_0_21 "data_0[21]") (joined (portRef Y (instanceRef r_istate_0_RNISLEE1_0)) (portRef (member data_0 10)) )) (net u0_DOUTA1_9 (joined (portRef u0_DOUTA1_9) (portRef A (instanceRef r_istate_0_RNISLEE1_0)) (portRef B (instanceRef r_istate_RNI8TM01_2_1)) )) (net (rename istate_RNITKDP1_1 "istate_RNITKDP1[1]") (joined (portRef Y (instanceRef r_istate_RNITKDP1_1)) (portRef (member istate_rnitkdp1 0)) )) (net (rename hrdata_20 "hrdata[21]") (joined (portRef hrdata_20) (portRef B (instanceRef r_istate_RNITKDP1_1)) (portRef B (instanceRef r_istate_0_RNISLEE1_0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef Y (instanceRef r_istate_RNIQL7E6_1)) (portRef (member istate_rniql7e6 0)) )) (net N_882 (joined (portRef Y (instanceRef r_vaddress_RNIVQAN_15)) (portRef C (instanceRef r_vaddress_RNIG2GP4S1_15)) )) (net (rename vaddress_15 "vaddress[15]") (joined (portRef Q (instanceRef r_vaddress_15)) (portRef A (instanceRef r_vaddress_RNIVQAN_15)) )) (net (rename vaddress_RNIG2GP4S1_15 "vaddress_RNIG2GP4S1[15]") (joined (portRef Y (instanceRef r_vaddress_RNIG2GP4S1_15)) (portRef (member vaddress_rnig2gp4s1 0)) )) (net cdwrite_0_sqmuxa_i_0 (joined (portRef Y (instanceRef r_istate_RNIVR9M_0_1)) (portRef S (instanceRef r_istate_RNI6TM01_0_1)) (portRef S (instanceRef r_istate_RNI7TM01_0_1)) (portRef S (instanceRef r_istate_RNI6TM01_1_1)) (portRef S (instanceRef r_istate_RNI7TM01_1)) (portRef S (instanceRef r_istate_RNI9TM01_1)) (portRef S (instanceRef r_istate_RNI3TM01_0_1)) (portRef S (instanceRef r_istate_RNI3TM01_1)) (portRef S (instanceRef r_istate_RNI3TM01_1_1)) (portRef S (instanceRef r_istate_RNI0IO01_0_1)) (portRef S (instanceRef r_istate_RNI0IO01_1)) (portRef S (instanceRef r_istate_RNI6TM01_1)) (portRef S (instanceRef r_istate_RNI7TM01_1_1)) (portRef S (instanceRef r_istate_RNI5IO01_0_1)) (portRef S (instanceRef r_istate_RNI5IO01_1)) (portRef S (instanceRef r_istate_RNI8TM01_0_1)) (portRef S (instanceRef r_istate_RNI8TM01_1)) )) (net flush2_RNICRKB7 (joined (portRef Y (instanceRef r_flush2_RNICRKB7)) (portRef flush2_RNICRKB7) )) (net flush2_0 (joined (portRef Y (instanceRef r_flush2_RNI6HLV5)) (portRef A (instanceRef r_flush2_RNICRKB7)) )) (net read (joined (portRef read) (portRef B (instanceRef r_flush2_RNICRKB7)) )) (net (rename data_0_8 "data_0[8]") (joined (portRef Y (instanceRef r_istate_0_RNIFRGS_0)) (portRef (member data_0 23)) )) (net (rename istate_RNIJLLK1_1 "istate_RNIJLLK1[1]") (joined (portRef Y (instanceRef r_istate_RNIJLLK1_1)) (portRef (member istate_rnijllk1 0)) )) (net (rename istate_RNIB7T42_1 "istate_RNIB7T42[1]") (joined (portRef Y (instanceRef r_istate_RNIB7T42_1)) (portRef (member istate_rnib7t42 0)) )) (net flush_0 (joined (portRef Q (instanceRef r_flush)) (portRef B (instanceRef r_flush_RNO_6)) (portRef B (instanceRef r_flush_RNI0U5C)) (portRef C (instanceRef r_flush_RNIBMND)) (portRef flush_0) )) (net (rename diagdata_13 "diagdata[13]") (joined (portRef Y (instanceRef r_istate_RNI6TM01_2_1)) (portRef diagdata_13) )) (net (rename data_0_10 "data_0[10]") (joined (portRef Y (instanceRef r_istate_0_RNIAGN61_0)) (portRef (member data_0 21)) )) (net u0_DOUTA2_12 (joined (portRef u0_DOUTA2_12) (portRef A (instanceRef r_istate_0_RNIAGN61_0)) )) (net (rename diagdata_26 "diagdata[26]") (joined (portRef Y (instanceRef r_istate_RNI4IO01_0_1)) (portRef diagdata_26) )) (net (rename diagdata_17 "diagdata[17]") (joined (portRef Y (instanceRef r_istate_RNI7TM01_2_1)) (portRef diagdata_17) )) (net u0_RD9_0 (joined (portRef u0_RD9_0) (portRef A (instanceRef r_istate_RNI7TM01_2_1)) (portRef B (instanceRef ictrl_hit_1_3)) )) (net (rename data_0_17 "data_0[17]") (joined (portRef Y (instanceRef r_istate_0_RNICUKN1_0)) (portRef (member data_0 14)) )) (net u0_DOUTA1_10 (joined (portRef u0_DOUTA1_10) (portRef A (instanceRef r_istate_0_RNICUKN1_0)) (portRef B (instanceRef r_istate_RNI7TM01_2_1)) )) (net (rename istate_RNIHPJ22_1 "istate_RNIHPJ22[1]") (joined (portRef Y (instanceRef r_istate_RNIHPJ22_1)) (portRef (member istate_rnihpj22 0)) )) (net (rename dmao_i_1_17 "dmao_i_1[32]") (joined (portRef dmao_i_1_17) (portRef B (instanceRef r_istate_RNIHPJ22_1)) (portRef B (instanceRef r_istate_0_RNICUKN1_0)) )) (net rbranch (joined (portRef rbranch) (portRef A (instanceRef r_overrun_RNI57CLND)) )) (net fbranch (joined (portRef fbranch) (portRef B (instanceRef r_overrun_RNI57CLND)) )) (net (rename addr_8 "addr[8]") (joined (portRef (member addr 3)) (portRef B (instanceRef r_istate_RNI5UVI_1)) )) (net (rename vaddress_8 "vaddress[8]") (joined (portRef Q (instanceRef r_vaddress_8)) (portRef A (instanceRef r_vaddress_RNI97TPVC_8)) )) (net (rename rpc_6 "rpc[8]") (joined (portRef rpc_6) (portRef B (instanceRef r_vaddress_RNI97TPVC_8)) )) (net (rename diagdata_27 "diagdata[27]") (joined (portRef Y (instanceRef r_istate_RNI4IO01_1)) (portRef diagdata_27) )) (net (rename istate_RNILTPP1_1 "istate_RNILTPP1[1]") (joined (portRef Y (instanceRef r_istate_RNILTPP1_1)) (portRef (member istate_rniltpp1 0)) )) (net (rename maddress_6 "maddress[6]") (joined (portRef (member maddress 25)) (portRef A (instanceRef r_istate_RNILTPP1_1)) )) (net (rename diagdata_2 "diagdata[2]") (joined (portRef Y (instanceRef r_istate_RNI3TM01_2_1)) (portRef diagdata_2) )) (net N_1035 (joined (portRef Y (instanceRef r_req_RNO_0)) (portRef A (instanceRef r_req_RNO)) )) (net req (joined (portRef Q (instanceRef r_req)) (portRef B (instanceRef r_req_RNIAI4L1)) (portRef A (instanceRef r_req_RNO_0)) (portRef A (instanceRef r_burst_RNO_7)) (portRef req) )) (net req_RNO (joined (portRef Y (instanceRef r_req_RNO)) (portRef D (instanceRef r_req)) )) (net holdn_0_sqmuxa_1 (joined (portRef Y (instanceRef r_flush_RNI5IC2I1)) (portRef B (instanceRef r_istate_RNI8KV1K1_1)) (portRef B (instanceRef r_overrun_RNO)) (portRef B (instanceRef r_req_RNO)) )) (net (rename data_0_27 "data_0[27]") (joined (portRef Y (instanceRef r_istate_0_RNIJUM71_0)) (portRef (member data_0 4)) )) (net u0_DOUTA3_8 (joined (portRef u0_DOUTA3_8) (portRef A (instanceRef r_istate_0_RNIJUM71_0)) (portRef B (instanceRef r_istate_RNI4IO01_1)) )) (net (rename diagdata_23 "diagdata[23]") (joined (portRef Y (instanceRef r_istate_RNI8TM01_1_1)) (portRef diagdata_23) )) (net u0_RD15_0 (joined (portRef u0_RD15_0) (portRef A (instanceRef r_istate_RNI8TM01_1_1)) (portRef B (instanceRef ictrl_hit_1_6)) )) (net (rename istate_RNINGDN1_1 "istate_RNINGDN1[1]") (joined (portRef Y (instanceRef r_istate_RNINGDN1_1)) (portRef (member istate_rningdn1 0)) )) (net N_1036 (joined (portRef Y (instanceRef r_hit_RNO_0)) (portRef B (instanceRef r_hit_RNO)) )) (net N_938 (joined (portRef Y (instanceRef r_valid_RNIGC6F2_1)) (portRef B (instanceRef r_flush2_RNI1FKK2)) )) (net (rename vmask_6_1 "vmask_6[1]") (joined (portRef Y (instanceRef r_valid_RNIHKHQ1_1)) (portRef B (instanceRef r_valid_RNO_1)) (portRef A (instanceRef r_valid_RNIGC6F2_1)) )) (net N_948 (joined (portRef Y (instanceRef r_istate_RNIPDUI_1)) (portRef A (instanceRef r_vaddress_RNIERQU9C_2)) )) (net (rename fpc_2 "fpc[2]") (joined (portRef (member fpc 29)) (portRef A (instanceRef r_vaddress_RNO_2)) (portRef A (instanceRef r_waddress_RNO_2)) (portRef S (instanceRef ictrl_valid_1_3)) (portRef A (instanceRef r_istate_RNIPDUI_1)) (portRef B (instanceRef r_burst_RNO_8)) )) (net (rename addr_2 "addr[2]") (joined (portRef (member addr 9)) (portRef B (instanceRef r_istate_RNIPDUI_1)) )) (net N_970 (joined (portRef Y (instanceRef r_vaddress_RNIMKKE8C_2)) (portRef B (instanceRef r_vaddress_RNIERQU9C_2)) )) (net (rename vaddress_2 "vaddress[2]") (joined (portRef Q (instanceRef r_vaddress_2)) (portRef B (instanceRef r_vaddress_RNO_0_2)) (portRef B (instanceRef r_vaddress_RNO_0_3)) (portRef A (instanceRef r_vaddress_RNIMKKE8C_2)) )) (net (rename rpc_0 "rpc[2]") (joined (portRef rpc_0) (portRef B (instanceRef r_vaddress_RNIMKKE8C_2)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef Y (instanceRef r_vaddress_RNIERQU9C_2)) (portRef (member vaddress_rnierqu9c 0)) )) (net flush2_RNI1FKK2 (joined (portRef Y (instanceRef r_flush2_RNI1FKK2)) (portRef flush2_RNI1FKK2) )) (net N_921 (joined (portRef Y (instanceRef r_valid_RNIC9CB_0)) (portRef A (instanceRef r_valid_RNIGKHQ1_0)) )) (net (rename valid_0 "valid[0]") (joined (portRef Q (instanceRef r_valid_0)) (portRef B (instanceRef r_valid_RNIC9CB_0)) )) (net (rename un39_res_0 "un39_res[0]") (joined (portRef Y (instanceRef r_waddress_RNI9S42_1_3)) (portRef B (instanceRef r_waddress_RNI5M5L_3)) (portRef C (instanceRef r_valid_RNIC9CB_0)) )) (net N_922 (joined (portRef Y (instanceRef r_valid_RNID9CB_1)) (portRef A (instanceRef r_valid_RNIHKHQ1_1)) )) (net (rename validZ0Z_1 "valid[1]") (joined (portRef Q (instanceRef r_valid_1)) (portRef B (instanceRef r_valid_RNID9CB_1)) )) (net N_923 (joined (portRef Y (instanceRef r_valid_RNIE9CB_2)) (portRef A (instanceRef r_valid_RNIIKHQ1_2)) )) (net (rename valid_2 "valid[2]") (joined (portRef Q (instanceRef r_valid_2)) (portRef B (instanceRef r_valid_RNIE9CB_2)) )) (net (rename un39_res_2 "un39_res[2]") (joined (portRef Y (instanceRef r_waddress_RNI9S42_0_3)) (portRef B (instanceRef r_waddress_RNI5M5L_0_3)) (portRef C (instanceRef r_valid_RNIE9CB_2)) )) (net (rename vmask_6_0 "vmask_6[0]") (joined (portRef Y (instanceRef r_valid_RNIGKHQ1_0)) (portRef B (instanceRef r_valid_RNO_0)) (portRef A (instanceRef r_valid_RNIE86F2_0)) )) (net N_927 (joined (portRef Y (instanceRef r_waddress_RNI5M5L_3)) (portRef B (instanceRef r_valid_RNIGKHQ1_0)) )) (net N_931 (joined (portRef Y (instanceRef r_flush_RNIVKVP)) (portRef S (instanceRef r_valid_RNIJKHQ1_3)) (portRef S (instanceRef r_valid_RNIIKHQ1_2)) (portRef S (instanceRef r_valid_RNIHKHQ1_1)) (portRef S (instanceRef r_valid_RNIGKHQ1_0)) )) (net N_928 (joined (portRef Y (instanceRef r_waddress_RNI5M5L_1_3)) (portRef B (instanceRef r_valid_RNIHKHQ1_1)) )) (net (rename vmask_6_2 "vmask_6[2]") (joined (portRef Y (instanceRef r_valid_RNIIKHQ1_2)) (portRef B (instanceRef r_valid_RNO_2)) (portRef A (instanceRef r_valid_RNIIG6F2_2)) )) (net N_929 (joined (portRef Y (instanceRef r_waddress_RNI5M5L_0_3)) (portRef B (instanceRef r_valid_RNIIKHQ1_2)) )) (net (rename vmask_6_3 "vmask_6[3]") (joined (portRef Y (instanceRef r_valid_RNIJKHQ1_3)) (portRef B (instanceRef r_valid_RNO_3)) (portRef A (instanceRef r_valid_RNIKK6F2_3)) )) (net N_924 (joined (portRef Y (instanceRef r_valid_RNIF9CB_3)) (portRef A (instanceRef r_valid_RNIJKHQ1_3)) )) (net N_930 (joined (portRef Y (instanceRef r_flush_RNI5M5L)) (portRef B (instanceRef r_valid_RNIJKHQ1_3)) )) (net (rename ics_1 "ics[1]") (joined (portRef (member ics 0)) (portRef A (instanceRef r_flush_RNIVKVP)) (portRef B (instanceRef r_hit_RNIR2PJ)) )) (net N_937 (joined (portRef Y (instanceRef r_valid_RNIE86F2_0)) (portRef B (instanceRef r_flush2_RNIVAKK2)) )) (net N_939 (joined (portRef Y (instanceRef r_valid_RNIIG6F2_2)) (portRef B (instanceRef r_flush2_RNI3JKK2)) )) (net N_940 (joined (portRef Y (instanceRef r_valid_RNIKK6F2_3)) (portRef B (instanceRef r_flush2_RNI5NKK2)) )) (net lb_0_sqmuxa_2 (joined (portRef Y (instanceRef r_waddress_RNI9S42_3)) (portRef B (instanceRef r_waddress_RNI5M5L_1_3)) (portRef C (instanceRef r_valid_RNID9CB_1)) (portRef lb_0_sqmuxa_2) )) (net u0_RD2_2 (joined (portRef u0_RD2_2) (portRef B (instanceRef ictrl_valid_1_1)) (portRef A (instanceRef r_valid_RNO_2)) (portRef A (instanceRef r_waddress_RNI5M5L_0_3)) (portRef A (instanceRef r_istate_RNI3TM01_2_1)) )) (net flush2_RNIVAKK2 (joined (portRef Y (instanceRef r_flush2_RNIVAKK2)) (portRef flush2_RNIVAKK2) )) (net flush2_RNI3JKK2 (joined (portRef Y (instanceRef r_flush2_RNI3JKK2)) (portRef flush2_RNI3JKK2) )) (net flush2_RNI5NKK2 (joined (portRef Y (instanceRef r_flush2_RNI5NKK2)) (portRef flush2_RNI5NKK2) )) (net hit_RNO (joined (portRef Y (instanceRef r_hit_RNO)) (portRef D (instanceRef r_hit)) )) (net diagen_0_sqmuxa (joined (portRef Y (instanceRef r_istate_RNIQARG_0_1)) (portRef D (instanceRef r_diagrdy)) (portRef S (instanceRef r_vaddress_RNITQAN_14)) (portRef S (instanceRef r_vaddress_RNI5RAN_18)) (portRef S (instanceRef r_vaddress_RNIPQAN_12)) (portRef S (instanceRef r_vaddress_RNI7RAN_19)) (portRef S (instanceRef r_vaddress_RNI13BN_23)) (portRef S (instanceRef r_vaddress_RNI53BN_25)) (portRef S (instanceRef r_vaddress_RNI93BN_27)) (portRef S (instanceRef r_vaddress_RNIRQAN_13)) (portRef S (instanceRef r_istate_RNI3MVI_1)) (portRef S (instanceRef r_istate_RNIF2NN_1)) (portRef S (instanceRef r_istate_RNITTUI_1)) (portRef S (instanceRef r_istate_RNIV5VI_1)) (portRef S (instanceRef r_istate_RNI1EVI_1)) (portRef S (instanceRef r_istate_RNI760J_1)) (portRef S (instanceRef r_istate_RNID2NN_1)) (portRef S (instanceRef r_istate_RNIRLUI_1)) (portRef S (instanceRef r_vaddress_RNI1RAN_16)) (portRef S (instanceRef r_vaddress_RNI3RAN_17)) (portRef S (instanceRef r_vaddress_RNIR2BN_20)) )) (net enable (joined (portRef enable) (portRef A (instanceRef r_istate_RNIQARG_0_1)) (portRef A (instanceRef r_istate_RNIQARG_1)) )) (net hit_1_sqmuxa (joined (portRef Y (instanceRef r_istate_RNIEKOM5_1)) (portRef E (instanceRef r_valid_3)) (portRef E (instanceRef r_valid_2)) (portRef E (instanceRef r_valid_1)) (portRef E (instanceRef r_valid_0)) )) (net (rename valid_1_3 "valid_1[3]") (joined (portRef Y (instanceRef r_valid_RNO_3)) (portRef D (instanceRef r_valid_3)) )) (net u0_RD3_2 (joined (portRef u0_RD3_2) (portRef A (instanceRef r_istate_RNI3TM01_1)) (portRef B (instanceRef ictrl_valid_1_2)) (portRef A (instanceRef r_valid_RNO_3)) (portRef A (instanceRef r_flush_RNI5M5L)) )) (net (rename valid_1_2 "valid_1[2]") (joined (portRef Y (instanceRef r_valid_RNO_2)) (portRef D (instanceRef r_valid_2)) )) (net (rename valid_1_1 "valid_1[1]") (joined (portRef Y (instanceRef r_valid_RNO_1)) (portRef D (instanceRef r_valid_1)) )) (net u0_RD1_2 (joined (portRef u0_RD1_2) (portRef A (instanceRef r_istate_RNI3TM01_1_1)) (portRef A (instanceRef ictrl_valid_1_2)) (portRef A (instanceRef r_valid_RNO_1)) (portRef A (instanceRef r_waddress_RNI5M5L_1_3)) )) (net (rename valid_1_0 "valid_1[0]") (joined (portRef Y (instanceRef r_valid_RNO_0)) (portRef D (instanceRef r_valid_0)) )) (net u0_RD0_2 (joined (portRef u0_RD0_2) (portRef A (instanceRef r_istate_RNI3TM01_0_1)) (portRef A (instanceRef ictrl_valid_1_1)) (portRef A (instanceRef r_valid_RNO_0)) (portRef A (instanceRef r_waddress_RNI5M5L_3)) )) (net (rename valid_3 "valid[3]") (joined (portRef Q (instanceRef r_valid_3)) (portRef B (instanceRef r_valid_RNIF9CB_3)) )) (net (rename un39_res_3 "un39_res[3]") (joined (portRef un39_res_3) (portRef C (instanceRef r_valid_RNIF9CB_3)) (portRef B (instanceRef r_flush_RNI5M5L)) )) (net N_875 (joined (portRef Y (instanceRef ictrl_valid_1_1)) (portRef A (instanceRef ictrl_valid_1_3)) )) (net N_876 (joined (portRef Y (instanceRef ictrl_valid_1_2)) (portRef B (instanceRef ictrl_valid_1_3)) )) (net (rename fpc_3 "fpc[3]") (joined (portRef (member fpc 28)) (portRef A (instanceRef r_istate_RNIRLUI_1)) (portRef A (instanceRef r_vaddress_RNO_3)) (portRef A (instanceRef r_waddress_RNO_3)) (portRef S (instanceRef ictrl_valid_1_1)) (portRef S (instanceRef ictrl_valid_1_2)) (portRef A (instanceRef r_burst_RNO_8)) )) (net (rename address_3 "address[3]") (joined (portRef Q (instanceRef r_waddress_3)) (portRef C (instanceRef r_waddress_RNO_0_3)) (portRef A (instanceRef r_waddress_RNI9S42_1_3)) (portRef B (instanceRef r_waddress_RNI9S42_3)) (portRef A (instanceRef r_waddress_RNI9S42_0_3)) (portRef A (instanceRef r_waddress_RNIG68G1_3)) (portRef (member address 28)) )) (net (rename address_2 "address[2]") (joined (portRef Q (instanceRef r_waddress_2)) (portRef B (instanceRef r_waddress_RNO_0_3)) (portRef B (instanceRef r_waddress_RNO_0_2)) (portRef B (instanceRef r_waddress_RNI9S42_1_3)) (portRef A (instanceRef r_waddress_RNI9S42_3)) (portRef B (instanceRef r_waddress_RNI9S42_0_3)) (portRef (member address 29)) )) (net N_1034 (joined (portRef Y (instanceRef r_underrun_RNO_0)) (portRef B (instanceRef r_underrun_RNO)) )) (net overrun_RNO_0 (joined (portRef Y (instanceRef r_overrun_RNO_0)) (portRef A (instanceRef r_overrun_RNO)) )) (net overrun_i_0 (joined (portRef Y (instanceRef r_holdn_RNO_2)) (portRef B (instanceRef r_holdn_RNO_0)) )) (net underrun_RNO (joined (portRef Y (instanceRef r_underrun_RNO)) (portRef D (instanceRef r_underrun)) )) (net vaddress_0_sqmuxa_i (joined (portRef Y (instanceRef r_istate_RNIVGV8B1_0_1)) (portRef E (instanceRef r_waddress_31)) (portRef E (instanceRef r_waddress_30)) (portRef E (instanceRef r_waddress_29)) (portRef E (instanceRef r_waddress_28)) (portRef E (instanceRef r_waddress_27)) (portRef E (instanceRef r_waddress_26)) (portRef E (instanceRef r_waddress_25)) (portRef E (instanceRef r_waddress_24)) (portRef E (instanceRef r_waddress_23)) (portRef E (instanceRef r_waddress_22)) (portRef E (instanceRef r_waddress_21)) (portRef E (instanceRef r_waddress_20)) (portRef E (instanceRef r_waddress_19)) (portRef E (instanceRef r_waddress_9)) (portRef E (instanceRef r_waddress_8)) (portRef E (instanceRef r_waddress_7)) (portRef E (instanceRef r_waddress_6)) (portRef E (instanceRef r_waddress_5)) (portRef E (instanceRef r_waddress_4)) )) (net (rename waddress_4_i_2 "waddress_4_i[2]") (joined (portRef Y (instanceRef r_waddress_RNO_0_2)) (portRef B (instanceRef r_waddress_RNO_2)) )) (net (rename waddress_4_3 "waddress_4[3]") (joined (portRef Y (instanceRef r_waddress_RNO_0_3)) (portRef B (instanceRef r_waddress_RNO_3)) )) (net (rename waddress_1_2 "waddress_1[2]") (joined (portRef Y (instanceRef r_waddress_RNO_2)) (portRef D (instanceRef r_waddress_2)) )) (net (rename waddress_1_3 "waddress_1[3]") (joined (portRef Y (instanceRef r_waddress_RNO_3)) (portRef D (instanceRef r_waddress_3)) )) (net (rename data_0_29 "data_0[29]") (joined (portRef Y (instanceRef r_istate_0_RNI8VQ62_0)) (portRef (member data_0 2)) )) (net N_897 (joined (portRef Y (instanceRef r_vaddress_RNI1BBN_30)) (portRef N_897) )) (net (rename vaddress_30 "vaddress[30]") (joined (portRef Q (instanceRef r_vaddress_30)) (portRef A (instanceRef r_vaddress_RNI1BBN_30)) )) (net (rename istate_RNIL1742_1 "istate_RNIL1742[1]") (joined (portRef Y (instanceRef r_istate_RNIL1742_1)) (portRef (member istate_rnil1742 0)) )) (net (rename maddress_30 "maddress[30]") (joined (portRef (member maddress 1)) (portRef A (instanceRef r_istate_RNIL1742_1)) (portRef B (instanceRef r_vaddress_RNI1BBN_30)) )) (net (rename diagdata_29 "diagdata[29]") (joined (portRef Y (instanceRef r_istate_RNI5IO01_2_1)) (portRef diagdata_29) )) (net u0_RD3_1 (joined (portRef u0_RD3_1) (portRef A (instanceRef r_istate_RNI5IO01_2_1)) (portRef B (instanceRef ictrl_hit_1_9)) )) (net u0_DOUTA1_7 (joined (portRef u0_DOUTA1_7) (portRef B (instanceRef r_istate_RNI5IO01_2_1)) (portRef A (instanceRef r_istate_0_RNI8VQ62_0)) )) (net (rename data_0_2 "data_0[2]") (joined (portRef Y (instanceRef r_istate_0_RNI7E711_0)) (portRef (member data_0 29)) )) (net u0_DOUTA2_14 (joined (portRef u0_DOUTA2_14) (portRef A (instanceRef r_istate_0_RNI7E711_0)) (portRef B (instanceRef r_istate_RNI3TM01_2_1)) )) (net u0_RD0_1 (joined (portRef u0_RD0_1) (portRef A (instanceRef ictrl_0_hit4_14_0)) (portRef A (instanceRef r_istate_RNI4IO01_0_1)) )) (net (rename istate_RNIFUPH2_1 "istate_RNIFUPH2[1]") (joined (portRef Y (instanceRef r_istate_RNIFUPH2_1)) (portRef (member istate_rnifuph2 0)) )) (net (rename dmao_i_1_29 "dmao_i_1[44]") (joined (portRef dmao_i_1_29) (portRef B (instanceRef r_istate_RNIFUPH2_1)) (portRef B (instanceRef r_istate_0_RNI8VQ62_0)) )) (net (rename istate_RNI57T02_1 "istate_RNI57T02[1]") (joined (portRef Y (instanceRef r_istate_RNI57T02_1)) (portRef (member istate_rni57t02 0)) )) (net (rename istate_RNIJMMR1_1 "istate_RNIJMMR1[1]") (joined (portRef Y (instanceRef r_istate_RNIJMMR1_1)) (portRef (member istate_rnijmmr1 0)) )) (net (rename data_0_30 "data_0[30]") (joined (portRef Y (instanceRef r_istate_0_RNIKU7P1_0)) (portRef (member data_0 1)) )) (net (rename dmao_i_1_30 "dmao_i_1[45]") (joined (portRef dmao_i_1_30) (portRef B (instanceRef r_istate_0_RNIKU7P1_0)) (portRef B (instanceRef r_istate_RNIL1742_1)) )) (net (rename data_0_26 "data_0[26]") (joined (portRef Y (instanceRef r_istate_0_RNI08UL1_0)) (portRef (member data_0 5)) )) (net u0_DOUTA2_8 (joined (portRef u0_DOUTA2_8) (portRef A (instanceRef r_istate_0_RNI08UL1_0)) (portRef B (instanceRef r_istate_RNI4IO01_0_1)) )) (net (rename dmao_i_1_26 "dmao_i_1[41]") (joined (portRef dmao_i_1_26) (portRef B (instanceRef r_istate_0_RNI08UL1_0)) (portRef B (instanceRef r_istate_RNI57T02_1)) )) (net (rename data_0_24 "data_0[24]") (joined (portRef Y (instanceRef r_istate_0_RNIGNNG1_0)) (portRef (member data_0 7)) )) (net (rename dmao_i_1_24 "dmao_i_1[39]") (joined (portRef dmao_i_1_24) (portRef B (instanceRef r_istate_0_RNIGNNG1_0)) (portRef B (instanceRef r_istate_RNIJMMR1_1)) )) (net (rename data_0_22 "data_0[22]") (joined (portRef Y (instanceRef r_istate_0_RNIHMM91_0)) (portRef (member data_0 9)) )) (net (rename dmao_i_1_22 "dmao_i_1[37]") (joined (portRef dmao_i_1_22) (portRef B (instanceRef r_istate_0_RNIHMM91_0)) (portRef B (instanceRef r_istate_RNIJLLK1_1)) )) (net (rename diagdata_30 "diagdata[30]") (joined (portRef Y (instanceRef r_istate_RNI5IO01_1_1)) (portRef diagdata_30) )) (net u0_RD4_1 (joined (portRef u0_RD4_1) (portRef A (instanceRef r_istate_RNI5IO01_1_1)) (portRef A (instanceRef ictrl_0_hit4_18_0)) )) (net u0_DOUTA2_7 (joined (portRef u0_DOUTA2_7) (portRef B (instanceRef r_istate_RNI5IO01_1_1)) (portRef A (instanceRef r_istate_0_RNIKU7P1_0)) )) (net (rename diagdata_24 "diagdata[24]") (joined (portRef Y (instanceRef r_istate_RNI9TM01_0_1)) (portRef diagdata_24) )) (net u0_RD16_0 (joined (portRef u0_RD16_0) (portRef A (instanceRef r_istate_RNI9TM01_0_1)) (portRef A (instanceRef ictrl_0_hit4_12_0)) )) (net u0_DOUTA0_8 (joined (portRef u0_DOUTA0_8) (portRef B (instanceRef r_istate_RNI9TM01_0_1)) (portRef A (instanceRef r_istate_0_RNIGNNG1_0)) )) (net (rename diagdata_22 "diagdata[22]") (joined (portRef Y (instanceRef r_istate_RNI8TM01_1)) (portRef diagdata_22) )) (net u0_RD14_0 (joined (portRef u0_RD14_0) (portRef A (instanceRef r_istate_RNI8TM01_1)) (portRef A (instanceRef ictrl_0_hit4_10_0)) )) (net u0_DOUTA2_9 (joined (portRef u0_DOUTA2_9) (portRef B (instanceRef r_istate_RNI8TM01_1)) (portRef A (instanceRef r_istate_0_RNIHMM91_0)) )) (net (rename vaddress_RNIGAGP4S1_22 "vaddress_RNIGAGP4S1[22]") (joined (portRef Y (instanceRef r_vaddress_RNIGAGP4S1_22)) (portRef (member vaddress_rnigagp4s1 0)) )) (net N_889 (joined (portRef Y (instanceRef r_vaddress_RNIV2BN_22)) (portRef C (instanceRef r_vaddress_RNIGAGP4S1_22)) )) (net N_896 (joined (portRef Y (instanceRef r_vaddress_RNID3BN_29)) (portRef N_896) )) (net (rename vaddress_29 "vaddress[29]") (joined (portRef Q (instanceRef r_vaddress_29)) (portRef A (instanceRef r_vaddress_RNID3BN_29)) )) (net (rename maddress_29 "maddress[29]") (joined (portRef (member maddress 2)) (portRef B (instanceRef r_vaddress_RNID3BN_29)) (portRef A (instanceRef r_istate_RNIFUPH2_1)) )) (net N_895 (joined (portRef Y (instanceRef r_vaddress_RNIB3BN_28)) (portRef N_895) )) (net (rename vaddress_28 "vaddress[28]") (joined (portRef Q (instanceRef r_vaddress_28)) (portRef A (instanceRef r_vaddress_RNIB3BN_28)) )) (net N_893 (joined (portRef Y (instanceRef r_vaddress_RNI73BN_26)) (portRef N_893) )) (net (rename vaddress_26 "vaddress[26]") (joined (portRef Q (instanceRef r_vaddress_26)) (portRef A (instanceRef r_vaddress_RNI73BN_26)) )) (net (rename maddress_26 "maddress[26]") (joined (portRef (member maddress 5)) (portRef B (instanceRef r_vaddress_RNI73BN_26)) (portRef A (instanceRef r_istate_RNI57T02_1)) )) (net N_891 (joined (portRef Y (instanceRef r_vaddress_RNI33BN_24)) (portRef N_891) )) (net (rename vaddress_24 "vaddress[24]") (joined (portRef Q (instanceRef r_vaddress_24)) (portRef A (instanceRef r_vaddress_RNI33BN_24)) )) (net (rename maddress_24 "maddress[24]") (joined (portRef (member maddress 7)) (portRef B (instanceRef r_vaddress_RNI33BN_24)) (portRef A (instanceRef r_istate_RNIJMMR1_1)) )) (net (rename vaddress_22 "vaddress[22]") (joined (portRef Q (instanceRef r_vaddress_22)) (portRef A (instanceRef r_vaddress_RNIV2BN_22)) )) (net (rename maddress_22 "maddress[22]") (joined (portRef (member maddress 9)) (portRef B (instanceRef r_vaddress_RNIV2BN_22)) (portRef A (instanceRef r_istate_RNIJLLK1_1)) )) (net (rename istate_RNIT9TF1_1 "istate_RNIT9TF1[1]") (joined (portRef Y (instanceRef r_istate_RNIT9TF1_1)) (portRef (member istate_rnit9tf1 0)) )) (net (rename data_0_20 "data_0[20]") (joined (portRef Y (instanceRef r_istate_0_RNITAU41_0)) (portRef (member data_0 11)) )) (net (rename hrdata_19 "hrdata[20]") (joined (portRef hrdata_19) (portRef B (instanceRef r_istate_0_RNITAU41_0)) (portRef B (instanceRef r_istate_RNIT9TF1_1)) )) (net (rename diagdata_20 "diagdata[20]") (joined (portRef Y (instanceRef r_istate_RNI8TM01_0_1)) (portRef diagdata_20) )) (net u0_RD12_0 (joined (portRef u0_RD12_0) (portRef A (instanceRef r_istate_RNI8TM01_0_1)) (portRef A (instanceRef ictrl_0_hit4_8_0)) )) (net u0_DOUTA0_9 (joined (portRef u0_DOUTA0_9) (portRef B (instanceRef r_istate_RNI8TM01_0_1)) (portRef A (instanceRef r_istate_0_RNITAU41_0)) )) (net (rename vaddress_RNICAGP4S1_20 "vaddress_RNICAGP4S1[20]") (joined (portRef Y (instanceRef r_vaddress_RNICAGP4S1_20)) (portRef (member vaddress_rnicagp4s1 0)) )) (net N_887 (joined (portRef Y (instanceRef r_vaddress_RNIR2BN_20)) (portRef C (instanceRef r_vaddress_RNICAGP4S1_20)) )) (net (rename vaddress_RNIK2GP4S1_17 "vaddress_RNIK2GP4S1[17]") (joined (portRef Y (instanceRef r_vaddress_RNIK2GP4S1_17)) (portRef (member vaddress_rnik2gp4s1 0)) )) (net N_884 (joined (portRef Y (instanceRef r_vaddress_RNI3RAN_17)) (portRef C (instanceRef r_vaddress_RNIK2GP4S1_17)) )) (net (rename vaddress_RNII2GP4S1_16 "vaddress_RNII2GP4S1[16]") (joined (portRef Y (instanceRef r_vaddress_RNII2GP4S1_16)) (portRef (member vaddress_rnii2gp4s1 0)) )) (net N_883 (joined (portRef Y (instanceRef r_vaddress_RNI1RAN_16)) (portRef C (instanceRef r_vaddress_RNII2GP4S1_16)) )) (net N_898 (joined (portRef Y (instanceRef r_vaddress_RNI3BBN_31)) (portRef N_898) )) (net (rename vaddress_31 "vaddress[31]") (joined (portRef Q (instanceRef r_vaddress_31)) (portRef A (instanceRef r_vaddress_RNI3BBN_31)) )) (net (rename vaddress_20 "vaddress[20]") (joined (portRef Q (instanceRef r_vaddress_20)) (portRef A (instanceRef r_vaddress_RNIR2BN_20)) )) (net (rename maddress_20 "maddress[20]") (joined (portRef (member maddress 11)) (portRef B (instanceRef r_vaddress_RNIR2BN_20)) (portRef A (instanceRef r_istate_RNIT9TF1_1)) )) (net (rename vaddress_17 "vaddress[17]") (joined (portRef Q (instanceRef r_vaddress_17)) (portRef A (instanceRef r_vaddress_RNI3RAN_17)) )) (net (rename maddress_17 "maddress[17]") (joined (portRef (member maddress 14)) (portRef B (instanceRef r_vaddress_RNI3RAN_17)) (portRef A (instanceRef r_istate_RNIHPJ22_1)) )) (net (rename vaddress_16 "vaddress[16]") (joined (portRef Q (instanceRef r_vaddress_16)) (portRef A (instanceRef r_vaddress_RNI1RAN_16)) )) (net (rename istate_RNINAS02_1 "istate_RNINAS02[1]") (joined (portRef Y (instanceRef r_istate_RNINAS02_1)) (portRef (member istate_rninas02 0)) )) (net (rename maddress_31 "maddress[31]") (joined (portRef (member maddress 0)) (portRef A (instanceRef r_istate_RNINAS02_1)) (portRef B (instanceRef r_vaddress_RNI3BBN_31)) )) (net (rename istate_RNIFNT02_1 "istate_RNIFNT02[1]") (joined (portRef Y (instanceRef r_istate_RNIFNT02_1)) (portRef (member istate_rnifnt02 0)) )) (net (rename maddress_28 "maddress[28]") (joined (portRef (member maddress 3)) (portRef A (instanceRef r_istate_RNIFNT02_1)) (portRef B (instanceRef r_vaddress_RNIB3BN_28)) )) (net idle (joined (portRef Y (instanceRef r_istate_RNIJGCD_0_1)) (portRef S (instanceRef r_istate_RNI1PDN1_1)) (portRef S (instanceRef r_istate_RNIBNM52_1)) (portRef S (instanceRef r_istate_RNIJ9EP1_1)) (portRef S (instanceRef r_istate_RNI6SLV1_1)) (portRef S (instanceRef r_istate_RNIPTLI1_1)) (portRef S (instanceRef r_istate_RNI6POA2_1)) (portRef S (instanceRef r_istate_RNIU0OP1_1)) (portRef S (instanceRef r_istate_RNILK561_1)) (portRef S (instanceRef r_istate_RNIEAOE1_1)) (portRef S (instanceRef r_istate_RNI4J0A1_1)) (portRef A (instanceRef r_flush_RNO_7)) (portRef S (instanceRef r_istate_RNIR8HU1_1)) (portRef S (instanceRef r_istate_RNIKGRL1_1)) (portRef S (instanceRef r_istate_RNIM32A1_1)) (portRef S (instanceRef r_istate_RNIQF2A1_1)) (portRef S (instanceRef r_istate_RNICVQE1_1)) (portRef S (instanceRef r_istate_RNIABMH1_1)) (portRef S (instanceRef r_istate_RNIHLE12_1)) (portRef S (instanceRef r_istate_RNI6U762_1)) (portRef S (instanceRef r_istate_RNIQ3PK1_1)) (portRef S (instanceRef r_istate_RNIFNT02_1)) )) (net (rename istate_RNIQ3PK1_1 "istate_RNIQ3PK1[1]") (joined (portRef Y (instanceRef r_istate_RNIQ3PK1_1)) (portRef (member istate_rniq3pk1 0)) )) (net (rename maddress_16 "maddress[16]") (joined (portRef (member maddress 15)) (portRef A (instanceRef r_istate_RNIQ3PK1_1)) (portRef B (instanceRef r_vaddress_RNI1RAN_16)) )) (net (rename istate_RNI6U762_1 "istate_RNI6U762[1]") (joined (portRef Y (instanceRef r_istate_RNI6U762_1)) (portRef (member istate_rni6u762 0)) )) (net (rename maddress_15 "maddress[15]") (joined (portRef (member maddress 16)) (portRef A (instanceRef r_istate_RNI6U762_1)) (portRef B (instanceRef r_vaddress_RNIVQAN_15)) )) (net (rename istate_RNIHLE12_1 "istate_RNIHLE12[1]") (joined (portRef Y (instanceRef r_istate_RNIHLE12_1)) (portRef (member istate_rnihle12 0)) )) (net (rename maddress_11 "maddress[11]") (joined (portRef (member maddress 20)) (portRef A (instanceRef r_istate_RNIHLE12_1)) )) (net (rename istate_RNIABMH1_1 "istate_RNIABMH1[1]") (joined (portRef Y (instanceRef r_istate_RNIABMH1_1)) (portRef (member istate_rniabmh1 0)) )) (net (rename maddress_10 "maddress[10]") (joined (portRef (member maddress 21)) (portRef A (instanceRef r_istate_RNIABMH1_1)) )) (net (rename hrdata_9 "hrdata[10]") (joined (portRef hrdata_9) (portRef B (instanceRef r_istate_RNIABMH1_1)) (portRef B (instanceRef r_istate_0_RNIAGN61_0)) )) (net (rename istate_RNICVQE1_1 "istate_RNICVQE1[1]") (joined (portRef Y (instanceRef r_istate_RNICVQE1_1)) (portRef (member istate_rnicvqe1 0)) )) (net (rename maddress_9 "maddress[9]") (joined (portRef (member maddress 22)) (portRef A (instanceRef r_istate_RNICVQE1_1)) )) (net (rename istate_RNIQF2A1_1 "istate_RNIQF2A1[1]") (joined (portRef Y (instanceRef r_istate_RNIQF2A1_1)) (portRef (member istate_rniqf2a1 0)) )) (net (rename maddress_8 "maddress[8]") (joined (portRef (member maddress 23)) (portRef A (instanceRef r_istate_RNIQF2A1_1)) )) (net (rename hrdata_7 "hrdata[8]") (joined (portRef hrdata_7) (portRef B (instanceRef r_istate_RNIQF2A1_1)) (portRef B (instanceRef r_istate_0_RNIFRGS_0)) )) (net (rename istate_RNIM32A1_1 "istate_RNIM32A1[1]") (joined (portRef Y (instanceRef r_istate_RNIM32A1_1)) (portRef (member istate_rnim32a1 0)) )) (net (rename maddress_7 "maddress[7]") (joined (portRef (member maddress 24)) (portRef A (instanceRef r_istate_RNIM32A1_1)) )) (net (rename istate_RNIKGRL1_1 "istate_RNIKGRL1[1]") (joined (portRef Y (instanceRef r_istate_RNIKGRL1_1)) (portRef (member istate_rnikgrl1 0)) )) (net (rename maddress_5 "maddress[5]") (joined (portRef (member maddress 26)) (portRef A (instanceRef r_istate_RNIKGRL1_1)) )) (net (rename istate_RNIR8HU1_1 "istate_RNIR8HU1[1]") (joined (portRef Y (instanceRef r_istate_RNIR8HU1_1)) (portRef (member istate_rnir8hu1 0)) )) (net (rename maddress_4 "maddress[4]") (joined (portRef (member maddress 27)) (portRef A (instanceRef r_istate_RNIR8HU1_1)) )) (net (rename data_0_31 "data_0[31]") (joined (portRef Y (instanceRef r_istate_RNIKDGS1_0)) (portRef (member data_0 0)) )) (net (rename dmao_i_1_31 "dmao_i_1[46]") (joined (portRef dmao_i_1_31) (portRef B (instanceRef r_istate_RNIKDGS1_0)) (portRef B (instanceRef r_istate_RNINAS02_1)) )) (net (rename data_0_28 "data_0[28]") (joined (portRef Y (instanceRef r_istate_RNI8UHS1_0)) (portRef (member data_0 3)) )) (net (rename dmao_i_1_28 "dmao_i_1[43]") (joined (portRef dmao_i_1_28) (portRef B (instanceRef r_istate_RNI8UHS1_0)) (portRef B (instanceRef r_istate_RNIFNT02_1)) )) (net (rename data_0_16 "data_0[16]") (joined (portRef Y (instanceRef r_istate_RNILEDG1_0)) (portRef (member data_0 15)) )) (net (rename hrdata_15 "hrdata[16]") (joined (portRef hrdata_15) (portRef B (instanceRef r_istate_RNILEDG1_0)) (portRef B (instanceRef r_istate_RNIQ3PK1_1)) )) (net (rename data_0_11 "data_0[11]") (joined (portRef Y (instanceRef r_istate_RNIF03T1_0)) (portRef (member data_0 20)) )) (net u0_DOUTA3_12 (joined (portRef u0_DOUTA3_12) (portRef A (instanceRef r_istate_RNIF03T1_0)) )) (net (rename hrdata_10 "hrdata[11]") (joined (portRef hrdata_10) (portRef B (instanceRef r_istate_RNIF03T1_0)) (portRef B (instanceRef r_istate_RNIHLE12_1)) )) (net (rename data_0_9 "data_0[9]") (joined (portRef Y (instanceRef r_istate_RNIVCS71_0)) (portRef (member data_0 22)) )) (net (rename hrdata_8 "hrdata[9]") (joined (portRef hrdata_8) (portRef B (instanceRef r_istate_RNIVCS71_0)) (portRef B (instanceRef r_istate_RNICVQE1_1)) )) (net (rename data_0_7 "data_0[7]") (joined (portRef Y (instanceRef r_istate_RNIAP331_0)) (portRef (member data_0 24)) )) (net u0_DOUTA3_13 (joined (portRef u0_DOUTA3_13) (portRef A (instanceRef r_istate_RNIAP331_0)) )) (net (rename hrdata_6 "hrdata[7]") (joined (portRef hrdata_6) (portRef B (instanceRef r_istate_RNIAP331_0)) (portRef B (instanceRef r_istate_RNIM32A1_1)) )) (net (rename data_0_6 "data_0[6]") (joined (portRef Y (instanceRef r_istate_RNIANRI1_0)) (portRef (member data_0 25)) )) (net u0_DOUTA2_13 (joined (portRef u0_DOUTA2_13) (portRef A (instanceRef r_istate_RNIANRI1_0)) )) (net (rename hrdata_5 "hrdata[6]") (joined (portRef hrdata_5) (portRef B (instanceRef r_istate_RNIANRI1_0)) (portRef B (instanceRef r_istate_RNILTPP1_1)) )) (net (rename data_0_5 "data_0[5]") (joined (portRef Y (instanceRef r_istate_RNIAETE1_0)) (portRef (member data_0 26)) )) (net u0_DOUTA1_13 (joined (portRef u0_DOUTA1_13) (portRef A (instanceRef r_istate_RNIAETE1_0)) )) (net (rename hrdatas_RNIO2L41_5 "hrdatas_RNIO2L41[5]") (joined (portRef (member hrdatas_rnio2l41 0)) (portRef B (instanceRef r_istate_RNIAETE1_0)) (portRef B (instanceRef r_istate_RNIKGRL1_1)) )) (net (rename data_0_4 "data_0[4]") (joined (portRef Y (instanceRef r_istate_RNIIAJN1_0)) (portRef (member data_0 27)) )) (net u0_DOUTA0_13 (joined (portRef u0_DOUTA0_13) (portRef A (instanceRef r_istate_RNIIAJN1_0)) )) (net (rename hrdata_3 "hrdata[4]") (joined (portRef hrdata_3) (portRef B (instanceRef r_istate_RNIIAJN1_0)) (portRef B (instanceRef r_istate_RNIR8HU1_1)) )) (net (rename diagdata_31 "diagdata[31]") (joined (portRef Y (instanceRef r_istate_RNI5IO01_1)) (portRef diagdata_31) )) (net u0_RD5_2 (joined (portRef u0_RD5_2) (portRef A (instanceRef r_istate_RNI0IO01_0_1)) (portRef A (instanceRef r_istate_RNI0IO01_1)) (portRef A (instanceRef r_istate_RNI5IO01_1)) (portRef B (instanceRef r_flush_RNISE571)) )) (net u0_DOUTA3_7 (joined (portRef u0_DOUTA3_7) (portRef B (instanceRef r_istate_RNI5IO01_1)) (portRef A (instanceRef r_istate_RNIKDGS1_0)) )) (net (rename diagdata_28 "diagdata[28]") (joined (portRef Y (instanceRef r_istate_RNI5IO01_0_1)) (portRef diagdata_28) )) (net u0_RD2_1 (joined (portRef u0_RD2_1) (portRef A (instanceRef r_istate_RNI5IO01_0_1)) (portRef A (instanceRef ictrl_0_hit4_16_0)) )) (net u0_DOUTA0_7 (joined (portRef u0_DOUTA0_7) (portRef B (instanceRef r_istate_RNI5IO01_0_1)) (portRef A (instanceRef r_istate_RNI8UHS1_0)) )) (net (rename diagdata_16 "diagdata[16]") (joined (portRef Y (instanceRef r_istate_RNI7TM01_1_1)) (portRef diagdata_16) )) (net u0_RD8_0 (joined (portRef u0_RD8_0) (portRef A (instanceRef r_istate_RNI7TM01_1_1)) (portRef A (instanceRef ictrl_0_hit4_4_0)) )) (net u0_DOUTA0_10 (joined (portRef u0_DOUTA0_10) (portRef B (instanceRef r_istate_RNI7TM01_1_1)) (portRef A (instanceRef r_istate_RNILEDG1_0)) )) (net (rename diagdata_15 "diagdata[15]") (joined (portRef Y (instanceRef r_istate_RNI6TM01_1)) (portRef diagdata_15) )) (net u0_RD7_0 (joined (portRef u0_RD7_0) (portRef A (instanceRef r_istate_RNI6TM01_1)) (portRef A (instanceRef ictrl_0_hit4_3_0)) )) (net (rename diagdata_9 "diagdata[9]") (joined (portRef Y (instanceRef r_istate_RNI0IO01_1)) (portRef diagdata_9) )) (net u0_DOUTA1_12 (joined (portRef u0_DOUTA1_12) (portRef B (instanceRef r_istate_RNI0IO01_1)) (portRef A (instanceRef r_istate_RNIVCS71_0)) )) (net (rename diagdata_8 "diagdata[8]") (joined (portRef Y (instanceRef r_istate_RNI0IO01_0_1)) (portRef diagdata_8) )) (net u0_DOUTA0_12 (joined (portRef u0_DOUTA0_12) (portRef B (instanceRef r_istate_RNI0IO01_0_1)) (portRef A (instanceRef r_istate_0_RNIFRGS_0)) )) (net (rename diagdata_1 "diagdata[1]") (joined (portRef Y (instanceRef r_istate_RNI3TM01_1_1)) (portRef diagdata_1) )) (net flush_RNO_7 (joined (portRef Y (instanceRef r_flush_RNO_7)) (portRef S (instanceRef r_flush_RNO_6)) )) (net (rename istate_RNI4J0A1_1 "istate_RNI4J0A1[1]") (joined (portRef Y (instanceRef r_istate_RNI4J0A1_1)) (portRef (member istate_rni4j0a1 0)) )) (net (rename maddress_3 "maddress[3]") (joined (portRef (member maddress 28)) (portRef A (instanceRef r_istate_RNI4J0A1_1)) (portRef B (instanceRef r_valid_RNIKK6F2_3)) )) (net (rename istate_RNIEAOE1_1 "istate_RNIEAOE1[1]") (joined (portRef Y (instanceRef r_istate_RNIEAOE1_1)) (portRef (member istate_rnieaoe1 0)) )) (net (rename maddress_2 "maddress[2]") (joined (portRef (member maddress 29)) (portRef A (instanceRef r_istate_RNIEAOE1_1)) (portRef B (instanceRef r_valid_RNIIG6F2_2)) )) (net (rename hrdata_1 "hrdata[2]") (joined (portRef hrdata_1) (portRef B (instanceRef r_istate_RNIEAOE1_1)) (portRef B (instanceRef r_istate_0_RNI7E711_0)) )) (net (rename istate_RNILK561_1 "istate_RNILK561[1]") (joined (portRef Y (instanceRef r_istate_RNILK561_1)) (portRef (member istate_rnilk561 0)) )) (net (rename maddress_0 "maddress[0]") (joined (portRef (member maddress 31)) (portRef A (instanceRef r_istate_RNILK561_1)) (portRef B (instanceRef r_valid_RNIE86F2_0)) )) (net (rename data_0_3 "data_0[3]") (joined (portRef Y (instanceRef r_istate_RNIRO231_0)) (portRef (member data_0 28)) )) (net (rename hrdata_2 "hrdata[3]") (joined (portRef hrdata_2) (portRef B (instanceRef r_istate_RNIRO231_0)) (portRef B (instanceRef r_istate_RNI4J0A1_1)) )) (net (rename data_0_0 "data_0[0]") (joined (portRef Y (instanceRef r_istate_RNIF68V_0)) (portRef (member data_0 31)) )) (net (rename dmao_i_1_0 "dmao_i_1[15]") (joined (portRef dmao_i_1_0) (portRef B (instanceRef r_istate_RNIF68V_0)) (portRef B (instanceRef r_istate_RNILK561_1)) )) (net (rename diagdata_3 "diagdata[3]") (joined (portRef Y (instanceRef r_istate_RNI3TM01_1)) (portRef diagdata_3) )) (net u0_DOUTA3_14 (joined (portRef u0_DOUTA3_14) (portRef B (instanceRef r_istate_RNI3TM01_1)) (portRef A (instanceRef r_istate_RNIRO231_0)) )) (net (rename diagdata_0 "diagdata[0]") (joined (portRef Y (instanceRef r_istate_RNI3TM01_0_1)) (portRef diagdata_0) )) (net u0_DOUTA0_14 (joined (portRef u0_DOUTA0_14) (portRef B (instanceRef r_istate_RNI3TM01_0_1)) (portRef A (instanceRef r_istate_RNIF68V_0)) )) (net (rename vaddress_1_3 "vaddress_1[3]") (joined (portRef Y (instanceRef r_vaddress_RNO_3)) (portRef D (instanceRef r_vaddress_3)) )) (net (rename vaddress_4_3 "vaddress_4[3]") (joined (portRef Y (instanceRef r_vaddress_RNO_0_3)) (portRef B (instanceRef r_vaddress_RNO_3)) )) (net (rename vaddress_1_2 "vaddress_1[2]") (joined (portRef Y (instanceRef r_vaddress_RNO_2)) (portRef D (instanceRef r_vaddress_2)) )) (net (rename vaddress_4_i_2 "vaddress_4_i[2]") (joined (portRef Y (instanceRef r_vaddress_RNO_0_2)) (portRef B (instanceRef r_vaddress_RNO_2)) )) (net (rename vaddress_3 "vaddress[3]") (joined (portRef Q (instanceRef r_vaddress_3)) (portRef A (instanceRef r_vaddress_RNILLMCBC_3)) (portRef C (instanceRef r_vaddress_RNO_0_3)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef Y (instanceRef r_vaddress_RNIF4TSCC_3)) (portRef (member vaddress_rnif4tscc 0)) )) (net N_949 (joined (portRef Y (instanceRef r_istate_RNIRLUI_1)) (portRef A (instanceRef r_vaddress_RNIF4TSCC_3)) )) (net N_971 (joined (portRef Y (instanceRef r_vaddress_RNILLMCBC_3)) (portRef B (instanceRef r_vaddress_RNIF4TSCC_3)) )) (net (rename rpc_1 "rpc[3]") (joined (portRef rpc_1) (portRef B (instanceRef r_vaddress_RNILLMCBC_3)) )) (net (rename addr_3 "addr[3]") (joined (portRef (member addr 8)) (portRef B (instanceRef r_istate_RNIRLUI_1)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef Y (instanceRef r_faddr_RNIOM2UBD_5)) (portRef (member faddr_rniom2ubd 0)) )) (net (rename faddr_5 "faddr[5]") (joined (portRef Q (instanceRef r_faddr_5)) (portRef B (instanceRef un1_r_faddr_I_24)) (portRef C (instanceRef un1_r_faddr_I_30)) (portRef C (instanceRef un1_r_faddr_I_34)) (portRef B (instanceRef r_faddr_RNIOM2UBD_5)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef Y (instanceRef r_faddr_RNIUAG1TC_2)) (portRef (member faddr_rniuag1tc 0)) )) (net (rename faddr_2 "faddr[2]") (joined (portRef Q (instanceRef r_faddr_2)) (portRef B (instanceRef un1_r_faddr_I_9)) (portRef C (instanceRef un1_r_faddr_I_12)) (portRef C (instanceRef un1_r_faddr_I_16)) (portRef B (instanceRef r_faddr_RNIUAG1TC_2)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef Y (instanceRef r_faddr_RNITU19LC_0)) (portRef (member faddr_rnitu19lc 0)) )) (net (rename vaddress_10 "vaddress[10]") (joined (portRef Q (instanceRef r_vaddress_10)) (portRef A (instanceRef r_vaddress_RNIS94LGD_10)) )) (net (rename rpc_8 "rpc[10]") (joined (portRef rpc_8) (portRef B (instanceRef r_vaddress_RNIS94LGD_10)) )) (net (rename vaddress_5 "vaddress[5]") (joined (portRef Q (instanceRef r_vaddress_5)) (portRef A (instanceRef r_vaddress_RNIK2HSMC_5)) )) (net (rename rpc_3 "rpc[5]") (joined (portRef rpc_3) (portRef B (instanceRef r_vaddress_RNIK2HSMC_5)) )) (net (rename addr_10 "addr[10]") (joined (portRef (member addr 1)) (portRef B (instanceRef r_istate_RNID2NN_1)) )) (net (rename addr_9 "addr[9]") (joined (portRef (member addr 2)) (portRef B (instanceRef r_istate_RNI760J_1)) )) (net (rename addr_6 "addr[6]") (joined (portRef (member addr 5)) (portRef B (instanceRef r_istate_RNI1EVI_1)) )) (net (rename addr_5 "addr[5]") (joined (portRef (member addr 6)) (portRef B (instanceRef r_istate_RNIV5VI_1)) )) (net (rename addr_4 "addr[4]") (joined (portRef (member addr 7)) (portRef B (instanceRef r_istate_RNITTUI_1)) )) (net holdn_RNO (joined (portRef Y (instanceRef r_holdn_RNO)) (portRef D (instanceRef r_holdn)) )) (net holdn_1_i (joined (portRef Y (instanceRef r_holdn_RNO_0)) (portRef B (instanceRef r_holdn_RNO)) )) (net N_1054_i (joined (portRef Y (instanceRef r_overrun_RNO)) (portRef D (instanceRef r_overrun)) )) (net (rename istate_RNIU0OP1_1 "istate_RNIU0OP1[1]") (joined (portRef Y (instanceRef r_istate_RNIU0OP1_1)) (portRef (member istate_rniu0op1 0)) )) (net (rename maddress_1 "maddress[1]") (joined (portRef (member maddress 30)) (portRef A (instanceRef r_istate_RNIU0OP1_1)) (portRef B (instanceRef r_valid_RNIGC6F2_1)) )) (net (rename data_0_15 "data_0[15]") (joined (portRef Y (instanceRef r_istate_RNI19S12_0)) (portRef (member data_0 16)) )) (net u0_DOUTA3_11 (joined (portRef u0_DOUTA3_11) (portRef A (instanceRef r_istate_RNI19S12_0)) (portRef B (instanceRef r_istate_RNI6TM01_1)) )) (net (rename dmao_i_1_15 "dmao_i_1[30]") (joined (portRef dmao_i_1_15) (portRef B (instanceRef r_istate_RNI19S12_0)) (portRef B (instanceRef r_istate_RNI6U762_1)) )) (net (rename data_0_1 "data_0[1]") (joined (portRef Y (instanceRef r_istate_RNINEQI1_0)) (portRef (member data_0 30)) )) (net u0_DOUTA1_14 (joined (portRef u0_DOUTA1_14) (portRef A (instanceRef r_istate_RNINEQI1_0)) (portRef B (instanceRef r_istate_RNI3TM01_1_1)) )) (net (rename hrdata_0 "hrdata[1]") (joined (portRef hrdata_0) (portRef B (instanceRef r_istate_RNINEQI1_0)) (portRef B (instanceRef r_istate_RNIU0OP1_1)) )) (net underrun_1_sqmuxa_i (joined (portRef Y (instanceRef r_holdn_RNO_3)) (portRef S (instanceRef r_holdn_RNO_0)) )) (net (rename vaddress_11 "vaddress[11]") (joined (portRef Q (instanceRef r_vaddress_11)) (portRef A (instanceRef r_vaddress_RNISENTRD_11)) )) (net (rename rpc_9 "rpc[11]") (joined (portRef rpc_9) (portRef B (instanceRef r_vaddress_RNISENTRD_11)) )) (net (rename addr_11 "addr[11]") (joined (portRef (member addr 0)) (portRef B (instanceRef r_istate_RNIF2NN_1)) )) (net (rename addr_7 "addr[7]") (joined (portRef (member addr 4)) (portRef B (instanceRef r_istate_RNI3MVI_1)) )) (net (rename faddr_1_7 "faddr_1[7]") (joined (portRef Y (instanceRef r_faddr_RNO_7)) (portRef D (instanceRef r_faddr_7)) )) (net (rename faddr_1_6 "faddr_1[6]") (joined (portRef Y (instanceRef r_faddr_RNO_6)) (portRef D (instanceRef r_faddr_6)) )) (net I_31 (joined (portRef Y (instanceRef un1_r_faddr_I_31)) (portRef B (instanceRef r_faddr_RNO_6)) )) (net (rename faddr_1_5 "faddr_1[5]") (joined (portRef Y (instanceRef r_faddr_RNO_5)) (portRef D (instanceRef r_faddr_5)) )) (net I_24 (joined (portRef Y (instanceRef un1_r_faddr_I_24)) (portRef B (instanceRef r_faddr_RNO_5)) )) (net (rename faddr_1_4 "faddr_1[4]") (joined (portRef Y (instanceRef r_faddr_RNO_4)) (portRef D (instanceRef r_faddr_4)) )) (net I_20 (joined (portRef Y (instanceRef un1_r_faddr_I_20)) (portRef B (instanceRef r_faddr_RNO_4)) )) (net (rename faddr_1_3 "faddr_1[3]") (joined (portRef Y (instanceRef r_faddr_RNO_3)) (portRef D (instanceRef r_faddr_3)) )) (net I_13_4 (joined (portRef Y (instanceRef un1_r_faddr_I_13)) (portRef B (instanceRef r_faddr_RNO_3)) )) (net (rename faddr_1_2 "faddr_1[2]") (joined (portRef Y (instanceRef r_faddr_RNO_2)) (portRef D (instanceRef r_faddr_2)) )) (net I_9 (joined (portRef Y (instanceRef un1_r_faddr_I_9)) (portRef B (instanceRef r_faddr_RNO_2)) )) (net (rename faddr_1_1 "faddr_1[1]") (joined (portRef Y (instanceRef r_faddr_RNO_1)) (portRef D (instanceRef r_faddr_1)) )) (net I_5 (joined (portRef Y (instanceRef un1_r_faddr_I_5)) (portRef B (instanceRef r_faddr_RNO_1)) )) (net (rename faddr_1_0 "faddr_1[0]") (joined (portRef Y (instanceRef r_faddr_RNO_0)) (portRef D (instanceRef r_faddr_0)) )) (net u0_RD5_1 (joined (portRef u0_RD5_1) (portRef A (instanceRef ictrl_0_hit4_1_0)) (portRef A (instanceRef r_istate_RNI6TM01_2_1)) )) (net (rename istate_RNI6POA2_1 "istate_RNI6POA2[1]") (joined (portRef Y (instanceRef r_istate_RNI6POA2_1)) (portRef (member istate_rni6poa2 0)) )) (net (rename data_0_13 "data_0[13]") (joined (portRef Y (instanceRef r_istate_RNI34D62_0)) (portRef (member data_0 18)) )) (net u0_DOUTA1_11 (joined (portRef u0_DOUTA1_11) (portRef A (instanceRef r_istate_RNI34D62_0)) (portRef B (instanceRef r_istate_RNI6TM01_2_1)) )) (net cfgsel_RNIFO4S1 (joined (portRef cfgsel_RNIFO4S1) (portRef B (instanceRef r_istate_RNI34D62_0)) (portRef B (instanceRef r_istate_RNI6POA2_1)) )) (net (rename vaddress_RNIC2GP4S1_13 "vaddress_RNIC2GP4S1[13]") (joined (portRef Y (instanceRef r_vaddress_RNIC2GP4S1_13)) (portRef (member vaddress_rnic2gp4s1 0)) )) (net N_880 (joined (portRef Y (instanceRef r_vaddress_RNIRQAN_13)) (portRef C (instanceRef r_vaddress_RNIC2GP4S1_13)) )) (net (rename vaddress_13 "vaddress[13]") (joined (portRef Q (instanceRef r_vaddress_13)) (portRef A (instanceRef r_vaddress_RNIRQAN_13)) )) (net (rename maddress_13 "maddress[13]") (joined (portRef (member maddress 18)) (portRef B (instanceRef r_vaddress_RNIRQAN_13)) (portRef A (instanceRef r_istate_RNI6POA2_1)) )) (net u0_RD1_1 (joined (portRef u0_RD1_1) (portRef A (instanceRef ictrl_0_hit4_15_0)) (portRef A (instanceRef r_istate_RNI4IO01_1)) )) (net (rename istate_RNIPTLI1_1 "istate_RNIPTLI1[1]") (joined (portRef Y (instanceRef r_istate_RNIPTLI1_1)) (portRef (member istate_rniptli1 0)) )) (net (rename hrdata_26 "hrdata[27]") (joined (portRef hrdata_26) (portRef B (instanceRef r_istate_RNIPTLI1_1)) (portRef B (instanceRef r_istate_0_RNIJUM71_0)) )) (net (rename istate_RNI6SLV1_1 "istate_RNI6SLV1[1]") (joined (portRef Y (instanceRef r_istate_RNI6SLV1_1)) (portRef (member istate_rni6slv1 0)) )) (net (rename istate_RNIJ9EP1_1 "istate_RNIJ9EP1[1]") (joined (portRef Y (instanceRef r_istate_RNIJ9EP1_1)) (portRef (member istate_rnij9ep1 0)) )) (net (rename istate_RNIBNM52_1 "istate_RNIBNM52[1]") (joined (portRef Y (instanceRef r_istate_RNIBNM52_1)) (portRef (member istate_rnibnm52 0)) )) (net (rename data_0_25 "data_0[25]") (joined (portRef Y (instanceRef r_istate_RNI13AR1_0)) (portRef (member data_0 6)) )) (net (rename dmao_i_1_25 "dmao_i_1[40]") (joined (portRef dmao_i_1_25) (portRef B (instanceRef r_istate_RNI13AR1_0)) (portRef B (instanceRef r_istate_RNI6SLV1_1)) )) (net (rename data_0_23 "data_0[23]") (joined (portRef Y (instanceRef r_istate_RNIJN1J1_0)) (portRef (member data_0 8)) )) (net u0_DOUTA3_9 (joined (portRef u0_DOUTA3_9) (portRef A (instanceRef r_istate_RNIJN1J1_0)) (portRef B (instanceRef r_istate_RNI8TM01_1_1)) )) (net (rename hrdata_22 "hrdata[23]") (joined (portRef hrdata_22) (portRef B (instanceRef r_istate_RNIJN1J1_0)) (portRef B (instanceRef r_istate_RNINGDN1_1)) )) (net (rename data_0_19 "data_0[19]") (joined (portRef Y (instanceRef r_istate_RNIBK2L1_0)) (portRef (member data_0 12)) )) (net (rename hrdata_18 "hrdata[19]") (joined (portRef hrdata_18) (portRef B (instanceRef r_istate_RNIBK2L1_0)) (portRef B (instanceRef r_istate_RNIJ9EP1_1)) )) (net (rename data_0_12 "data_0[12]") (joined (portRef Y (instanceRef r_istate_RNI92B12_0)) (portRef (member data_0 19)) )) (net cfgsel_RNILM2N1 (joined (portRef cfgsel_RNILM2N1) (portRef B (instanceRef r_istate_RNI92B12_0)) (portRef B (instanceRef r_istate_RNIBNM52_1)) )) (net (rename diagdata_25 "diagdata[25]") (joined (portRef Y (instanceRef r_istate_RNI9TM01_1)) (portRef diagdata_25) )) (net u0_RD17_0 (joined (portRef u0_RD17_0) (portRef A (instanceRef r_istate_RNI9TM01_1)) (portRef B (instanceRef ictrl_hit_1_7)) )) (net u0_DOUTA1_8 (joined (portRef u0_DOUTA1_8) (portRef B (instanceRef r_istate_RNI9TM01_1)) (portRef A (instanceRef r_istate_RNI13AR1_0)) )) (net (rename diagdata_19 "diagdata[19]") (joined (portRef Y (instanceRef r_istate_RNI7TM01_1)) (portRef diagdata_19) )) (net u0_RD11_0 (joined (portRef u0_RD11_0) (portRef A (instanceRef r_istate_RNI7TM01_1)) (portRef A (instanceRef ictrl_0_hit4_7_0)) )) (net u0_DOUTA3_10 (joined (portRef u0_DOUTA3_10) (portRef B (instanceRef r_istate_RNI7TM01_1)) (portRef A (instanceRef r_istate_RNIBK2L1_0)) )) (net (rename diagdata_12 "diagdata[12]") (joined (portRef Y (instanceRef r_istate_RNI6TM01_1_1)) (portRef diagdata_12) )) (net u0_RD4_2 (joined (portRef u0_RD4_2) (portRef A (instanceRef r_istate_RNI6TM01_1_1)) (portRef B (instanceRef r_flush_RNIBMND)) )) (net u0_DOUTA0_11 (joined (portRef u0_DOUTA0_11) (portRef B (instanceRef r_istate_RNI6TM01_1_1)) (portRef A (instanceRef r_istate_RNI92B12_0)) )) (net (rename vaddress_RNIIAGP4S1_23 "vaddress_RNIIAGP4S1[23]") (joined (portRef Y (instanceRef r_vaddress_RNIIAGP4S1_23)) (portRef (member vaddress_rniiagp4s1 0)) )) (net N_890 (joined (portRef Y (instanceRef r_vaddress_RNI13BN_23)) (portRef C (instanceRef r_vaddress_RNIIAGP4S1_23)) )) (net (rename vaddress_RNIO2GP4S1_19 "vaddress_RNIO2GP4S1[19]") (joined (portRef Y (instanceRef r_vaddress_RNIO2GP4S1_19)) (portRef (member vaddress_rnio2gp4s1 0)) )) (net N_886 (joined (portRef Y (instanceRef r_vaddress_RNI7RAN_19)) (portRef C (instanceRef r_vaddress_RNIO2GP4S1_19)) )) (net (rename vaddress_RNIA2GP4S1_12 "vaddress_RNIA2GP4S1[12]") (joined (portRef Y (instanceRef r_vaddress_RNIA2GP4S1_12)) (portRef (member vaddress_rnia2gp4s1 0)) )) (net N_879 (joined (portRef Y (instanceRef r_vaddress_RNIPQAN_12)) (portRef C (instanceRef r_vaddress_RNIA2GP4S1_12)) )) (net N_894 (joined (portRef Y (instanceRef r_vaddress_RNI93BN_27)) (portRef N_894) )) (net (rename vaddress_27 "vaddress[27]") (joined (portRef Q (instanceRef r_vaddress_27)) (portRef A (instanceRef r_vaddress_RNI93BN_27)) )) (net (rename maddress_27 "maddress[27]") (joined (portRef (member maddress 4)) (portRef B (instanceRef r_vaddress_RNI93BN_27)) (portRef A (instanceRef r_istate_RNIPTLI1_1)) )) (net N_892 (joined (portRef Y (instanceRef r_vaddress_RNI53BN_25)) (portRef N_892) )) (net (rename vaddress_25 "vaddress[25]") (joined (portRef Q (instanceRef r_vaddress_25)) (portRef A (instanceRef r_vaddress_RNI53BN_25)) )) (net (rename maddress_25 "maddress[25]") (joined (portRef (member maddress 6)) (portRef B (instanceRef r_vaddress_RNI53BN_25)) (portRef A (instanceRef r_istate_RNI6SLV1_1)) )) (net (rename vaddress_23 "vaddress[23]") (joined (portRef Q (instanceRef r_vaddress_23)) (portRef A (instanceRef r_vaddress_RNI13BN_23)) )) (net (rename maddress_23 "maddress[23]") (joined (portRef (member maddress 8)) (portRef B (instanceRef r_vaddress_RNI13BN_23)) (portRef A (instanceRef r_istate_RNINGDN1_1)) )) (net (rename vaddress_19 "vaddress[19]") (joined (portRef Q (instanceRef r_vaddress_19)) (portRef A (instanceRef r_vaddress_RNI7RAN_19)) )) (net (rename maddress_19 "maddress[19]") (joined (portRef (member maddress 12)) (portRef B (instanceRef r_vaddress_RNI7RAN_19)) (portRef A (instanceRef r_istate_RNIJ9EP1_1)) )) (net (rename vaddress_12 "vaddress[12]") (joined (portRef Q (instanceRef r_vaddress_12)) (portRef A (instanceRef r_vaddress_RNIPQAN_12)) )) (net (rename maddress_12 "maddress[12]") (joined (portRef (member maddress 19)) (portRef B (instanceRef r_vaddress_RNIPQAN_12)) (portRef A (instanceRef r_istate_RNIBNM52_1)) )) (net (rename istate_RNI1PDN1_1 "istate_RNI1PDN1[1]") (joined (portRef Y (instanceRef r_istate_RNI1PDN1_1)) (portRef (member istate_rni1pdn1 0)) )) (net (rename data_0_18 "data_0[18]") (joined (portRef Y (instanceRef r_istate_RNIQ32J1_0)) (portRef (member data_0 13)) )) (net (rename hrdata_17 "hrdata[18]") (joined (portRef hrdata_17) (portRef B (instanceRef r_istate_RNIQ32J1_0)) (portRef B (instanceRef r_istate_RNI1PDN1_1)) )) (net (rename data_0_14 "data_0[14]") (joined (portRef Y (instanceRef r_istate_RNI7IH02_0)) (portRef (member data_0 17)) )) (net (rename dmao_i_1_14 "dmao_i_1[29]") (joined (portRef dmao_i_1_14) (portRef B (instanceRef r_istate_RNI7IH02_0)) (portRef B (instanceRef r_istate_RNIB7T42_1)) )) (net (rename diagdata_18 "diagdata[18]") (joined (portRef Y (instanceRef r_istate_RNI7TM01_0_1)) (portRef diagdata_18) )) (net u0_RD10_0 (joined (portRef u0_RD10_0) (portRef A (instanceRef r_istate_RNI7TM01_0_1)) (portRef A (instanceRef ictrl_0_hit4_6_0)) )) (net u0_DOUTA2_10 (joined (portRef u0_DOUTA2_10) (portRef B (instanceRef r_istate_RNI7TM01_0_1)) (portRef A (instanceRef r_istate_RNIQ32J1_0)) )) (net (rename diagdata_14 "diagdata[14]") (joined (portRef Y (instanceRef r_istate_RNI6TM01_0_1)) (portRef diagdata_14) )) (net u0_RD6_0 (joined (portRef u0_RD6_0) (portRef A (instanceRef r_istate_RNI6TM01_0_1)) (portRef A (instanceRef ictrl_0_hit4_2_0)) )) (net u0_DOUTA2_11 (joined (portRef u0_DOUTA2_11) (portRef B (instanceRef r_istate_RNI6TM01_0_1)) (portRef A (instanceRef r_istate_RNI7IH02_0)) )) (net (rename vaddress_RNIM2GP4S1_18 "vaddress_RNIM2GP4S1[18]") (joined (portRef Y (instanceRef r_vaddress_RNIM2GP4S1_18)) (portRef (member vaddress_rnim2gp4s1 0)) )) (net N_885 (joined (portRef Y (instanceRef r_vaddress_RNI5RAN_18)) (portRef C (instanceRef r_vaddress_RNIM2GP4S1_18)) )) (net (rename vaddress_RNIE2GP4S1_14 "vaddress_RNIE2GP4S1[14]") (joined (portRef Y (instanceRef r_vaddress_RNIE2GP4S1_14)) (portRef (member vaddress_rnie2gp4s1 0)) )) (net N_881 (joined (portRef Y (instanceRef r_vaddress_RNITQAN_14)) (portRef C (instanceRef r_vaddress_RNIE2GP4S1_14)) )) (net (rename vaddress_18 "vaddress[18]") (joined (portRef Q (instanceRef r_vaddress_18)) (portRef A (instanceRef r_vaddress_RNI5RAN_18)) )) (net (rename maddress_18 "maddress[18]") (joined (portRef (member maddress 13)) (portRef B (instanceRef r_vaddress_RNI5RAN_18)) (portRef A (instanceRef r_istate_RNI1PDN1_1)) )) (net (rename vaddress_14 "vaddress[14]") (joined (portRef Q (instanceRef r_vaddress_14)) (portRef A (instanceRef r_vaddress_RNITQAN_14)) )) (net (rename maddress_14 "maddress[14]") (joined (portRef (member maddress 17)) (portRef B (instanceRef r_vaddress_RNITQAN_14)) (portRef A (instanceRef r_istate_RNIB7T42_1)) )) (net (rename istate_nss_1 "istate_nss[1]") (joined (portRef Y (instanceRef r_istate_RNO_1)) (portRef D (instanceRef r_istate_1)) )) (net diagrdy (joined (portRef Q (instanceRef r_diagrdy)) (portRef diagrdy) )) (net hold_0 (joined (portRef Q (instanceRef r_holdn)) (portRef A (instanceRef r_holdn_RNIFCHA)) (portRef hold_0) )) (net (rename fpc_4 "fpc[4]") (joined (portRef (member fpc 27)) (portRef D (instanceRef r_waddress_4)) (portRef D (instanceRef r_vaddress_4)) (portRef A (instanceRef r_istate_RNITTUI_1)) )) (net (rename fpc_5 "fpc[5]") (joined (portRef (member fpc 26)) (portRef D (instanceRef r_waddress_5)) (portRef D (instanceRef r_vaddress_5)) (portRef A (instanceRef r_istate_RNIV5VI_1)) )) (net (rename fpc_6 "fpc[6]") (joined (portRef (member fpc 25)) (portRef D (instanceRef r_waddress_6)) (portRef D (instanceRef r_vaddress_6)) (portRef A (instanceRef r_istate_RNI1EVI_1)) )) (net (rename fpc_7 "fpc[7]") (joined (portRef (member fpc 24)) (portRef D (instanceRef r_waddress_7)) (portRef D (instanceRef r_vaddress_7)) (portRef A (instanceRef r_istate_RNI3MVI_1)) )) (net (rename fpc_8 "fpc[8]") (joined (portRef (member fpc 23)) (portRef D (instanceRef r_waddress_8)) (portRef D (instanceRef r_vaddress_8)) (portRef A (instanceRef r_istate_RNI5UVI_1)) )) (net (rename fpc_9 "fpc[9]") (joined (portRef (member fpc 22)) (portRef D (instanceRef r_waddress_9)) (portRef D (instanceRef r_vaddress_9)) (portRef A (instanceRef r_istate_RNI760J_1)) )) (net (rename fpc_10 "fpc[10]") (joined (portRef (member fpc 21)) (portRef D (instanceRef r_waddress_10)) (portRef D (instanceRef r_vaddress_10)) (portRef A (instanceRef r_istate_RNID2NN_1)) )) (net (rename fpc_11 "fpc[11]") (joined (portRef (member fpc 20)) (portRef D (instanceRef r_waddress_11)) (portRef D (instanceRef r_vaddress_11)) (portRef A (instanceRef r_istate_RNIF2NN_1)) )) (net (rename fpc_12 "fpc[12]") (joined (portRef (member fpc 19)) (portRef D (instanceRef r_waddress_12)) (portRef D (instanceRef r_vaddress_12)) (portRef A (instanceRef r_flush_RNIBMND)) )) (net (rename fpc_13 "fpc[13]") (joined (portRef (member fpc 18)) (portRef D (instanceRef r_waddress_13)) (portRef D (instanceRef r_vaddress_13)) (portRef B (instanceRef ictrl_0_hit4_1_0)) )) (net (rename fpc_14 "fpc[14]") (joined (portRef (member fpc 17)) (portRef D (instanceRef r_waddress_14)) (portRef D (instanceRef r_vaddress_14)) (portRef B (instanceRef ictrl_0_hit4_2_0)) )) (net (rename fpc_15 "fpc[15]") (joined (portRef (member fpc 16)) (portRef D (instanceRef r_waddress_15)) (portRef D (instanceRef r_vaddress_15)) (portRef B (instanceRef ictrl_0_hit4_3_0)) )) (net (rename fpc_16 "fpc[16]") (joined (portRef (member fpc 15)) (portRef D (instanceRef r_waddress_16)) (portRef D (instanceRef r_vaddress_16)) (portRef B (instanceRef ictrl_0_hit4_4_0)) )) (net (rename fpc_17 "fpc[17]") (joined (portRef (member fpc 14)) (portRef D (instanceRef r_waddress_17)) (portRef D (instanceRef r_vaddress_17)) (portRef A (instanceRef ictrl_hit_1_3)) )) (net (rename fpc_18 "fpc[18]") (joined (portRef (member fpc 13)) (portRef D (instanceRef r_waddress_18)) (portRef D (instanceRef r_vaddress_18)) (portRef B (instanceRef ictrl_0_hit4_6_0)) )) (net (rename fpc_19 "fpc[19]") (joined (portRef (member fpc 12)) (portRef D (instanceRef r_waddress_19)) (portRef D (instanceRef r_vaddress_19)) (portRef B (instanceRef ictrl_0_hit4_7_0)) )) (net (rename fpc_20 "fpc[20]") (joined (portRef (member fpc 11)) (portRef D (instanceRef r_waddress_20)) (portRef D (instanceRef r_vaddress_20)) (portRef B (instanceRef ictrl_0_hit4_8_0)) )) (net (rename fpc_21 "fpc[21]") (joined (portRef (member fpc 10)) (portRef D (instanceRef r_waddress_21)) (portRef D (instanceRef r_vaddress_21)) (portRef A (instanceRef ictrl_hit_1_5)) )) (net (rename fpc_22 "fpc[22]") (joined (portRef (member fpc 9)) (portRef D (instanceRef r_waddress_22)) (portRef D (instanceRef r_vaddress_22)) (portRef B (instanceRef ictrl_0_hit4_10_0)) )) (net (rename fpc_23 "fpc[23]") (joined (portRef (member fpc 8)) (portRef D (instanceRef r_waddress_23)) (portRef D (instanceRef r_vaddress_23)) (portRef A (instanceRef ictrl_hit_1_6)) )) (net (rename fpc_24 "fpc[24]") (joined (portRef (member fpc 7)) (portRef D (instanceRef r_waddress_24)) (portRef D (instanceRef r_vaddress_24)) (portRef B (instanceRef ictrl_0_hit4_12_0)) )) (net (rename fpc_25 "fpc[25]") (joined (portRef (member fpc 6)) (portRef D (instanceRef r_waddress_25)) (portRef D (instanceRef r_vaddress_25)) (portRef A (instanceRef ictrl_hit_1_7)) )) (net (rename fpc_26 "fpc[26]") (joined (portRef (member fpc 5)) (portRef D (instanceRef r_waddress_26)) (portRef D (instanceRef r_vaddress_26)) (portRef B (instanceRef ictrl_0_hit4_14_0)) )) (net (rename fpc_27 "fpc[27]") (joined (portRef (member fpc 4)) (portRef D (instanceRef r_waddress_27)) (portRef D (instanceRef r_vaddress_27)) (portRef B (instanceRef ictrl_0_hit4_15_0)) )) (net (rename fpc_28 "fpc[28]") (joined (portRef (member fpc 3)) (portRef D (instanceRef r_waddress_28)) (portRef D (instanceRef r_vaddress_28)) (portRef B (instanceRef ictrl_0_hit4_16_0)) )) (net (rename fpc_29 "fpc[29]") (joined (portRef (member fpc 2)) (portRef D (instanceRef r_waddress_29)) (portRef D (instanceRef r_vaddress_29)) (portRef A (instanceRef ictrl_hit_1_9)) )) (net (rename fpc_30 "fpc[30]") (joined (portRef (member fpc 1)) (portRef D (instanceRef r_waddress_30)) (portRef D (instanceRef r_vaddress_30)) (portRef B (instanceRef ictrl_0_hit4_18_0)) )) (net (rename fpc_31 "fpc[31]") (joined (portRef (member fpc 0)) (portRef D (instanceRef r_waddress_31)) (portRef D (instanceRef r_vaddress_31)) (portRef A (instanceRef r_flush_RNISE571)) )) (net (rename address_4 "address[4]") (joined (portRef Q (instanceRef r_waddress_4)) (portRef (member address 27)) )) (net (rename address_5 "address[5]") (joined (portRef Q (instanceRef r_waddress_5)) (portRef (member address 26)) )) (net (rename address_6 "address[6]") (joined (portRef Q (instanceRef r_waddress_6)) (portRef (member address 25)) )) (net (rename address_7 "address[7]") (joined (portRef Q (instanceRef r_waddress_7)) (portRef (member address 24)) )) (net (rename address_8 "address[8]") (joined (portRef Q (instanceRef r_waddress_8)) (portRef (member address 23)) )) (net (rename address_9 "address[9]") (joined (portRef Q (instanceRef r_waddress_9)) (portRef (member address 22)) )) (net (rename address_10 "address[10]") (joined (portRef Q (instanceRef r_waddress_10)) (portRef (member address 21)) )) (net (rename address_11 "address[11]") (joined (portRef Q (instanceRef r_waddress_11)) (portRef (member address 20)) )) (net (rename address_12 "address[12]") (joined (portRef Q (instanceRef r_waddress_12)) (portRef (member address 19)) )) (net (rename address_13 "address[13]") (joined (portRef Q (instanceRef r_waddress_13)) (portRef (member address 18)) )) (net (rename address_14 "address[14]") (joined (portRef Q (instanceRef r_waddress_14)) (portRef (member address 17)) )) (net (rename address_15 "address[15]") (joined (portRef Q (instanceRef r_waddress_15)) (portRef (member address 16)) )) (net (rename address_16 "address[16]") (joined (portRef Q (instanceRef r_waddress_16)) (portRef (member address 15)) )) (net (rename address_17 "address[17]") (joined (portRef Q (instanceRef r_waddress_17)) (portRef (member address 14)) )) (net (rename address_18 "address[18]") (joined (portRef Q (instanceRef r_waddress_18)) (portRef (member address 13)) )) (net (rename address_19 "address[19]") (joined (portRef Q (instanceRef r_waddress_19)) (portRef (member address 12)) )) (net (rename address_20 "address[20]") (joined (portRef Q (instanceRef r_waddress_20)) (portRef (member address 11)) )) (net (rename address_21 "address[21]") (joined (portRef Q (instanceRef r_waddress_21)) (portRef (member address 10)) )) (net (rename address_22 "address[22]") (joined (portRef Q (instanceRef r_waddress_22)) (portRef (member address 9)) )) (net (rename address_23 "address[23]") (joined (portRef Q (instanceRef r_waddress_23)) (portRef (member address 8)) )) (net (rename address_24 "address[24]") (joined (portRef Q (instanceRef r_waddress_24)) (portRef (member address 7)) )) (net (rename address_25 "address[25]") (joined (portRef Q (instanceRef r_waddress_25)) (portRef (member address 6)) )) (net (rename address_26 "address[26]") (joined (portRef Q (instanceRef r_waddress_26)) (portRef (member address 5)) )) (net (rename address_27 "address[27]") (joined (portRef Q (instanceRef r_waddress_27)) (portRef (member address 4)) )) (net (rename address_28 "address[28]") (joined (portRef Q (instanceRef r_waddress_28)) (portRef (member address 3)) )) (net (rename address_29 "address[29]") (joined (portRef Q (instanceRef r_waddress_29)) (portRef (member address 2)) )) (net (rename address_30 "address[30]") (joined (portRef Q (instanceRef r_waddress_30)) (portRef (member address 1)) )) (net (rename address_31 "address[31]") (joined (portRef Q (instanceRef r_waddress_31)) (portRef (member address 0)) )) (net N_4 (joined (portRef Y (instanceRef un1_r_faddr_I_37)) (portRef A (instanceRef un1_r_faddr_I_38)) )) (net (rename DWACT_FINC_E_2 "DWACT_FINC_E[2]") (joined (portRef Y (instanceRef un1_r_faddr_I_34)) (portRef B (instanceRef un1_r_faddr_I_37)) )) (net N_9 (joined (portRef Y (instanceRef un1_r_faddr_I_30)) (portRef A (instanceRef un1_r_faddr_I_31)) )) (net (rename DWACT_FINC_E_1 "DWACT_FINC_E[1]") (joined (portRef Y (instanceRef un1_r_faddr_I_27)) (portRef B (instanceRef un1_r_faddr_I_30)) )) (net N_14 (joined (portRef Y (instanceRef un1_r_faddr_I_23)) (portRef A (instanceRef un1_r_faddr_I_24)) )) (net N_22 (joined (portRef Y (instanceRef un1_r_faddr_I_12)) (portRef A (instanceRef un1_r_faddr_I_13)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property icen (integer 1)) (property irepl (integer 0)) (property isets (integer 1)) (property ilinesize (integer 4)) (property isetsize (integer 4)) (property isetlock (integer 0)) (property lram (integer 0)) (property lramsize (integer 1)) (property lramstart (integer 142)) (property mmuen (integer 0)) ) ) (cell mmu_cache (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename htrans "htrans[1:1]") 1) (direction OUTPUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction INPUT)) (port (array (rename hgrant "hgrant[1:1]") 1) (direction INPUT)) (port haddr_31 (direction OUTPUT)) (port haddr_1 (direction OUTPUT)) (port haddr_0 (direction OUTPUT)) (port haddr_30 (direction OUTPUT)) (port haddr_2 (direction OUTPUT)) (port haddr_6 (direction OUTPUT)) (port haddr_28 (direction OUTPUT)) (port haddr_24 (direction OUTPUT)) (port haddr_23 (direction OUTPUT)) (port haddr_22 (direction OUTPUT)) (port haddr_21 (direction OUTPUT)) (port haddr_20 (direction OUTPUT)) (port haddr_19 (direction OUTPUT)) (port haddr_18 (direction OUTPUT)) (port haddr_17 (direction OUTPUT)) (port haddr_16 (direction OUTPUT)) (port haddr_15 (direction OUTPUT)) (port haddr_14 (direction OUTPUT)) (port haddr_13 (direction OUTPUT)) (port haddr_12 (direction OUTPUT)) (port haddr_11 (direction OUTPUT)) (port haddr_10 (direction OUTPUT)) (port haddr_9 (direction OUTPUT)) (port haddr_8 (direction OUTPUT)) (port haddr_7 (direction OUTPUT)) (port haddr_5 (direction OUTPUT)) (port haddr_4 (direction OUTPUT)) (port haddr_3 (direction OUTPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction OUTPUT)) (port (array (rename hresp "hresp[0:0]") 1) (direction INPUT)) (port (array (rename iosn "iosn[93:93]") 1) (direction INPUT)) (port (array (rename hsize_5 "hsize_5[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rni5fe75 "bo_RNI5FE75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rni17e75 "bo_RNI17E75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rniv2e75 "bo_RNIV2E75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rnitud75 "bo_RNITUD75[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_0 "dstate_0[4:4]") 1) (direction OUTPUT)) (port data_0_0_21 (direction OUTPUT)) (port data_0_0_12 (direction OUTPUT)) (port data_0_0_25 (direction OUTPUT)) (port data_0_0_9 (direction OUTPUT)) (port data_0_0_26 (direction OUTPUT)) (port data_0_0_22 (direction OUTPUT)) (port data_0_0_5 (direction OUTPUT)) (port data_0_0_4 (direction OUTPUT)) (port data_0_0_6 (direction OUTPUT)) (port data_0_0_8 (direction OUTPUT)) (port data_0_0_10 (direction OUTPUT)) (port data_0_0_19 (direction OUTPUT)) (port data_0_0_24 (direction OUTPUT)) (port data_0_0_17 (direction OUTPUT)) (port data_0_0_13 (direction OUTPUT)) (port data_0_0_23 (direction OUTPUT)) (port data_0_0_30 (direction OUTPUT)) (port data_0_0_7 (direction OUTPUT)) (port data_0_0_0 (direction OUTPUT)) (port (array (rename newptag_2 "newptag_2[20:20]") 1) (direction OUTPUT)) (port (array (rename edata2_0_iv "edata2_0_iv[23:0]") 24) (direction INPUT)) (port (array (rename newptag_2_0 "newptag_2_0[27:26]") 2) (direction OUTPUT)) (port (array (rename edata2_iv "edata2_iv[31:24]") 8) (direction INPUT)) (port (array (rename newptag_2_1 "newptag_2_1[25:25]") 1) (direction OUTPUT)) (port (array (rename newptag_2_a2_3_a0_1 "newptag_2_a2_3_a0_1[22:22]") 1) (direction INPUT)) (port asi_4 (direction INPUT)) (port asi_3 (direction INPUT)) (port asi_2 (direction INPUT)) (port asi_1 (direction INPUT)) (port (array (rename asi_0 "asi_0[0:0]") 1) (direction INPUT)) (port addr_29 (direction OUTPUT)) (port addr_26 (direction OUTPUT)) (port (array (rename rdatav_0_1_1_iv_3 "rdatav_0_1_1_iv_3[21:21]") 1) (direction OUTPUT)) (port rdatav_0_1_0_iv_3_16 (direction OUTPUT)) (port rdatav_0_1_0_iv_3_3 (direction OUTPUT)) (port rdatav_0_1_0_iv_3_15 (direction OUTPUT)) (port rdatav_0_1_0_iv_3_0 (direction OUTPUT)) (port (array (rename rdatav_0_1_0_iv_2 "rdatav_0_1_0_iv_2[30:29]") 2) (direction OUTPUT)) (port rdatav_0_1_0_iv_0_2_7 (direction OUTPUT)) (port rdatav_0_1_0_iv_0_2_0 (direction OUTPUT)) (port rdatav_0_1_0_iv_0_3_0 (direction OUTPUT)) (port rdatav_0_1_0_iv_0_3_2 (direction OUTPUT)) (port rdatav_0_1_1_iv_4_11 (direction OUTPUT)) (port rdatav_0_1_1_iv_4_0 (direction OUTPUT)) (port (array (rename size_0 "size_0[1:0]") 2) (direction INPUT)) (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction OUTPUT)) (port (array (rename dco_i_1 "dco_i_1[132:132]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnie9i8vm1 "xaddress_RNIE9I8VM1[18:18]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnih8g8vm1 "xaddress_RNIH8G8VM1[16:16]") 1) (direction OUTPUT)) (port (array (rename xaddress_rniqoh8vm1 "xaddress_RNIQOH8VM1[22:22]") 1) (direction OUTPUT)) (port (array (rename dstate_rni8cbsg1 "dstate_RNI8CBSG1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnir83tf1 "dstate_RNIR83TF1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni3jq791 "dstate_RNI3JQ791[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnia7si91 "dstate_RNIA7SI91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni0v0e91 "dstate_RNI0V0E91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniophjd1 "dstate_RNIOPHJD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnij6pe91 "dstate_RNIJ6PE91[1:1]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnifbr7vm1 "xaddress_RNIFBR7VM1[23:23]") 1) (direction OUTPUT)) (port (array (rename xaddress_rni1hh8vm1 "xaddress_RNI1HH8VM1[17:17]") 1) (direction OUTPUT)) (port (array (rename dstate_rniosstg1 "dstate_RNIOSSTG1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniuuch91 "dstate_RNIUUCH91[1:1]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnid0h8vm1 "xaddress_RNID0H8VM1[21:21]") 1) (direction OUTPUT)) (port (array (rename dstate_rnisu72d1 "dstate_RNISU72D1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniiltr91 "dstate_RNIILTR91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni08ulu "dstate_RNI08ULU[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni5c6e91 "dstate_RNI5C6E91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnistgfh1 "dstate_RNISTGFH1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni5432u "dstate_RNI5432U[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rnitkmlu "size_RNITKMLU[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rnis2oau "size_RNIS2OAU[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rni1g16u "size_RNI1G16U[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rniiu3pu "size_RNIIU3PU[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rniqafgu "size_RNIQAFGU[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rniq6o4u "size_RNIQ6O4U[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnivapcb1 "dstate_RNIVAPCB1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnigbkhb1 "dstate_RNIGBKHB1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnibj5kd1 "dstate_RNIBJ5KD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnir5pqd1 "dstate_RNIR5PQD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnipkcmd1 "dstate_RNIPKCMD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnitlpg91 "dstate_RNITLPG91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniak9of1 "dstate_RNIAK9OF1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni8bdug1 "dstate_RNI8BDUG1[1:1]") 1) (direction OUTPUT)) (port (array (rename newptag_2_a2_3_1_tz_i "newptag_2_a2_3_1_tz_i[22:22]") 1) (direction INPUT)) (port (array (rename xaddress_rni4p6h4r3 "xaddress_RNI4P6H4R3[13:13]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnivqeg4r3 "xaddress_RNIVQEG4R3[12:12]") 1) (direction OUTPUT)) (port (array (rename xaddress_rni1q8h4r3 "xaddress_RNI1Q8H4R3[15:15]") 1) (direction OUTPUT)) (port un1_p0_2_0_d0 (direction OUTPUT)) (port un1_p0_2_6 (direction OUTPUT)) (port (array (rename xaddress_rnilq8h4r3 "xaddress_RNILQ8H4R3[19:19]") 1) (direction OUTPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnifnvh4r3 "xaddress_RNIFNVH4R3[14:14]") 1) (direction OUTPUT)) (port ico_m_17 (direction OUTPUT)) (port ico_m_29 (direction OUTPUT)) (port ico_m_28 (direction OUTPUT)) (port ico_m_0 (direction OUTPUT)) (port ico_m_30 (direction OUTPUT)) (port ico_m_15 (direction OUTPUT)) (port ico_m_16 (direction OUTPUT)) (port ico_m_3 (direction OUTPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction OUTPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction OUTPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction OUTPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction OUTPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction OUTPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction OUTPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction OUTPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction OUTPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction OUTPUT)) (port size_0_d0 (direction OUTPUT)) (port un1_p0_2_0_350 (direction OUTPUT)) (port un1_p0_2_0_0 (direction OUTPUT)) (port eaddress_14 (direction INPUT)) (port eaddress_5 (direction INPUT)) (port eaddress_0 (direction INPUT)) (port eaddress_6 (direction INPUT)) (port eaddress_3 (direction INPUT)) (port eaddress_7 (direction INPUT)) (port eaddress_2 (direction INPUT)) (port eaddress_9 (direction INPUT)) (port eaddress_8 (direction INPUT)) (port eaddress_4 (direction INPUT)) (port eaddress_1 (direction INPUT)) (port eaddress_29 (direction INPUT)) (port (array (rename rstate_li_0 "rstate_li_0[0:0]") 1) (direction INPUT)) (port (array (rename fpc "fpc[31:2]") 30) (direction INPUT)) (port (array (rename vitdatain_0_1_a0_3 "vitdatain_0_1_a0_3[23:23]") 1) (direction INPUT)) (port (array (rename rstate_0_rnivpn36 "rstate_0_RNIVPN36[1:1]") 1) (direction INPUT)) (port (array (rename maddress "maddress[31:0]") 32) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction OUTPUT)) (port rpc_4 (direction INPUT)) (port rpc_7 (direction INPUT)) (port rpc_6 (direction INPUT)) (port rpc_0 (direction INPUT)) (port rpc_1 (direction INPUT)) (port rpc_8 (direction INPUT)) (port rpc_3 (direction INPUT)) (port rpc_9 (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction OUTPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction OUTPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction OUTPUT)) (port npc0_i_0 (direction INPUT)) (port npc0_i_3 (direction INPUT)) (port npc1_3 (direction INPUT)) (port npc1_0 (direction INPUT)) (port (array (rename vaddress_rnieagp4s1 "vaddress_RNIEAGP4S1[21:21]") 1) (direction OUTPUT)) (port (array (rename data_0 "data_0[31:0]") 32) (direction OUTPUT)) (port hrdata_20 (direction INPUT)) (port hrdata_7 (direction INPUT)) (port hrdata_9 (direction INPUT)) (port hrdata_5 (direction INPUT)) (port hrdata_26 (direction INPUT)) (port hrdata_22 (direction INPUT)) (port hrdata_1 (direction INPUT)) (port hrdata_19 (direction INPUT)) (port hrdata_15 (direction INPUT)) (port hrdata_10 (direction INPUT)) (port hrdata_8 (direction INPUT)) (port hrdata_6 (direction INPUT)) (port hrdata_3 (direction INPUT)) (port hrdata_2 (direction INPUT)) (port hrdata_0 (direction INPUT)) (port hrdata_18 (direction INPUT)) (port hrdata_17 (direction INPUT)) (port (array (rename istate_rnitkdp1 "istate_RNITKDP1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnig2gp4s1 "vaddress_RNIG2GP4S1[15:15]") 1) (direction OUTPUT)) (port (array (rename istate_rnijllk1 "istate_RNIJLLK1[1:1]") 1) (direction OUTPUT)) (port dmao_i_1_22 (direction INPUT)) (port dmao_i_1_14 (direction INPUT)) (port dmao_i_1_17 (direction INPUT)) (port dmao_i_1_29 (direction INPUT)) (port dmao_i_1_30 (direction INPUT)) (port dmao_i_1_26 (direction INPUT)) (port dmao_i_1_24 (direction INPUT)) (port dmao_i_1_31 (direction INPUT)) (port dmao_i_1_28 (direction INPUT)) (port dmao_i_1_15 (direction INPUT)) (port dmao_i_1_0 (direction INPUT)) (port dmao_i_1_25 (direction INPUT)) (port (array (rename istate_rnib7t42 "istate_RNIB7T42[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnihpj22 "istate_RNIHPJ22[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniltpp1 "istate_RNILTPP1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rningdn1 "istate_RNINGDN1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction OUTPUT)) (port (array (rename istate_rnil1742 "istate_RNIL1742[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnifuph2 "istate_RNIFUPH2[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni57t02 "istate_RNI57T02[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnijmmr1 "istate_RNIJMMR1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnigagp4s1 "vaddress_RNIGAGP4S1[22:22]") 1) (direction OUTPUT)) (port (array (rename istate_rnit9tf1 "istate_RNIT9TF1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnicagp4s1 "vaddress_RNICAGP4S1[20:20]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnik2gp4s1 "vaddress_RNIK2GP4S1[17:17]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnii2gp4s1 "vaddress_RNII2GP4S1[16:16]") 1) (direction OUTPUT)) (port (array (rename istate_rninas02 "istate_RNINAS02[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnifnt02 "istate_RNIFNT02[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniq3pk1 "istate_RNIQ3PK1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni6u762 "istate_RNI6U762[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnihle12 "istate_RNIHLE12[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniabmh1 "istate_RNIABMH1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnicvqe1 "istate_RNICVQE1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniqf2a1 "istate_RNIQF2A1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnim32a1 "istate_RNIM32A1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnikgrl1 "istate_RNIKGRL1[1:1]") 1) (direction OUTPUT)) (port (array (rename hrdatas_rnio2l41 "hrdatas_RNIO2L41[5:5]") 1) (direction INPUT)) (port (array (rename istate_rnir8hu1 "istate_RNIR8HU1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni4j0a1 "istate_RNI4J0A1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnieaoe1 "istate_RNIEAOE1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnilk561 "istate_RNILK561[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction OUTPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction OUTPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction OUTPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction OUTPUT)) (port (array (rename istate_rniu0op1 "istate_RNIU0OP1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni6poa2 "istate_RNI6POA2[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnic2gp4s1 "vaddress_RNIC2GP4S1[13:13]") 1) (direction OUTPUT)) (port (array (rename istate_rniptli1 "istate_RNIPTLI1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni6slv1 "istate_RNI6SLV1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnij9ep1 "istate_RNIJ9EP1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnibnm52 "istate_RNIBNM52[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rniiagp4s1 "vaddress_RNIIAGP4S1[23:23]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnio2gp4s1 "vaddress_RNIO2GP4S1[19:19]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnia2gp4s1 "vaddress_RNIA2GP4S1[12:12]") 1) (direction OUTPUT)) (port (array (rename istate_rni1pdn1 "istate_RNI1PDN1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnim2gp4s1 "vaddress_RNIM2GP4S1[18:18]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnie2gp4s1 "vaddress_RNIE2GP4S1[14:14]") 1) (direction OUTPUT)) (port hbusreq_i_0 (direction OUTPUT)) (port N_5515 (direction OUTPUT)) (port un58_nbo (direction OUTPUT)) (port lb_0_sqmuxa_1 (direction OUTPUT)) (port ba_RNIIJKI5_0 (direction OUTPUT)) (port hlock (direction OUTPUT)) (port hwrite (direction OUTPUT)) (port un86_nbo (direction OUTPUT)) (port werr (direction OUTPUT)) (port read_2 (direction INPUT)) (port stpend (direction OUTPUT)) (port N_2541 (direction OUTPUT)) (port nomds (direction OUTPUT)) (port N_73_0 (direction OUTPUT)) (port u0_DOUTA2_1 (direction INPUT)) (port N_2868 (direction OUTPUT)) (port nullify (direction INPUT)) (port N_2542 (direction OUTPUT)) (port newptag_2_a2_0_28_m1_e_0 (direction OUTPUT)) (port newptag_2_a2_0_31_m1_e_0 (direction OUTPUT)) (port intack (direction INPUT)) (port dsuen_0 (direction INPUT)) (port lock_0 (direction INPUT)) (port N_2565 (direction OUTPUT)) (port un1_addout_25_13 (direction INPUT)) (port u0_DOUTA2_2 (direction INPUT)) (port u0_DOUTA1_2 (direction INPUT)) (port u0_DOUTA3_1 (direction INPUT)) (port u0_DOUTA0_2 (direction INPUT)) (port u0_DOUTA3_2 (direction INPUT)) (port u0_DOUTA0_1 (direction INPUT)) (port u0_DOUTA2_0 (direction INPUT)) (port u0_DOUTA0_0 (direction INPUT)) (port u0_DOUTA3_3 (direction INPUT)) (port u0_DOUTA1_3 (direction INPUT)) (port u0_DOUTA0_3 (direction INPUT)) (port u0_DOUTA1_4 (direction INPUT)) (port u0_DOUTA0_4 (direction INPUT)) (port u0_DOUTA3_5 (direction INPUT)) (port u0_DOUTA0_5 (direction INPUT)) (port u0_DOUTA3_6 (direction INPUT)) (port u0_DOUTA2_6 (direction INPUT)) (port u0_DOUTA0_6 (direction INPUT)) (port u0_DOUTA1 (direction INPUT)) (port u0_DOUTA2_5 (direction INPUT)) (port u0_DOUTA2_4 (direction INPUT)) (port u0_DOUTA1_0 (direction INPUT)) (port enaddr (direction INPUT)) (port u0_DOUTA0 (direction INPUT)) (port u0_DOUTA3_4 (direction INPUT)) (port u0_DOUTA1_5 (direction INPUT)) (port trap_0_sqmuxa_7_1_0 (direction INPUT)) (port un5_trap (direction INPUT)) (port me_size_1_1_m9_0_a2_3 (direction INPUT)) (port N_184_i_0 (direction OUTPUT)) (port u0_RD3 (direction INPUT)) (port u0_RD1 (direction INPUT)) (port u0_RD14 (direction INPUT)) (port u0_RD9 (direction INPUT)) (port u0_RD5 (direction INPUT)) (port u0_RD10 (direction INPUT)) (port N_2802 (direction INPUT)) (port hready_1_sqmuxa (direction INPUT)) (port me_size_1_1_m9_0_a2_0 (direction INPUT)) (port N_5719_1 (direction OUTPUT)) (port flush_0_RNI2N6NQR1 (direction OUTPUT)) (port hready_RNICLR2 (direction INPUT)) (port trap_a0_0 (direction INPUT)) (port trap_0_4 (direction INPUT)) (port N_2745 (direction OUTPUT)) (port N_2698 (direction OUTPUT)) (port N_2766 (direction OUTPUT)) (port N_2307 (direction INPUT)) (port N_2748 (direction OUTPUT)) (port un1_addout_28 (direction OUTPUT)) (port mexc_1_0_3_a1_1 (direction OUTPUT)) (port un1_addout_25_14 (direction INPUT)) (port u0_RD2_0 (direction INPUT)) (port read_RNIV144H1 (direction OUTPUT)) (port read_RNIGQ6ND1 (direction OUTPUT)) (port read_RNILMNHG1 (direction OUTPUT)) (port eenaddr (direction INPUT)) (port nullify2_0_sqmuxa_i_0 (direction INPUT)) (port nullify_1_sqmuxa_2_2 (direction INPUT)) (port N_2449 (direction OUTPUT)) (port mexc_1_0_2_0_1 (direction OUTPUT)) (port mexc_1_m6_i_a4_0 (direction INPUT)) (port un6_annul_1 (direction INPUT)) (port me_nullify2_1_b0_m3_0_a2_1 (direction INPUT)) (port casa_RNI55N3OP1 (direction INPUT)) (port un16_casaen_0_2 (direction INPUT)) (port un1_addout (direction INPUT)) (port mexc_1_0_2_tz (direction OUTPUT)) (port u0_DOUTA3_0 (direction INPUT)) (port u0_RD0 (direction INPUT)) (port u0_RD13 (direction INPUT)) (port u0_RD12 (direction INPUT)) (port u0_RD17 (direction INPUT)) (port u0_RD11 (direction INPUT)) (port N_2747 (direction OUTPUT)) (port dsuen (direction INPUT)) (port u0_DOUTA1_1 (direction INPUT)) (port u0_DOUTA3 (direction INPUT)) (port N_2682 (direction OUTPUT)) (port nomds_RNIOCKU6 (direction OUTPUT)) (port N_96 (direction OUTPUT)) (port write (direction INPUT)) (port u0_RD2 (direction INPUT)) (port u0_RD0_0 (direction INPUT)) (port flush_RNI4JQHB22 (direction OUTPUT)) (port u0_RD1_0 (direction INPUT)) (port u0_RD4_0 (direction INPUT)) (port u0_RD6 (direction INPUT)) (port u0_RD8 (direction INPUT)) (port u0_DOUTA2_3 (direction INPUT)) (port N_2851 (direction OUTPUT)) (port N_2835 (direction OUTPUT)) (port u0_RD16 (direction INPUT)) (port u0_RD15 (direction INPUT)) (port u0_RD5_0 (direction INPUT)) (port u0_RD4 (direction INPUT)) (port u0_DOUTA2 (direction INPUT)) (port u0_RD7 (direction INPUT)) (port u0_DOUTA1_6 (direction INPUT)) (port u0_RD3_0 (direction INPUT)) (port flush_RNIMRRHB22 (direction OUTPUT)) (port flush_RNID7RHB22 (direction OUTPUT)) (port flush_RNIRUPHB22 (direction OUTPUT)) (port flush_RNICD8ME (direction OUTPUT)) (port mexc_0 (direction OUTPUT)) (port ramclk (direction INPUT)) (port holdn (direction OUTPUT)) (port un1_ici (direction OUTPUT)) (port newptag_sn_m2_i_o2_0_m3 (direction OUTPUT)) (port flush_0 (direction INPUT)) (port un1_addout_28_10 (direction INPUT)) (port rst (direction INPUT)) (port faddr_1_sqmuxa_m2_0 (direction OUTPUT)) (port un16_casaen_0_0 (direction INPUT)) (port hold_0 (direction OUTPUT)) (port mexc (direction OUTPUT)) (port ba (direction OUTPUT)) (port u0_RD5_2 (direction INPUT)) (port u0_RD3_1 (direction INPUT)) (port u0_RD17_0 (direction INPUT)) (port u0_RD15_0 (direction INPUT)) (port u0_RD13_0 (direction INPUT)) (port u0_RD9_0 (direction INPUT)) (port u0_RD4_2 (direction INPUT)) (port rd_1_NE (direction INPUT)) (port un18_hold_pc_2 (direction INPUT)) (port un1_rabpmisstt_b0_i_a3_0_0 (direction INPUT)) (port inull (direction INPUT)) (port mds (direction OUTPUT)) (port un1_addout_27 (direction INPUT)) (port un16_casaen_0_1 (direction INPUT)) (port de_hold_pc_1 (direction INPUT)) (port annul_1 (direction INPUT)) (port un18_hold_pc (direction INPUT)) (port u0_RD6_0 (direction INPUT)) (port u0_RD7_0 (direction INPUT)) (port u0_DOUTA1_9 (direction INPUT)) (port flush2_RNICRKB7 (direction OUTPUT)) (port u0_DOUTA0_12 (direction INPUT)) (port u0_RD5_1 (direction INPUT)) (port u0_DOUTA1_11 (direction INPUT)) (port u0_DOUTA2_12 (direction INPUT)) (port u0_RD0_1 (direction INPUT)) (port u0_DOUTA2_8 (direction INPUT)) (port u0_DOUTA1_10 (direction INPUT)) (port rbranch (direction INPUT)) (port fbranch (direction INPUT)) (port u0_RD1_1 (direction INPUT)) (port u0_DOUTA3_8 (direction INPUT)) (port u0_RD2_2 (direction INPUT)) (port u0_DOUTA2_14 (direction INPUT)) (port u0_DOUTA3_9 (direction INPUT)) (port flush2_RNI1FKK2 (direction OUTPUT)) (port u0_RD0_2 (direction INPUT)) (port u0_RD1_2 (direction INPUT)) (port u0_RD3_2 (direction INPUT)) (port flush2_RNIVAKK2 (direction OUTPUT)) (port flush2_RNI3JKK2 (direction OUTPUT)) (port flush2_RNI5NKK2 (direction OUTPUT)) (port u0_DOUTA1_7 (direction INPUT)) (port N_897 (direction OUTPUT)) (port u0_RD4_1 (direction INPUT)) (port u0_RD16_0 (direction INPUT)) (port u0_RD14_0 (direction INPUT)) (port u0_DOUTA2_7 (direction INPUT)) (port u0_DOUTA0_8 (direction INPUT)) (port u0_DOUTA2_9 (direction INPUT)) (port N_896 (direction OUTPUT)) (port N_895 (direction OUTPUT)) (port N_893 (direction OUTPUT)) (port N_891 (direction OUTPUT)) (port u0_RD12_0 (direction INPUT)) (port u0_RD8_0 (direction INPUT)) (port u0_DOUTA0_9 (direction INPUT)) (port N_898 (direction OUTPUT)) (port u0_RD2_1 (direction INPUT)) (port u0_DOUTA3_7 (direction INPUT)) (port u0_DOUTA0_7 (direction INPUT)) (port u0_DOUTA0_10 (direction INPUT)) (port u0_DOUTA3_12 (direction INPUT)) (port u0_DOUTA1_12 (direction INPUT)) (port u0_DOUTA3_13 (direction INPUT)) (port u0_DOUTA2_13 (direction INPUT)) (port u0_DOUTA1_13 (direction INPUT)) (port u0_DOUTA0_13 (direction INPUT)) (port u0_DOUTA3_11 (direction INPUT)) (port u0_DOUTA1_14 (direction INPUT)) (port u0_DOUTA3_14 (direction INPUT)) (port u0_DOUTA0_14 (direction INPUT)) (port u0_RD11_0 (direction INPUT)) (port cfgsel_RNIFO4S1 (direction INPUT)) (port cfgsel_RNILM2N1 (direction INPUT)) (port u0_DOUTA1_8 (direction INPUT)) (port u0_DOUTA3_10 (direction INPUT)) (port u0_DOUTA0_11 (direction INPUT)) (port N_894 (direction OUTPUT)) (port N_892 (direction OUTPUT)) (port u0_RD10_0 (direction INPUT)) (port u0_DOUTA2_10 (direction INPUT)) (port u0_DOUTA2_11 (direction INPUT)) (port diagrdy (direction OUTPUT)) ) (contents (instance icache0 (viewRef netlist (cellRef mmu_icache)) (property mmuen (integer 0)) (property lramstart (integer 142)) (property lramsize (integer 1)) (property lram (integer 0)) (property isetlock (integer 0)) (property isetsize (integer 4)) (property ilinesize (integer 4)) (property isets (integer 1)) (property irepl (integer 0)) (property icen (integer 1)) ) (instance dcache0 (viewRef netlist (cellRef mmu_dcache)) (property mmuen (integer 0)) (property smp (integer 0)) (property mmupgsz (integer 0)) (property cached (integer 0)) (property memtech (integer 10)) (property tlb_type (integer 1)) (property dtlbnum (integer 2)) (property itlbnum (integer 2)) (property ilramstart (integer 142)) (property ilram (integer 0)) (property dlramstart (integer 143)) (property dlramsize (integer 1)) (property dlram (integer 0)) (property dsnoop (integer 0)) (property dsetlock (integer 0)) (property dsetsize (integer 4)) (property dlinesize (integer 4)) (property dsets (integer 1)) (property drepl (integer 0)) (property dcen (integer 1)) (property dsu (integer 1)) ) (instance a0 (viewRef netlist (cellRef mmu_acache)) (property scantest (integer 0)) (property clk2x (integer 0)) (property cached (integer 0)) (property ilinesize (integer 4)) (property hindex (integer 0)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename vaddress_RNIE2GP4S1_14 "vaddress_RNIE2GP4S1[14]") (joined (portRef (member vaddress_rnie2gp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnie2gp4s1 0)) )) (net (rename vaddress_RNIM2GP4S1_18 "vaddress_RNIM2GP4S1[18]") (joined (portRef (member vaddress_rnim2gp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnim2gp4s1 0)) )) (net (rename istate_RNI1PDN1_1 "istate_RNI1PDN1[1]") (joined (portRef (member istate_rni1pdn1 0) (instanceRef icache0)) (portRef (member istate_rni1pdn1 0)) )) (net (rename vaddress_RNIA2GP4S1_12 "vaddress_RNIA2GP4S1[12]") (joined (portRef (member vaddress_rnia2gp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnia2gp4s1 0)) )) (net (rename vaddress_RNIO2GP4S1_19 "vaddress_RNIO2GP4S1[19]") (joined (portRef (member vaddress_rnio2gp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnio2gp4s1 0)) )) (net (rename vaddress_RNIIAGP4S1_23 "vaddress_RNIIAGP4S1[23]") (joined (portRef (member vaddress_rniiagp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rniiagp4s1 0)) )) (net (rename istate_RNIBNM52_1 "istate_RNIBNM52[1]") (joined (portRef (member istate_rnibnm52 0) (instanceRef icache0)) (portRef (member istate_rnibnm52 0)) )) (net (rename istate_RNIJ9EP1_1 "istate_RNIJ9EP1[1]") (joined (portRef (member istate_rnij9ep1 0) (instanceRef icache0)) (portRef (member istate_rnij9ep1 0)) )) (net (rename istate_RNI6SLV1_1 "istate_RNI6SLV1[1]") (joined (portRef (member istate_rni6slv1 0) (instanceRef icache0)) (portRef (member istate_rni6slv1 0)) )) (net (rename istate_RNIPTLI1_1 "istate_RNIPTLI1[1]") (joined (portRef (member istate_rniptli1 0) (instanceRef icache0)) (portRef (member istate_rniptli1 0)) )) (net (rename vaddress_RNIC2GP4S1_13 "vaddress_RNIC2GP4S1[13]") (joined (portRef (member vaddress_rnic2gp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnic2gp4s1 0)) )) (net (rename istate_RNI6POA2_1 "istate_RNI6POA2[1]") (joined (portRef (member istate_rni6poa2 0) (instanceRef icache0)) (portRef (member istate_rni6poa2 0)) )) (net (rename istate_RNIU0OP1_1 "istate_RNIU0OP1[1]") (joined (portRef (member istate_rniu0op1 0) (instanceRef icache0)) (portRef (member istate_rniu0op1 0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0) (instanceRef icache0)) (portRef (member faddr_rnitu19lc 0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0) (instanceRef icache0)) (portRef (member faddr_rniuag1tc 0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0) (instanceRef icache0)) (portRef (member faddr_rniom2ubd 0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0) (instanceRef icache0)) (portRef (member vaddress_rnif4tscc 0)) )) (net (rename istate_RNILK561_1 "istate_RNILK561[1]") (joined (portRef (member istate_rnilk561 0) (instanceRef icache0)) (portRef (member istate_rnilk561 0)) )) (net (rename istate_RNIEAOE1_1 "istate_RNIEAOE1[1]") (joined (portRef (member istate_rnieaoe1 0) (instanceRef icache0)) (portRef (member istate_rnieaoe1 0)) )) (net (rename istate_RNI4J0A1_1 "istate_RNI4J0A1[1]") (joined (portRef (member istate_rni4j0a1 0) (instanceRef icache0)) (portRef (member istate_rni4j0a1 0)) )) (net (rename istate_RNIR8HU1_1 "istate_RNIR8HU1[1]") (joined (portRef (member istate_rnir8hu1 0) (instanceRef icache0)) (portRef (member istate_rnir8hu1 0)) )) (net (rename istate_RNIKGRL1_1 "istate_RNIKGRL1[1]") (joined (portRef (member istate_rnikgrl1 0) (instanceRef icache0)) (portRef (member istate_rnikgrl1 0)) )) (net (rename istate_RNIM32A1_1 "istate_RNIM32A1[1]") (joined (portRef (member istate_rnim32a1 0) (instanceRef icache0)) (portRef (member istate_rnim32a1 0)) )) (net (rename istate_RNIQF2A1_1 "istate_RNIQF2A1[1]") (joined (portRef (member istate_rniqf2a1 0) (instanceRef icache0)) (portRef (member istate_rniqf2a1 0)) )) (net (rename istate_RNICVQE1_1 "istate_RNICVQE1[1]") (joined (portRef (member istate_rnicvqe1 0) (instanceRef icache0)) (portRef (member istate_rnicvqe1 0)) )) (net (rename istate_RNIABMH1_1 "istate_RNIABMH1[1]") (joined (portRef (member istate_rniabmh1 0) (instanceRef icache0)) (portRef (member istate_rniabmh1 0)) )) (net (rename istate_RNIHLE12_1 "istate_RNIHLE12[1]") (joined (portRef (member istate_rnihle12 0) (instanceRef icache0)) (portRef (member istate_rnihle12 0)) )) (net (rename istate_RNI6U762_1 "istate_RNI6U762[1]") (joined (portRef (member istate_rni6u762 0) (instanceRef icache0)) (portRef (member istate_rni6u762 0)) )) (net (rename istate_RNIQ3PK1_1 "istate_RNIQ3PK1[1]") (joined (portRef (member istate_rniq3pk1 0) (instanceRef icache0)) (portRef (member istate_rniq3pk1 0)) )) (net (rename istate_RNIFNT02_1 "istate_RNIFNT02[1]") (joined (portRef (member istate_rnifnt02 0) (instanceRef icache0)) (portRef (member istate_rnifnt02 0)) )) (net (rename istate_RNINAS02_1 "istate_RNINAS02[1]") (joined (portRef (member istate_rninas02 0) (instanceRef icache0)) (portRef (member istate_rninas02 0)) )) (net (rename vaddress_RNII2GP4S1_16 "vaddress_RNII2GP4S1[16]") (joined (portRef (member vaddress_rnii2gp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnii2gp4s1 0)) )) (net (rename vaddress_RNIK2GP4S1_17 "vaddress_RNIK2GP4S1[17]") (joined (portRef (member vaddress_rnik2gp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnik2gp4s1 0)) )) (net (rename vaddress_RNICAGP4S1_20 "vaddress_RNICAGP4S1[20]") (joined (portRef (member vaddress_rnicagp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnicagp4s1 0)) )) (net (rename istate_RNIT9TF1_1 "istate_RNIT9TF1[1]") (joined (portRef (member istate_rnit9tf1 0) (instanceRef icache0)) (portRef (member istate_rnit9tf1 0)) )) (net (rename vaddress_RNIGAGP4S1_22 "vaddress_RNIGAGP4S1[22]") (joined (portRef (member vaddress_rnigagp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnigagp4s1 0)) )) (net (rename istate_RNIJMMR1_1 "istate_RNIJMMR1[1]") (joined (portRef (member istate_rnijmmr1 0) (instanceRef icache0)) (portRef (member istate_rnijmmr1 0)) )) (net (rename istate_RNI57T02_1 "istate_RNI57T02[1]") (joined (portRef (member istate_rni57t02 0) (instanceRef icache0)) (portRef (member istate_rni57t02 0)) )) (net (rename istate_RNIFUPH2_1 "istate_RNIFUPH2[1]") (joined (portRef (member istate_rnifuph2 0) (instanceRef icache0)) (portRef (member istate_rnifuph2 0)) )) (net (rename istate_RNIL1742_1 "istate_RNIL1742[1]") (joined (portRef (member istate_rnil1742 0) (instanceRef icache0)) (portRef (member istate_rnil1742 0)) )) (net (rename un39_res_3 "un39_res[3]") (joined (portRef (member un39_res 0) (instanceRef a0)) (portRef un39_res_3 (instanceRef icache0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0) (instanceRef icache0)) (portRef (member vaddress_rnierqu9c 0)) )) (net (rename istate_RNINGDN1_1 "istate_RNINGDN1[1]") (joined (portRef (member istate_rningdn1 0) (instanceRef icache0)) (portRef (member istate_rningdn1 0)) )) (net (rename address_2 "address[2]") (joined (portRef (member address 29) (instanceRef icache0)) (portRef (member address 29) (instanceRef a0)) )) (net (rename address_3 "address[3]") (joined (portRef (member address 28) (instanceRef icache0)) (portRef (member address 28) (instanceRef a0)) )) (net (rename address_4 "address[4]") (joined (portRef (member address 27) (instanceRef icache0)) (portRef (member address_0 27) (instanceRef a0)) )) (net (rename address_5 "address[5]") (joined (portRef (member address 26) (instanceRef icache0)) (portRef (member address 26) (instanceRef a0)) )) (net (rename address_6 "address[6]") (joined (portRef (member address 25) (instanceRef icache0)) (portRef (member address 25) (instanceRef a0)) )) (net (rename address_7 "address[7]") (joined (portRef (member address 24) (instanceRef icache0)) (portRef (member address 24) (instanceRef a0)) )) (net (rename address_8 "address[8]") (joined (portRef (member address 23) (instanceRef icache0)) (portRef (member address 23) (instanceRef a0)) )) (net (rename address_9 "address[9]") (joined (portRef (member address 22) (instanceRef icache0)) (portRef (member address 22) (instanceRef a0)) )) (net (rename address_10 "address[10]") (joined (portRef (member address 21) (instanceRef icache0)) (portRef (member address 21) (instanceRef a0)) )) (net (rename address_11 "address[11]") (joined (portRef (member address 20) (instanceRef icache0)) (portRef (member address 20) (instanceRef a0)) )) (net (rename address_12 "address[12]") (joined (portRef (member address 19) (instanceRef icache0)) (portRef (member address 19) (instanceRef a0)) )) (net (rename address_13 "address[13]") (joined (portRef (member address 18) (instanceRef icache0)) (portRef (member address 18) (instanceRef a0)) )) (net (rename address_14 "address[14]") (joined (portRef (member address 17) (instanceRef icache0)) (portRef (member address 17) (instanceRef a0)) )) (net (rename address_15 "address[15]") (joined (portRef (member address 16) (instanceRef icache0)) (portRef (member address 16) (instanceRef a0)) )) (net (rename address_16 "address[16]") (joined (portRef (member address 15) (instanceRef icache0)) (portRef (member address 15) (instanceRef a0)) )) (net (rename address_17 "address[17]") (joined (portRef (member address 14) (instanceRef icache0)) (portRef (member address 14) (instanceRef a0)) )) (net (rename address_18 "address[18]") (joined (portRef (member address 13) (instanceRef icache0)) (portRef (member address 13) (instanceRef a0)) )) (net (rename address_19 "address[19]") (joined (portRef (member address 12) (instanceRef icache0)) (portRef (member address 12) (instanceRef a0)) )) (net (rename address_20 "address[20]") (joined (portRef (member address 11) (instanceRef icache0)) (portRef (member address 11) (instanceRef a0)) )) (net (rename address_21 "address[21]") (joined (portRef (member address 10) (instanceRef icache0)) (portRef (member address 10) (instanceRef a0)) )) (net (rename address_22 "address[22]") (joined (portRef (member address 9) (instanceRef icache0)) (portRef (member address 9) (instanceRef a0)) )) (net (rename address_23 "address[23]") (joined (portRef (member address 8) (instanceRef icache0)) (portRef (member address 8) (instanceRef a0)) )) (net (rename address_24 "address[24]") (joined (portRef (member address 7) (instanceRef icache0)) (portRef (member address 7) (instanceRef a0)) )) (net (rename address_25 "address[25]") (joined (portRef (member address 6) (instanceRef icache0)) (portRef (member address 6) (instanceRef a0)) )) (net (rename address_26 "address[26]") (joined (portRef (member address 5) (instanceRef icache0)) (portRef (member address 5) (instanceRef a0)) )) (net (rename address_27 "address[27]") (joined (portRef (member address 4) (instanceRef icache0)) (portRef (member address 4) (instanceRef a0)) )) (net (rename address_28 "address[28]") (joined (portRef (member address 3) (instanceRef icache0)) (portRef (member address 3) (instanceRef a0)) )) (net (rename address_29 "address[29]") (joined (portRef (member address 2) (instanceRef icache0)) (portRef (member address_0 2) (instanceRef a0)) )) (net (rename address_30 "address[30]") (joined (portRef (member address 1) (instanceRef icache0)) (portRef (member address_0 1) (instanceRef a0)) )) (net (rename address_31 "address[31]") (joined (portRef (member address 0) (instanceRef icache0)) (portRef (member address_0 0) (instanceRef a0)) )) (net (rename istate_RNILTPP1_1 "istate_RNILTPP1[1]") (joined (portRef (member istate_rniltpp1 0) (instanceRef icache0)) (portRef (member istate_rniltpp1 0)) )) (net (rename addr_2 "addr[2]") (joined (portRef addr_2 (instanceRef dcache0)) (portRef (member addr 9) (instanceRef icache0)) )) (net (rename addr_3 "addr[3]") (joined (portRef addr_3 (instanceRef dcache0)) (portRef (member addr 8) (instanceRef icache0)) )) (net (rename addr_4 "addr[4]") (joined (portRef addr_4 (instanceRef dcache0)) (portRef (member addr 7) (instanceRef icache0)) )) (net (rename addr_5 "addr[5]") (joined (portRef addr_5 (instanceRef dcache0)) (portRef (member addr 6) (instanceRef icache0)) )) (net (rename addr_6 "addr[6]") (joined (portRef addr_6 (instanceRef dcache0)) (portRef (member addr 5) (instanceRef icache0)) )) (net (rename addr_7 "addr[7]") (joined (portRef addr_7 (instanceRef dcache0)) (portRef (member addr 4) (instanceRef icache0)) )) (net (rename addr_8 "addr[8]") (joined (portRef addr_8 (instanceRef dcache0)) (portRef (member addr 3) (instanceRef icache0)) )) (net (rename addr_9 "addr[9]") (joined (portRef addr_9 (instanceRef dcache0)) (portRef (member addr 2) (instanceRef icache0)) )) (net (rename addr_10 "addr[10]") (joined (portRef addr_10 (instanceRef dcache0)) (portRef (member addr 1) (instanceRef icache0)) )) (net (rename addr_11 "addr[11]") (joined (portRef addr_11 (instanceRef dcache0)) (portRef (member addr 0) (instanceRef icache0)) )) (net (rename istate_RNIHPJ22_1 "istate_RNIHPJ22[1]") (joined (portRef (member istate_rnihpj22 0) (instanceRef icache0)) (portRef (member istate_rnihpj22 0)) )) (net (rename istate_RNIB7T42_1 "istate_RNIB7T42[1]") (joined (portRef (member istate_rnib7t42 0) (instanceRef icache0)) (portRef (member istate_rnib7t42 0)) )) (net (rename istate_RNIJLLK1_1 "istate_RNIJLLK1[1]") (joined (portRef (member istate_rnijllk1 0) (instanceRef icache0)) (portRef (member istate_rnijllk1 0)) )) (net (rename vaddress_RNIG2GP4S1_15 "vaddress_RNIG2GP4S1[15]") (joined (portRef (member vaddress_rnig2gp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnig2gp4s1 0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0) (instanceRef icache0)) (portRef (member istate_rniql7e6 0)) )) (net (rename istate_RNITKDP1_1 "istate_RNITKDP1[1]") (joined (portRef (member istate_rnitkdp1 0) (instanceRef icache0)) (portRef (member istate_rnitkdp1 0)) )) (net (rename data_0_0 "data_0[0]") (joined (portRef (member data_0 31) (instanceRef icache0)) (portRef (member data_0 31)) )) (net (rename data_0_1 "data_0[1]") (joined (portRef (member data_0 30) (instanceRef icache0)) (portRef (member data_0 30)) )) (net (rename data_0_2 "data_0[2]") (joined (portRef (member data_0 29) (instanceRef icache0)) (portRef (member data_0 29)) )) (net (rename data_0_3 "data_0[3]") (joined (portRef (member data_0 28) (instanceRef icache0)) (portRef (member data_0 28)) )) (net (rename data_0_4 "data_0[4]") (joined (portRef (member data_0 27) (instanceRef icache0)) (portRef (member data_0 27)) )) (net (rename data_0_5 "data_0[5]") (joined (portRef (member data_0 26) (instanceRef icache0)) (portRef (member data_0 26)) )) (net (rename data_0_6 "data_0[6]") (joined (portRef (member data_0 25) (instanceRef icache0)) (portRef (member data_0 25)) )) (net (rename data_0_7 "data_0[7]") (joined (portRef (member data_0 24) (instanceRef icache0)) (portRef (member data_0 24)) )) (net (rename data_0_8 "data_0[8]") (joined (portRef (member data_0 23) (instanceRef icache0)) (portRef (member data_0 23)) )) (net (rename data_0_9 "data_0[9]") (joined (portRef (member data_0 22) (instanceRef icache0)) (portRef (member data_0 22)) )) (net (rename data_0_10 "data_0[10]") (joined (portRef (member data_0 21) (instanceRef icache0)) (portRef (member data_0 21)) )) (net (rename data_0_11 "data_0[11]") (joined (portRef (member data_0 20) (instanceRef icache0)) (portRef (member data_0 20)) )) (net (rename data_0_12 "data_0[12]") (joined (portRef (member data_0 19) (instanceRef icache0)) (portRef (member data_0 19)) )) (net (rename data_0_13 "data_0[13]") (joined (portRef (member data_0 18) (instanceRef icache0)) (portRef (member data_0 18)) )) (net (rename data_0_14 "data_0[14]") (joined (portRef (member data_0 17) (instanceRef icache0)) (portRef (member data_0 17)) )) (net (rename data_0_15 "data_0[15]") (joined (portRef (member data_0 16) (instanceRef icache0)) (portRef (member data_0 16)) )) (net (rename data_0_16 "data_0[16]") (joined (portRef (member data_0 15) (instanceRef icache0)) (portRef (member data_0 15)) )) (net (rename data_0_17 "data_0[17]") (joined (portRef (member data_0 14) (instanceRef icache0)) (portRef (member data_0 14)) )) (net (rename data_0_18 "data_0[18]") (joined (portRef (member data_0 13) (instanceRef icache0)) (portRef (member data_0 13)) )) (net (rename data_0_19 "data_0[19]") (joined (portRef (member data_0 12) (instanceRef icache0)) (portRef (member data_0 12)) )) (net (rename data_0_20 "data_0[20]") (joined (portRef (member data_0 11) (instanceRef icache0)) (portRef (member data_0 11)) )) (net (rename data_0_21 "data_0[21]") (joined (portRef (member data_0 10) (instanceRef icache0)) (portRef (member data_0 10)) )) (net (rename data_0_22 "data_0[22]") (joined (portRef (member data_0 9) (instanceRef icache0)) (portRef (member data_0 9)) )) (net (rename data_0_23 "data_0[23]") (joined (portRef (member data_0 8) (instanceRef icache0)) (portRef (member data_0 8)) )) (net (rename data_0_24 "data_0[24]") (joined (portRef (member data_0 7) (instanceRef icache0)) (portRef (member data_0 7)) )) (net (rename data_0_25 "data_0[25]") (joined (portRef (member data_0 6) (instanceRef icache0)) (portRef (member data_0 6)) )) (net (rename data_0_26 "data_0[26]") (joined (portRef (member data_0 5) (instanceRef icache0)) (portRef (member data_0 5)) )) (net (rename data_0_27 "data_0[27]") (joined (portRef (member data_0 4) (instanceRef icache0)) (portRef (member data_0 4)) )) (net (rename data_0_28 "data_0[28]") (joined (portRef (member data_0 3) (instanceRef icache0)) (portRef (member data_0 3)) )) (net (rename data_0_29 "data_0[29]") (joined (portRef (member data_0 2) (instanceRef icache0)) (portRef (member data_0 2)) )) (net (rename data_0_30 "data_0[30]") (joined (portRef (member data_0 1) (instanceRef icache0)) (portRef (member data_0 1)) )) (net (rename data_0_31 "data_0[31]") (joined (portRef (member data_0 0) (instanceRef icache0)) (portRef (member data_0 0)) )) (net (rename diagdata_14 "diagdata[14]") (joined (portRef diagdata_14 (instanceRef icache0)) (portRef diagdata_14 (instanceRef dcache0)) )) (net (rename diagdata_18 "diagdata[18]") (joined (portRef diagdata_18 (instanceRef icache0)) (portRef diagdata_18 (instanceRef dcache0)) )) (net (rename diagdata_12 "diagdata[12]") (joined (portRef diagdata_12 (instanceRef icache0)) (portRef diagdata_12 (instanceRef dcache0)) )) (net (rename diagdata_19 "diagdata[19]") (joined (portRef diagdata_19 (instanceRef icache0)) (portRef diagdata_19 (instanceRef dcache0)) )) (net (rename diagdata_25 "diagdata[25]") (joined (portRef diagdata_25 (instanceRef icache0)) (portRef diagdata_25 (instanceRef dcache0)) )) (net (rename diagdata_0 "diagdata[0]") (joined (portRef diagdata_0 (instanceRef icache0)) (portRef diagdata_0 (instanceRef dcache0)) )) (net (rename diagdata_3 "diagdata[3]") (joined (portRef diagdata_3 (instanceRef icache0)) (portRef diagdata_3 (instanceRef dcache0)) )) (net (rename diagdata_1 "diagdata[1]") (joined (portRef diagdata_1 (instanceRef icache0)) (portRef diagdata_1 (instanceRef dcache0)) )) (net (rename diagdata_8 "diagdata[8]") (joined (portRef diagdata_8 (instanceRef icache0)) (portRef diagdata_8 (instanceRef dcache0)) )) (net (rename diagdata_9 "diagdata[9]") (joined (portRef diagdata_9 (instanceRef icache0)) (portRef diagdata_9 (instanceRef dcache0)) )) (net (rename diagdata_15 "diagdata[15]") (joined (portRef diagdata_15 (instanceRef icache0)) (portRef diagdata_15 (instanceRef dcache0)) )) (net (rename diagdata_16 "diagdata[16]") (joined (portRef diagdata_16 (instanceRef icache0)) (portRef diagdata_16 (instanceRef dcache0)) )) (net (rename diagdata_28 "diagdata[28]") (joined (portRef diagdata_28 (instanceRef icache0)) (portRef diagdata_28 (instanceRef dcache0)) )) (net (rename diagdata_31 "diagdata[31]") (joined (portRef diagdata_31 (instanceRef icache0)) (portRef diagdata_31 (instanceRef dcache0)) )) (net (rename diagdata_20 "diagdata[20]") (joined (portRef diagdata_20 (instanceRef icache0)) (portRef diagdata_20 (instanceRef dcache0)) )) (net (rename diagdata_22 "diagdata[22]") (joined (portRef diagdata_22 (instanceRef icache0)) (portRef diagdata_22 (instanceRef dcache0)) )) (net (rename diagdata_24 "diagdata[24]") (joined (portRef diagdata_24 (instanceRef icache0)) (portRef diagdata_24 (instanceRef dcache0)) )) (net (rename diagdata_30 "diagdata[30]") (joined (portRef diagdata_30 (instanceRef icache0)) (portRef diagdata_30 (instanceRef dcache0)) )) (net (rename diagdata_29 "diagdata[29]") (joined (portRef diagdata_29 (instanceRef icache0)) (portRef diagdata_29 (instanceRef dcache0)) )) (net (rename diagdata_23 "diagdata[23]") (joined (portRef diagdata_23 (instanceRef icache0)) (portRef diagdata_23 (instanceRef dcache0)) )) (net (rename diagdata_2 "diagdata[2]") (joined (portRef diagdata_2 (instanceRef icache0)) (portRef diagdata_2 (instanceRef dcache0)) )) (net (rename diagdata_27 "diagdata[27]") (joined (portRef diagdata_27 (instanceRef icache0)) (portRef diagdata_27 (instanceRef dcache0)) )) (net (rename diagdata_17 "diagdata[17]") (joined (portRef diagdata_17 (instanceRef icache0)) (portRef diagdata_17 (instanceRef dcache0)) )) (net (rename diagdata_26 "diagdata[26]") (joined (portRef diagdata_26 (instanceRef icache0)) (portRef diagdata_26 (instanceRef dcache0)) )) (net (rename diagdata_13 "diagdata[13]") (joined (portRef diagdata_13 (instanceRef icache0)) (portRef diagdata_13 (instanceRef dcache0)) )) (net (rename diagdata_21 "diagdata[21]") (joined (portRef diagdata_21 (instanceRef icache0)) (portRef diagdata_21 (instanceRef dcache0)) )) (net (rename vaddress_RNIEAGP4S1_21 "vaddress_RNIEAGP4S1[21]") (joined (portRef (member vaddress_rnieagp4s1 0) (instanceRef icache0)) (portRef (member vaddress_rnieagp4s1 0)) )) (net (rename npc1_0 "npc1[4]") (joined (portRef npc1_0) (portRef npc1_0 (instanceRef icache0)) )) (net (rename npc1_3 "npc1[7]") (joined (portRef npc1_3) (portRef npc1_3 (instanceRef icache0)) )) (net (rename npc0_i_3 "npc0_i[7]") (joined (portRef npc0_i_3) (portRef npc0_i_3 (instanceRef icache0)) )) (net (rename npc0_i_0 "npc0_i[4]") (joined (portRef npc0_i_0) (portRef npc0_i_0 (instanceRef icache0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0) (instanceRef icache0)) (portRef (member vaddress_rnih15uoc 0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0) (instanceRef icache0)) (portRef (member faddr_rnir9j23d 0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0) (instanceRef icache0)) (portRef (member faddr_rnic5grid 0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0) (instanceRef icache0)) (portRef (member vaddress_rnifuhr1d 0)) )) (net (rename rpc_9 "rpc[11]") (joined (portRef rpc_9) (portRef rpc_9 (instanceRef icache0)) )) (net (rename rpc_3 "rpc[5]") (joined (portRef rpc_3) (portRef rpc_3 (instanceRef icache0)) )) (net (rename rpc_8 "rpc[10]") (joined (portRef rpc_8) (portRef rpc_8 (instanceRef icache0)) )) (net (rename rpc_1 "rpc[3]") (joined (portRef rpc_1) (portRef rpc_1 (instanceRef icache0)) )) (net (rename rpc_0 "rpc[2]") (joined (portRef rpc_0) (portRef rpc_0 (instanceRef icache0)) )) (net (rename rpc_6 "rpc[8]") (joined (portRef rpc_6) (portRef rpc_6 (instanceRef icache0)) )) (net (rename rpc_7 "rpc[9]") (joined (portRef rpc_7) (portRef rpc_7 (instanceRef icache0)) )) (net (rename rpc_4 "rpc[6]") (joined (portRef rpc_4) (portRef rpc_4 (instanceRef icache0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0) (instanceRef icache0)) (portRef (member faddr_rnifa34ud 0)) )) (net (rename rstate_0_RNIVPN36_1 "rstate_0_RNIVPN36[1]") (joined (portRef (member rstate_0_rnivpn36 0)) (portRef (member rstate_0_rnivpn36 0) (instanceRef icache0)) )) (net (rename fpc_2 "fpc[2]") (joined (portRef (member fpc 29)) (portRef (member fpc 29) (instanceRef icache0)) )) (net (rename fpc_3 "fpc[3]") (joined (portRef (member fpc 28)) (portRef (member fpc 28) (instanceRef icache0)) )) (net (rename fpc_4 "fpc[4]") (joined (portRef (member fpc 27)) (portRef (member fpc 27) (instanceRef icache0)) )) (net (rename fpc_5 "fpc[5]") (joined (portRef (member fpc 26)) (portRef (member fpc 26) (instanceRef icache0)) )) (net (rename fpc_6 "fpc[6]") (joined (portRef (member fpc 25)) (portRef (member fpc 25) (instanceRef icache0)) )) (net (rename fpc_7 "fpc[7]") (joined (portRef (member fpc 24)) (portRef (member fpc 24) (instanceRef icache0)) )) (net (rename fpc_8 "fpc[8]") (joined (portRef (member fpc 23)) (portRef (member fpc 23) (instanceRef icache0)) )) (net (rename fpc_9 "fpc[9]") (joined (portRef (member fpc 22)) (portRef (member fpc 22) (instanceRef icache0)) )) (net (rename fpc_10 "fpc[10]") (joined (portRef (member fpc 21)) (portRef (member fpc 21) (instanceRef icache0)) )) (net (rename fpc_11 "fpc[11]") (joined (portRef (member fpc 20)) (portRef (member fpc 20) (instanceRef icache0)) )) (net (rename fpc_12 "fpc[12]") (joined (portRef (member fpc 19)) (portRef (member fpc 19) (instanceRef icache0)) )) (net (rename fpc_13 "fpc[13]") (joined (portRef (member fpc 18)) (portRef (member fpc 18) (instanceRef icache0)) )) (net (rename fpc_14 "fpc[14]") (joined (portRef (member fpc 17)) (portRef (member fpc 17) (instanceRef icache0)) )) (net (rename fpc_15 "fpc[15]") (joined (portRef (member fpc 16)) (portRef (member fpc 16) (instanceRef icache0)) )) (net (rename fpc_16 "fpc[16]") (joined (portRef (member fpc 15)) (portRef (member fpc 15) (instanceRef icache0)) )) (net (rename fpc_17 "fpc[17]") (joined (portRef (member fpc 14)) (portRef (member fpc 14) (instanceRef icache0)) )) (net (rename fpc_18 "fpc[18]") (joined (portRef (member fpc 13)) (portRef (member fpc 13) (instanceRef icache0)) )) (net (rename fpc_19 "fpc[19]") (joined (portRef (member fpc 12)) (portRef (member fpc 12) (instanceRef icache0)) )) (net (rename fpc_20 "fpc[20]") (joined (portRef (member fpc 11)) (portRef (member fpc 11) (instanceRef icache0)) )) (net (rename fpc_21 "fpc[21]") (joined (portRef (member fpc 10)) (portRef (member fpc 10) (instanceRef icache0)) )) (net (rename fpc_22 "fpc[22]") (joined (portRef (member fpc 9)) (portRef (member fpc 9) (instanceRef icache0)) )) (net (rename fpc_23 "fpc[23]") (joined (portRef (member fpc 8)) (portRef (member fpc 8) (instanceRef icache0)) )) (net (rename fpc_24 "fpc[24]") (joined (portRef (member fpc 7)) (portRef (member fpc 7) (instanceRef icache0)) )) (net (rename fpc_25 "fpc[25]") (joined (portRef (member fpc 6)) (portRef (member fpc 6) (instanceRef icache0)) )) (net (rename fpc_26 "fpc[26]") (joined (portRef (member fpc 5)) (portRef (member fpc 5) (instanceRef icache0)) )) (net (rename fpc_27 "fpc[27]") (joined (portRef (member fpc 4)) (portRef (member fpc 4) (instanceRef icache0)) )) (net (rename fpc_28 "fpc[28]") (joined (portRef (member fpc 3)) (portRef (member fpc 3) (instanceRef icache0)) )) (net (rename fpc_29 "fpc[29]") (joined (portRef (member fpc 2)) (portRef (member fpc 2) (instanceRef icache0)) )) (net (rename fpc_30 "fpc[30]") (joined (portRef (member fpc 1)) (portRef (member fpc 1) (instanceRef icache0)) )) (net (rename fpc_31 "fpc[31]") (joined (portRef (member fpc 0)) (portRef (member fpc 0) (instanceRef icache0)) )) (net (rename ics_0 "ics[0]") (joined (portRef (member ics 1) (instanceRef dcache0)) (portRef (member ics 1) (instanceRef icache0)) )) (net (rename ics_1 "ics[1]") (joined (portRef (member ics 0) (instanceRef dcache0)) (portRef (member ics 0) (instanceRef icache0)) )) (net (rename asiZ0Z_0 "asi[0]") (joined (portRef asi_0_0 (instanceRef dcache0)) (portRef (member asi 0) (instanceRef icache0)) )) (net (rename un1_p0_2_0_0 "un1_p0_2_0[148]") (joined (portRef (member un1_p0_2_0 0) (instanceRef icache0)) (portRef un1_p0_2_0_0) )) (net u0_DOUTA2_11 (joined (portRef u0_DOUTA2_11) (portRef u0_DOUTA2_11 (instanceRef icache0)) )) (net u0_DOUTA2_10 (joined (portRef u0_DOUTA2_10) (portRef u0_DOUTA2_10 (instanceRef icache0)) )) (net u0_RD10_0 (joined (portRef u0_RD10_0) (portRef u0_RD10_0 (instanceRef icache0)) )) (net N_892 (joined (portRef N_892 (instanceRef icache0)) (portRef N_892) )) (net N_894 (joined (portRef N_894 (instanceRef icache0)) (portRef N_894) )) (net u0_DOUTA0_11 (joined (portRef u0_DOUTA0_11) (portRef u0_DOUTA0_11 (instanceRef icache0)) )) (net u0_DOUTA3_10 (joined (portRef u0_DOUTA3_10) (portRef u0_DOUTA3_10 (instanceRef icache0)) )) (net u0_DOUTA1_8 (joined (portRef u0_DOUTA1_8) (portRef u0_DOUTA1_8 (instanceRef icache0)) )) (net u0_RD11_0 (joined (portRef u0_RD11_0) (portRef u0_RD11_0 (instanceRef icache0)) )) (net u0_DOUTA0_14 (joined (portRef u0_DOUTA0_14) (portRef u0_DOUTA0_14 (instanceRef icache0)) )) (net u0_DOUTA3_14 (joined (portRef u0_DOUTA3_14) (portRef u0_DOUTA3_14 (instanceRef icache0)) )) (net u0_DOUTA1_14 (joined (portRef u0_DOUTA1_14) (portRef u0_DOUTA1_14 (instanceRef icache0)) )) (net u0_DOUTA3_11 (joined (portRef u0_DOUTA3_11) (portRef u0_DOUTA3_11 (instanceRef icache0)) )) (net u0_DOUTA1_12 (joined (portRef u0_DOUTA1_12) (portRef u0_DOUTA1_12 (instanceRef icache0)) )) (net u0_DOUTA0_10 (joined (portRef u0_DOUTA0_10) (portRef u0_DOUTA0_10 (instanceRef icache0)) )) (net u0_DOUTA0_7 (joined (portRef u0_DOUTA0_7) (portRef u0_DOUTA0_7 (instanceRef icache0)) )) (net u0_DOUTA3_7 (joined (portRef u0_DOUTA3_7) (portRef u0_DOUTA3_7 (instanceRef icache0)) )) (net u0_RD2_1 (joined (portRef u0_RD2_1) (portRef u0_RD2_1 (instanceRef icache0)) )) (net N_898 (joined (portRef N_898 (instanceRef icache0)) (portRef N_898) )) (net u0_DOUTA0_9 (joined (portRef u0_DOUTA0_9) (portRef u0_DOUTA0_9 (instanceRef icache0)) )) (net u0_RD8_0 (joined (portRef u0_RD8_0) (portRef u0_RD8_0 (instanceRef icache0)) )) (net u0_RD12_0 (joined (portRef u0_RD12_0) (portRef u0_RD12_0 (instanceRef icache0)) )) (net N_891 (joined (portRef N_891 (instanceRef icache0)) (portRef N_891) )) (net N_893 (joined (portRef N_893 (instanceRef icache0)) (portRef N_893) )) (net N_895 (joined (portRef N_895 (instanceRef icache0)) (portRef N_895) )) (net N_896 (joined (portRef N_896 (instanceRef icache0)) (portRef N_896) )) (net u0_DOUTA2_9 (joined (portRef u0_DOUTA2_9) (portRef u0_DOUTA2_9 (instanceRef icache0)) )) (net u0_DOUTA0_8 (joined (portRef u0_DOUTA0_8) (portRef u0_DOUTA0_8 (instanceRef icache0)) )) (net u0_DOUTA2_7 (joined (portRef u0_DOUTA2_7) (portRef u0_DOUTA2_7 (instanceRef icache0)) )) (net u0_RD14_0 (joined (portRef u0_RD14_0) (portRef u0_RD14_0 (instanceRef icache0)) )) (net u0_RD16_0 (joined (portRef u0_RD16_0) (portRef u0_RD16_0 (instanceRef icache0)) )) (net u0_RD4_1 (joined (portRef u0_RD4_1) (portRef u0_RD4_1 (instanceRef icache0)) )) (net hold (joined (portRef hold_0 (instanceRef icache0)) (portRef hold_0 (instanceRef dcache0)) )) (net N_897 (joined (portRef N_897 (instanceRef icache0)) (portRef N_897) )) (net u0_DOUTA1_7 (joined (portRef u0_DOUTA1_7) (portRef u0_DOUTA1_7 (instanceRef icache0)) )) (net flush2_RNI5NKK2 (joined (portRef flush2_RNI5NKK2 (instanceRef icache0)) (portRef flush2_RNI5NKK2) )) (net flush2_RNI3JKK2 (joined (portRef flush2_RNI3JKK2 (instanceRef icache0)) (portRef flush2_RNI3JKK2) )) (net flush2_RNIVAKK2 (joined (portRef flush2_RNIVAKK2 (instanceRef icache0)) (portRef flush2_RNIVAKK2) )) (net u0_RD3_2 (joined (portRef u0_RD3_2) (portRef u0_RD3_2 (instanceRef icache0)) )) (net u0_RD1_2 (joined (portRef u0_RD1_2) (portRef u0_RD1_2 (instanceRef icache0)) )) (net u0_RD0_2 (joined (portRef u0_RD0_2) (portRef u0_RD0_2 (instanceRef icache0)) )) (net lb_0_sqmuxa_2 (joined (portRef lb_0_sqmuxa_2 (instanceRef icache0)) (portRef lb_0_sqmuxa_2 (instanceRef a0)) )) (net flush2_RNI1FKK2 (joined (portRef flush2_RNI1FKK2 (instanceRef icache0)) (portRef flush2_RNI1FKK2) )) (net u0_DOUTA3_9 (joined (portRef u0_DOUTA3_9) (portRef u0_DOUTA3_9 (instanceRef icache0)) )) (net u0_DOUTA2_14 (joined (portRef u0_DOUTA2_14) (portRef u0_DOUTA2_14 (instanceRef icache0)) )) (net u0_RD2_2 (joined (portRef u0_RD2_2) (portRef u0_RD2_2 (instanceRef icache0)) )) (net u0_DOUTA3_8 (joined (portRef u0_DOUTA3_8) (portRef u0_DOUTA3_8 (instanceRef icache0)) )) (net u0_RD1_1 (joined (portRef u0_RD1_1) (portRef u0_RD1_1 (instanceRef icache0)) )) (net fbranch (joined (portRef fbranch) (portRef fbranch (instanceRef icache0)) )) (net rbranch (joined (portRef rbranch) (portRef rbranch (instanceRef icache0)) )) (net u0_DOUTA1_10 (joined (portRef u0_DOUTA1_10) (portRef u0_DOUTA1_10 (instanceRef icache0)) )) (net u0_DOUTA2_8 (joined (portRef u0_DOUTA2_8) (portRef u0_DOUTA2_8 (instanceRef icache0)) )) (net u0_RD0_1 (joined (portRef u0_RD0_1) (portRef u0_RD0_1 (instanceRef icache0)) )) (net u0_DOUTA1_11 (joined (portRef u0_DOUTA1_11) (portRef u0_DOUTA1_11 (instanceRef icache0)) )) (net u0_RD5_1 (joined (portRef u0_RD5_1) (portRef u0_RD5_1 (instanceRef icache0)) )) (net u0_DOUTA0_12 (joined (portRef u0_DOUTA0_12) (portRef u0_DOUTA0_12 (instanceRef icache0)) )) (net read (joined (portRef read_2 (instanceRef dcache0)) (portRef read (instanceRef icache0)) )) (net flush2_RNICRKB7 (joined (portRef flush2_RNICRKB7 (instanceRef icache0)) (portRef flush2_RNICRKB7) )) (net u0_DOUTA1_9 (joined (portRef u0_DOUTA1_9) (portRef u0_DOUTA1_9 (instanceRef icache0)) )) (net u0_RD7_0 (joined (portRef u0_RD7_0) (portRef u0_RD7_0 (instanceRef icache0)) )) (net u0_RD6_0 (joined (portRef u0_RD6_0) (portRef u0_RD6_0 (instanceRef icache0)) )) (net un18_hold_pc (joined (portRef un18_hold_pc) (portRef un18_hold_pc (instanceRef icache0)) )) (net de_hold_pc_1 (joined (portRef de_hold_pc_1) (portRef de_hold_pc_1 (instanceRef icache0)) )) (net flush_0_sqmuxa_6 (joined (portRef flush_0_sqmuxa_6 (instanceRef dcache0)) (portRef flush_0_sqmuxa_6 (instanceRef icache0)) )) (net mds (joined (portRef mds (instanceRef icache0)) (portRef mds) )) (net grant (joined (portRef grant (instanceRef a0)) (portRef grant (instanceRef icache0)) )) (net inull (joined (portRef inull) (portRef inull (instanceRef icache0)) )) (net un1_rabpmisstt_b0_i_a3_0_0 (joined (portRef un1_rabpmisstt_b0_i_a3_0_0) (portRef un1_rabpmisstt_b0_i_a3_0_0 (instanceRef icache0)) )) (net un18_hold_pc_2 (joined (portRef un18_hold_pc_2) (portRef un18_hold_pc_2 (instanceRef icache0)) )) (net rd_1_NE (joined (portRef rd_1_NE) (portRef rd_1_NE (instanceRef icache0)) )) (net flush (joined (portRef flush_0 (instanceRef icache0)) (portRef flush (instanceRef dcache0)) )) (net u0_RD4_2 (joined (portRef u0_RD4_2) (portRef u0_RD4_2 (instanceRef icache0)) )) (net u0_RD9_0 (joined (portRef u0_RD9_0) (portRef u0_RD9_0 (instanceRef icache0)) )) (net u0_RD13_0 (joined (portRef u0_RD13_0) (portRef u0_RD13_0 (instanceRef icache0)) )) (net u0_RD15_0 (joined (portRef u0_RD15_0) (portRef u0_RD15_0 (instanceRef icache0)) )) (net u0_RD17_0 (joined (portRef u0_RD17_0) (portRef u0_RD17_0 (instanceRef icache0)) )) (net u0_RD3_1 (joined (portRef u0_RD3_1) (portRef u0_RD3_1 (instanceRef icache0)) )) (net u0_RD5_2 (joined (portRef u0_RD5_2) (portRef u0_RD5_2 (instanceRef icache0)) )) (net read_0 (joined (portRef read_0 (instanceRef dcache0)) (portRef read_0 (instanceRef icache0)) )) (net req (joined (portRef req (instanceRef icache0)) (portRef req_0 (instanceRef a0)) )) (net N_5711 (joined (portRef N_5711 (instanceRef a0)) (portRef N_5711 (instanceRef icache0)) )) (net hcache (joined (portRef hcache_0 (instanceRef a0)) (portRef hcache (instanceRef icache0)) )) (net tdiagwrite_3_0_m6_e (joined (portRef tdiagwrite_3_0_m6_e (instanceRef dcache0)) (portRef tdiagwrite_3_0_m6_e (instanceRef icache0)) )) (net ready (joined (portRef ready (instanceRef a0)) (portRef ready (instanceRef icache0)) )) (net faddr_1_sqmuxa_m2_0 (joined (portRef faddr_1_sqmuxa_m2_0 (instanceRef icache0)) (portRef faddr_1_sqmuxa_m2_0) )) (net burst (joined (portRef burst_0 (instanceRef dcache0)) (portRef burst_0 (instanceRef icache0)) )) (net burst_0 (joined (portRef burst (instanceRef icache0)) (portRef burst_0 (instanceRef a0)) )) (net un1_ici (joined (portRef un1_ici (instanceRef icache0)) (portRef un1_ici) )) (net cdwrite_0_sqmuxa_i_0_0 (joined (portRef cdwrite_0_sqmuxa_i_0_0 (instanceRef icache0)) (portRef cdwrite_0_sqmuxa_i_0_0 (instanceRef dcache0)) )) (net enable (joined (portRef enable (instanceRef dcache0)) (portRef enable (instanceRef icache0)) )) (net (rename dataZ0Z_0 "data[0]") (joined (portRef (member data 31) (instanceRef dcache0)) (portRef (member data 31) (instanceRef a0)) )) (net (rename data_1 "data[1]") (joined (portRef (member data 30) (instanceRef dcache0)) (portRef (member data 30) (instanceRef a0)) )) (net (rename data_2 "data[2]") (joined (portRef (member data 29) (instanceRef dcache0)) (portRef (member data 29) (instanceRef a0)) )) (net (rename data_3 "data[3]") (joined (portRef (member data 28) (instanceRef dcache0)) (portRef (member data 28) (instanceRef a0)) )) (net (rename data_4 "data[4]") (joined (portRef (member data 27) (instanceRef dcache0)) (portRef (member data 27) (instanceRef a0)) )) (net (rename data_5 "data[5]") (joined (portRef (member data 26) (instanceRef dcache0)) (portRef (member data 26) (instanceRef a0)) )) (net (rename data_6 "data[6]") (joined (portRef (member data 25) (instanceRef dcache0)) (portRef (member data 25) (instanceRef a0)) )) (net (rename data_7 "data[7]") (joined (portRef (member data 24) (instanceRef dcache0)) (portRef (member data 24) (instanceRef a0)) )) (net (rename data_8 "data[8]") (joined (portRef (member data 23) (instanceRef dcache0)) (portRef (member data 23) (instanceRef a0)) )) (net (rename data_9 "data[9]") (joined (portRef (member data 22) (instanceRef dcache0)) (portRef (member data 22) (instanceRef a0)) )) (net (rename data_10 "data[10]") (joined (portRef (member data 21) (instanceRef dcache0)) (portRef (member data 21) (instanceRef a0)) )) (net (rename data_11 "data[11]") (joined (portRef (member data 20) (instanceRef dcache0)) (portRef (member data 20) (instanceRef a0)) )) (net (rename data_12 "data[12]") (joined (portRef (member data 19) (instanceRef dcache0)) (portRef (member data 19) (instanceRef a0)) )) (net (rename data_13 "data[13]") (joined (portRef (member data 18) (instanceRef dcache0)) (portRef (member data 18) (instanceRef a0)) )) (net (rename data_14 "data[14]") (joined (portRef (member data 17) (instanceRef dcache0)) (portRef (member data 17) (instanceRef a0)) )) (net (rename data_15 "data[15]") (joined (portRef (member data 16) (instanceRef dcache0)) (portRef (member data 16) (instanceRef a0)) )) (net (rename data_16 "data[16]") (joined (portRef (member data 15) (instanceRef dcache0)) (portRef (member data 15) (instanceRef a0)) )) (net (rename data_17 "data[17]") (joined (portRef (member data 14) (instanceRef dcache0)) (portRef (member data 14) (instanceRef a0)) )) (net (rename data_18 "data[18]") (joined (portRef (member data 13) (instanceRef dcache0)) (portRef (member data 13) (instanceRef a0)) )) (net (rename data_19 "data[19]") (joined (portRef (member data 12) (instanceRef dcache0)) (portRef (member data 12) (instanceRef a0)) )) (net (rename data_20 "data[20]") (joined (portRef (member data 11) (instanceRef dcache0)) (portRef (member data 11) (instanceRef a0)) )) (net (rename data_21 "data[21]") (joined (portRef (member data 10) (instanceRef dcache0)) (portRef (member data 10) (instanceRef a0)) )) (net (rename data_22 "data[22]") (joined (portRef (member data 9) (instanceRef dcache0)) (portRef (member data 9) (instanceRef a0)) )) (net (rename data_23 "data[23]") (joined (portRef (member data 8) (instanceRef dcache0)) (portRef (member data 8) (instanceRef a0)) )) (net (rename data_24 "data[24]") (joined (portRef (member data 7) (instanceRef dcache0)) (portRef (member data 7) (instanceRef a0)) )) (net (rename data_25 "data[25]") (joined (portRef (member data 6) (instanceRef dcache0)) (portRef (member data 6) (instanceRef a0)) )) (net (rename data_26 "data[26]") (joined (portRef (member data 5) (instanceRef dcache0)) (portRef (member data 5) (instanceRef a0)) )) (net (rename data_27 "data[27]") (joined (portRef (member data 4) (instanceRef dcache0)) (portRef (member data 4) (instanceRef a0)) )) (net (rename data_28 "data[28]") (joined (portRef (member data 3) (instanceRef dcache0)) (portRef (member data 3) (instanceRef a0)) )) (net (rename data_29 "data[29]") (joined (portRef (member data 2) (instanceRef dcache0)) (portRef (member data 2) (instanceRef a0)) )) (net (rename data_30 "data[30]") (joined (portRef (member data 1) (instanceRef dcache0)) (portRef (member data 1) (instanceRef a0)) )) (net (rename data_31 "data[31]") (joined (portRef (member data 0) (instanceRef dcache0)) (portRef (member data 0) (instanceRef a0)) )) (net (rename size_1 "size[1]") (joined (portRef (member size_2 0) (instanceRef dcache0)) (portRef (member size 0) (instanceRef a0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0) (instanceRef dcache0)) (portRef (member xaddress_rni8hc9c 0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0) (instanceRef dcache0)) (portRef (member faddr_rni1entg 0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0) (instanceRef dcache0)) (portRef (member faddr_rni49a0q 0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0) (instanceRef dcache0)) (portRef (member faddr_rnimvm8u 0)) )) (net (rename hrdatas_RNIO2L41_5 "hrdatas_RNIO2L41[5]") (joined (portRef (member hrdatas_rnio2l41 0)) (portRef (member hrdatas_rnio2l41 0) (instanceRef dcache0)) (portRef (member hrdatas_rnio2l41 0) (instanceRef icache0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0) (instanceRef dcache0)) (portRef (member faddr_rnik42hd 0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0) (instanceRef dcache0)) (portRef (member faddr_rniin4om 0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0) (instanceRef dcache0)) (portRef (member faddr_rnig8pkf 0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0) (instanceRef dcache0)) (portRef (member faddr_rni6usbi 0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0) (instanceRef dcache0)) (portRef (member xaddress_rni9p28c 0)) )) (net (rename ico_m_3 "ico_m[137]") (joined (portRef ico_m_5 (instanceRef dcache0)) (portRef ico_m_3) )) (net (rename ico_m_16 "ico_m[150]") (joined (portRef ico_m_18 (instanceRef dcache0)) (portRef ico_m_16) )) (net (rename ico_m_15 "ico_m[149]") (joined (portRef ico_m_17 (instanceRef dcache0)) (portRef ico_m_15) )) (net (rename ico_m_30 "ico_m[164]") (joined (portRef ico_m_32 (instanceRef dcache0)) (portRef ico_m_30) )) (net (rename ico_m_0 "ico_m[134]") (joined (portRef ico_m_2 (instanceRef dcache0)) (portRef ico_m_0) )) (net (rename ico_m_28 "ico_m[162]") (joined (portRef ico_m_30 (instanceRef dcache0)) (portRef ico_m_28) )) (net (rename ico_m_29 "ico_m[163]") (joined (portRef ico_m_31 (instanceRef dcache0)) (portRef ico_m_29) )) (net (rename ico_m_17 "ico_m[151]") (joined (portRef ico_m_19 (instanceRef dcache0)) (portRef ico_m_17) )) (net (rename maddress_1 "maddress[1]") (joined (portRef (member maddress 30)) (portRef maddress_0_1 (instanceRef dcache0)) (portRef (member maddress 30) (instanceRef icache0)) )) (net (rename xaddress_RNIFNVH4R3_14 "xaddress_RNIFNVH4R3[14]") (joined (portRef (member xaddress_rnifnvh4r3 0) (instanceRef dcache0)) (portRef (member xaddress_rnifnvh4r3 0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0) (instanceRef dcache0)) (portRef (member faddr_rnivnqnh 0)) )) (net (rename xaddress_RNILQ8H4R3_19 "xaddress_RNILQ8H4R3[19]") (joined (portRef (member xaddress_rnilq8h4r3 0) (instanceRef dcache0)) (portRef (member xaddress_rnilq8h4r3 0)) )) (net (rename un1_p0_2_6 "un1_p0_2[368]") (joined (portRef un1_p0_2_6 (instanceRef dcache0)) (portRef un1_p0_2_6) )) (net (rename un1_p0_2_0_d0 "un1_p0_2[362]") (joined (portRef un1_p0_2_0_d0 (instanceRef dcache0)) (portRef un1_p0_2_0_d0) )) (net (rename xaddress_RNI1Q8H4R3_15 "xaddress_RNI1Q8H4R3[15]") (joined (portRef (member xaddress_rni1q8h4r3 0) (instanceRef dcache0)) (portRef (member xaddress_rni1q8h4r3 0)) )) (net (rename xaddress_RNIVQEG4R3_12 "xaddress_RNIVQEG4R3[12]") (joined (portRef (member xaddress_rnivqeg4r3 0) (instanceRef dcache0)) (portRef (member xaddress_rnivqeg4r3 0)) )) (net (rename xaddress_RNI4P6H4R3_13 "xaddress_RNI4P6H4R3[13]") (joined (portRef (member xaddress_rni4p6h4r3 0) (instanceRef dcache0)) (portRef (member xaddress_rni4p6h4r3 0)) )) (net (rename newptag_2_a2_3_1_tz_i_22 "newptag_2_a2_3_1_tz_i[22]") (joined (portRef (member newptag_2_a2_3_1_tz_i 0)) (portRef (member newptag_2_a2_3_1_tz_i 0) (instanceRef dcache0)) )) (net (rename dstate_RNI8BDUG1_1 "dstate_RNI8BDUG1[1]") (joined (portRef (member dstate_rni8bdug1 0) (instanceRef dcache0)) (portRef (member dstate_rni8bdug1 0)) )) (net (rename dstate_RNIAK9OF1_1 "dstate_RNIAK9OF1[1]") (joined (portRef (member dstate_rniak9of1 0) (instanceRef dcache0)) (portRef (member dstate_rniak9of1 0)) )) (net (rename dstate_RNITLPG91_1 "dstate_RNITLPG91[1]") (joined (portRef (member dstate_rnitlpg91 0) (instanceRef dcache0)) (portRef (member dstate_rnitlpg91 0)) )) (net (rename dstate_RNIPKCMD1_1 "dstate_RNIPKCMD1[1]") (joined (portRef (member dstate_rnipkcmd1 0) (instanceRef dcache0)) (portRef (member dstate_rnipkcmd1 0)) )) (net (rename dstate_RNIR5PQD1_1 "dstate_RNIR5PQD1[1]") (joined (portRef (member dstate_rnir5pqd1 0) (instanceRef dcache0)) (portRef (member dstate_rnir5pqd1 0)) )) (net (rename dstate_RNIBJ5KD1_1 "dstate_RNIBJ5KD1[1]") (joined (portRef (member dstate_rnibj5kd1 0) (instanceRef dcache0)) (portRef (member dstate_rnibj5kd1 0)) )) (net (rename dstate_RNIGBKHB1_1 "dstate_RNIGBKHB1[1]") (joined (portRef (member dstate_rnigbkhb1 0) (instanceRef dcache0)) (portRef (member dstate_rnigbkhb1 0)) )) (net (rename dstate_RNIVAPCB1_1 "dstate_RNIVAPCB1[1]") (joined (portRef (member dstate_rnivapcb1 0) (instanceRef dcache0)) (portRef (member dstate_rnivapcb1 0)) )) (net (rename size_RNIQ6O4U_1 "size_RNIQ6O4U[1]") (joined (portRef (member size_rniq6o4u 0) (instanceRef dcache0)) (portRef (member size_rniq6o4u 0)) )) (net (rename size_RNIQAFGU_1 "size_RNIQAFGU[1]") (joined (portRef (member size_rniqafgu 0) (instanceRef dcache0)) (portRef (member size_rniqafgu 0)) )) (net (rename size_RNIIU3PU_1 "size_RNIIU3PU[1]") (joined (portRef (member size_rniiu3pu 0) (instanceRef dcache0)) (portRef (member size_rniiu3pu 0)) )) (net (rename size_RNI1G16U_1 "size_RNI1G16U[1]") (joined (portRef (member size_rni1g16u 0) (instanceRef dcache0)) (portRef (member size_rni1g16u 0)) )) (net (rename size_RNIS2OAU_1 "size_RNIS2OAU[1]") (joined (portRef (member size_rnis2oau 0) (instanceRef dcache0)) (portRef (member size_rnis2oau 0)) )) (net (rename size_RNITKMLU_1 "size_RNITKMLU[1]") (joined (portRef (member size_rnitkmlu 0) (instanceRef dcache0)) (portRef (member size_rnitkmlu 0)) )) (net (rename dstate_RNI5432U_1 "dstate_RNI5432U[1]") (joined (portRef (member dstate_rni5432u 0) (instanceRef dcache0)) (portRef (member dstate_rni5432u 0)) )) (net (rename dstate_RNISTGFH1_1 "dstate_RNISTGFH1[1]") (joined (portRef (member dstate_rnistgfh1 0) (instanceRef dcache0)) (portRef (member dstate_rnistgfh1 0)) )) (net (rename dstate_RNI5C6E91_1 "dstate_RNI5C6E91[1]") (joined (portRef (member dstate_rni5c6e91 0) (instanceRef dcache0)) (portRef (member dstate_rni5c6e91 0)) )) (net (rename dstate_RNI08ULU_1 "dstate_RNI08ULU[1]") (joined (portRef (member dstate_rni08ulu 0) (instanceRef dcache0)) (portRef (member dstate_rni08ulu 0)) )) (net (rename dstate_RNIILTR91_1 "dstate_RNIILTR91[1]") (joined (portRef (member dstate_rniiltr91 0) (instanceRef dcache0)) (portRef (member dstate_rniiltr91 0)) )) (net (rename dstate_RNISU72D1_1 "dstate_RNISU72D1[1]") (joined (portRef (member dstate_rnisu72d1 0) (instanceRef dcache0)) (portRef (member dstate_rnisu72d1 0)) )) (net (rename xaddress_RNID0H8VM1_21 "xaddress_RNID0H8VM1[21]") (joined (portRef (member xaddress_rnid0h8vm1 0) (instanceRef dcache0)) (portRef (member xaddress_rnid0h8vm1 0)) )) (net (rename dstate_RNIUUCH91_1 "dstate_RNIUUCH91[1]") (joined (portRef (member dstate_rniuuch91 0) (instanceRef dcache0)) (portRef (member dstate_rniuuch91 0)) )) (net (rename dstate_RNIOSSTG1_1 "dstate_RNIOSSTG1[1]") (joined (portRef (member dstate_rniosstg1 0) (instanceRef dcache0)) (portRef (member dstate_rniosstg1 0)) )) (net (rename xaddress_RNI1HH8VM1_17 "xaddress_RNI1HH8VM1[17]") (joined (portRef (member xaddress_rni1hh8vm1 0) (instanceRef dcache0)) (portRef (member xaddress_rni1hh8vm1 0)) )) (net (rename xaddress_RNIFBR7VM1_23 "xaddress_RNIFBR7VM1[23]") (joined (portRef (member xaddress_rnifbr7vm1 0) (instanceRef dcache0)) (portRef (member xaddress_rnifbr7vm1 0)) )) (net (rename dstate_RNIJ6PE91_1 "dstate_RNIJ6PE91[1]") (joined (portRef (member dstate_rnij6pe91 0) (instanceRef dcache0)) (portRef (member dstate_rnij6pe91 0)) )) (net (rename dstate_RNIOPHJD1_1 "dstate_RNIOPHJD1[1]") (joined (portRef (member dstate_rniophjd1 0) (instanceRef dcache0)) (portRef (member dstate_rniophjd1 0)) )) (net (rename dstate_RNI0V0E91_1 "dstate_RNI0V0E91[1]") (joined (portRef (member dstate_rni0v0e91 0) (instanceRef dcache0)) (portRef (member dstate_rni0v0e91 0)) )) (net (rename dstate_RNIA7SI91_1 "dstate_RNIA7SI91[1]") (joined (portRef (member dstate_rnia7si91 0) (instanceRef dcache0)) (portRef (member dstate_rnia7si91 0)) )) (net (rename dstate_RNI3JQ791_1 "dstate_RNI3JQ791[1]") (joined (portRef (member dstate_rni3jq791 0) (instanceRef dcache0)) (portRef (member dstate_rni3jq791 0)) )) (net (rename dstate_RNIR83TF1_1 "dstate_RNIR83TF1[1]") (joined (portRef (member dstate_rnir83tf1 0) (instanceRef dcache0)) (portRef (member dstate_rnir83tf1 0)) )) (net (rename dstate_RNI8CBSG1_1 "dstate_RNI8CBSG1[1]") (joined (portRef (member dstate_rni8cbsg1 0) (instanceRef dcache0)) (portRef (member dstate_rni8cbsg1 0)) )) (net (rename xaddress_RNIQOH8VM1_22 "xaddress_RNIQOH8VM1[22]") (joined (portRef (member xaddress_rniqoh8vm1 0) (instanceRef dcache0)) (portRef (member xaddress_rniqoh8vm1 0)) )) (net (rename xaddress_RNIH8G8VM1_16 "xaddress_RNIH8G8VM1[16]") (joined (portRef (member xaddress_rnih8g8vm1 0) (instanceRef dcache0)) (portRef (member xaddress_rnih8g8vm1 0)) )) (net (rename xaddress_RNIE9I8VM1_18 "xaddress_RNIE9I8VM1[18]") (joined (portRef (member xaddress_rnie9i8vm1 0) (instanceRef dcache0)) (portRef (member xaddress_rnie9i8vm1 0)) )) (net (rename vitdatain_0_1_a0_3_23 "vitdatain_0_1_a0_3[23]") (joined (portRef (member vitdatain_0_1_a0_3 0)) (portRef (member vitdatain_0_1_a0_3 0) (instanceRef dcache0)) (portRef (member vitdatain_0_1_a0_3 0) (instanceRef icache0)) )) (net (rename dco_i_1_132 "dco_i_1[132]") (joined (portRef (member dco_i_1 0) (instanceRef dcache0)) (portRef (member dco_i_1 0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0) (instanceRef dcache0)) (portRef (member dstate_rnidu8kdr3 0)) )) (net (rename size_0_0 "size_0[0]") (joined (portRef (member size_0 1)) (portRef (member size 1) (instanceRef dcache0)) )) (net (rename size_0_1 "size_0[1]") (joined (portRef (member size_0 0)) (portRef (member size 0) (instanceRef dcache0)) )) (net (rename rstate_li_0_0 "rstate_li_0[0]") (joined (portRef (member rstate_li_0 0)) (portRef (member rstate_li_0 0) (instanceRef dcache0)) (portRef (member rstate_li_0 0) (instanceRef icache0)) )) (net (rename rdatav_0_1_1_iv_4_0 "rdatav_0_1_1_iv_4[17]") (joined (portRef rdatav_0_1_1_iv_4_0 (instanceRef dcache0)) (portRef rdatav_0_1_1_iv_4_0) )) (net (rename rdatav_0_1_1_iv_4_11 "rdatav_0_1_1_iv_4[28]") (joined (portRef rdatav_0_1_1_iv_4_11 (instanceRef dcache0)) (portRef rdatav_0_1_1_iv_4_11) )) (net (rename rdatav_0_1_0_iv_0_3_2 "rdatav_0_1_0_iv_0_3[4]") (joined (portRef rdatav_0_1_0_iv_0_3_2 (instanceRef dcache0)) (portRef rdatav_0_1_0_iv_0_3_2) )) (net (rename rdatav_0_1_0_iv_0_3_0 "rdatav_0_1_0_iv_0_3[2]") (joined (portRef rdatav_0_1_0_iv_0_3_0 (instanceRef dcache0)) (portRef rdatav_0_1_0_iv_0_3_0) )) (net (rename rdatav_0_1_0_iv_0_2_0 "rdatav_0_1_0_iv_0_2[12]") (joined (portRef rdatav_0_1_0_iv_0_2_10 (instanceRef dcache0)) (portRef rdatav_0_1_0_iv_0_2_0) )) (net (rename rdatav_0_1_0_iv_0_2_7 "rdatav_0_1_0_iv_0_2[19]") (joined (portRef rdatav_0_1_0_iv_0_2_17 (instanceRef dcache0)) (portRef rdatav_0_1_0_iv_0_2_7) )) (net (rename rdatav_0_1_0_iv_2_29 "rdatav_0_1_0_iv_2[29]") (joined (portRef rdatav_0_1_0_iv_2_7 (instanceRef dcache0)) (portRef (member rdatav_0_1_0_iv_2 1)) )) (net (rename rdatav_0_1_0_iv_2_30 "rdatav_0_1_0_iv_2[30]") (joined (portRef rdatav_0_1_0_iv_2_8 (instanceRef dcache0)) (portRef (member rdatav_0_1_0_iv_2 0)) )) (net (rename rdatav_0_1_0_iv_3_0 "rdatav_0_1_0_iv_3[0]") (joined (portRef rdatav_0_1_0_iv_3_0 (instanceRef dcache0)) (portRef rdatav_0_1_0_iv_3_0) )) (net (rename rdatav_0_1_0_iv_3_15 "rdatav_0_1_0_iv_3[15]") (joined (portRef rdatav_0_1_0_iv_3_15 (instanceRef dcache0)) (portRef rdatav_0_1_0_iv_3_15) )) (net (rename rdatav_0_1_0_iv_3_3 "rdatav_0_1_0_iv_3[3]") (joined (portRef rdatav_0_1_0_iv_3_3 (instanceRef dcache0)) (portRef rdatav_0_1_0_iv_3_3) )) (net (rename rdatav_0_1_0_iv_3_16 "rdatav_0_1_0_iv_3[16]") (joined (portRef rdatav_0_1_0_iv_3_16 (instanceRef dcache0)) (portRef rdatav_0_1_0_iv_3_16) )) (net (rename rdatav_0_1_1_iv_3_21 "rdatav_0_1_1_iv_3[21]") (joined (portRef rdatav_0_1_1_iv_3_16 (instanceRef dcache0)) (portRef (member rdatav_0_1_1_iv_3 0)) )) (net (rename addr_26 "addr[28]") (joined (portRef addr_28 (instanceRef dcache0)) (portRef addr_26) )) (net (rename addr_29 "addr[31]") (joined (portRef addr_31 (instanceRef dcache0)) (portRef addr_29) )) (net (rename dmao_i_1_25 "dmao_i_1[40]") (joined (portRef dmao_i_1_25) (portRef dmao_i_1_25 (instanceRef dcache0)) (portRef dmao_i_1_25 (instanceRef icache0)) )) (net (rename dmao_i_1_15 "dmao_i_1[30]") (joined (portRef dmao_i_1_15) (portRef dmao_i_1_15 (instanceRef dcache0)) (portRef dmao_i_1_15 (instanceRef icache0)) )) (net (rename dmao_i_1_0 "dmao_i_1[15]") (joined (portRef dmao_i_1_0) (portRef dmao_i_1_0 (instanceRef dcache0)) (portRef dmao_i_1_0 (instanceRef icache0)) )) (net (rename dmao_i_1_29 "dmao_i_1[44]") (joined (portRef dmao_i_1_29) (portRef dmao_i_1_29 (instanceRef dcache0)) (portRef dmao_i_1_29 (instanceRef icache0)) )) (net (rename dmao_i_1_17 "dmao_i_1[32]") (joined (portRef dmao_i_1_17) (portRef dmao_i_1_17 (instanceRef dcache0)) (portRef dmao_i_1_17 (instanceRef icache0)) )) (net (rename dmao_i_1_31 "dmao_i_1[46]") (joined (portRef dmao_i_1_31) (portRef dmao_i_1_31 (instanceRef dcache0)) (portRef dmao_i_1_31 (instanceRef icache0)) )) (net (rename dmao_i_1_28 "dmao_i_1[43]") (joined (portRef dmao_i_1_28) (portRef dmao_i_1_28 (instanceRef dcache0)) (portRef dmao_i_1_28 (instanceRef icache0)) )) (net (rename dmao_i_1_26 "dmao_i_1[41]") (joined (portRef dmao_i_1_26) (portRef dmao_i_1_26 (instanceRef dcache0)) (portRef dmao_i_1_26 (instanceRef icache0)) )) (net (rename dmao_i_1_24 "dmao_i_1[39]") (joined (portRef dmao_i_1_24) (portRef dmao_i_1_24 (instanceRef dcache0)) (portRef dmao_i_1_24 (instanceRef icache0)) )) (net (rename dmao_i_1_30 "dmao_i_1[45]") (joined (portRef dmao_i_1_30) (portRef dmao_i_1_30 (instanceRef dcache0)) (portRef dmao_i_1_30 (instanceRef icache0)) )) (net (rename dmao_i_1_14 "dmao_i_1[29]") (joined (portRef dmao_i_1_14) (portRef dmao_i_1_14 (instanceRef dcache0)) (portRef dmao_i_1_14 (instanceRef icache0)) )) (net (rename dmao_i_1_22 "dmao_i_1[37]") (joined (portRef dmao_i_1_22) (portRef dmao_i_1_22 (instanceRef dcache0)) (portRef dmao_i_1_22 (instanceRef icache0)) )) (net (rename dcs_0 "dcs[0]") (joined (portRef (member dcs 1) (instanceRef dcache0)) (portRef (member dcs 1) (instanceRef a0)) )) (net (rename dcs_1 "dcs[1]") (joined (portRef (member dcs 0) (instanceRef dcache0)) (portRef (member dcs 0) (instanceRef a0)) )) (net (rename asi_0_0 "asi_0[0]") (joined (portRef (member asi_0 0)) (portRef (member asi 4) (instanceRef dcache0)) )) (net (rename asi_1 "asi[1]") (joined (portRef asi_1) (portRef (member asi 3) (instanceRef dcache0)) )) (net (rename asi_2 "asi[2]") (joined (portRef asi_2) (portRef (member asi 2) (instanceRef dcache0)) )) (net (rename asi_3 "asi[3]") (joined (portRef asi_3) (portRef (member asi 1) (instanceRef dcache0)) )) (net (rename asi_4 "asi[4]") (joined (portRef asi_4) (portRef (member asi 0) (instanceRef dcache0)) )) (net (rename eaddress_1 "eaddress[3]") (joined (portRef eaddress_1) (portRef eaddress_1 (instanceRef dcache0)) )) (net (rename eaddress_4 "eaddress[6]") (joined (portRef eaddress_4) (portRef eaddress_4 (instanceRef dcache0)) )) (net (rename eaddress_8 "eaddress[10]") (joined (portRef eaddress_8) (portRef eaddress_8 (instanceRef dcache0)) )) (net (rename eaddress_9 "eaddress[11]") (joined (portRef eaddress_9) (portRef eaddress_9 (instanceRef dcache0)) )) (net (rename eaddress_2 "eaddress[4]") (joined (portRef eaddress_2) (portRef eaddress_2 (instanceRef dcache0)) )) (net (rename eaddress_7 "eaddress[9]") (joined (portRef eaddress_7) (portRef eaddress_7 (instanceRef dcache0)) )) (net (rename eaddress_3 "eaddress[5]") (joined (portRef eaddress_3) (portRef eaddress_3 (instanceRef dcache0)) )) (net (rename eaddress_6 "eaddress[8]") (joined (portRef eaddress_6) (portRef eaddress_6 (instanceRef dcache0)) )) (net (rename eaddress_0 "eaddress[2]") (joined (portRef eaddress_0) (portRef eaddress_0 (instanceRef dcache0)) )) (net (rename eaddress_5 "eaddress[7]") (joined (portRef eaddress_5) (portRef eaddress_5 (instanceRef dcache0)) )) (net (rename eaddress_14 "eaddress[16]") (joined (portRef eaddress_14) (portRef eaddress_14 (instanceRef dcache0)) )) (net (rename eaddress_29 "eaddress[31]") (joined (portRef eaddress_29) (portRef eaddress_29 (instanceRef dcache0)) (portRef (member eaddress 0) (instanceRef icache0)) )) (net (rename newptag_2_a2_3_a0_1_22 "newptag_2_a2_3_a0_1[22]") (joined (portRef (member newptag_2_a2_3_a0_1 0)) (portRef (member newptag_2_a2_3_a0_1 0) (instanceRef dcache0)) )) (net (rename address_0 "address[0]") (joined (portRef (member address 31) (instanceRef dcache0)) (portRef (member address 31) (instanceRef a0)) )) (net (rename address_1 "address[1]") (joined (portRef (member address 30) (instanceRef dcache0)) (portRef (member address 30) (instanceRef a0)) )) (net (rename address_0_2 "address_0[2]") (joined (portRef (member address 29) (instanceRef dcache0)) (portRef (member address_0 29) (instanceRef a0)) )) (net (rename address_0_3 "address_0[3]") (joined (portRef (member address 28) (instanceRef dcache0)) (portRef (member address_0 28) (instanceRef a0)) )) (net (rename address_0_4 "address_0[4]") (joined (portRef (member address 27) (instanceRef dcache0)) (portRef (member address 27) (instanceRef a0)) )) (net (rename address_0_5 "address_0[5]") (joined (portRef (member address 26) (instanceRef dcache0)) (portRef (member address_0 26) (instanceRef a0)) )) (net (rename address_0_6 "address_0[6]") (joined (portRef (member address 25) (instanceRef dcache0)) (portRef (member address_0 25) (instanceRef a0)) )) (net (rename address_0_7 "address_0[7]") (joined (portRef (member address 24) (instanceRef dcache0)) (portRef (member address_0 24) (instanceRef a0)) )) (net (rename address_0_8 "address_0[8]") (joined (portRef (member address 23) (instanceRef dcache0)) (portRef (member address_0 23) (instanceRef a0)) )) (net (rename address_0_9 "address_0[9]") (joined (portRef (member address 22) (instanceRef dcache0)) (portRef (member address_0 22) (instanceRef a0)) )) (net (rename address_0_10 "address_0[10]") (joined (portRef (member address 21) (instanceRef dcache0)) (portRef (member address_0 21) (instanceRef a0)) )) (net (rename address_0_11 "address_0[11]") (joined (portRef (member address 20) (instanceRef dcache0)) (portRef (member address_0 20) (instanceRef a0)) )) (net (rename address_0_12 "address_0[12]") (joined (portRef (member address 19) (instanceRef dcache0)) (portRef (member address_0 19) (instanceRef a0)) )) (net (rename address_0_13 "address_0[13]") (joined (portRef (member address 18) (instanceRef dcache0)) (portRef (member address_0 18) (instanceRef a0)) )) (net (rename address_0_14 "address_0[14]") (joined (portRef (member address 17) (instanceRef dcache0)) (portRef (member address_0 17) (instanceRef a0)) )) (net (rename address_0_15 "address_0[15]") (joined (portRef (member address 16) (instanceRef dcache0)) (portRef (member address_0 16) (instanceRef a0)) )) (net (rename address_0_16 "address_0[16]") (joined (portRef (member address 15) (instanceRef dcache0)) (portRef (member address_0 15) (instanceRef a0)) )) (net (rename address_0_17 "address_0[17]") (joined (portRef (member address 14) (instanceRef dcache0)) (portRef (member address_0 14) (instanceRef a0)) )) (net (rename address_0_18 "address_0[18]") (joined (portRef (member address 13) (instanceRef dcache0)) (portRef (member address_0 13) (instanceRef a0)) )) (net (rename address_0_19 "address_0[19]") (joined (portRef (member address 12) (instanceRef dcache0)) (portRef (member address_0 12) (instanceRef a0)) )) (net (rename address_0_20 "address_0[20]") (joined (portRef (member address 11) (instanceRef dcache0)) (portRef (member address_0 11) (instanceRef a0)) )) (net (rename address_0_21 "address_0[21]") (joined (portRef (member address 10) (instanceRef dcache0)) (portRef (member address_0 10) (instanceRef a0)) )) (net (rename address_0_22 "address_0[22]") (joined (portRef (member address 9) (instanceRef dcache0)) (portRef (member address_0 9) (instanceRef a0)) )) (net (rename address_0_23 "address_0[23]") (joined (portRef (member address 8) (instanceRef dcache0)) (portRef (member address_0 8) (instanceRef a0)) )) (net (rename address_0_24 "address_0[24]") (joined (portRef (member address 7) (instanceRef dcache0)) (portRef (member address_0 7) (instanceRef a0)) )) (net (rename address_0_25 "address_0[25]") (joined (portRef (member address 6) (instanceRef dcache0)) (portRef (member address_0 6) (instanceRef a0)) )) (net (rename address_0_26 "address_0[26]") (joined (portRef (member address 5) (instanceRef dcache0)) (portRef (member address_0 5) (instanceRef a0)) )) (net (rename address_0_27 "address_0[27]") (joined (portRef (member address 4) (instanceRef dcache0)) (portRef (member address_0 4) (instanceRef a0)) )) (net (rename address_0_28 "address_0[28]") (joined (portRef (member address 3) (instanceRef dcache0)) (portRef (member address_0 3) (instanceRef a0)) )) (net (rename address_0_29 "address_0[29]") (joined (portRef (member address 2) (instanceRef dcache0)) (portRef (member address 2) (instanceRef a0)) )) (net (rename address_0_30 "address_0[30]") (joined (portRef (member address 1) (instanceRef dcache0)) (portRef (member address 1) (instanceRef a0)) )) (net (rename address_0_31 "address_0[31]") (joined (portRef (member address 0) (instanceRef dcache0)) (portRef (member address 0) (instanceRef a0)) )) (net (rename newptag_2_1_25 "newptag_2_1[25]") (joined (portRef (member newptag_2_1 0) (instanceRef dcache0)) (portRef (member newptag_2_1 0)) )) (net (rename edata2_iv_24 "edata2_iv[24]") (joined (portRef (member edata2_iv 7)) (portRef (member edata2_iv 7) (instanceRef dcache0)) )) (net (rename edata2_iv_25 "edata2_iv[25]") (joined (portRef (member edata2_iv 6)) (portRef (member edata2_iv 6) (instanceRef dcache0)) )) (net (rename edata2_iv_26 "edata2_iv[26]") (joined (portRef (member edata2_iv 5)) (portRef (member edata2_iv 5) (instanceRef dcache0)) )) (net (rename edata2_iv_27 "edata2_iv[27]") (joined (portRef (member edata2_iv 4)) (portRef (member edata2_iv 4) (instanceRef dcache0)) )) (net (rename edata2_iv_28 "edata2_iv[28]") (joined (portRef (member edata2_iv 3)) (portRef (member edata2_iv 3) (instanceRef dcache0)) )) (net (rename edata2_iv_29 "edata2_iv[29]") (joined (portRef (member edata2_iv 2)) (portRef (member edata2_iv 2) (instanceRef dcache0)) )) (net (rename edata2_iv_30 "edata2_iv[30]") (joined (portRef (member edata2_iv 1)) (portRef (member edata2_iv 1) (instanceRef dcache0)) )) (net (rename edata2_iv_31 "edata2_iv[31]") (joined (portRef (member edata2_iv 0)) (portRef (member edata2_iv 0) (instanceRef dcache0)) )) (net (rename newptag_2_0_26 "newptag_2_0[26]") (joined (portRef newptag_2_0_10 (instanceRef dcache0)) (portRef (member newptag_2_0 1)) )) (net (rename newptag_2_0_27 "newptag_2_0[27]") (joined (portRef newptag_2_0_11 (instanceRef dcache0)) (portRef (member newptag_2_0 0)) )) (net (rename edata2_0_iv_0 "edata2_0_iv[0]") (joined (portRef (member edata2_0_iv 23)) (portRef (member edata2_0_iv 23) (instanceRef dcache0)) )) (net (rename edata2_0_iv_1 "edata2_0_iv[1]") (joined (portRef (member edata2_0_iv 22)) (portRef (member edata2_0_iv 22) (instanceRef dcache0)) )) (net (rename edata2_0_iv_2 "edata2_0_iv[2]") (joined (portRef (member edata2_0_iv 21)) (portRef (member edata2_0_iv 21) (instanceRef dcache0)) )) (net (rename edata2_0_iv_3 "edata2_0_iv[3]") (joined (portRef (member edata2_0_iv 20)) (portRef (member edata2_0_iv 20) (instanceRef dcache0)) )) (net (rename edata2_0_iv_4 "edata2_0_iv[4]") (joined (portRef (member edata2_0_iv 19)) (portRef (member edata2_0_iv 19) (instanceRef dcache0)) )) (net (rename edata2_0_iv_5 "edata2_0_iv[5]") (joined (portRef (member edata2_0_iv 18)) (portRef (member edata2_0_iv 18) (instanceRef dcache0)) )) (net (rename edata2_0_iv_6 "edata2_0_iv[6]") (joined (portRef (member edata2_0_iv 17)) (portRef (member edata2_0_iv 17) (instanceRef dcache0)) )) (net (rename edata2_0_iv_7 "edata2_0_iv[7]") (joined (portRef (member edata2_0_iv 16)) (portRef (member edata2_0_iv 16) (instanceRef dcache0)) )) (net (rename edata2_0_iv_8 "edata2_0_iv[8]") (joined (portRef (member edata2_0_iv 15)) (portRef (member edata2_0_iv 15) (instanceRef dcache0)) )) (net (rename edata2_0_iv_9 "edata2_0_iv[9]") (joined (portRef (member edata2_0_iv 14)) (portRef (member edata2_0_iv 14) (instanceRef dcache0)) )) (net (rename edata2_0_iv_10 "edata2_0_iv[10]") (joined (portRef (member edata2_0_iv 13)) (portRef (member edata2_0_iv 13) (instanceRef dcache0)) )) (net (rename edata2_0_iv_11 "edata2_0_iv[11]") (joined (portRef (member edata2_0_iv 12)) (portRef (member edata2_0_iv 12) (instanceRef dcache0)) )) (net (rename edata2_0_iv_12 "edata2_0_iv[12]") (joined (portRef (member edata2_0_iv 11)) (portRef (member edata2_0_iv 11) (instanceRef dcache0)) )) (net (rename edata2_0_iv_13 "edata2_0_iv[13]") (joined (portRef (member edata2_0_iv 10)) (portRef (member edata2_0_iv 10) (instanceRef dcache0)) )) (net (rename edata2_0_iv_14 "edata2_0_iv[14]") (joined (portRef (member edata2_0_iv 9)) (portRef (member edata2_0_iv 9) (instanceRef dcache0)) )) (net (rename edata2_0_iv_15 "edata2_0_iv[15]") (joined (portRef (member edata2_0_iv 8)) (portRef (member edata2_0_iv 8) (instanceRef dcache0)) )) (net (rename edata2_0_iv_16 "edata2_0_iv[16]") (joined (portRef (member edata2_0_iv 7)) (portRef (member edata2_0_iv 7) (instanceRef dcache0)) )) (net (rename edata2_0_iv_17 "edata2_0_iv[17]") (joined (portRef (member edata2_0_iv 6)) (portRef (member edata2_0_iv 6) (instanceRef dcache0)) )) (net (rename edata2_0_iv_18 "edata2_0_iv[18]") (joined (portRef (member edata2_0_iv 5)) (portRef (member edata2_0_iv 5) (instanceRef dcache0)) )) (net (rename edata2_0_iv_19 "edata2_0_iv[19]") (joined (portRef (member edata2_0_iv 4)) (portRef (member edata2_0_iv 4) (instanceRef dcache0)) )) (net (rename edata2_0_iv_20 "edata2_0_iv[20]") (joined (portRef (member edata2_0_iv 3)) (portRef (member edata2_0_iv 3) (instanceRef dcache0)) )) (net (rename edata2_0_iv_21 "edata2_0_iv[21]") (joined (portRef (member edata2_0_iv 2)) (portRef (member edata2_0_iv 2) (instanceRef dcache0)) )) (net (rename edata2_0_iv_22 "edata2_0_iv[22]") (joined (portRef (member edata2_0_iv 1)) (portRef (member edata2_0_iv 1) (instanceRef dcache0)) )) (net (rename edata2_0_iv_23 "edata2_0_iv[23]") (joined (portRef (member edata2_0_iv 0)) (portRef (member edata2_0_iv 0) (instanceRef dcache0)) )) (net (rename newptag_2_20 "newptag_2[20]") (joined (portRef (member newptag_2 0) (instanceRef dcache0)) (portRef (member newptag_2 0)) )) (net (rename data_0_0_0 "data_0_0[1]") (joined (portRef data_0_0 (instanceRef dcache0)) (portRef data_0_0_0) )) (net (rename data_0_0_7 "data_0_0[8]") (joined (portRef data_0_7 (instanceRef dcache0)) (portRef data_0_0_7) )) (net (rename data_0_0_30 "data_0_0[31]") (joined (portRef data_0_30 (instanceRef dcache0)) (portRef data_0_0_30) )) (net (rename data_0_0_23 "data_0_0[24]") (joined (portRef data_0_23 (instanceRef dcache0)) (portRef data_0_0_23) )) (net (rename data_0_0_13 "data_0_0[14]") (joined (portRef data_0_13 (instanceRef dcache0)) (portRef data_0_0_13) )) (net (rename data_0_0_17 "data_0_0[18]") (joined (portRef data_0_17 (instanceRef dcache0)) (portRef data_0_0_17) )) (net (rename data_0_0_24 "data_0_0[25]") (joined (portRef data_0_24 (instanceRef dcache0)) (portRef data_0_0_24) )) (net (rename data_0_0_19 "data_0_0[20]") (joined (portRef data_0_19 (instanceRef dcache0)) (portRef data_0_0_19) )) (net (rename data_0_0_10 "data_0_0[11]") (joined (portRef data_0_10 (instanceRef dcache0)) (portRef data_0_0_10) )) (net (rename data_0_0_8 "data_0_0[9]") (joined (portRef data_0_8 (instanceRef dcache0)) (portRef data_0_0_8) )) (net (rename data_0_0_6 "data_0_0[7]") (joined (portRef data_0_6 (instanceRef dcache0)) (portRef data_0_0_6) )) (net (rename data_0_0_4 "data_0_0[5]") (joined (portRef data_0_4 (instanceRef dcache0)) (portRef data_0_0_4) )) (net (rename data_0_0_5 "data_0_0[6]") (joined (portRef data_0_5 (instanceRef dcache0)) (portRef data_0_0_5) )) (net (rename data_0_0_22 "data_0_0[23]") (joined (portRef data_0_22 (instanceRef dcache0)) (portRef data_0_0_22) )) (net (rename data_0_0_26 "data_0_0[27]") (joined (portRef data_0_26 (instanceRef dcache0)) (portRef data_0_0_26) )) (net (rename data_0_0_9 "data_0_0[10]") (joined (portRef data_0_9 (instanceRef dcache0)) (portRef data_0_0_9) )) (net (rename data_0_0_25 "data_0_0[26]") (joined (portRef data_0_25 (instanceRef dcache0)) (portRef data_0_0_25) )) (net (rename data_0_0_12 "data_0_0[13]") (joined (portRef data_0_12 (instanceRef dcache0)) (portRef data_0_0_12) )) (net (rename data_0_0_21 "data_0_0[22]") (joined (portRef data_0_21 (instanceRef dcache0)) (portRef data_0_0_21) )) (net (rename hrdata_17 "hrdata[18]") (joined (portRef hrdata_17) (portRef hrdata_17 (instanceRef dcache0)) (portRef hrdata_17 (instanceRef icache0)) )) (net (rename hrdata_19 "hrdata[20]") (joined (portRef hrdata_19) (portRef hrdata_19 (instanceRef dcache0)) (portRef hrdata_19 (instanceRef icache0)) )) (net (rename hrdata_0 "hrdata[1]") (joined (portRef hrdata_0) (portRef hrdata_0 (instanceRef dcache0)) (portRef hrdata_0 (instanceRef icache0)) )) (net (rename hrdata_7 "hrdata[8]") (joined (portRef hrdata_7) (portRef hrdata_7 (instanceRef dcache0)) (portRef hrdata_7 (instanceRef icache0)) )) (net (rename hrdata_8 "hrdata[9]") (joined (portRef hrdata_8) (portRef hrdata_8 (instanceRef dcache0)) (portRef hrdata_8 (instanceRef icache0)) )) (net (rename hrdata_6 "hrdata[7]") (joined (portRef hrdata_6) (portRef hrdata_6 (instanceRef dcache0)) (portRef hrdata_6 (instanceRef icache0)) )) (net (rename hrdata_9 "hrdata[10]") (joined (portRef hrdata_9) (portRef hrdata_9 (instanceRef dcache0)) (portRef hrdata_9 (instanceRef icache0)) )) (net (rename hrdata_3 "hrdata[4]") (joined (portRef hrdata_3) (portRef hrdata_3 (instanceRef dcache0)) (portRef hrdata_3 (instanceRef icache0)) )) (net (rename hrdata_22 "hrdata[23]") (joined (portRef hrdata_22) (portRef hrdata_22 (instanceRef dcache0)) (portRef hrdata_22 (instanceRef icache0)) )) (net (rename hrdata_18 "hrdata[19]") (joined (portRef hrdata_18) (portRef hrdata_18 (instanceRef dcache0)) (portRef hrdata_18 (instanceRef icache0)) )) (net (rename hrdata_15 "hrdata[16]") (joined (portRef hrdata_15) (portRef hrdata_15 (instanceRef dcache0)) (portRef hrdata_15 (instanceRef icache0)) )) (net (rename hrdata_10 "hrdata[11]") (joined (portRef hrdata_10) (portRef hrdata_10 (instanceRef dcache0)) (portRef hrdata_10 (instanceRef icache0)) )) (net (rename hrdata_5 "hrdata[6]") (joined (portRef hrdata_5) (portRef hrdata_5 (instanceRef dcache0)) (portRef hrdata_5 (instanceRef icache0)) )) (net (rename hrdata_2 "hrdata[3]") (joined (portRef hrdata_2) (portRef hrdata_2 (instanceRef dcache0)) (portRef hrdata_2 (instanceRef icache0)) )) (net (rename hrdata_26 "hrdata[27]") (joined (portRef hrdata_26) (portRef hrdata_26 (instanceRef dcache0)) (portRef hrdata_26 (instanceRef icache0)) )) (net (rename hrdata_20 "hrdata[21]") (joined (portRef hrdata_20) (portRef hrdata_20 (instanceRef dcache0)) (portRef hrdata_20 (instanceRef icache0)) )) (net (rename hrdata_1 "hrdata[2]") (joined (portRef hrdata_1) (portRef hrdata_1 (instanceRef dcache0)) (portRef hrdata_1 (instanceRef icache0)) )) (net (rename maddress_5 "maddress[5]") (joined (portRef (member maddress 26)) (portRef maddress_5 (instanceRef dcache0)) (portRef (member maddress 26) (instanceRef icache0)) )) (net (rename maddress_30 "maddress[30]") (joined (portRef (member maddress 1)) (portRef maddress_30 (instanceRef dcache0)) (portRef (member maddress 1) (instanceRef icache0)) )) (net (rename maddress_6 "maddress[6]") (joined (portRef (member maddress 25)) (portRef maddress_6 (instanceRef dcache0)) (portRef (member maddress 25) (instanceRef icache0)) )) (net (rename maddress_14 "maddress[14]") (joined (portRef (member maddress 17)) (portRef maddress_14 (instanceRef dcache0)) (portRef (member maddress 17) (instanceRef icache0)) )) (net (rename maddress_12 "maddress[12]") (joined (portRef (member maddress 19)) (portRef maddress_12 (instanceRef dcache0)) (portRef (member maddress 19) (instanceRef icache0)) )) (net (rename maddress_11 "maddress[11]") (joined (portRef (member maddress 20)) (portRef maddress_11 (instanceRef dcache0)) (portRef (member maddress 20) (instanceRef icache0)) )) (net (rename maddress_3 "maddress[3]") (joined (portRef (member maddress 28)) (portRef maddress_3 (instanceRef dcache0)) (portRef (member maddress 28) (instanceRef icache0)) )) (net (rename maddress_10 "maddress[10]") (joined (portRef (member maddress 21)) (portRef maddress_10 (instanceRef dcache0)) (portRef (member maddress 21) (instanceRef icache0)) )) (net (rename maddress_29 "maddress[29]") (joined (portRef (member maddress 2)) (portRef maddress_29 (instanceRef dcache0)) (portRef (member maddress 2) (instanceRef icache0)) )) (net (rename maddress_0 "maddress[0]") (joined (portRef (member maddress 31)) (portRef maddress_0_d0 (instanceRef dcache0)) (portRef (member maddress 31) (instanceRef icache0)) )) (net (rename maddress_4 "maddress[4]") (joined (portRef (member maddress 27)) (portRef maddress_4 (instanceRef dcache0)) (portRef (member maddress 27) (instanceRef icache0)) )) (net (rename maddress_7 "maddress[7]") (joined (portRef (member maddress 24)) (portRef maddress_7 (instanceRef dcache0)) (portRef (member maddress 24) (instanceRef icache0)) )) (net (rename maddress_8 "maddress[8]") (joined (portRef (member maddress 23)) (portRef maddress_8 (instanceRef dcache0)) (portRef (member maddress 23) (instanceRef icache0)) )) (net (rename maddress_9 "maddress[9]") (joined (portRef (member maddress 22)) (portRef maddress_9 (instanceRef dcache0)) (portRef (member maddress 22) (instanceRef icache0)) )) (net (rename maddress_13 "maddress[13]") (joined (portRef (member maddress 18)) (portRef maddress_13 (instanceRef dcache0)) (portRef (member maddress 18) (instanceRef icache0)) )) (net (rename maddress_15 "maddress[15]") (joined (portRef (member maddress 16)) (portRef maddress_15 (instanceRef dcache0)) (portRef (member maddress 16) (instanceRef icache0)) )) (net (rename maddress_24 "maddress[24]") (joined (portRef (member maddress 7)) (portRef maddress_24 (instanceRef dcache0)) (portRef (member maddress 7) (instanceRef icache0)) )) (net (rename maddress_26 "maddress[26]") (joined (portRef (member maddress 5)) (portRef maddress_26 (instanceRef dcache0)) (portRef (member maddress 5) (instanceRef icache0)) )) (net (rename maddress_20 "maddress[20]") (joined (portRef (member maddress 11)) (portRef maddress_20 (instanceRef dcache0)) (portRef (member maddress 11) (instanceRef icache0)) )) (net (rename maddress_19 "maddress[19]") (joined (portRef (member maddress 12)) (portRef maddress_19 (instanceRef dcache0)) (portRef (member maddress 12) (instanceRef icache0)) )) (net (rename maddress_23 "maddress[23]") (joined (portRef (member maddress 8)) (portRef maddress_23 (instanceRef dcache0)) (portRef (member maddress 8) (instanceRef icache0)) )) (net (rename maddress_17 "maddress[17]") (joined (portRef (member maddress 14)) (portRef maddress_17 (instanceRef dcache0)) (portRef (member maddress 14) (instanceRef icache0)) )) (net (rename maddress_18 "maddress[18]") (joined (portRef (member maddress 13)) (portRef maddress_18 (instanceRef dcache0)) (portRef (member maddress 13) (instanceRef icache0)) )) (net (rename maddress_21 "maddress[21]") (joined (portRef (member maddress 10)) (portRef maddress_21 (instanceRef dcache0)) (portRef (member maddress 10) (instanceRef icache0)) )) (net (rename maddress_16 "maddress[16]") (joined (portRef (member maddress 15)) (portRef maddress_16 (instanceRef dcache0)) (portRef (member maddress 15) (instanceRef icache0)) )) (net (rename maddress_22 "maddress[22]") (joined (portRef (member maddress 9)) (portRef maddress_22 (instanceRef dcache0)) (portRef (member maddress 9) (instanceRef icache0)) )) (net (rename maddress_31 "maddress[31]") (joined (portRef (member maddress 0)) (portRef maddress_31 (instanceRef dcache0)) (portRef (member maddress 0) (instanceRef icache0)) )) (net (rename maddress_28 "maddress[28]") (joined (portRef (member maddress 3)) (portRef maddress_28 (instanceRef dcache0)) (portRef (member maddress 3) (instanceRef icache0)) )) (net (rename maddress_27 "maddress[27]") (joined (portRef (member maddress 4)) (portRef maddress_27 (instanceRef dcache0)) (portRef (member maddress 4) (instanceRef icache0)) )) (net (rename maddress_25 "maddress[25]") (joined (portRef (member maddress 6)) (portRef maddress_25 (instanceRef dcache0)) (portRef (member maddress 6) (instanceRef icache0)) )) (net (rename maddress_2 "maddress[2]") (joined (portRef (member maddress 29)) (portRef maddress_2 (instanceRef dcache0)) (portRef (member maddress 29) (instanceRef icache0)) )) (net (rename dstate_0_4 "dstate_0[4]") (joined (portRef (member dstate_0 0) (instanceRef dcache0)) (portRef (member dstate_0 0)) )) (net (rename un1_p0_2_0_350 "un1_p0_2_0[498]") (joined (portRef (member un1_p0_2_0 0) (instanceRef dcache0)) (portRef un1_p0_2_0_350) )) (net mexc_0 (joined (portRef mexc_0 (instanceRef dcache0)) (portRef mexc_0) )) (net flush_RNICD8ME (joined (portRef flush_RNICD8ME (instanceRef dcache0)) (portRef flush_RNICD8ME) )) (net flush_RNIRUPHB22 (joined (portRef flush_RNIRUPHB22 (instanceRef dcache0)) (portRef flush_RNIRUPHB22) )) (net flush_RNID7RHB22 (joined (portRef flush_RNID7RHB22 (instanceRef dcache0)) (portRef flush_RNID7RHB22) )) (net flush_RNIMRRHB22 (joined (portRef flush_RNIMRRHB22 (instanceRef dcache0)) (portRef flush_RNIMRRHB22) )) (net u0_RD3_0 (joined (portRef u0_RD3_0) (portRef u0_RD3_0 (instanceRef dcache0)) )) (net u0_DOUTA1_6 (joined (portRef u0_DOUTA1_6) (portRef u0_DOUTA1_6 (instanceRef dcache0)) )) (net u0_RD7 (joined (portRef u0_RD7) (portRef u0_RD7 (instanceRef dcache0)) )) (net u0_DOUTA2 (joined (portRef u0_DOUTA2) (portRef u0_DOUTA2 (instanceRef dcache0)) )) (net u0_RD4 (joined (portRef u0_RD4) (portRef u0_RD4 (instanceRef dcache0)) )) (net u0_RD5_0 (joined (portRef u0_RD5_0) (portRef u0_RD5_0 (instanceRef dcache0)) )) (net u0_RD15 (joined (portRef u0_RD15) (portRef u0_RD15 (instanceRef dcache0)) )) (net u0_RD16 (joined (portRef u0_RD16) (portRef u0_RD16 (instanceRef dcache0)) )) (net N_2835 (joined (portRef N_2835 (instanceRef dcache0)) (portRef N_2835) )) (net N_2851 (joined (portRef N_2851 (instanceRef dcache0)) (portRef N_2851) )) (net u0_DOUTA2_3 (joined (portRef u0_DOUTA2_3) (portRef u0_DOUTA2_3 (instanceRef dcache0)) )) (net u0_RD8 (joined (portRef u0_RD8) (portRef u0_RD8 (instanceRef dcache0)) )) (net u0_RD6 (joined (portRef u0_RD6) (portRef u0_RD6 (instanceRef dcache0)) )) (net u0_RD4_0 (joined (portRef u0_RD4_0) (portRef u0_RD4_0 (instanceRef dcache0)) )) (net u0_RD1_0 (joined (portRef u0_RD1_0) (portRef u0_RD1_0 (instanceRef dcache0)) )) (net flush_RNI4JQHB22 (joined (portRef flush_RNI4JQHB22 (instanceRef dcache0)) (portRef flush_RNI4JQHB22) )) (net u0_RD0_0 (joined (portRef u0_RD0_0) (portRef u0_RD0_0 (instanceRef dcache0)) )) (net u0_RD2 (joined (portRef u0_RD2) (portRef u0_RD2 (instanceRef dcache0)) )) (net burst_1 (joined (portRef burst (instanceRef dcache0)) (portRef burst (instanceRef a0)) )) (net write (joined (portRef write) (portRef write (instanceRef dcache0)) )) (net cfgsel_RNIFO4S1 (joined (portRef cfgsel_RNIFO4S1) (portRef cfgsel_RNIFO4S1 (instanceRef dcache0)) (portRef cfgsel_RNIFO4S1 (instanceRef icache0)) )) (net N_96 (joined (portRef N_96 (instanceRef dcache0)) (portRef N_96) )) (net diagrdy (joined (portRef diagrdy (instanceRef icache0)) (portRef diagrdy (instanceRef dcache0)) (portRef diagrdy) )) (net mexc_1 (joined (portRef mexc_0 (instanceRef a0)) (portRef mexc (instanceRef dcache0)) )) (net nomds_RNIOCKU6 (joined (portRef nomds_RNIOCKU6 (instanceRef dcache0)) (portRef nomds_RNIOCKU6) )) (net N_2682 (joined (portRef N_2682 (instanceRef dcache0)) (portRef N_2682) )) (net u0_DOUTA3 (joined (portRef u0_DOUTA3) (portRef u0_DOUTA3 (instanceRef dcache0)) )) (net u0_DOUTA1_1 (joined (portRef u0_DOUTA1_1) (portRef u0_DOUTA1_1 (instanceRef dcache0)) )) (net dsuen (joined (portRef dsuen) (portRef dsuen (instanceRef dcache0)) )) (net read_1 (joined (portRef read_3 (instanceRef dcache0)) (portRef read (instanceRef a0)) )) (net grant_0 (joined (portRef grant_0 (instanceRef a0)) (portRef grant (instanceRef dcache0)) )) (net N_2747 (joined (portRef N_2747 (instanceRef dcache0)) (portRef N_2747) )) (net un85_nbo (joined (portRef un85_nbo (instanceRef a0)) (portRef un85_nbo (instanceRef dcache0)) )) (net u0_RD11 (joined (portRef u0_RD11) (portRef u0_RD11 (instanceRef dcache0)) )) (net u0_RD17 (joined (portRef u0_RD17) (portRef u0_RD17 (instanceRef dcache0)) )) (net u0_RD12 (joined (portRef u0_RD12) (portRef u0_RD12 (instanceRef dcache0)) )) (net u0_RD13 (joined (portRef u0_RD13) (portRef u0_RD13 (instanceRef dcache0)) )) (net u0_RD0 (joined (portRef u0_RD0) (portRef u0_RD0 (instanceRef dcache0)) )) (net u0_DOUTA3_0 (joined (portRef u0_DOUTA3_0) (portRef u0_DOUTA3_0 (instanceRef dcache0)) )) (net mexc_1_0_2_tz (joined (portRef mexc_1_0_2_tz (instanceRef dcache0)) (portRef mexc_1_0_2_tz) )) (net un1_addout (joined (portRef un1_addout) (portRef un1_addout (instanceRef dcache0)) )) (net un16_casaen_0_2 (joined (portRef un16_casaen_0_2) (portRef un16_casaen_0_2 (instanceRef dcache0)) )) (net casa_RNI55N3OP1 (joined (portRef casa_RNI55N3OP1) (portRef casa_RNI55N3OP1 (instanceRef dcache0)) )) (net me_nullify2_1_b0_m3_0_a2_1 (joined (portRef me_nullify2_1_b0_m3_0_a2_1) (portRef me_nullify2_1_b0_m3_0_a2_1 (instanceRef dcache0)) )) (net un6_annul_1 (joined (portRef un6_annul_1) (portRef un6_annul_1 (instanceRef dcache0)) )) (net mexc_1_m6_i_a4_0 (joined (portRef mexc_1_m6_i_a4_0) (portRef mexc_1_m6_i_a4_0 (instanceRef dcache0)) )) (net mexc_1_0_2_0_1 (joined (portRef mexc_1_0_2_0_1 (instanceRef dcache0)) (portRef mexc_1_0_2_0_1) )) (net N_2449 (joined (portRef N_2449 (instanceRef dcache0)) (portRef N_2449) )) (net nullify_1_sqmuxa_2_2 (joined (portRef nullify_1_sqmuxa_2_2) (portRef nullify_1_sqmuxa_2_2 (instanceRef dcache0)) )) (net annul_1 (joined (portRef annul_1) (portRef annul_1 (instanceRef dcache0)) (portRef annul_1 (instanceRef icache0)) )) (net nullify2_0_sqmuxa_i_0 (joined (portRef nullify2_0_sqmuxa_i_0) (portRef nullify2_0_sqmuxa_i_0 (instanceRef dcache0)) )) (net u0_DOUTA0_13 (joined (portRef u0_DOUTA0_13) (portRef u0_DOUTA0_13 (instanceRef dcache0)) (portRef u0_DOUTA0_13 (instanceRef icache0)) )) (net u0_DOUTA2_13 (joined (portRef u0_DOUTA2_13) (portRef u0_DOUTA2_13 (instanceRef dcache0)) (portRef u0_DOUTA2_13 (instanceRef icache0)) )) (net eenaddr (joined (portRef eenaddr) (portRef eenaddr (instanceRef dcache0)) )) (net read_RNILMNHG1 (joined (portRef read_RNILMNHG1 (instanceRef dcache0)) (portRef read_RNILMNHG1) )) (net read_RNIGQ6ND1 (joined (portRef read_RNIGQ6ND1 (instanceRef dcache0)) (portRef read_RNIGQ6ND1) )) (net u0_DOUTA3_13 (joined (portRef u0_DOUTA3_13) (portRef u0_DOUTA3_13 (instanceRef dcache0)) (portRef u0_DOUTA3_13 (instanceRef icache0)) )) (net u0_DOUTA1_13 (joined (portRef u0_DOUTA1_13) (portRef u0_DOUTA1_13 (instanceRef dcache0)) (portRef u0_DOUTA1_13 (instanceRef icache0)) )) (net read_RNIV144H1 (joined (portRef read_RNIV144H1 (instanceRef dcache0)) (portRef read_RNIV144H1) )) (net u0_RD2_0 (joined (portRef u0_RD2_0) (portRef u0_RD2_0 (instanceRef dcache0)) )) (net u0_DOUTA2_12 (joined (portRef u0_DOUTA2_12) (portRef u0_DOUTA2_12 (instanceRef dcache0)) (portRef u0_DOUTA2_12 (instanceRef icache0)) )) (net un1_addout_25_14 (joined (portRef un1_addout_25_14) (portRef un1_addout_25_14 (instanceRef dcache0)) )) (net mexc_1_0_3_a1_1 (joined (portRef mexc_1_0_3_a1_1 (instanceRef dcache0)) (portRef mexc_1_0_3_a1_1) )) (net un16_casaen_0_1 (joined (portRef un16_casaen_0_1) (portRef un16_casaen_0_1 (instanceRef dcache0)) (portRef un16_casaen_0_1 (instanceRef icache0)) )) (net un1_addout_28 (joined (portRef un1_addout_28 (instanceRef dcache0)) (portRef un1_addout_28) )) (net N_2748 (joined (portRef N_2748 (instanceRef dcache0)) (portRef N_2748) )) (net N_2307 (joined (portRef N_2307) (portRef N_2307 (instanceRef dcache0)) )) (net N_2766 (joined (portRef N_2766 (instanceRef dcache0)) (portRef N_2766) )) (net N_2698 (joined (portRef N_2698 (instanceRef dcache0)) (portRef N_2698) )) (net N_2745 (joined (portRef N_2745 (instanceRef dcache0)) (portRef N_2745) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef newptag_sn_m2_i_o2_0_m3 (instanceRef dcache0)) (portRef newptag_sn_m2_i_o2_0_m3 (instanceRef icache0)) (portRef newptag_sn_m2_i_o2_0_m3) )) (net trap_0_4 (joined (portRef trap_0_4) (portRef trap_0_4 (instanceRef dcache0)) )) (net trap_a0_0 (joined (portRef trap_a0_0) (portRef trap_a0_0 (instanceRef dcache0)) )) (net hready_RNICLR2 (joined (portRef hready_RNICLR2) (portRef hready_RNICLR2 (instanceRef dcache0)) )) (net flush_0_RNI2N6NQR1 (joined (portRef flush_0_RNI2N6NQR1 (instanceRef dcache0)) (portRef flush_0_RNI2N6NQR1) )) (net me_size_1_1_m9_0_a2_0 (joined (portRef me_size_1_1_m9_0_a2_0) (portRef me_size_1_1_m9_0_a2_0 (instanceRef dcache0)) )) (net hready_1_sqmuxa (joined (portRef hready_1_sqmuxa) (portRef hready_1_sqmuxa (instanceRef dcache0)) )) (net N_2802 (joined (portRef N_2802) (portRef N_2802_0 (instanceRef dcache0)) )) (net u0_RD10 (joined (portRef u0_RD10) (portRef u0_RD10 (instanceRef dcache0)) )) (net u0_RD5 (joined (portRef u0_RD5) (portRef u0_RD5 (instanceRef dcache0)) )) (net u0_RD9 (joined (portRef u0_RD9) (portRef u0_RD9 (instanceRef dcache0)) )) (net u0_RD14 (joined (portRef u0_RD14) (portRef u0_RD14 (instanceRef dcache0)) )) (net u0_RD1 (joined (portRef u0_RD1) (portRef u0_RD1 (instanceRef dcache0)) )) (net u0_RD3 (joined (portRef u0_RD3) (portRef u0_RD3 (instanceRef dcache0)) )) (net N_184_i_0 (joined (portRef N_184_i_0 (instanceRef dcache0)) (portRef N_184_i_0) )) (net me_size_1_1_m9_0_a2_3 (joined (portRef me_size_1_1_m9_0_a2_3) (portRef me_size_1_1_m9_0_a2_3 (instanceRef dcache0)) )) (net un5_trap (joined (portRef un5_trap) (portRef un5_trap (instanceRef dcache0)) )) (net holdn (joined (portRef holdn (instanceRef icache0)) (portRef holdn (instanceRef dcache0)) (portRef holdn) )) (net trap_0_sqmuxa_7_1_0 (joined (portRef trap_0_sqmuxa_7_1_0) (portRef trap_0_sqmuxa_7_1_0 (instanceRef dcache0)) )) (net u0_DOUTA3_12 (joined (portRef u0_DOUTA3_12) (portRef u0_DOUTA3_12 (instanceRef dcache0)) (portRef u0_DOUTA3_12 (instanceRef icache0)) )) (net u0_DOUTA1_5 (joined (portRef u0_DOUTA1_5) (portRef u0_DOUTA1_5 (instanceRef dcache0)) )) (net u0_DOUTA3_4 (joined (portRef u0_DOUTA3_4) (portRef u0_DOUTA3_4 (instanceRef dcache0)) )) (net u0_DOUTA0 (joined (portRef u0_DOUTA0) (portRef u0_DOUTA0 (instanceRef dcache0)) )) (net enaddr (joined (portRef enaddr) (portRef enaddr (instanceRef dcache0)) )) (net cache (joined (portRef cache (instanceRef a0)) (portRef cache (instanceRef dcache0)) )) (net u0_DOUTA1_0 (joined (portRef u0_DOUTA1_0) (portRef u0_DOUTA1_0 (instanceRef dcache0)) )) (net u0_DOUTA2_4 (joined (portRef u0_DOUTA2_4) (portRef u0_DOUTA2_4 (instanceRef dcache0)) )) (net u0_DOUTA2_5 (joined (portRef u0_DOUTA2_5) (portRef u0_DOUTA2_5 (instanceRef dcache0)) )) (net u0_DOUTA1 (joined (portRef u0_DOUTA1) (portRef u0_DOUTA1 (instanceRef dcache0)) )) (net u0_DOUTA0_6 (joined (portRef u0_DOUTA0_6) (portRef u0_DOUTA0_6 (instanceRef dcache0)) )) (net u0_DOUTA2_6 (joined (portRef u0_DOUTA2_6) (portRef u0_DOUTA2_6 (instanceRef dcache0)) )) (net u0_DOUTA3_6 (joined (portRef u0_DOUTA3_6) (portRef u0_DOUTA3_6 (instanceRef dcache0)) )) (net u0_DOUTA0_5 (joined (portRef u0_DOUTA0_5) (portRef u0_DOUTA0_5 (instanceRef dcache0)) )) (net u0_DOUTA3_5 (joined (portRef u0_DOUTA3_5) (portRef u0_DOUTA3_5 (instanceRef dcache0)) )) (net u0_DOUTA0_4 (joined (portRef u0_DOUTA0_4) (portRef u0_DOUTA0_4 (instanceRef dcache0)) )) (net u0_DOUTA1_4 (joined (portRef u0_DOUTA1_4) (portRef u0_DOUTA1_4 (instanceRef dcache0)) )) (net cfgsel_RNILM2N1 (joined (portRef cfgsel_RNILM2N1) (portRef cfgsel_RNILM2N1 (instanceRef dcache0)) (portRef cfgsel_RNILM2N1 (instanceRef icache0)) )) (net u0_DOUTA0_3 (joined (portRef u0_DOUTA0_3) (portRef u0_DOUTA0_3 (instanceRef dcache0)) )) (net u0_DOUTA1_3 (joined (portRef u0_DOUTA1_3) (portRef u0_DOUTA1_3 (instanceRef dcache0)) )) (net u0_DOUTA3_3 (joined (portRef u0_DOUTA3_3) (portRef u0_DOUTA3_3 (instanceRef dcache0)) )) (net u0_DOUTA0_0 (joined (portRef u0_DOUTA0_0) (portRef u0_DOUTA0_0 (instanceRef dcache0)) )) (net u0_DOUTA2_0 (joined (portRef u0_DOUTA2_0) (portRef u0_DOUTA2_0 (instanceRef dcache0)) )) (net u0_DOUTA0_1 (joined (portRef u0_DOUTA0_1) (portRef u0_DOUTA0_1 (instanceRef dcache0)) )) (net u0_DOUTA3_2 (joined (portRef u0_DOUTA3_2) (portRef u0_DOUTA3_2 (instanceRef dcache0)) )) (net u0_DOUTA0_2 (joined (portRef u0_DOUTA0_2) (portRef u0_DOUTA0_2 (instanceRef dcache0)) )) (net u0_DOUTA3_1 (joined (portRef u0_DOUTA3_1) (portRef u0_DOUTA3_1 (instanceRef dcache0)) )) (net u0_DOUTA1_2 (joined (portRef u0_DOUTA1_2) (portRef u0_DOUTA1_2 (instanceRef dcache0)) )) (net u0_DOUTA2_2 (joined (portRef u0_DOUTA2_2) (portRef u0_DOUTA2_2 (instanceRef dcache0)) )) (net un1_addout_25_13 (joined (portRef un1_addout_25_13) (portRef un1_addout_25_13 (instanceRef dcache0)) )) (net un1_addout_27 (joined (portRef un1_addout_27) (portRef un1_addout_27 (instanceRef dcache0)) (portRef un1_addout_27 (instanceRef icache0)) )) (net un1_addout_28_10 (joined (portRef un1_addout_28_10) (portRef un1_addout_28_10 (instanceRef dcache0)) (portRef un1_addout_28_10 (instanceRef icache0)) )) (net lock (joined (portRef lock_0 (instanceRef dcache0)) (portRef lock (instanceRef a0)) )) (net req_0 (joined (portRef req (instanceRef dcache0)) (portRef req (instanceRef a0)) )) (net N_2565 (joined (portRef N_2565 (instanceRef dcache0)) (portRef N_2565) )) (net un16_casaen_0_0 (joined (portRef un16_casaen_0_0) (portRef un16_casaen_0_0 (instanceRef dcache0)) (portRef un16_casaen_0_0 (instanceRef icache0)) )) (net lock_0 (joined (portRef lock_0) (portRef lock (instanceRef dcache0)) )) (net dsuen_0 (joined (portRef dsuen_0) (portRef dsuen_0 (instanceRef dcache0)) )) (net flush_0 (joined (portRef flush_0) (portRef flush_0 (instanceRef dcache0)) (portRef flush (instanceRef icache0)) )) (net intack (joined (portRef intack) (portRef intack (instanceRef dcache0)) )) (net newptag_2_a2_0_31_m1_e_0 (joined (portRef newptag_2_a2_0_31_m1_e_0 (instanceRef dcache0)) (portRef newptag_2_a2_0_31_m1_e_0) )) (net newptag_2_a2_0_28_m1_e_0 (joined (portRef newptag_2_a2_0_28_m1_e_0 (instanceRef dcache0)) (portRef newptag_2_a2_0_28_m1_e_0) )) (net rst (joined (portRef rst) (portRef rst (instanceRef a0)) (portRef rst (instanceRef dcache0)) (portRef rst (instanceRef icache0)) )) (net N_2542 (joined (portRef N_2542 (instanceRef dcache0)) (portRef N_2542) )) (net nullify (joined (portRef nullify) (portRef nullify (instanceRef dcache0)) )) (net N_2868 (joined (portRef N_2868 (instanceRef dcache0)) (portRef N_2868) )) (net u0_DOUTA2_1 (joined (portRef u0_DOUTA2_1) (portRef u0_DOUTA2_1 (instanceRef dcache0)) )) (net N_73_0 (joined (portRef N_73_0 (instanceRef dcache0)) (portRef N_73_0) )) (net nomds (joined (portRef nomds (instanceRef dcache0)) (portRef nomds) )) (net N_2541 (joined (portRef N_2541 (instanceRef dcache0)) (portRef N_2541) )) (net hold_0 (joined (portRef hold (instanceRef dcache0)) (portRef hold (instanceRef icache0)) (portRef hold_0) )) (net stpend (joined (portRef stpend (instanceRef dcache0)) (portRef stpend) )) (net ready_0 (joined (portRef ready_0 (instanceRef a0)) (portRef ready (instanceRef dcache0)) )) (net read_2 (joined (portRef read_2) (portRef read (instanceRef dcache0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef a0)) (portRef ramclk (instanceRef dcache0)) (portRef ramclk (instanceRef icache0)) )) (net (rename bo_RNITUD75_1 "bo_RNITUD75[1]") (joined (portRef (member bo_rnitud75 0) (instanceRef a0)) (portRef (member bo_rnitud75 0)) )) (net (rename bo_RNIV2E75_1 "bo_RNIV2E75[1]") (joined (portRef (member bo_rniv2e75 0) (instanceRef a0)) (portRef (member bo_rniv2e75 0)) )) (net (rename bo_RNI17E75_1 "bo_RNI17E75[1]") (joined (portRef (member bo_rni17e75 0) (instanceRef a0)) (portRef (member bo_rni17e75 0)) )) (net (rename bo_RNI5FE75_1 "bo_RNI5FE75[1]") (joined (portRef (member bo_rni5fe75 0) (instanceRef a0)) (portRef (member bo_rni5fe75 0)) )) (net (rename hsize_5_1 "hsize_5[1]") (joined (portRef (member hsize_5 0) (instanceRef a0)) (portRef (member hsize_5 0)) )) (net (rename size_0_d0 "size[0]") (joined (portRef (member size_2 1) (instanceRef dcache0)) (portRef (member size 1) (instanceRef a0)) (portRef size_0_d0) )) (net (rename iosn_93 "iosn[93]") (joined (portRef (member iosn 0)) (portRef (member iosn 0) (instanceRef a0)) )) (net (rename hresp_0 "hresp[0]") (joined (portRef (member hresp 0)) (portRef (member hresp 0) (instanceRef a0)) )) (net (rename hwdata_0 "hwdata[0]") (joined (portRef (member hwdata 31) (instanceRef a0)) (portRef (member hwdata 31)) )) (net (rename hwdata_1 "hwdata[1]") (joined (portRef (member hwdata 30) (instanceRef a0)) (portRef (member hwdata 30)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef (member hwdata 29) (instanceRef a0)) (portRef (member hwdata 29)) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef (member hwdata 28) (instanceRef a0)) (portRef (member hwdata 28)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef (member hwdata 27) (instanceRef a0)) (portRef (member hwdata 27)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef (member hwdata 26) (instanceRef a0)) (portRef (member hwdata 26)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef (member hwdata 25) (instanceRef a0)) (portRef (member hwdata 25)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef (member hwdata 24) (instanceRef a0)) (portRef (member hwdata 24)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef (member hwdata 23) (instanceRef a0)) (portRef (member hwdata 23)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef (member hwdata 22) (instanceRef a0)) (portRef (member hwdata 22)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef (member hwdata 21) (instanceRef a0)) (portRef (member hwdata 21)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef (member hwdata 20) (instanceRef a0)) (portRef (member hwdata 20)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef (member hwdata 19) (instanceRef a0)) (portRef (member hwdata 19)) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef (member hwdata 18) (instanceRef a0)) (portRef (member hwdata 18)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef (member hwdata 17) (instanceRef a0)) (portRef (member hwdata 17)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef (member hwdata 16) (instanceRef a0)) (portRef (member hwdata 16)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef (member hwdata 15) (instanceRef a0)) (portRef (member hwdata 15)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef (member hwdata 14) (instanceRef a0)) (portRef (member hwdata 14)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef (member hwdata 13) (instanceRef a0)) (portRef (member hwdata 13)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef (member hwdata 12) (instanceRef a0)) (portRef (member hwdata 12)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef (member hwdata 11) (instanceRef a0)) (portRef (member hwdata 11)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef (member hwdata 10) (instanceRef a0)) (portRef (member hwdata 10)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef (member hwdata 9) (instanceRef a0)) (portRef (member hwdata 9)) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef (member hwdata 8) (instanceRef a0)) (portRef (member hwdata 8)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef (member hwdata 7) (instanceRef a0)) (portRef (member hwdata 7)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef (member hwdata 6) (instanceRef a0)) (portRef (member hwdata 6)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef (member hwdata 5) (instanceRef a0)) (portRef (member hwdata 5)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef (member hwdata 4) (instanceRef a0)) (portRef (member hwdata 4)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef (member hwdata 3) (instanceRef a0)) (portRef (member hwdata 3)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef (member hwdata 2) (instanceRef a0)) (portRef (member hwdata 2)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef (member hwdata 1) (instanceRef a0)) (portRef (member hwdata 1)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef (member hwdata 0) (instanceRef a0)) (portRef (member hwdata 0)) )) (net (rename haddr_3 "haddr[3]") (joined (portRef haddr_3 (instanceRef a0)) (portRef haddr_3) )) (net (rename haddr_4 "haddr[4]") (joined (portRef haddr_4 (instanceRef a0)) (portRef haddr_4) )) (net (rename haddr_5 "haddr[5]") (joined (portRef haddr_5 (instanceRef a0)) (portRef haddr_5) )) (net (rename haddr_7 "haddr[7]") (joined (portRef haddr_7 (instanceRef a0)) (portRef haddr_7) )) (net (rename haddr_8 "haddr[8]") (joined (portRef haddr_8 (instanceRef a0)) (portRef haddr_8) )) (net (rename haddr_9 "haddr[9]") (joined (portRef haddr_9 (instanceRef a0)) (portRef haddr_9) )) (net (rename haddr_10 "haddr[10]") (joined (portRef haddr_10 (instanceRef a0)) (portRef haddr_10) )) (net (rename haddr_11 "haddr[11]") (joined (portRef haddr_11 (instanceRef a0)) (portRef haddr_11) )) (net (rename haddr_12 "haddr[12]") (joined (portRef haddr_12 (instanceRef a0)) (portRef haddr_12) )) (net (rename haddr_13 "haddr[13]") (joined (portRef haddr_13 (instanceRef a0)) (portRef haddr_13) )) (net (rename haddr_14 "haddr[14]") (joined (portRef haddr_14 (instanceRef a0)) (portRef haddr_14) )) (net (rename haddr_15 "haddr[15]") (joined (portRef haddr_15 (instanceRef a0)) (portRef haddr_15) )) (net (rename haddr_16 "haddr[16]") (joined (portRef haddr_16 (instanceRef a0)) (portRef haddr_16) )) (net (rename haddr_17 "haddr[17]") (joined (portRef haddr_17 (instanceRef a0)) (portRef haddr_17) )) (net (rename haddr_18 "haddr[18]") (joined (portRef haddr_18 (instanceRef a0)) (portRef haddr_18) )) (net (rename haddr_19 "haddr[19]") (joined (portRef haddr_19 (instanceRef a0)) (portRef haddr_19) )) (net (rename haddr_20 "haddr[20]") (joined (portRef haddr_20 (instanceRef a0)) (portRef haddr_20) )) (net (rename haddr_21 "haddr[21]") (joined (portRef haddr_21 (instanceRef a0)) (portRef haddr_21) )) (net (rename haddr_22 "haddr[22]") (joined (portRef haddr_22 (instanceRef a0)) (portRef haddr_22) )) (net (rename haddr_23 "haddr[23]") (joined (portRef haddr_23 (instanceRef a0)) (portRef haddr_23) )) (net (rename haddr_24 "haddr[24]") (joined (portRef haddr_24 (instanceRef a0)) (portRef haddr_24) )) (net (rename haddr_28 "haddr[28]") (joined (portRef haddr_28 (instanceRef a0)) (portRef haddr_28) )) (net (rename haddr_6 "haddr[6]") (joined (portRef haddr_6 (instanceRef a0)) (portRef haddr_6) )) (net (rename haddr_2 "haddr[2]") (joined (portRef haddr_2 (instanceRef a0)) (portRef haddr_2) )) (net (rename haddr_30 "haddr[30]") (joined (portRef haddr_30 (instanceRef a0)) (portRef haddr_30) )) (net (rename haddr_0 "haddr[0]") (joined (portRef haddr_0 (instanceRef a0)) (portRef haddr_0) )) (net (rename haddr_1 "haddr[1]") (joined (portRef haddr_1 (instanceRef a0)) (portRef haddr_1) )) (net (rename haddr_31 "haddr[31]") (joined (portRef haddr_31 (instanceRef a0)) (portRef haddr_31) )) (net (rename hgrant_1 "hgrant[1]") (joined (portRef (member hgrant 0)) (portRef (member hgrant 0) (instanceRef a0)) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef (member iosn_0 0)) (portRef (member iosn_0 0) (instanceRef a0)) )) (net (rename htrans_1 "htrans[1]") (joined (portRef (member htrans 0) (instanceRef a0)) (portRef (member htrans 0)) )) (net werr (joined (portRef werr (instanceRef a0)) (portRef werr) )) (net un86_nbo (joined (portRef un86_nbo (instanceRef a0)) (portRef un86_nbo) )) (net hwrite (joined (portRef hwrite (instanceRef a0)) (portRef hwrite) )) (net hlock (joined (portRef hlock (instanceRef a0)) (portRef hlock) )) (net ba_RNIIJKI5_0 (joined (portRef ba_RNIIJKI5_0 (instanceRef a0)) (portRef ba_RNIIJKI5_0) )) (net lb_0_sqmuxa_1 (joined (portRef lb_0_sqmuxa_1 (instanceRef a0)) (portRef lb_0_sqmuxa_1) )) (net mexc (joined (portRef mexc (instanceRef a0)) (portRef mexc (instanceRef icache0)) (portRef mexc) )) (net N_5719_1 (joined (portRef N_5719_1 (instanceRef a0)) (portRef N_5719_1 (instanceRef dcache0)) (portRef N_5719_1) )) (net un58_nbo (joined (portRef un58_nbo (instanceRef a0)) (portRef un58_nbo) )) (net N_5515 (joined (portRef N_5515 (instanceRef a0)) (portRef N_5515) )) (net hbusreq_i_0 (joined (portRef hbusreq_i_0 (instanceRef a0)) (portRef hbusreq_i_0) )) (net ba (joined (portRef ba (instanceRef a0)) (portRef ba (instanceRef icache0)) (portRef ba) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property hindex (integer 0)) (property memtech (integer 10)) (property dsu (integer 1)) (property icen (integer 1)) (property irepl (integer 0)) (property isets (integer 1)) (property ilinesize (integer 4)) (property isetsize (integer 4)) (property isetlock (integer 0)) (property dcen (integer 1)) (property drepl (integer 0)) (property dsets (integer 1)) (property dlinesize (integer 4)) (property dsetsize (integer 4)) (property dsetlock (integer 0)) (property dsnoop (integer 0)) (property ilram (integer 0)) (property ilramsize (integer 1)) (property ilramstart (integer 142)) (property dlram (integer 0)) (property dlramsize (integer 1)) (property dlramstart (integer 143)) (property itlbnum (integer 2)) (property dtlbnum (integer 2)) (property tlb_type (integer 1)) (property tlb_rep (integer 1)) (property cached (integer 0)) (property clk2x (integer 0)) (property scantest (integer 0)) (property mmupgsz (integer 0)) (property smp (integer 0)) (property mmuen (integer 0)) ) ) (cell iu3 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename asi_1 "asi_1[4:0]") 5) (direction OUTPUT)) (port npc1_2 (direction OUTPUT)) (port npc1_5 (direction OUTPUT)) (port (array (rename rfa2 "rfa2[6:0]") 7) (direction OUTPUT)) (port (array (rename raddr2 "raddr2[6:0]") 7) (direction OUTPUT)) (port rdatav_0_1_0_iv_0_3_2 (direction INPUT)) (port rdatav_0_1_0_iv_0_3_0 (direction INPUT)) (port (array (rename rdatav_0_1_1_iv_3 "rdatav_0_1_1_iv_3[21:21]") 1) (direction INPUT)) (port rdatav_0_1_0_iv_0_2_0 (direction INPUT)) (port rdatav_0_1_0_iv_0_2_7 (direction INPUT)) (port (array (rename raddr1 "raddr1[6:0]") 7) (direction OUTPUT)) (port size_0_0 (direction OUTPUT)) (port rdatav_0_1_0_iv_3_0 (direction INPUT)) (port rdatav_0_1_0_iv_3_3 (direction INPUT)) (port rdatav_0_1_0_iv_3_16 (direction INPUT)) (port rdatav_0_1_0_iv_3_15 (direction INPUT)) (port rpc_3 (direction OUTPUT)) (port rpc_4 (direction OUTPUT)) (port rpc_7 (direction OUTPUT)) (port rpc_8 (direction OUTPUT)) (port rpc_1 (direction OUTPUT)) (port rpc_9 (direction OUTPUT)) (port rpc_0 (direction OUTPUT)) (port rpc_6 (direction OUTPUT)) (port (array (rename rdatav_0_1_0_iv_2 "rdatav_0_1_0_iv_2[30:29]") 2) (direction INPUT)) (port data_0_2_19 (direction INPUT)) (port data_0_2_0 (direction INPUT)) (port data_0_2_4 (direction INPUT)) (port data_0_2_5 (direction INPUT)) (port data_0_2_6 (direction INPUT)) (port data_0_2_8 (direction INPUT)) (port data_0_2_9 (direction INPUT)) (port data_0_2_10 (direction INPUT)) (port data_0_2_12 (direction INPUT)) (port data_0_2_13 (direction INPUT)) (port data_0_2_17 (direction INPUT)) (port data_0_2_21 (direction INPUT)) (port data_0_2_23 (direction INPUT)) (port data_0_2_24 (direction INPUT)) (port data_0_2_25 (direction INPUT)) (port data_0_2_30 (direction INPUT)) (port data_0_2_22 (direction INPUT)) (port data_0_2_26 (direction INPUT)) (port data_0_2_7 (direction INPUT)) (port ico_m_0 (direction INPUT)) (port ico_m_3 (direction INPUT)) (port ico_m_16 (direction INPUT)) (port ico_m_15 (direction INPUT)) (port ico_m_17 (direction INPUT)) (port ico_m_29 (direction INPUT)) (port ico_m_30 (direction INPUT)) (port ico_m_28 (direction INPUT)) (port rdatav_0_1_1_iv_4_0 (direction INPUT)) (port rdatav_0_1_1_iv_4_11 (direction INPUT)) (port (array (rename data2 "data2[31:0]") 32) (direction INPUT)) (port (array (rename newptag_2_a2_3_a0_1 "newptag_2_a2_3_a0_1[22:22]") 1) (direction OUTPUT)) (port (array (rename dstate_0 "dstate_0[4:4]") 1) (direction INPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction OUTPUT)) (port npc0_i_5 (direction OUTPUT)) (port npc0_i_2 (direction OUTPUT)) (port (array (rename waddr "waddr[6:1]") 6) (direction OUTPUT)) (port (array (rename edata2_iv "edata2_iv[31:24]") 8) (direction OUTPUT)) (port data_i_1 (direction OUTPUT)) (port data_i_11 (direction OUTPUT)) (port data_i_0 (direction OUTPUT)) (port data_i_4 (direction OUTPUT)) (port data_i_16 (direction OUTPUT)) (port data_i_2 (direction OUTPUT)) (port data_i_3 (direction OUTPUT)) (port (array (rename wdata "wdata[31:0]") 32) (direction OUTPUT)) (port (array (rename edata2_0_iv "edata2_0_iv[23:0]") 24) (direction OUTPUT)) (port data_1 (direction OUTPUT)) (port data_24 (direction OUTPUT)) (port data_5 (direction OUTPUT)) (port data_2 (direction OUTPUT)) (port data_9 (direction OUTPUT)) (port data_16 (direction OUTPUT)) (port data_4 (direction OUTPUT)) (port data_0_d0 (direction OUTPUT)) (port data_20 (direction OUTPUT)) (port data_7 (direction OUTPUT)) (port data_22 (direction OUTPUT)) (port data_18 (direction OUTPUT)) (port data_15 (direction OUTPUT)) (port data_21 (direction OUTPUT)) (port data_17 (direction OUTPUT)) (port data_13 (direction OUTPUT)) (port data_3 (direction OUTPUT)) (port (array (rename newptag_2_a2_3_1_tz_i "newptag_2_a2_3_1_tz_i[22:22]") 1) (direction OUTPUT)) (port (array (rename irl_0 "irl_0[3:0]") 4) (direction INOUT)) (port size_1 (direction OUTPUT)) (port (array (rename irl "irl[3:0]") 4) (direction INOUT)) (port data_0_iv_4_24 (direction OUTPUT)) (port data_0_iv_4_21 (direction OUTPUT)) (port data_0_iv_4_17 (direction OUTPUT)) (port data_0_iv_4_23 (direction OUTPUT)) (port data_0_iv_2_17 (direction OUTPUT)) (port data_0_iv_2_19 (direction OUTPUT)) (port data_0_iv_2_13 (direction OUTPUT)) (port data_0_iv_2_15 (direction OUTPUT)) (port data_0_iv_3_30 (direction OUTPUT)) (port data_0_iv_3_27 (direction OUTPUT)) (port data_0_iv_3_23 (direction OUTPUT)) (port data_0_iv_3_16 (direction OUTPUT)) (port data_0_iv_3_29 (direction OUTPUT)) (port data_0_iv_3_18 (direction OUTPUT)) (port data_0_iv_3_12 (direction OUTPUT)) (port data_0_iv_3_14 (direction OUTPUT)) (port (array (rename rstate_0_rnivpn36 "rstate_0_RNIVPN36[1:1]") 1) (direction OUTPUT)) (port data_0_23 (direction INPUT)) (port data_0_1 (direction INPUT)) (port data_0_31 (direction INPUT)) (port data_0_5 (direction INPUT)) (port data_0_26 (direction INPUT)) (port data_0_27 (direction INPUT)) (port data_0_0_0 (direction INPUT)) (port data_0_0_3 (direction INPUT)) (port data_0_0_4 (direction INPUT)) (port data_0_0_12 (direction INPUT)) (port data_0_0_15 (direction INPUT)) (port data_0_0_16 (direction INPUT)) (port data_0_0_19 (direction INPUT)) (port data_0_0_20 (direction INPUT)) (port data_0_0_21 (direction INPUT)) (port data_0_0_28 (direction INPUT)) (port data_0_0_30 (direction INPUT)) (port data_0_0_2 (direction INPUT)) (port data_0_0_29 (direction INPUT)) (port data_0_0_17 (direction INPUT)) (port data_0_0_22 (direction INPUT)) (port data_0_0_7 (direction INPUT)) (port data_0_0_18 (direction INPUT)) (port data_0_0_14 (direction INPUT)) (port data_0_0_6 (direction INPUT)) (port data_0_0_13 (direction INPUT)) (port data_0_0_10 (direction INPUT)) (port data_0_0_8 (direction INPUT)) (port data_0_0_24 (direction INPUT)) (port data_0_0_11 (direction INPUT)) (port data_0_0_9 (direction INPUT)) (port data_0_0_25 (direction INPUT)) (port (array (rename maddress "maddress[31:0]") 32) (direction OUTPUT)) (port (array (rename data1 "data1[31:0]") 32) (direction INPUT)) (port (array (rename ddata "ddata[31:0]") 32) (direction INPUT)) (port eaddress_2 (direction OUTPUT)) (port eaddress_3 (direction OUTPUT)) (port eaddress_5 (direction OUTPUT)) (port eaddress_11 (direction OUTPUT)) (port eaddress_9 (direction OUTPUT)) (port eaddress_31 (direction OUTPUT)) (port eaddress_16 (direction OUTPUT)) (port eaddress_8 (direction OUTPUT)) (port eaddress_6 (direction OUTPUT)) (port eaddress_7 (direction OUTPUT)) (port eaddress_10 (direction OUTPUT)) (port eaddress_4 (direction OUTPUT)) (port (array (rename dbgi_i_2 "dbgi_i_2[23:23]") 1) (direction OUTPUT)) (port (array (rename dbgi_i_2_i "dbgi_i_2_i[23:23]") 1) (direction OUTPUT)) (port (array (rename fpc "fpc[31:2]") 30) (direction OUTPUT)) (port (array (rename un34_hready_i_m "un34_hready_i_m[0:0]") 1) (direction INPUT)) (port (array (rename dco_i_1 "dco_i_1[132:132]") 1) (direction INPUT)) (port (array (rename rstate_li_0 "rstate_li_0[0:0]") 1) (direction OUTPUT)) (port (array (rename daddr "daddr[23:2]") 22) (direction INPUT)) (port crdy_1z (direction OUTPUT)) (port read_0 (direction OUTPUT)) (port write_1 (direction OUTPUT)) (port mexc_2 (direction INPUT)) (port werr_1 (direction INPUT)) (port intack_2 (direction OUTPUT)) (port N_2682 (direction INPUT)) (port N_2835 (direction INPUT)) (port rfe2 (direction OUTPUT)) (port lock (direction OUTPUT)) (port step_1 (direction INPUT)) (port N_2449 (direction INPUT)) (port nomds_RNIOCKU6 (direction INPUT)) (port N_2851 (direction INPUT)) (port nullify2_0_sqmuxa_i_0 (direction OUTPUT)) (port eenaddr (direction OUTPUT)) (port dsuen_1 (direction OUTPUT)) (port reset (direction INPUT)) (port un18_hold_pc (direction OUTPUT)) (port un1_addout_28 (direction INPUT)) (port nullify (direction OUTPUT)) (port casa_RNI55N3OP1 (direction OUTPUT)) (port mexc_1_0_2_0_1 (direction INPUT)) (port mexc_1_0_3_a1_1 (direction INPUT)) (port N_161 (direction OUTPUT)) (port hold (direction INPUT)) (port nomds (direction INPUT)) (port N_2541 (direction INPUT)) (port N_2565 (direction INPUT)) (port rbranch (direction OUTPUT)) (port fbranch (direction OUTPUT)) (port nullify_1_sqmuxa_2_2 (direction OUTPUT)) (port te8_1 (direction INPUT)) (port flush (direction OUTPUT)) (port te9_2 (direction INPUT)) (port rd_1_NE (direction OUTPUT)) (port dwrite (direction INPUT)) (port me_nullify2_1_b0_m3_0_a2_1 (direction OUTPUT)) (port hready_RNICLR2 (direction INPUT)) (port N_184_i_0 (direction INPUT)) (port trap_0_4 (direction OUTPUT)) (port trap_a0_0_0 (direction OUTPUT)) (port un1_addout_25_14 (direction OUTPUT)) (port un1_addout_25_13 (direction OUTPUT)) (port un1_addout_27 (direction OUTPUT)) (port un1_addout_28_10 (direction OUTPUT)) (port un1_addout (direction OUTPUT)) (port halt (direction INPUT)) (port tstop (direction OUTPUT)) (port diagrdy (direction INPUT)) (port pwd_0 (direction OUTPUT)) (port me_size_1_1_m9_0_a2_0 (direction OUTPUT)) (port ba (direction INPUT)) (port stpend (direction INPUT)) (port me_size_1_1_m9_0_a2_3 (direction OUTPUT)) (port N_5719_1 (direction INPUT)) (port bsoft (direction INPUT)) (port btrape (direction INPUT)) (port denable (direction INPUT)) (port btrapa (direction INPUT)) (port berror (direction INPUT)) (port bwatch (direction INPUT)) (port trap_0_sqmuxa_7_1_0 (direction OUTPUT)) (port un6_annul_1 (direction OUTPUT)) (port dbreak (direction INPUT)) (port annul_1_0 (direction OUTPUT)) (port un5_trap (direction OUTPUT)) (port un1_rabpmisstt_b0_i_a3_0_0 (direction OUTPUT)) (port un18_hold_pc_2 (direction OUTPUT)) (port inull (direction OUTPUT)) (port newptag_sn_m2_i_o2_0_m3 (direction INPUT)) (port mexc_1_m6_i_a4_0 (direction OUTPUT)) (port mexc_1_0_2_tz (direction INPUT)) (port N_73_0 (direction INPUT)) (port mexc (direction INPUT)) (port N_96 (direction INPUT)) (port enaddr (direction OUTPUT)) (port renable_i_1 (direction OUTPUT)) (port renable_i_1_i (direction OUTPUT)) (port wren (direction OUTPUT)) (port wren_i (direction OUTPUT)) (port ren2 (direction OUTPUT)) (port un16_casaen_0_0 (direction OUTPUT)) (port un16_casaen_0_1 (direction OUTPUT)) (port un16_casaen_0_2 (direction OUTPUT)) (port mds (direction INPUT)) (port de_hold_pc_1 (direction OUTPUT)) (port rst (direction INPUT)) (port N_148_2 (direction INPUT)) (port dsuen_0 (direction OUTPUT)) (port holdn (direction INPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename r_a_ctrl_inst_0_21 "r.a.ctrl.inst_0[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_casa_RNI8BU9_2 "r.m.casa_RNI8BU9_2") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_12 "r.d.inst_0_0_0[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_21 "r.d.inst_0_0_0[21]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_m_dci_dsuen_0 "r.m.dci.dsuen_0") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_npc_0_0 "r.x.npc_0[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_x_npc_1_1 "r.x.npc_1[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_x_npc_0_1 "r.x.npc_0[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_0 "r.a.rsel1_0[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_1 "r.a.rsel1_1[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_1 "r.a.rsel1_0[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_2 "r.a.rsel1_3[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_2 "r.a.rsel1_2[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_2 "r.a.rsel1_1[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_2 "r.a.rsel1_0[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_0 "r.e.aluop_0[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_aluop_2_1 "r.e.aluop_2[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_1 "r.e.aluop_1[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_1 "r.e.aluop_0[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_2 "r.e.aluop_0[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel2_0_0 "r.a.rsel2_0[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel2_1_1 "r.a.rsel2_1[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel2_0_1 "r.a.rsel2_0[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2 "r.e.ldbp2_2") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1 "r.e.ldbp2_1") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_0 "r.e.ldbp2_0") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_invop2_1 "r.e.invop2_1") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_invop2_0 "r.e.invop2_0") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename comb_diagread_un497_dbgunit_0 "comb.diagread.un497_dbgunit_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_mulstep_RNIDG4D_1 "r.e.mulstep_RNIDG4D_1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_ld_0 "r.x.ctrl.ld_0") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename comb_diagread_un462_dbgunit_0 "comb.diagread.un462_dbgunit_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5C23_2_31 "r.d.inst_0_RNI5C23_2[31]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_wy_RNI8E1D_0 "r.m.ctrl.wy_RNI8E1D_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_wy_RNIR5IJ_0 "r.m.ctrl.wy_RNIR5IJ_0") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_ctrl_wy_RNIR5IJ_2 "r.m.ctrl.wy_RNIR5IJ_2") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI7APK_1_0 "r.x.npc_0_RNI7APK_1[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_sari_RNIBKJO_0 "r.e.sari_RNIBKJO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance data_0_sqmuxa_0_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_mulstep_RNIDG4D "r.e.mulstep_RNIDG4D") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIRC5C_1 "r.e.jmpl_RNIRC5C_1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_alusel_RNIRC5C_0_0 "r.e.alusel_RNIRC5C_0[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI7APK_4_0 "r.x.npc_0_RNI7APK_4[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI7APK_2_0 "r.x.npc_0_RNI7APK_2[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIBNOH_0_2 "r.e.aluop_0_RNIBNOH_0[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIBGR61_0_0 "r.e.aluop_0_RNIBGR61_0[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_cnt_RNIANRH2_0_0 "r.e.ctrl.cnt_RNIANRH2_0[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_shleft_1 "r.e.shleft_1") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_shleft_0 "r.e.shleft_0") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI4QSA1_0_1 "r.e.aluop_RNI4QSA1_0[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICIRC2_1_0 "r.x.rstate_RNICIRC2_1[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance data_0_sqmuxa_2_0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance data_3_sqmuxa_1_0_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance data_4_sqmuxa_1_0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI9GSU1_0 "r.m.dci.enaddr_RNI9GSU1_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIFVH51_1 "r.x.rstate_0_RNIFVH51[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_casa_RNINSBP_0 "r.m.casa_RNINSBP_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNITN6O_2 "r.e.jmpl_RNITN6O_2") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICIRC2_4_0 "r.x.rstate_RNICIRC2_4[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICIRC2_8_0 "r.x.rstate_RNICIRC2_8[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNITN6O_0 "r.e.jmpl_RNITN6O_0") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNIJQ3D3_21 "r.a.ctrl.inst_0_RNIJQ3D3[21]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIAOP33_0 "r.x.rstate_RNIAOP33[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_bp_RNIQ1ES3 "r.e.bp_RNIQ1ES3") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_bp_RNIQD984 "r.a.bp_RNIQD984") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNIEU1V3 "r.e.ctrl.annul_RNIEU1V3") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNITUN8S_0 "r.x.npc_0_RNITUN8S[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI589AU_0_0 "r.x.rstate_RNI589AU_0[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIOFNVR_0 "r.x.rstate_RNIOFNVR[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_wy_RNIMUT1S_0 "r.x.ctrl.wy_RNIMUT1S_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_wy_RNIJQ6TU_0 "r.x.ctrl.wy_RNIJQ6TU_0") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIKL40S_0 "r.x.rstate_RNIKL40S[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIA4O511_0_1 "r.x.rstate_0_RNIA4O511_0[1]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNILB7SN_0_1 "r.x.rstate_0_RNILB7SN_0[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNISO30Q2_0_1 "r.x.rstate_0_RNISO30Q2_0[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIEGD942_0 "comb.lock_gen.ldlock2_1_RNIEGD942_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIHMB973_0 "comb.lock_gen.ldlock2_1_RNIHMB973_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_bp_RNIHMB973_0 "r.a.bp_RNIHMB973_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIT7HJG3_0_1 "r.x.rstate_0_RNIT7HJG3_0[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI3CI763_0_1 "r.x.rstate_0_RNI3CI763_0[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_bp_RNIN82133 "r.e.bp_RNIN82133") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI31F9_0_0 "r.x.rstate_RNI31F9_0[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI31F9_0 "r.x.rstate_RNI31F9[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_1 "r.x.rstate_0[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIEO45_1 "r.x.rstate_0_RNIEO45[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIEO45_1_1 "r.x.rstate_0_RNIEO45_1[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI5H3O1_1_21 "r.a.ctrl.inst_RNI5H3O1_1[21]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_mexc_1_sqmuxa_i_0 "r.x.mexc_1_sqmuxa_i_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI9NOH_0 "r.e.jmpl_RNI9NOH_0") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld_RNIHTKJ3_0 "r.m.ctrl.ld_RNIHTKJ3_0") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance pc_1_sqmuxa_0 (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance data_5_sqmuxa_0_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNILHN3_1 "r.e.aluop_0_RNILHN3[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_casa_RNI8BU9_3 "r.m.casa_RNI8BU9_3") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_m_casa_RNI8BU9 "r.m.casa_RNI8BU9") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_m_casa_RNI8BU9_0 "r.m.casa_RNI8BU9_0") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI17D01_0_0 "r.x.rstate_RNI17D01_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNISM6M_1 "r.e.shcnt_RNISM6M[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIUQ6M_2 "r.e.shcnt_RNIUQ6M[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI0V6M_3 "r.e.shcnt_RNI0V6M[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI237M_4 "r.e.shcnt_RNI237M[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIA5SD2_0_23 "r.e.ctrl.inst_RNIA5SD2_0[23]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIRSOT_1 "r.e.aluop_0_RNIRSOT[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_m_y_RNI10TB6_0 "r.m.y_RNI10TB6[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIRU2I22_0 "comb.lock_gen.ldlock2_1_RNIRU2I22_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNILQ5_2 "r.a.rsel2_RNILQ5[2]") (viewRef prim (cellRef CLKINT (libraryRef PA3))) ) (instance (rename r_e_ldbp1_RNIMV81 "r.e.ldbp1_RNIMV81") (viewRef prim (cellRef CLKINT (libraryRef PA3))) ) (instance un68_ra_I_15 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un46_ra_I_15 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un9_ra_I_15 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un28_ra_I_15 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_8 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_19 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_51 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_72 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_8 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_19 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_51 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_72 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_8 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_19 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_51 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_72 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename r_a_rfe2_RNO "r.a.rfe2_RNO") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_x_ctrl_wreg_RNIS7EBQ1_0 "r.x.ctrl.wreg_RNIS7EBQ1_0") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_x_nerror_RNIC6T1 "r.x.nerror_RNIC6T1") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_a_rfe1_RNIV8FA61_0 "r.a.rfe1_RNIV8FA61_0") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI6KJ491 "r.e.ldbp2_1_RNI6KJ491") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNI64M4_4 "r.f.pc_RNI64M4[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_20 "r.x.data_0_RNO_3[20]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I250_Y_0_o3 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I26_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I22_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I30_P0N (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I30_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I12_G0N (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I126_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I126_un1_Y") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I38_Y "comb.branch_address.tmp_ADD_30x30_fast_I38_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I38_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I38_un1_Y") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I24_P0N "comb.branch_address.tmp_ADD_30x30_fast_I24_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I24_G0N "comb.branch_address.tmp_ADD_30x30_fast_I24_G0N") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I23_G0N "comb.branch_address.tmp_ADD_30x30_fast_I23_G0N") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I67_Y "comb.branch_address.tmp_ADD_30x30_fast_I67_Y") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I122_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I122_un1_Y") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I86_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I86_un1_Y") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I222_Y "comb.branch_address.tmp_ADD_30x30_fast_I222_Y") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I70_Y "comb.branch_address.tmp_ADD_30x30_fast_I70_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I126_Y "comb.branch_address.tmp_ADD_30x30_fast_I126_Y") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIT6NF_0_11 "r.e.op1_RNIT6NF_0[11]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_27 "r.f.pc_RNO_12[27]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_16_28 "r.f.pc_RNO_16[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI0JNF_0_14 "r.e.op1_RNI0JNF_0[14]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_28 "r.f.pc_RNO_15[28]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI1NNF_0_15 "r.e.op1_RNI1NNF_0[15]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_op_find_un1_r_e_ctrl_rd_1_3_0 "comb.op_find.un1_r.e.ctrl.rd_1_3_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNID5ORO_0 "r.e.jmpl_RNID5ORO_0") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI7GDG3_5 "r.x.result_RNI7GDG3[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIVENF_0_13 "r.e.op1_RNIVENF_0[13]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIUANF_0_12 "r.e.op1_RNIUANF_0[12]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_13 "r.f.pc_RNO_13[13]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_logic_op_y_iv_RNO_21 "comb.logic_op.y_iv_RNO[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIRH17_6 "r.x.data_0_RNIRH17[6]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename rfo_m_6 "rfo_m[6]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI4ODJ3_9 "r.x.result_RNI4ODJ3[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I316_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_alu_op_aop2_i_o2_24 "comb.alu_op.aop2_i_o2[24]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I312_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I250_Y_0_o3 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I7_P0N (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I7_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I250_Y_0_o3 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I295_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance trap_0_sqmuxa_4 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance trap_0_sqmuxa (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_mem_trap_orv_tmp "comb.mem_trap.orv.tmp") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI5NAJ3_1 "r.x.result_RNI5NAJ3[1]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNILI5T3_14 "r.x.result_RNILI5T3[14]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI6I5H3_6 "r.x.result_RNI6I5H3[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_op_find_un1_r_e_ctrl_rd_0_0 "comb.op_find.un1_r.e.ctrl.rd_0_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I300_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un1_write_reg30 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I43_un1_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I44_Y (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I321_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I305_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I29_G0N (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I26_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I270_Y_0_o3 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I270_Y_0_o3 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I311_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I35_un1_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I321_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I321_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I320_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I25_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I44_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I25_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I25_G0N (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I203_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I309_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_RNI2MLBI1_2 "r.a.ctrl.pc_RNI2MLBI1[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I50_Y (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I320_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I320_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I23_G0N (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I23_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_o3 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I311_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I311_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I300_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I300_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIF8EG3_7 "r.x.result_RNIF8EG3[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIPM5T3_15 "r.x.result_RNIPM5T3[15]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIQD4H3_3 "r.x.result_RNIQD4H3[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI34DG3_4 "r.x.result_RNI34DG3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I246_Y_0_o3 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I246_Y_0_a3 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I309_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I309_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I301_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I301_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I248_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I249_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I295_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I295_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3 (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I312_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I312_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I245_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I316_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I316_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I305_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I244_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I49_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I49_un1_Y (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIHE5T3_13 "r.x.result_RNIHE5T3[13]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJKGAN "r.e.jmpl_RNIJKGAN") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIIKDS3_12 "r.x.result_RNIIKDS3[12]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI965T3_11 "r.x.result_RNI965T3[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I249_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_a4 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance ld_1_sqmuxa (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I71_Y_0_a3 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I71_Y_0 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I245_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I31_P0N (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I204_un1_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I248_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I22_G0N (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I247_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I33_un1_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I34_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIEF654_9 "r.x.result_RNIEF654[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_logic_op_y_iv_21 "comb.logic_op.y_iv[21]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldchkra "comb.lock_gen.ldchkra") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_alu_op_aop2_i_a2_1_24 "comb.alu_op.aop2_i_a2_1[24]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I235_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I235_un1_Y") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I138_Y (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3_1 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1 "comb.lock_gen.ldlock2_1") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_mem_trap_un1_r_m_result_0 "comb.mem_trap.un1_r.m.result_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_diagrdy_un525_dbgunit "comb.diagrdy.un525_dbgunit") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un1_rabpmisstt_b0_i_a3 (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I68_Y_m3_i_a3 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I68_Y_m2_e (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I68_Ytt_m1_0_a2 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_a4_0 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I282_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I282_Y_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I299_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I299_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I299_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I270_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I270_Y_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_dbgexc_un82_dbgm "comb.dbgexc.un82_dbgm") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I261_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I311_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I260_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I321_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I260_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I260_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_icc_check6 "comb.lock_gen.icc_check6") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_mem_trap_trap27 "comb.mem_trap.trap27") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_mem_trap_trap54 "comb.mem_trap.trap54") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un1_write_reg30_1_3 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_rd_gen_un19_rd "comb.rd_gen.un19_rd") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I267_un1_Y (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I265_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I265_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I265_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I302_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I309_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I301_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I272_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance aluresult_11_sqmuxa_7 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I261_un1_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I320_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I261_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I261_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_alu_select_aluresult_1_iv_9_0_a2_0_5 "comb.alu_select.aluresult_1_iv_9_0_a2_0[5]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I289_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I289_Y_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I304_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I239_Y "comb.branch_address.tmp_ADD_30x30_fast_I239_Y") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I295_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I138_Y (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I312_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I269_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I269_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I269_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I316_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_icc_check6_RNO_0 "comb.lock_gen.icc_check6_RNO_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_0 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I264_un1_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I146_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I264_un1_Y (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I272_Y (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I272_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I232_Y "comb.branch_address.tmp_ADD_30x30_fast_I232_Y") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename comb_dbgexc_un82_dbgm_RNO_0 "comb.dbgexc.un82_dbgm_RNO_0") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_dbgexc_un82_dbgm_RNO "comb.dbgexc.un82_dbgm_RNO") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un1_write_reg30_1_3_RNO (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I138_Y_0 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I138_Y_0 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_alu_select_aluresult_1_iv_9_0_a2_0_RNO_5 "comb.alu_select.aluresult_1_iv_9_0_a2_0_RNO[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_2_2 "dsur.crdy_RNO_2[2]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_5_2 "dsur.crdy_RNO_5[2]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNO "comb.lock_gen.ldlock2_1_RNO") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_icc_check6_RNO "comb.lock_gen.icc_check6_RNO") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I267_un1_Y_0 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I264_un1_Y_0 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI3K9E7_11 "r.x.result_RNI3K9E7[11]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIR4BE7_14 "r.x.result_RNIR4BE7[14]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIOUID7_13 "r.x.result_RNIOUID7[13]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI8VJD7_15 "r.x.result_RNI8VJD7[15]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNILOQC7_12 "r.x.result_RNILOQC7[12]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIAHLR2_6 "r.x.data_0_RNIAHLR2[6]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I264_un1_Y_0 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_27 "r.f.pc_RNO_8[27]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_27 "r.f.pc_RNO_13[27]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_13 "r.f.pc_RNO_10[13]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_13 "r.f.pc_RNO_14[13]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_28 "r.f.pc_RNO_14[28]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I261_un1_Y_0 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6V404_13 "r.e.op1_RNI6V404[13]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI65T04_14 "r.e.op1_RNI65T04[14]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI1F404_12 "r.e.op1_RNI1F404[12]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNINKR04_11 "r.e.op1_RNINKR04[11]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIGV504_15 "r.e.op1_RNIGV504[15]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I295_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_logic_op_y_iv_RNO_0_21 "comb.logic_op.y_iv_RNO_0[21]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I312_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I309_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I302_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I304_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I299_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_op_find_un1_r_e_ctrl_rd_0_0_RNIR3R11 "comb.op_find.un1_r.e.ctrl.rd_0_0_RNIR3R11") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNI06F11_1 "r.e.ctrl.rd_RNI06F11[1]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I301_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I232_Y_1 "comb.branch_address.tmp_ADD_30x30_fast_I232_Y_1") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I232_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I232_Y_0") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I270_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I270_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I235_un1_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I235_un1_Y_0") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I321_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I320_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I311_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I299_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I316_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_20 "r.x.data_0_RNO_0[20]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_20 "r.x.data_0_RNO_1[20]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIG7G682 "r.e.jmpl_RNIG7G682") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_m_y_RNI32OAF1_16 "r.m.y_RNI32OAF1[16]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_op_find_un1_r_e_ctrl_rd_1_3_0_RNIABJ91 "comb.op_find.un1_r.e.ctrl.rd_1_3_0_RNIABJ91") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIOP021_0 "r.e.ctrl.rd_RNIOP021[0]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI9FO67_9 "r.x.result_RNI9FO67[9]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I289_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I289_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI5LETC1 "r.e.jmpl_RNI5LETC1") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_m_y_RNII0UIL_11 "r.m.y_RNII0UIL[11]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I282_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I282_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIJ6HO7_9 "r.x.result_RNIJ6HO7[9]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_alu_op_aop2_i_a2_1_RNO_24 "comb.alu_op.aop2_i_a2_1_RNO[24]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un1_rabpmisstt_b0_i_a3_RNI7GBQ4 (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_d_annul_RNIRQ8J4 "r.d.annul_RNIRQ8J4") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNICO9991_4 "r.f.pc_RNICO9991[4]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_RNIFQ8385_2 "r.a.ctrl.pc_RNIFQ8385[2]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNID4JNL3_2 "r.f.pc_RNID4JNL3[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inull_RNINL7ND3 "r.d.inull_RNINL7ND3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_29 "r.f.pc_RNO_2[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_29 "r.f.pc_RNO_0[29]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_29 "r.f.pc_RNO_5[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_27 "r.f.pc_RNO_2[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_27 "r.f.pc_RNO_0[27]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_27 "r.f.pc_RNO_5[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_26 "r.f.pc_RNO_2[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_26 "r.f.pc_RNO_0[26]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_26 "r.f.pc_RNO_5[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_25 "r.f.pc_RNO_2[25]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_25 "r.f.pc_RNO_4[25]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_25 "r.f.pc_RNO_8[25]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_21 "r.f.pc_RNO_2[21]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_21 "r.f.pc_RNO_4[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_21 "r.f.pc_RNO_8[21]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_20 "r.f.pc_RNO_2[20]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_20 "r.f.pc_RNO_0[20]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_20 "r.f.pc_RNO_5[20]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_18 "r.f.pc_RNO_2[18]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_18 "r.f.pc_RNO_0[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_18 "r.f.pc_RNO_5[18]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_17 "r.f.pc_RNO_2[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_17 "r.f.pc_RNO_4[17]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_17 "r.f.pc_RNO_8[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_14 "r.f.pc_RNO_2[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_14 "r.f.pc_RNO_0[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_14 "r.f.pc_RNO_5[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_13 "r.f.pc_RNO_2[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_13 "r.f.pc_RNO_4[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_13 "r.f.pc_RNO_8[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_12 "r.f.pc_RNO_2[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_12 "r.f.pc_RNO_0[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_12 "r.f.pc_RNO_5[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_11 "r.f.pc_RNO_2[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_11 "r.f.pc_RNO_0[11]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_11 "r.f.pc_RNO_4[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_10 "r.f.pc_RNO_2[10]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_10 "r.f.pc_RNO_0[10]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_10 "r.f.pc_RNO_4[10]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_9 "r.f.pc_RNO_2[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_9 "r.f.pc_RNO_0[9]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_9 "r.f.pc_RNO_4[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_7 "r.f.pc_RNO_2[7]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_7 "r.f.pc_RNO_0[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_7 "r.f.pc_RNO_5[7]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_4 "r.f.pc_RNO_2[4]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_4 "r.f.pc_RNO_0[4]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_4 "r.f.pc_RNO_4[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_3 "r.f.pc_RNO_2[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_3 "r.f.pc_RNO_0[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_3 "r.f.pc_RNO_5[3]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_2 "r.f.pc_RNO_2[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_2 "r.f.pc_RNO_0[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_2 "r.f.pc_RNO_5[2]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_24 "r.f.pc_RNO_2[24]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_24 "r.f.pc_RNO_0[24]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_24 "r.f.pc_RNO_5[24]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_6 "r.f.pc_RNO_2[6]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_6 "r.f.pc_RNO_0[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_6 "r.f.pc_RNO_5[6]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_8 "r.f.pc_RNO_2[8]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_8 "r.f.pc_RNO_0[8]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_8 "r.f.pc_RNO_4[8]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_23 "r.f.pc_RNO_2[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_23 "r.f.pc_RNO_0[23]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_23 "r.f.pc_RNO_5[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_30 "r.f.pc_RNO_2[30]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_30 "r.f.pc_RNO_0[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_30 "r.f.pc_RNO_5[30]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_15 "r.f.pc_RNO_2[15]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_15 "r.f.pc_RNO_0[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_15 "r.f.pc_RNO_5[15]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_5 "r.f.pc_RNO_2[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_5 "r.f.pc_RNO_0[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_5 "r.f.pc_RNO_5[5]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_16 "r.f.pc_RNO_2[16]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_16 "r.f.pc_RNO_0[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_16 "r.f.pc_RNO_5[16]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_19 "r.f.pc_RNO_2[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_19 "r.f.pc_RNO_0[19]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_19 "r.f.pc_RNO_5[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_22 "r.f.pc_RNO_2[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_22 "r.f.pc_RNO_0[22]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_22 "r.f.pc_RNO_5[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_28 "r.f.pc_RNO_2[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_28 "r.f.pc_RNO_4[28]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_28 "r.f.pc_RNO_8[28]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2_31 "r.f.pc_RNO_2[31]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_31 "r.f.pc_RNO_0[31]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_31 "r.f.pc_RNO_5[31]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNO_4 "r.x.mexc_RNO_4") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_mexc_RNO_5 "r.x.mexc_RNO_5") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_0 "r.d.pv_RNO_0") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_1 "r.d.pv_RNO_1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_5 "r.d.pv_RNO_5") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_8 "r.d.pv_RNO_8") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_pv_RNO_0 "r.a.ctrl.pv_RNO_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_alucin_RNO_1 "r.e.alucin_RNO_1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNO_3 "r.x.mexc_RNO_3") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_d_pv_RNI03QHK3 "r.d.pv_RNI03QHK3") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_pv_RNI4MUQA "r.d.pv_RNI4MUQA") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI7S342_31 "r.d.inst_0_RNI7S342[31]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_inull_RNIKFF7 "r.d.inull_RNIKFF7") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inull_RNIE9S2 "r.d.inull_RNIE9S2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_7 "r.d.pv_RNO_7") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_9 "r.d.pv_RNO_9") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIGTEL01_20 "r.x.ctrl.inst_RNIGTEL01[20]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNI1FDJM "r.e.ctrl.annul_RNI1FDJM") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_alucin_RNO_5 "r.e.alucin_RNO_5") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_0 "r.d.inull_RNO_0") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename un1_r_w_s_cwp_1_1_SUM2_0_0 "un1_r.w.s.cwp_1_1_SUM2_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIPMOKU1_1 "r.x.result_RNIPMOKU1[1]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_3 "r.d.pv_RNO_3") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_w_s_et_RNIA9T13 "r.w.s.et_RNIA9T13") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_3 "r.d.inull_RNO_3") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_7 "r.d.inull_RNO_7") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_9 "r.d.inull_RNO_9") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_10 "r.d.inull_RNO_10") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance un1_rabpmisstt_b0_i_a3_RNIHPEJ14 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_pv_RNIJEBQC "r.d.pv_RNIJEBQC") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIOA42C_0 "r.e.aluop_RNIOA42C[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_24 "r.w.s.y_RNO_0[24]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_0 "r.w.s.y_RNO_0[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_31 "r.w.s.y_RNO_0[31]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_27 "r.w.s.y_RNO_0[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_27 "r.w.s.y_RNO_1[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_2 "r.w.s.y_RNO_0[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_4 "r.w.s.y_RNO_0[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_3 "r.w.s.y_RNO_0[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_3 "r.w.s.y_RNO_1[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_6 "r.w.s.y_RNO_0[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_6 "r.w.s.y_RNO_1[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_12 "r.w.s.y_RNO_0[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_12 "r.w.s.y_RNO_1[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_26 "r.w.s.y_RNO_0[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_26 "r.w.s.y_RNO_1[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_11 "r.w.s.y_RNO_0[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_11 "r.w.s.y_RNO_1[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_30 "r.w.s.y_RNO_0[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_16 "r.w.s.y_RNO_0[16]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_16 "r.w.s.y_RNO_1[16]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_23 "r.w.s.y_RNO_0[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_21 "r.w.s.y_RNO_0[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_13 "r.w.s.y_RNO_0[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_13 "r.w.s.y_RNO_1[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_7 "r.w.s.y_RNO_0[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_7 "r.w.s.y_RNO_1[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_28 "r.w.s.y_RNO_0[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_28 "r.w.s.y_RNO_1[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_17 "r.w.s.y_RNO_0[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_17 "r.w.s.y_RNO_1[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_1 "r.w.s.y_RNO_0[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_1 "r.w.s.y_RNO_1[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_29 "r.w.s.y_RNO_0[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_29 "r.w.s.y_RNO_1[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_15 "r.w.s.y_RNO_0[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_8 "r.w.s.y_RNO_0[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_19 "r.w.s.y_RNO_0[19]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_5 "r.w.s.y_RNO_0[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_5 "r.w.s.y_RNO_1[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_22 "r.w.s.y_RNO_0[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_22 "r.w.s.y_RNO_1[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_18 "r.w.s.y_RNO_0[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_20 "r.w.s.y_RNO_0[20]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_20 "r.w.s.y_RNO_1[20]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_25 "r.w.s.y_RNO_0[25]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_10 "r.w.s.y_RNO_0[10]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_10 "r.w.s.y_RNO_1[10]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_9 "r.w.s.y_RNO_0[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0_14 "r.w.s.y_RNO_0[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_14 "r.w.s.y_RNO_1[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIA2TH3_0 "r.e.op1_RNIA2TH3[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_op2_RNI4UTM_0_0 "r.e.op2_RNI4UTM_0[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIHQ7J2_28 "r.e.op1_RNIHQ7J2[28]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIJLFTO "comb.lock_gen.ldlock2_1_RNIJLFTO") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_d_annul_RNI4DC0D "r.d.annul_RNI4DC0D") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_annul_RNIIQ1J2 "r.d.annul_RNIIQ1J2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_annul_RNILAQ33 "r.d.annul_RNILAQ33") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIU3MD_27 "r.d.inst_0_RNIU3MD[27]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_d_inull_RNIBP06 "r.d.inull_RNIBP06") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNID423_27 "r.d.inst_0_RNID423[27]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inull_RNI2LU2 "r.d.inull_RNI2LU2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_w_s_s_RNIMLAJU "r.w.s.s_RNIMLAJU") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIPOVGQ1 "r.e.jmpl_RNIPOVGQ1") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNIK5GPQ_31 "r.m.y_RNIK5GPQ[31]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNI1PB87_31 "r.m.y_RNI1PB87[31]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI5A2VB_19 "r.w.s.tba_RNI5A2VB[19]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNIE22I7_7 "r.x.result_RNIE22I7[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_result_RNISCAA7_31 "r.x.result_RNISCAA7[31]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIUP412_31 "r.e.ctrl.pc_RNIUP412[31]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIME9784 "r.e.ldbp2_1_RNIME9784") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIQ63K4_16 "r.e.op1_RNIQ63K4[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI893A1_0_21 "r.x.ctrl.inst_RNI893A1_0[21]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIRH1E_21 "r.x.ctrl.inst_RNIRH1E[21]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIABJ4N_13 "r.d.inst_0_RNIABJ4N[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIPTH34_0_13 "r.d.inst_0_RNIPTH34_0[13]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIBBVQ52 "comb.lock_gen.ldlock2_1_RNIBBVQ52") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIHLVBE1_1 "r.x.rstate_0_RNIHLVBE1[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_0_1 "r.w.s.icc_RNO_0[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_1_1 "r.w.s.icc_RNO_1[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_0_2 "r.w.s.icc_RNO_0[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_1_2 "r.w.s.icc_RNO_1[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_0_0 "r.w.s.icc_RNO_0[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_1_0 "r.w.s.icc_RNO_1[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_0_3 "r.w.s.icc_RNO_0[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_1_3 "r.w.s.icc_RNO_1[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_d_pv_RNI10ELR_0 "r.d.pv_RNI10ELR_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_pv_RNI25P24_0 "r.d.pv_RNI25P24_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIGGKU52 "comb.lock_gen.ldlock2_1_RNIGGKU52") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_pv_RNI10ELR "r.d.pv_RNI10ELR") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNILK6P01_5 "r.e.ctrl.rd_RNILK6P01[5]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_x_npc_RNIJ0N81_0 "r.x.npc_RNIJ0N81[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIQFK81_0 "r.x.npc_RNIQFK81[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNI0SBE1_0 "r.x.npc_RNI0SBE1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNI0OO31_0 "r.x.npc_RNI0OO31[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIAOO31_0 "r.x.npc_RNIAOO31[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIL7J81_0 "r.x.npc_RNIL7J81[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIVFK81_0 "r.x.npc_RNIVFK81[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIECM81_0 "r.x.npc_RNIECM81[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIRNO31_0 "r.x.npc_RNIRNO31[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNI1GCE1_0 "r.x.npc_RNI1GCE1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNINK7K1_0 "r.x.npc_RNINK7K1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI0M4Q1_0 "r.x.npc_0_RNI0M4Q1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIS88K1_0 "r.x.npc_RNIS88K1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNI5QDF1_0 "r.x.npc_RNI5QDF1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIIC6K1_0 "r.x.npc_RNIIC6K1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNII07K1_0 "r.x.npc_RNII07K1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIQ1CK1_0 "r.x.npc_RNIQ1CK1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIG5AK1_0 "r.x.npc_RNIG5AK1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNICC6K1_0 "r.x.npc_RNICC6K1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIBCEE1_0 "r.x.npc_RNIBCEE1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNICIIP1_0 "r.x.npc_0_RNICIIP1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIUIFU1_0 "r.x.npc_0_RNIUIFU1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIEMDU1_0 "r.x.npc_0_RNIEMDU1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI4ECU1_0 "r.x.npc_0_RNI4ECU1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIT6GU1_0 "r.x.npc_0_RNIT6GU1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIOIFU1_0 "r.x.npc_0_RNIOIFU1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIQOMI1_0 "r.x.npc_0_RNIQOMI1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIE2OJ1_0 "r.x.npc_0_RNIE2OJ1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIPIIP1_0 "r.x.npc_0_RNIPIIP1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI8JIP1_0 "r.x.npc_0_RNI8JIP1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_result_RNIK8A0U1_7 "r.x.result_RNIK8A0U1[7]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNI9SK401 "r.w.s.ps_RNI9SK401") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_12 "r.x.data_0_RNO_0[12]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_9 "r.x.data_0_RNO_0[9]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_9 "r.x.data_0_RNO_1[9]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_15 "r.x.data_0_RNO_0[15]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_11 "r.x.data_0_RNO_0[11]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_11 "r.x.data_0_RNO_1[11]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_8 "r.x.data_0_RNO_0[8]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_8 "r.x.data_0_RNO_1[8]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_10 "r.x.data_0_RNO_0[10]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_10 "r.x.data_0_RNO_1[10]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_14 "r.x.data_0_RNO_0[14]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIGQFOD3_1 "r.x.rstate_0_RNIGQFOD3[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI38AAI4_11 "r.f.pc_RNI38AAI4[11]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIHKOMH3_11 "r.f.pc_RNIHKOMH3[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIJ9UVP1_11 "r.f.pc_RNIJ9UVP1[11]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIOA8LD3_1 "r.x.rstate_0_RNIOA8LD3[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI4GRIB4_10 "r.f.pc_RNI4GRIB4[10]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI3JHNL1_10 "r.f.pc_RNI3JHNL1[10]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIQQE4R5_9 "r.f.pc_RNIQQE4R5[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIRC1284_9 "r.f.pc_RNIRC1284[9]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI0NBGI1_9 "r.f.pc_RNI0NBGI1[9]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIGMQDD3_1 "r.x.rstate_0_RNIGMQDD3[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIRN9B34_7 "r.f.pc_RNIRN9B34[7]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIKCQHD1_7 "r.f.pc_RNIKCQHD1[7]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIA0OBD3_1 "r.x.rstate_0_RNIA0OBD3[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIP8RSV3_6 "r.f.pc_RNIP8RSV3[6]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNILPULC1_6 "r.f.pc_RNILPULC1[6]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI6IL9D3_1 "r.x.rstate_0_RNI6IL9D3[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI2NG0U3_5 "r.f.pc_RNI2NG0U3[5]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI6O0DB1_5 "r.f.pc_RNI6O0DB1[5]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI4CJ7D3_1 "r.x.rstate_0_RNI4CJ7D3[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIINN2Q3_4 "r.f.pc_RNIINN2Q3[4]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI4EH5D3_1 "r.x.rstate_0_RNI4EH5D3[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNII9GBN3_3 "r.f.pc_RNII9GBN3[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIP7OSN2_3 "r.f.pc_RNIP7OSN2[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIN6R8M2_2 "r.f.pc_RNIN6R8M2[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIFPG971_2 "r.f.pc_RNIFPG971[2]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIG697M5_8 "r.f.pc_RNIG697M5[8]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIFN3834_8 "r.f.pc_RNIFN3834[8]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIPES5E1_8 "r.f.pc_RNIPES5E1[8]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI3Q5J2_30 "r.e.op1_RNI3Q5J2[30]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIS5K4K2 "r.e.jmpl_RNIS5K4K2") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI7E24M1 "r.e.jmpl_RNI7E24M1") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNIK4JAN_28 "r.m.y_RNIK4JAN[28]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIAPUV3_28 "r.m.y_RNIAPUV3[28]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIFFBPB_16 "r.w.s.tba_RNIFFBPB[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNIRR8H7_12 "r.x.result_RNIRR8H7[12]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_result_RNIETAA7_28 "r.x.result_RNIETAA7[28]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIPQDR1_28 "r.e.ctrl.pc_RNIPQDR1[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_30 "r.x.data_0_RNO_0[30]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_24 "r.x.data_0_RNO_0[24]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_17 "r.x.data_0_RNO_0[17]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_26 "r.x.data_0_RNO_0[26]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_29 "r.x.data_0_RNO_0[29]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_28 "r.x.data_0_RNO_0[28]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI9Q6J2_24 "r.e.op1_RNI9Q6J2[24]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI893A1_21 "r.x.ctrl.inst_RNI893A1[21]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIRH1E_0_21 "r.x.ctrl.inst_RNIRH1E_0[21]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIR7N5E1 "comb.lock_gen.ldlock2_1_RNIR7N5E1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIJ28J2_29 "r.e.op1_RNIJ28J2[29]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I288_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I288_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIFI7J2_27 "r.e.op1_RNIFI7J2[27]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI1Q5J2_20 "r.e.op1_RNI1Q5J2[20]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_m_y_RNIG6U4L_16 "r.m.y_RNIG6U4L[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIMLEMD_4 "r.w.s.tba_RNIMLEMD[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_result_RNI10N2B_0 "r.x.result_RNI10N2B[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNINTJT6_16 "r.e.ctrl.pc_RNINTJT6[16]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI1NUU2_16 "r.e.ctrl.pc_RNI1NUU2[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIQM71D2 "r.x.dci.signed_RNIQM71D2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNINU2K4_15 "r.e.op1_RNINU2K4[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_wy_RNI1GLB "r.x.ctrl.wy_RNI1GLB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_wy_RNI1GLB_0 "r.x.ctrl.wy_RNI1GLB_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_9 "ir.addr_RNO_0[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_9 "ir.addr_RNO_2[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_21 "ir.addr_RNO_1[21]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_21 "ir.addr_RNO_3[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_14 "ir.addr_RNO_1[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_14 "ir.addr_RNO_3[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_13 "ir.addr_RNO_1[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_13 "ir.addr_RNO_3[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_6 "ir.addr_RNO_0[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_6 "ir.addr_RNO_2[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_4 "ir.addr_RNO_0[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_4 "ir.addr_RNO_2[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_12 "ir.addr_RNO_0[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_12 "ir.addr_RNO_2[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_2 "ir.addr_RNO_1[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_2 "ir.addr_RNO_3[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_22 "ir.addr_RNO_1[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_22 "ir.addr_RNO_3[22]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_31 "ir.addr_RNO_1[31]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_31 "ir.addr_RNO_3[31]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_25 "ir.addr_RNO_0[25]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_25 "ir.addr_RNO_2[25]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_29 "ir.addr_RNO_1[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_29 "ir.addr_RNO_3[29]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_7 "ir.addr_RNO_0[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_7 "ir.addr_RNO_2[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_11 "ir.addr_RNO_0[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_11 "ir.addr_RNO_2[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_19 "ir.addr_RNO_0[19]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_19 "ir.addr_RNO_2[19]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_27 "ir.addr_RNO_1[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_27 "ir.addr_RNO_3[27]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_18 "ir.addr_RNO_0[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_18 "ir.addr_RNO_2[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_16 "ir.addr_RNO_0[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_16 "ir.addr_RNO_2[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_3 "ir.addr_RNO_1[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_3 "ir.addr_RNO_3[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_15 "ir.addr_RNO_0[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_15 "ir.addr_RNO_2[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_30 "ir.addr_RNO_0[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_30 "ir.addr_RNO_2[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_10 "ir.addr_RNO_1[10]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_10 "ir.addr_RNO_3[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_23 "ir.addr_RNO_1[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_23 "ir.addr_RNO_3[23]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_26 "ir.addr_RNO_0[26]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_26 "ir.addr_RNO_2[26]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_20 "ir.addr_RNO_1[20]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_20 "ir.addr_RNO_3[20]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_17 "ir.addr_RNO_1[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_17 "ir.addr_RNO_3[17]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_24 "ir.addr_RNO_1[24]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_24 "ir.addr_RNO_3[24]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_5 "ir.addr_RNO_1[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_5 "ir.addr_RNO_3[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_28 "ir.addr_RNO_1[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_28 "ir.addr_RNO_3[28]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_8 "ir.addr_RNO_1[8]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_8 "ir.addr_RNO_3[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIVJ4EBL "r.e.ldbp2_2_RNIVJ4EBL") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNIS33O5A "r.e.ldbp2_RNIS33O5A") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_0_RNIK8SN18 "r.e.ldbp2_0_RNIK8SN18") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_0_RNICB2GG6 "r.e.ldbp2_0_RNICB2GG6") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIONR6B3 "r.e.ldbp2_2_RNIONR6B3") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIE62K4_12 "r.e.op1_RNIE62K4[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIB4MG4_8 "r.e.op1_RNIB4MG4[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI7I6J2_23 "r.e.op1_RNI7I6J2[23]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIB27J2_25 "r.e.op1_RNIB27J2[25]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_w_s_et_RNI8NN5 "r.w.s.et_RNI8NN5") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIDA7J2_26 "r.e.op1_RNIDA7J2[26]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_1 "comb.branch_address.tmp_ADD_30x30_fast_I233_Y_0_1") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIOT0PN2 "r.e.jmpl_RNIOT0PN2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIH538P_30 "r.m.y_RNIH538P[30]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIO5CTC_30 "r.m.y_RNIO5CTC[30]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIPVMAC_0 "r.e.aluop_RNIPVMAC[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI08KLB_18 "r.w.s.tba_RNI08KLB[18]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_result_RNI18PI7_14 "r.x.result_RNI18PI7[14]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIM8129_30 "r.e.ctrl.pc_RNIM8129[30]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIJU1B7_30 "r.x.result_RNIJU1B7[30]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI3AVM1_30 "r.e.ctrl.pc_RNI3AVM1[30]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI326J2_21 "r.e.op1_RNI326J2[21]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_13 "r.x.data_0_RNO_0[13]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_13 "r.x.data_0_RNO_1[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI5A6J2_22 "r.e.op1_RNI5A6J2[22]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI1OKVM1 "r.e.jmpl_RNI1OKVM1") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNI9VCNP_24 "r.m.y_RNI9VCNP[24]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIAS11D_24 "r.m.y_RNIAS11D[24]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIFE9PB_12 "r.w.s.tba_RNIFE9PB[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNI1NN67_8 "r.x.result_RNI1NN67[8]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_result_RNIUCAA7_24 "r.x.result_RNIUCAA7[24]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIDQCR1_24 "r.e.ctrl.pc_RNIDQCR1[24]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNITM3O1_0_30 "r.x.ctrl.inst_RNITM3O1_0[30]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNITE3K4_17 "r.e.op1_RNITE3K4[17]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI3V3K4_19 "r.e.op1_RNI3V3K4[19]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIKM2K4_14 "r.e.op1_RNIKM2K4[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIBU1K4_11 "r.e.op1_RNIBU1K4[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIN9H82_1 "r.x.rstate_0_RNIN9H82[1]") (viewRef prim (cellRef AXOI2 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI5UICO1 "r.e.jmpl_RNI5UICO1") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNIF3NDP_29 "r.m.y_RNIF3NDP[29]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIF3GTC_29 "r.m.y_RNIF3GTC[29]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIF1NLB_17 "r.w.s.tba_RNIF1NLB[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_result_RNIU11I7_13 "r.x.result_RNIU11I7[13]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI66429_29 "r.e.ctrl.pc_RNI66429[29]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIDN2B7_1 "r.e.aluop_1_RNIDN2B7[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNI3KAH1 "r.w.s.ps_RNI3KAH1") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNI96868_5 "r.e.ctrl.rd_RNI96868[5]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIFSOK6_1 "r.e.ctrl.rd_RNIFSOK6[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIIC6B1_1 "r.e.ctrl.rd_RNIIC6B1[1]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I276_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I276_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNITS31J2 "r.e.jmpl_RNITS31J2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI2KMVM_15 "r.w.s.tba_RNI2KMVM[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNI0NS56_27 "r.m.y_RNI0NS56[27]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIP5279_15 "r.w.s.tba_RNIP5279[15]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_result_RNI9NNI7_11 "r.x.result_RNI9NNI7[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIIIFJ6_27 "r.e.ctrl.pc_RNIIIFJ6[27]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIERPK2_27 "r.e.ctrl.pc_RNIERPK2[27]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIJ75V1_27 "r.e.op2_RNIJ75V1[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI0N3K4_18 "r.e.op1_RNI0N3K4[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI6C95C2 "r.e.jmpl_RNI6C95C2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIF3JRL_20 "r.m.y_RNIF3JRL[20]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIFKKV5_20 "r.m.y_RNIFKKV5[20]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI0FURF_8 "r.w.s.tba_RNI0FURF[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_icc_RNIB62K6_0 "r.m.icc_RNIB62K6[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNISI4K6_20 "r.e.ctrl.pc_RNISI4K6[20]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIP2OK2_20 "r.e.ctrl.pc_RNIP2OK2[20]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI5B4V1_1 "r.e.aluop_0_RNI5B4V1[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIP8TDC1_15 "r.m.y_RNIP8TDC1[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNITCP56_15 "r.m.y_RNITCP56[15]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIFMBCD_15 "r.e.ctrl.pc_RNIFMBCD[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIMVC66_3 "r.w.s.tba_RNIMVC66[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI37PK2_15 "r.e.ctrl.pc_RNI37PK2[15]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIBR4V1_15 "r.e.op2_RNIBR4V1[15]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI37H446 "r.e.ldbp2_1_RNI37H446") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIVPO5C4 "r.e.ldbp2_2_RNIVPO5C4") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNICCOLQ "r.e.ldbp2_1_RNICCOLQ") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIE27SA "r.e.ldbp2_1_RNIE27SA") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNO_3 "r.w.s.dwt_RNO_3") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNO_4 "r.w.s.dwt_RNO_4") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIOERI36 "r.e.ldbp2_1_RNIOERI36") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI91STV "r.e.ldbp2_1_RNI91STV") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI4Q4T42 "r.e.jmpl_RNI4Q4T42") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIOQ1UK_12 "r.m.y_RNIOQ1UK[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIGRA87_12 "r.m.y_RNIGRA87[12]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI7RB66_0 "r.w.s.tba_RNI7RB66[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI14BF7_12 "r.e.ctrl.pc_RNI14BF7[12]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIIMTU2_12 "r.e.ctrl.pc_RNIIMTU2[12]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNITM992_12 "r.e.op2_RNITM992[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIE8MG4_9 "r.e.op1_RNIE8MG4[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI80MG4_7 "r.e.op1_RNI80MG4[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI16VD12 "r.e.jmpl_RNI16VD12") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIC9GQK_8 "r.m.y_RNIC9GQK[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIFIQ65_8 "r.m.y_RNIFIQ65[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNITMLJF_4 "r.w.s.tt_RNITMLJF[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNIBEGO7_8 "r.x.result_RNIBEGO7[8]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIK3095_8 "r.e.ctrl.pc_RNIK3095[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNITR4L2_8 "r.e.ctrl.pc_RNITR4L2[8]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIJ5402_8 "r.e.op2_RNIJ5402[8]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIHE2K4_13 "r.e.op1_RNIHE2K4[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIPN9TJ1 "r.e.jmpl_RNIPN9TJ1") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNIHRLJN_23 "r.m.y_RNIHRLJN[23]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNI44DE7_23 "r.m.y_RNI44DE7[23]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_icc_RNIDN85G_3 "r.m.icc_RNIDN85G[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIJC5H9_23 "r.e.ctrl.pc_RNIJC5H9[23]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_icc_RNIQA3K6_3 "r.m.icc_RNIQA3K6[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIS2UU2_23 "r.e.ctrl.pc_RNIS2UU2[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNI5V992_23 "r.e.op2_RNI5V992[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI8M1K4_10 "r.e.op1_RNI8M1K4[10]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI5VIG2_10 "r.e.op1_RNI5VIG2[10]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIF9HDK2 "r.e.jmpl_RNIF9HDK2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNI2S13Q_25 "r.m.y_RNI2S13Q[25]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNI3QI7D_25 "r.m.y_RNI3QI7D[25]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIV1FRC_0 "r.e.aluop_0_RNIV1FRC[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI78QVB_13 "r.w.s.tba_RNI78QVB[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNIT62B7_25 "r.x.result_RNIT62B7[25]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI5M512_25 "r.e.ctrl.pc_RNI5M512[25]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_5 "r.x.data_0_RNO_0[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_5 "r.x.data_0_RNO_1[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_pwd_RNO_1 "ir.pwd_RNO_1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_7 "r.x.data_0_RNO_2[7]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_7 "r.x.data_0_RNO_3[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIP6868_5 "r.m.ctrl.rd_RNIP6868[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIOU9S6_4 "r.m.ctrl.rd_RNIOU9S6[4]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNITPAS2_1 "r.m.ctrl.rd_RNITPAS2[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIRC4H1_3 "r.m.ctrl.rd_RNIRC4H1[3]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNI70HR_0 "r.m.ctrl.rd_RNI70HR[0]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNI3TBM6_4 "r.a.ctrl.rd_RNI3TBM6[4]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNIKOCM2_0 "r.a.ctrl.rd_RNIKOCM2[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNIEK6B1_3 "r.a.ctrl.rd_RNIEK6B1[3]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_m_y_RNIUOSNJ1_26 "r.m.y_RNIUOSNJ1[26]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIMG4N3_26 "r.m.y_RNIMG4N3[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI2NSVH_14 "r.w.s.tba_RNI2NSVH[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNI6HVH7_10 "r.x.result_RNI6HVH7[10]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIMMAQ7_26 "r.e.ctrl.pc_RNIMMAQ7[26]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNIB3H55_26 "r.x.result_RNIB3H55[26]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_e_op2_RNIH35V1_26 "r.e.op2_RNIH35V1[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIGMRD1_1 "r.x.rstate_0_RNIGMRD1[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNIUT669_30 "r.m.ctrl.inst_RNIUT669[30]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pv_RNIL8R31 "r.m.ctrl.pv_RNIL8R31") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_d_pv_RNIARP4F "r.d.pv_RNIARP4F") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_y_RNIH0PPI1_21 "r.m.y_RNIH0PPI1[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNI4OA87_21 "r.m.y_RNI4OA87[21]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI6F46G_9 "r.w.s.tba_RNI6F46G[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIMS1I9_9 "r.w.s.tba_RNIMS1I9[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_icc_RNIGI2K6_1 "r.m.icc_RNIGI2K6[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIS6AU6_21 "r.e.ctrl.pc_RNIS6AU6[21]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNILITU2_21 "r.e.ctrl.pc_RNILITU2[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIQOQ82_20 "r.x.ctrl.inst_RNIQOQ82[20]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNIUVI01_2 "r.w.s.cwp_RNIUVI01[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNITR8R2_0_25 "r.x.ctrl.inst_RNITR8R2_0[25]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI05531_0_25 "r.x.ctrl.inst_RNI05531_0[25]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI5SLG4_6 "r.e.op1_RNI5SLG4[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIDIJF2_6 "r.e.op1_RNIDIJF2[6]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_4 "r.x.data_0_RNO_2[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_1 "r.x.data_0_RNO_2[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_1 "r.x.data_0_RNO_0[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_0 "r.x.data_0_RNO_2[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_0 "r.x.data_0_RNO_3[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_6 "r.x.data_0_RNO_2[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_6 "r.x.data_0_RNO_0[6]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I280_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I280_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIUT8CJ1 "r.e.jmpl_RNIUT8CJ1") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNI78B2N_22 "r.m.y_RNI78B2N[22]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIBNBS6_22 "r.m.y_RNIBNBS6[22]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_icc_RNISGV5G_2 "r.m.icc_RNISGV5G[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNICS4H9_22 "r.e.ctrl.pc_RNICS4H9[22]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_icc_RNIGKQK6_2 "r.m.icc_RNIGKQK6[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIQQTU2_22 "r.e.ctrl.pc_RNIQQTU2[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI4R992_1 "r.e.aluop_0_RNI4R992[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_3 "r.x.data_0_RNO_2[3]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_3 "r.x.data_0_RNO_3[3]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_2 "r.x.data_0_RNO_2[2]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_2 "r.x.data_0_RNO_3[2]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I287_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I287_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNIM9DCI_0_0 "r.x.dci.size_RNIM9DCI_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNIHUFMV "r.m.ctrl.trap_RNIHUFMV") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIBS5V82 "r.e.jmpl_RNIBS5V82") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIU205L_5 "r.w.s.tba_RNIU205L[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIVKGE7_17 "r.m.y_RNIVKGE7[17]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIVDFMD_5 "r.w.s.tba_RNIVDFMD[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_result_RNI9ON2B_1 "r.x.result_RNI9ON2B[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIR9KT6_17 "r.e.ctrl.pc_RNIR9KT6[17]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI1VUU2_17 "r.e.ctrl.pc_RNI1VUU2[17]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIAIG8C1_19 "r.m.y_RNIAIG8C1[19]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIMI9V3_19 "r.m.y_RNIMI9V3[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIQUGMD_7 "r.w.s.tba_RNIQUGMD[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI29P2B_19 "r.e.ctrl.pc_RNI29P2B[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIC2LT6_19 "r.e.ctrl.pc_RNIC2LT6[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIAFVU2_19 "r.e.ctrl.pc_RNIAFVU2[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIEJA92_19 "r.e.op2_RNIEJA92[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIKAFG62 "r.e.jmpl_RNIKAFG62") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIEQ40L_2 "r.w.s.tba_RNIEQ40L[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIC8O56_14 "r.m.y_RNIC8O56[14]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNINIQL6_2 "r.w.s.tba_RNINIQL6[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_result_RNIBVH48_14 "r.x.result_RNIBVH48[14]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNI4T224 "r.w.s.dwt_RNI4T224") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI0VOK2_14 "r.e.ctrl.pc_RNI0VOK2[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIDIKJ5_11 "r.m.y_RNIDIKJ5[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNI5E9VF_7 "r.w.s.tt_RNI5E9VF[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIIVOQ7_7 "r.w.s.tt_RNIIVOQ7[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIHEJ85_11 "r.e.ctrl.pc_RNIHEJ85[11]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIN6OK2_11 "r.e.ctrl.pc_RNIN6OK2[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNI3B4V1_11 "r.e.op2_RNI3B4V1[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I283_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I283_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIVJLG4_4 "r.e.op1_RNIVJLG4[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI9AJF2_4 "r.e.op1_RNI9AJF2[4]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I275_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I275_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I286_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I286_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIFQ8RE "r.x.dci.signed_RNIFQ8RE") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIUA1582 "r.e.jmpl_RNIUA1582") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI4P7IJ_6 "r.w.s.tba_RNI4P7IJ[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIGQS56_18 "r.m.y_RNIGQS56[18]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIKUACD_6 "r.w.s.tba_RNIKUACD[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIT8JOA_18 "r.e.ctrl.pc_RNIT8JOA[18]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIBEFJ6_18 "r.e.ctrl.pc_RNIBEFJ6[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op2_RNII75V1_18 "r.e.op2_RNII75V1[18]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I268_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I268_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2OLG4_5 "r.e.op1_RNI2OLG4[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIBEJF2_5 "r.e.op1_RNIBEJF2[5]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI3Q1S_19 "r.m.ctrl.inst_RNI3Q1S[19]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI2Q1S_21 "r.m.ctrl.inst_RNI2Q1S[21]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_m_y_RNI6LUJ91_9 "r.m.y_RNI6LUJ91[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNI0K6U3_9 "r.m.y_RNI0K6U3[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIJFH9F_5 "r.w.s.tt_RNIJFH9F[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNI10RU4_1 "r.w.s.pil_RNI10RU4[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI9OVA2_9 "r.e.ctrl.pc_RNI9OVA2[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIU1VL1_9 "r.e.op2_RNIU1VL1[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNII9OC61_7 "r.m.y_RNII9OC61[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNI1TQV5_7 "r.m.y_RNI1TQV5[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIBJAPA_7 "r.e.ctrl.pc_RNIBJAPA[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIM1QO5_3 "r.w.s.tt_RNIM1QO5[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNILHG05_7 "r.e.ctrl.pc_RNILHG05[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op2_RNITDBQ1_7 "r.e.op2_RNITDBQ1[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNI0H59B1_13 "r.m.y_RNI0H59B1[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNI8UMP5_13 "r.m.y_RNI8UMP5[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIO5ACD_1 "r.w.s.tba_RNIO5ACD[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNICUT57_13 "r.e.ctrl.pc_RNICUT57[13]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIUMOK2_13 "r.e.ctrl.pc_RNIUMOK2[13]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNI8J4V1_13 "r.e.op2_RNI8J4V1[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I274_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I274_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance trap_0_sqmuxa_4_RNIPC5HT (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIGK3D52 "r.e.jmpl_RNIGK3D52") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIIGU4N_6 "r.w.s.tt_RNIIGU4N[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNISC8S6_10 "r.m.y_RNISC8S6[10]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNI6RT48_6 "r.w.s.tt_RNI6RT48[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_result_RNIG8O38_10 "r.x.result_RNIG8O38[10]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI6EOI5_10 "r.e.ctrl.pc_RNI6EOI5[10]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNID6TU2_10 "r.e.ctrl.pc_RNID6TU2[10]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIQE992_1 "r.e.aluop_1_RNIQE992[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I234_Y_1 "comb.branch_address.tmp_ADD_30x30_fast_I234_Y_1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I322_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_wicc_RNIIE1U1 "r.x.ctrl.wicc_RNIIE1U1") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_annul_RNIBK78 "r.x.ctrl.annul_RNIBK78") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I232_Y_3 "comb.branch_address.tmp_ADD_30x30_fast_I232_Y_3") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I238_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I238_Y_0") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I235_Y_1 "comb.branch_address.tmp_ADD_30x30_fast_I235_Y_1") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I235_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I235_Y_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld_RNI5U3C "r.m.ctrl.ld_RNI5U3C") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_2_3 "r.x.ctrl.tt_RNO_2[3]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_3_3 "r.x.ctrl.tt_RNO_3[3]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIPBLG4_2 "r.e.op1_RNIPBLG4[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNI372U91 "r.w.s.ps_RNI372U91") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIN6I06_6 "r.m.y_RNIN6I06[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNIBL7MD "r.w.s.ps_RNIBL7MD") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNILJUO7 "r.w.s.ps_RNILJUO7") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIURV85_6 "r.e.ctrl.pc_RNIURV85[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIM5VJ4_6 "r.w.s.wim_RNIM5VJ4[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI15KH3_6 "r.w.s.wim_RNI15KH3[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI0VPI1_0 "r.x.rstate_RNI0VPI1[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I239_Y_1 "comb.branch_address.tmp_ADD_30x30_fast_I239_Y_1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_d_annul_RNIR7A74 "r.d.annul_RNIR7A74") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_e_op1_RNISFLG4_3 "r.e.op1_RNISFLG4[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I279_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I279_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_w_s_et_RNIHA77 "r.w.s.et_RNIHA77") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I236_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I236_Y_0") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_3 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_1 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_0 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNIMVK671_4 "r.m.y_RNIMVK671[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIBGM65_4 "r.m.y_RNIBGM65[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIOS9HC_4 "r.e.ctrl.pc_RNIOS9HC[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIF84R7_4 "r.e.ctrl.pc_RNIF84R7[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIFTUJ4_4 "r.w.s.wim_RNIFTUJ4[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I271_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I271_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I281_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I281_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0_0 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_et_RNI355PT "r.w.s.et_RNI355PT") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_d_annul_RNIHOPH5 "r.d.annul_RNIHOPH5") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I232_un1_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I232_un1_Y_0") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIDUTQR1 "r.e.jmpl_RNIDUTQR1") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIE0KBH_0 "r.e.aluop_0_RNIE0KBH[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIN4QV5_5 "r.m.y_RNIN4QV5[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIINM08_5 "r.e.ctrl.pc_RNIINM08[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_alu_select_aluresult_1_iv_9_0_a2_0_RNIP4B96_5 "comb.alu_select.aluresult_1_iv_9_0_a2_0_RNIP4B96[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI05KH3_1 "r.e.aluop_RNI05KH3[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I266_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I266_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIVA0D2_1 "r.e.op1_RNIVA0D2[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_a_bp_RNIKFN48_1 "r.a.bp_RNIKFN48_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNIDOP2A "r.e.ctrl.annul_RNIDOP2A") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNII4H6E_5 "r.e.ctrl.rd_RNII4H6E[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNISCI85_23 "r.d.inst_0_RNISCI85[23]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNIP73H "r.e.ctrl.annul_RNIP73H") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNITA0D2_0 "r.e.op1_RNITA0D2[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I243_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I243_Y_0") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_debug_RNO_5 "r.x.debug_RNO_5") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_0 (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_m_irqen_RNO_0 "r.m.irqen_RNO_0") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0_a3_0_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_0 (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I240_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I240_Y_0") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I306_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I264_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I264_Y_0_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I259_un1_Y_0 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I318_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_d_annul_RNIP2H4_1 "r.d.annul_RNIP2H4_1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_cwp_RNIC3CD71_2 "r.e.cwp_RNIC3CD71[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIHCK05_2 "r.m.y_RNIHCK05[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_cwp_RNILSMMD_2 "r.e.cwp_RNILSMMD[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIORQF6_2 "r.e.ctrl.pc_RNIORQF6[2]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI9LUJ4_2 "r.w.s.wim_RNI9LUJ4[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIT4KH3_2 "r.w.s.wim_RNIT4KH3[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_werr_RNO_0 "r.m.werr_RNO_0") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNI8PG01 "r.e.ctrl.annul_RNI8PG01") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_3 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_2 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_0 (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I303_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_3 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_2 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_0 (viewRef prim (cellRef AO18 (libraryRef PA3))) ) (instance (rename r_x_debug_RNO_3 "r.x.debug_RNO_3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNI48JS21_3 "r.m.y_RNI48JS21[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIK7LK4_3 "r.m.y_RNIK7LK4[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIIDSV9_3 "r.e.ctrl.pc_RNIIDSV9[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIIFV85_3 "r.e.ctrl.pc_RNIIFV85[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIU4KH3_3 "r.w.s.wim_RNIU4KH3[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I314_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I265_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I265_Y_0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_a3_0_0 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_a3_0_0 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I317_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I240_un1_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I240_un1_Y_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_3 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_1 (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_0 (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I261_Y_2 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I261_Y_0 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNI1KEEK "r.e.ldbp2_RNI1KEEK") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIOEJ65_1 "r.m.y_RNIOEJ65[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNIHVUGA "r.e.ldbp2_RNIHVUGA") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_cwp_RNIHGPA8_1 "r.e.cwp_RNIHGPA8[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIH1QQ5_1 "r.w.s.wim_RNIH1QQ5[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIS4KH3_1 "r.w.s.wim_RNIS4KH3[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I263_Y_1 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I263_Y_0 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_e_ctrl_cnt_RNIDM631_0_0 "r.e.ctrl.cnt_RNIDM631_0[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI6I9O21 "r.e.jmpl_RNI6I9O21") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNI2GF1G_0 "r.m.y_RNI2GF1G[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIR6TB3_0 "r.m.y_RNIR6TB3[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_cwp_RNIJFMU7_0 "r.e.cwp_RNIJFMU7[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNI40IM4 "r.e.ldbp2_RNI40IM4") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIR4KH3_0 "r.w.s.wim_RNIR4KH3[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename rp_pwd_RNIVODI4 "rp.pwd_RNIVODI4") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_x_debug_RNO_7 "r.x.debug_RNO_7") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_5 "r.d.inull_RNO_5") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I259_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I267_Y_0 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_3 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_1 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_0 (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I308_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I262_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I262_Y_0_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I243_un1_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I243_un1_Y_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I263_Y_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I263_Y_0_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I264_Y_1 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I264_Y_0 (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_pv_RNINH8A "r.d.pv_RNINH8A") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I265_Y_1 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I265_Y_0 (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_7_2 "r.m.icc_RNO_7[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_5_2 "r.m.icc_RNO_5[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_6_2 "r.m.icc_RNO_6[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_16_2 "r.m.icc_RNO_16[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_10_2 "r.m.icc_RNO_10[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_13_2 "r.m.icc_RNO_13[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_24_2 "r.m.icc_RNO_24[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_14_2 "r.m.icc_RNO_14[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_15_2 "r.m.icc_RNO_15[2]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_17_2 "r.m.icc_RNO_17[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_8_2 "r.m.icc_RNO_8[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_9_2 "r.m.icc_RNO_9[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_18_2 "r.m.icc_RNO_18[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_11_2 "r.m.icc_RNO_11[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_12_2 "r.m.icc_RNO_12[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_25_2 "r.m.icc_RNO_25[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_22_2 "r.m.icc_RNO_22[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_23_2 "r.m.icc_RNO_23[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_19_2 "r.m.icc_RNO_19[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_21_2 "r.m.icc_RNO_21[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_20_2 "r.m.icc_RNO_20[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I263_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I261_Y_2 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I261_Y_0 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_3 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_2 (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_1 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_trap_RNIN5RI9 "r.e.ctrl.trap_RNIN5RI9") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I305_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I261_Y_2 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I261_Y_0 (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I263_Y_1 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I263_Y_0 (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I260_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I261_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I269_Y_0 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNI557G4_5 "r.e.ctrl.rd_RNI557G4[5]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename comb_op_find_un1_r_e_ctrl_rd_0_0_RNIHIND4 "comb.op_find.un1_r.e.ctrl.rd_0_0_RNIHIND4") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNI5P2A1_2 "r.e.ctrl.rd_RNI5P2A1[2]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIL57G4_5 "r.m.ctrl.rd_RNIL57G4[5]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNI5M9P5_4 "r.m.ctrl.rd_RNI5M9P5[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIC0GN3_0 "r.m.ctrl.rd_RNIC0GN3[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIN6DD2_0 "r.m.ctrl.rd_RNIN6DD2[0]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNILP2A1_3 "r.m.ctrl.rd_RNILP2A1[3]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIFCVQ_1 "r.m.ctrl.rd_RNIFCVQ[1]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNIT47G4_5 "r.a.ctrl.rd_RNIT47G4[5]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNIGKBJ5_0 "r.a.ctrl.rd_RNIGKBJ5[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNIC6F72_0 "r.a.ctrl.rd_RNIC6F72[0]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNINO2A1_1 "r.a.ctrl.rd_RNINO2A1[1]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIKU5R1_1 "r.e.op1_RNIKU5R1[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI3J6R1_6 "r.e.op1_RNI3J6R1[6]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6N6R1_7 "r.e.op1_RNI6N6R1[7]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I263_Y_1 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I263_Y_0 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I273_Y_0 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I244_un1_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I244_un1_Y_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I265_Y_1 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I265_Y_0 (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I260_un1_Y_1 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I264_Y_1 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I264_Y_0 (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I267_Y_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I272_Y_0 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I267_Y_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I132_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I132_Y_0") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNI6ST37 "r.w.s.dwt_RNI6ST37") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename ir_addr_RNIS1CC2_7 "ir.addr_RNIS1CC2[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_18 "r.f.pc_RNO_8[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_14 "r.f.pc_RNO_8[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_17 "r.f.pc_RNO_10[17]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNIURBI5_9 "ir.addr_RNIURBI5[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNIJLBC2_4 "ir.addr_RNIJLBC2[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNIMPBC2_5 "ir.addr_RNIMPBC2[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNIIFBI5_6 "ir.addr_RNIIFBI5[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNIMNSH5_11 "ir.addr_RNIMNSH5[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_12 "r.f.pc_RNO_8[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_21 "r.f.pc_RNO_10[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_26 "r.f.pc_RNO_8[26]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_20 "r.f.pc_RNO_8[20]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNIQNBI5_8 "ir.addr_RNIQNBI5[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNI9HML5_10 "ir.addr_RNI9HML5[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_25 "r.f.pc_RNO_10[25]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_29 "r.f.pc_RNO_8[29]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNIQ2MD4_3 "ir.addr_RNIQ2MD4[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_24 "r.f.pc_RNO_8[24]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_23 "r.f.pc_RNO_8[23]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_30 "r.f.pc_RNO_8[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_15 "r.f.pc_RNO_8[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_31 "r.f.pc_RNO_8[31]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_28 "r.f.pc_RNO_12[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_19 "r.f.pc_RNO_8[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_19 "r.f.pc_RNO_12[19]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_16 "r.f.pc_RNO_8[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8_22 "r.f.pc_RNO_8[22]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I265_Y_1 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I269_Y_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_31 "r.m.y_RNO_0[31]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_31 "r.m.y_RNO_1[31]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I264_Y_1 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I264_Y_0 (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I269_Y_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_10 "r.d.pv_RNO_10") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_11 "r.d.pv_RNO_11") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI1QIO5_12 "r.e.op1_RNI1QIO5[12]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI1B1T3_8 "r.e.op1_RNI1B1T3[8]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_e_op1_RNILR9P5_11 "r.e.op1_RNILR9P5[11]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6N1T3_9 "r.e.op1_RNI6N1T3[9]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_e_op1_RNII4R04_10 "r.e.op1_RNII4R04[10]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I273_Y_0 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I271_Y_0 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I268_Y_0 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_23 "r.m.y_RNO_0[23]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_23 "r.m.y_RNO_3[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_20 "r.m.y_RNO_0[20]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_20 "r.m.y_RNO_3[20]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_logic_op_y_iv_RNO_1_21 "comb.logic_op.y_iv_RNO_1[21]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename comb_logic_op_y_iv_RNO_2_21 "comb.logic_op.y_iv_RNO_2[21]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_22 "r.m.y_RNO_0[22]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_22 "r.m.y_RNO_3[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I271_Y_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_1 "r.m.y_RNO_0[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_1 "r.m.y_RNO_3[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_y_RNIA2QG1_0 "r.x.y_RNIA2QG1[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNIA6NV_0 "r.m.y_RNIA6NV[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I265_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I273_Y_0 (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_26 "r.m.y_RNO_0[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_26 "r.m.y_RNO_1[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_28 "r.m.y_RNO_0[28]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_28 "r.m.y_RNO_1[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_19 "r.m.y_RNO_0[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_19 "r.m.y_RNO_1[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIK7L46_17 "r.e.op1_RNIK7L46[17]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIK4B56_21 "r.e.op1_RNIK4B56[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI20M46_19 "r.e.op1_RNI20M46[19]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI77K46_23 "r.e.op1_RNI77K46[23]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI506C4_16 "r.e.op1_RNI506C4[16]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIDOA56_20 "r.e.op1_RNIDOA56[20]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI0RJ46_22 "r.e.op1_RNI0RJ46[22]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_3 "r.m.y_RNO_0[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_3 "r.m.y_RNO_1[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_2 "r.m.y_RNO_0[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_2 "r.m.y_RNO_3[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_4 "r.m.y_RNO_0[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_4 "r.m.y_RNO_3[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I272_Y_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_d_annul_RNI17OB "r.d.annul_RNI17OB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIDG9A_29 "r.a.ctrl.inst_RNIDG9A[29]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I273_un1_Y_0 (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_18 "r.m.y_RNO_0[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_18 "r.m.y_RNO_3[18]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_24 "r.m.y_RNO_0[24]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_24 "r.m.y_RNO_3[24]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_10 "r.m.y_RNO_0[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_10 "r.m.y_RNO_3[10]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_25 "r.m.y_RNO_0[25]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_25 "r.m.y_RNO_1[25]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_6 "r.m.y_RNO_0[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_6 "r.m.y_RNO_3[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_12 "r.m.y_RNO_0[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_12 "r.m.y_RNO_1[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_16 "r.m.y_RNO_0[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_16 "r.m.y_RNO_3[16]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_11 "r.m.y_RNO_0[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_11 "r.m.y_RNO_1[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_30 "r.m.y_RNO_0[30]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_30 "r.m.y_RNO_1[30]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_8 "r.m.y_RNO_0[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_8 "r.m.y_RNO_3[8]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_9 "r.m.y_RNO_0[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_9 "r.m.y_RNO_3[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_27 "r.m.y_RNO_0[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_27 "r.m.y_RNO_1[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_15 "r.m.y_RNO_0[15]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_15 "r.m.y_RNO_1[15]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_5 "r.m.y_RNO_0[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_5 "r.m.y_RNO_3[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_17 "r.m.y_RNO_0[17]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_17 "r.m.y_RNO_1[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_13 "r.m.y_RNO_0[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_13 "r.m.y_RNO_1[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_29 "r.m.y_RNO_0[29]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_29 "r.m.y_RNO_3[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_14 "r.m.y_RNO_0[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_14 "r.m.y_RNO_3[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I265_un1_Y_0 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_1_1 "r.e.ctrl.tt_RNO_1[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I272_Y_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I268_un1_Y_0 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNILK6C4_27 "r.e.op1_RNILK6C4[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIS8B56_30 "r.e.op1_RNIS8B56[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIC6E56_29 "r.e.op1_RNIC6E56[29]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2G5C4_31 "r.e.op1_RNI2G5C4[31]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIQS6C4_28 "r.e.op1_RNIQS6C4[28]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIGLC56_25 "r.e.op1_RNIGLC56[25]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNI3CA27_9 "r.x.result_RNI3CA27[9]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_e_op1_RNISBL46_26 "r.e.op1_RNISBL46[26]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNI0EHD7_10 "r.x.result_RNI0EHD7[10]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_x_result_RNIRJ927_8 "r.x.result_RNIRJ927[8]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6S5C4_24 "r.e.op1_RNI6S5C4[24]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_laddr_RNIDN857_0_1 "r.x.laddr_RNIDN857_0[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I269_un1_Y_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_a_bp_RNIHG6I "r.a.bp_RNIHG6I") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I272_un1_Y_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIRJL46_18 "r.e.op1_RNIRJL46[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I269_un1_Y_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I273_un1_Y_0 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I271_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNINDK41_1 "r.d.inst_0_RNINDK41[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIOHK41_0 "r.d.inst_0_RNIOHK41[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_dbgexc_un82_dbgm_RNIF5JE7 "comb.dbgexc.un82_dbgm_RNIF5JE7") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_et_RNIFL587 "r.w.s.et_RNIFL587") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_et_RNI6CE3 "r.w.s.et_RNI6CE3") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_1_2 "r.e.ctrl.tt_RNO_1[2]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_e_ctrl_trap_RNO_1 "r.e.ctrl.trap_RNO_1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_trap_RNO_2 "r.e.ctrl.trap_RNO_2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_0_5 "r.e.ctrl.tt_RNO_0[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_2_5 "r.e.ctrl.tt_RNO_2[5]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I229_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI9G1K3_6 "r.f.pc_RNI9G1K3[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNIVA7J7 "r.w.s.ps_RNIVA7J7") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dsur_asi_RNIJNRI3_6 "dsur.asi_RNIJNRI3[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNICO1K3_7 "r.f.pc_RNICO1K3[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI9C4D6_7 "r.x.data_0_RNI9C4D6[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_s_RNIP7DB2 "r.w.s.s_RNIP7DB2") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNICPLR2_7 "r.x.data_0_RNICPLR2[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI681K3_5 "r.f.pc_RNI681K3[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIGU6J7_5 "r.x.data_0_RNIGU6J7[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dsur_asi_RNIGJRI3_5 "dsur.asi_RNIGJRI3[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI89LR2_5 "r.x.data_0_RNI89LR2[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_0_4 "r.e.ctrl.tt_RNO_0[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_1_4 "r.e.ctrl.tt_RNO_1[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_2_4 "r.e.ctrl.tt_RNO_2[4]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_3_4 "r.e.ctrl.tt_RNO_3[4]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNISQFH2_3 "r.w.s.tba_RNISQFH2[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNICR595_15 "r.f.pc_RNICR595[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNISJEU2_15 "r.x.data_0_RNISJEU2[15]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIOIFH2_1 "r.w.s.tba_RNIOIFH2[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI4J595_13 "r.f.pc_RNI4J595[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIOJEU2_13 "r.x.data_0_RNIOJEU2[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIMGBH2_17 "r.w.s.tba_RNIMGBH2[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNISRNA2_29 "r.f.pc_RNISRNA2[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI0C214_29 "r.x.data_0_RNI0C214[29]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIBSEU2_29 "r.x.data_0_RNIBSEU2[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNI56TF2_7 "r.w.s.tt_RNI56TF2[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIIEVA5_11 "r.w.s.y_RNIIEVA5[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNINPPC2_3 "r.w.s.pil_RNINPPC2[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename dsur_tt_RNISUF44_7 "dsur.tt_RNISUF44[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNI3USF2_6 "r.w.s.tt_RNI3USF2[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIEAVA5_10 "r.w.s.y_RNIEAVA5[10]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNILPPC2_2 "r.w.s.pil_RNILPPC2[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename dsur_tt_RNIPUF44_6 "dsur.tt_RNIPUF44[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIIJEU2_10 "r.x.data_0_RNIIJEU2[10]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI4BGH2_7 "r.w.s.tba_RNI4BGH2[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNITB695_19 "r.f.pc_RNITB695[19]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI5KEU2_19 "r.x.data_0_RNI5KEU2[19]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIQMFH2_2 "r.w.s.tba_RNIQMFH2[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIE3NA2_14 "r.f.pc_RNIE3NA2[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNI8HG74 "r.w.s.dwt_RNI8HG74") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIVAFH2_9 "r.w.s.tba_RNIVAFH2[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNICRMA2_21 "r.f.pc_RNICRMA2[21]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNIOBU64_1 "r.w.s.icc_RNIOBU64[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIFGAH2_18 "r.w.s.tba_RNIFGAH2[18]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI2FPB6_30 "r.f.pc_RNI2FPB6[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIKJ214_30 "r.x.data_0_RNIKJ214[30]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIV3FU2_30 "r.x.data_0_RNIV3FU2[30]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI03GH2_5 "r.w.s.tba_RNI03GH2[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIK3695_17 "r.f.pc_RNIK3695[17]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI0KEU2_17 "r.x.data_0_RNI0KEU2[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename ir_addr_RNIN65E6_4 "ir.addr_RNIN65E6[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI5C8I3_4 "r.f.pc_RNI5C8I3[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI4JSV3_4 "r.x.data_0_RNI4JSV3[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI61LR2_4 "r.x.data_0_RNI61LR2[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIQKKB2_5 "r.w.s.tt_RNIQKKB2[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI378B5_9 "r.x.data_0_RNI378B5[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIUK7C2_9 "r.f.pc_RNIUK7C2[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIG9MR2_9 "r.x.data_0_RNIG9MR2[9]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIC8AH2_12 "r.w.s.tba_RNIC8AH2[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI8JPB6_24 "r.f.pc_RNI8JPB6[24]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIMB214_24 "r.x.data_0_RNIMB214[24]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI1SEU2_24 "r.x.data_0_RNI1SEU2[24]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIEGAH2_13 "r.w.s.tba_RNIEGAH2[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIKBNA2_25 "r.f.pc_RNIKBNA2[25]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIOB214_25 "r.x.data_0_RNIOB214[25]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI3SEU2_25 "r.x.data_0_RNI3SEU2[25]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIUUFH2_4 "r.w.s.tba_RNIUUFH2[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNILRL24_16 "r.f.pc_RNILRL24[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIK8BH2_16 "r.w.s.tba_RNIK8BH2[16]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIO3QB6_28 "r.f.pc_RNIO3QB6[28]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIUB214_28 "r.x.data_0_RNIUB214[28]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI9SEU2_28 "r.x.data_0_RNI9SEU2[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIMEFH2_0 "r.w.s.tba_RNIMEFH2[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIARMA2_12 "r.f.pc_RNIARMA2[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename dsur_err_RNIKT414 "dsur.err_RNIKT414") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIMJEU2_12 "r.x.data_0_RNIMJEU2[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNII0BH2_15 "r.w.s.tba_RNII0BH2[15]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI28M24_27 "r.f.pc_RNI28M24[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI7SEU2_27 "r.x.data_0_RNI7SEU2[27]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIA0AH2_11 "r.w.s.tba_RNIA0AH2[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIG3NA2_23 "r.f.pc_RNIG3NA2[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNIUBU64_3 "r.w.s.icc_RNIUBU64[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIT6FH2_8 "r.w.s.tba_RNIT6FH2[8]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIANMA2_20 "r.f.pc_RNIANMA2[20]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNILBU64_0 "r.w.s.icc_RNILBU64[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIGOAH2_14 "r.w.s.tba_RNIGOAH2[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIKN955_26 "r.f.pc_RNIKN955[26]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIOL1F1_26 "r.x.data_0_RNIOL1F1[26]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIHOAH2_19 "r.w.s.tba_RNIHOAH2[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI6JPB6_31 "r.f.pc_RNI6JPB6[31]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIMJ214_31 "r.x.data_0_RNIMJ214[31]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI14FU2_31 "r.x.data_0_RNI14FU2[31]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI8O9H2_10 "r.w.s.tba_RNI8O9H2[10]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIEVMA2_22 "r.f.pc_RNIEVMA2[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNIRBU64_2 "r.w.s.icc_RNIRBU64[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI27GH2_6 "r.w.s.tba_RNI27GH2[6]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNISRL24_18 "r.f.pc_RNISRL24[18]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIOGKB2_4 "r.w.s.tt_RNIOGKB2[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIVU7B5_8 "r.x.data_0_RNIVU7B5[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNISG7C2_8 "r.f.pc_RNISG7C2[8]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIE1MR2_8 "r.x.data_0_RNIE1MR2[8]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIU3FB1 "r.m.dci.enaddr_RNIU3FB1") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNISKLI2_18 "r.d.inst_0_RNISKLI2[18]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI8QG31_16 "r.d.inst_0_RNI8QG31[16]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_7 "r.m.y_RNO_2[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_6_7 "r.m.y_RNO_6[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_12_7 "r.m.y_RNO_12[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I272_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I293_Y_0_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_2_0 "r.e.ctrl.tt_RNO_2[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNI3QSH3_2 "r.f.pc_RNI3QSH3[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNILV0D2_2 "r.w.s.cwp_RNILV0D2[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI3JQ65_2 "r.x.data_0_RNI3JQ65[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNICHK91_2 "r.x.data_0_RNICHK91[2]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIOTND5_3 "r.x.data_0_RNIOTND5[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dsur_asi_RNIIOR72_3 "dsur.asi_RNIIOR72[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI4PKR2_3 "r.x.data_0_RNI4PKR2[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNID80J2 "r.x.mexc_RNID80J2") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I200_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIU3FB1_0 "r.m.dci.enaddr_RNIU3FB1_0") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename dsur_err_RNO_2 "dsur.err_RNO_2") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I145_Y_0 (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename vdsu_asi_1_sqmuxa_0 "vdsu.asi_1_sqmuxa_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I137_Y_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I272_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_0_3 "r.e.ctrl.tt_RNO_0[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_1_3 "r.e.ctrl.tt_RNO_1[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_3_3 "r.e.ctrl.tt_RNO_3[3]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_w_s_et_RNIKBMG "r.w.s.et_RNIKBMG") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dsur_asi_RNIJR0D2_1 "dsur.asi_RNIJR0D2[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI0BQ65_1 "r.x.data_0_RNI0BQ65[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIBDK91_1 "r.x.data_0_RNIBDK91[1]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename dsur_asi_RNIHN0D2_0 "dsur.asi_RNIHN0D2[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI8J644_0 "r.x.data_0_RNI8J644[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I113_Y_0 (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_w_s_svt_RNO_1 "r.w.s.svt_RNO_1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I113_Y_0 (viewRef prim (cellRef AO18 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I113_Y_0 (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_2_2 "r.e.ctrl.tt_RNO_2[2]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I137_Y_0 (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I121_Y_0 (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I121_Y_0 (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I122_Y_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I145_Y_0 (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I130_Y_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_0_0 "r.e.alusel_RNO_0[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_2_0 "r.e.alusel_RNO_2[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_0_1 "r.e.alusel_RNO_0[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIN0MA7_22 "r.a.ctrl.inst_RNIN0MA7[22]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNISLDU2_22 "r.a.ctrl.inst_RNISLDU2[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIQODL7_24 "r.a.ctrl.inst_RNIQODL7[24]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIVD593_20 "r.a.ctrl.inst_RNIVD593[20]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I129_Y_0 (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance (rename r_m_dci_size_RNO_0_0 "r.m.dci.size_RNO_0[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_dci_size_RNO_1_0 "r.m.dci.size_RNO_1[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_m_dci_size_RNO_1_1 "r.m.dci.size_RNO_1[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I146_Y_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename comb_lock_gen_icc_check6_RNIFP3Q "comb.lock_gen.icc_check6_RNIFP3Q") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I138_Y_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_a_su_RNIU6BH2 "r.a.su_RNIU6BH2") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNISCJE4 "r.x.mexc_RNISCJE4") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_result_RNIDS3M2_6 "r.x.result_RNIDS3M2[6]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIQFVI2 "r.x.mexc_RNIQFVI2") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNI03CN "r.x.mexc_RNI03CN") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I129_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_bp_RNIP2B6 "r.e.bp_RNIP2B6") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_dbgexc_un82_dbgm_RNO_1 "comb.dbgexc.un82_dbgm_RNO_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_dbgexc_un82_dbgm_RNO_2 "comb.dbgexc.un82_dbgm_RNO_2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I146_Y_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIMT7T2_30 "r.a.ctrl.inst_RNIMT7T2[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3_1_0 (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNISG4H2 "r.m.ctrl.trap_RNISG4H2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIQ27H1_1 "r.x.rstate_0_RNIQ27H1[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIPRLJ6_30 "r.a.ctrl.inst_RNIPRLJ6[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNIRCBH3_21 "r.a.ctrl.inst_0_RNIRCBH3[21]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIQ8925_21 "r.a.ctrl.inst_RNIQ8925[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI0R372_24 "r.a.ctrl.inst_RNI0R372[24]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIU02E1_0 "r.x.rstate_RNIU02E1[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIJKCQ_1 "r.x.rstate_0_RNIJKCQ[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIEQ6U3_22 "r.a.ctrl.inst_RNIEQ6U3[22]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIOBFG4_30 "r.a.ctrl.inst_RNIOBFG4[30]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un1_write_reg30_1_3_RNO_1 (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIO8JR1 "r.x.mexc_RNIO8JR1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI4UTM_0 "r.e.op2_RNI4UTM[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI40793_24 "r.e.ctrl.inst_RNI40793[24]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_9_7 "r.m.y_RNO_9[7]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI7ID87_22 "r.a.ctrl.inst_RNI7ID87[22]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNISL0F_1_21 "r.a.ctrl.inst_0_RNISL0F_1[21]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNI59AN5_21 "r.a.ctrl.inst_0_RNI59AN5[21]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI0Q593_19 "r.a.ctrl.inst_RNI0Q593[19]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I130_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I130_Y_0 (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIBBHE_1 "r.e.aluop_0_RNIBBHE[1]") (viewRef prim (cellRef XO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I147_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_su_RNIHH74 "r.a.su_RNIHH74") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_rd_gen_un19_rd_RNO "comb.rd_gen.un19_rd_RNO") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename comb_rd_gen_un19_rd_RNO_0 "comb.rd_gen.un19_rd_RNO_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3_1_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I67_un1_Y_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_RNIMSIA_1 "r.a.ctrl.cnt_RNIMSIA[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I146_Y_1 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_1_2 "dsur.crdy_RNO_1[2]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I91_un1_Y_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3_1_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIQ39B_1 "r.w.s.tt_RNIQ39B[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance data_8_sqmuxa_1 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance data_8_sqmuxa_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I71_Y_0_a3_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIN6NA1_0 "r.x.ctrl.rd_RNIN6NA1[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_cnt_RNI3ISC_0 "r.e.ctrl.cnt_RNI3ISC[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIGU7Q_3 "r.x.ctrl.rd_RNIGU7Q[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNICV3D_5 "r.x.ctrl.rd_RNICV3D[5]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNISU3D_1 "r.x.ctrl.rd_RNISU3D[1]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNICRIF_0 "r.x.ctrl.rd_RNICRIF[0]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_x_ctrl_wreg_RNI1S09 "r.x.ctrl.wreg_RNI1S09") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI5E693_24 "r.a.ctrl.inst_RNI5E693[24]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIQ1523_31 "r.a.ctrl.inst_RNIQ1523[31]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_0_1 "dsur.crdy_RNO_0[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_1_1 "dsur.crdy_RNO_1[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNIQ50F_21 "r.a.ctrl.inst_0_RNIQ50F[21]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIEH5I4_0 "r.x.rstate_RNIEH5I4[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNINV802_1 "r.x.rstate_0_RNINV802[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIJTNO_0 "r.x.rstate_RNIJTNO[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_m_ctrl_annul_RNI0K78 "r.m.ctrl.annul_RNI0K78") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_m_irqen2_RNIGCLA "r.m.irqen2_RNIGCLA") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIVC1S_20 "r.e.ctrl.inst_RNIVC1S[20]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIVC1S_0_20 "r.e.ctrl.inst_RNIVC1S_0[20]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_alucin_RNI0313 "r.e.alucin_RNI0313") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_cnt_RNIQA5L_0 "r.m.ctrl.cnt_RNIQA5L[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_8 "r.d.inull_RNO_8") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_debug_RNO_8 "r.x.debug_RNO_8") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_1_0 "r.e.alusel_RNO_1[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld_RNIDFP11 "r.m.ctrl.ld_RNIDFP11") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld_RNIQJFJ "r.m.ctrl.ld_RNIQJFJ") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld_RNIG6VD "r.m.ctrl.ld_RNIG6VD") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNIQ5P61_1 "r.x.dci.size_RNIQ5P61[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNI7AFO_1 "r.x.dci.size_RNI7AFO[1]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_x_intack_RNO_0 "r.x.intack_RNO_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_intack_RNO_1 "r.x.intack_RNO_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_6_3 "r.e.ctrl.tt_RNO_6[3]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_e_bp_RNITK18 "r.e.bp_RNITK18") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIBL7S1_5 "r.w.s.wim_RNIBL7S1[5]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIUV4P_5 "r.w.s.wim_RNIUV4P[5]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIRKK01_23 "r.d.inst_0_RNIRKK01[23]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIT8NH_23 "r.d.inst_0_RNIT8NH[23]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNINSV2_31 "r.d.inst_0_RNINSV2[31]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIH5K9_1 "r.d.cnt_RNIH5K9[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI42J4_19 "r.d.inst_0_RNI42J4[19]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance data_0_sqmuxa_0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I100_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I100_Y_0") (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIRCME_0_0 "r.d.cnt_RNIRCME_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIU27G_25 "r.d.inst_0_RNIU27G[25]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I108_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I108_Y_0") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNISL0F_21 "r.a.ctrl.inst_0_RNISL0F[21]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIR42H1_13 "r.a.ctrl.inst_RNIR42H1[13]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I124_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I124_Y_0") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_m_result_RNIUQB33_0 "r.m.result_RNIUQB33[0]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIGJ5R2_24 "r.e.ctrl.inst_RNIGJ5R2[24]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI2P1S_24 "r.e.ctrl.inst_RNI2P1S[24]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIHS0E_22 "r.e.ctrl.inst_RNIHS0E[22]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_dci_lock_RNO_2 "r.m.dci.lock_RNO_2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I116_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I116_Y_0") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_RNI84IJ_21 "r.d.inst_0_0_0_RNI84IJ[21]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIR026_31 "r.d.inst_0_RNIR026[31]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_RNI8MM7_21 "r.d.inst_0_0_0_RNI8MM7[21]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_annul_RNILOV2 "r.d.annul_RNILOV2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNITFU4_22 "r.d.inst_0_RNITFU4[22]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance data_3_sqmuxa_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance data_5_sqmuxa_1_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance data_5_sqmuxa_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI3SA9_1 "r.e.aluop_0_RNI3SA9[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIHI8M1_23 "r.e.ctrl.inst_RNIHI8M1[23]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI4T5J_23 "r.e.ctrl.inst_RNI4T5J[23]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_0_1 (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_0_0 (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_a_ctrl_wy_RNO_2 "r.a.ctrl.wy_RNO_2") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI05531_1_25 "r.x.ctrl.inst_RNI05531_1[25]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI3F3L_0_27 "r.x.ctrl.inst_RNI3F3L_0[27]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIUS912_0 "r.x.rstate_RNIUS912[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_1 (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_1 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_0_0 (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_d_annul_RNI3T16 "r.d.annul_RNI3T16") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_3_1 "r.e.alusel_RNO_3[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_0_0 (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI9S0E_25 "r.a.ctrl.inst_RNI9S0E[25]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNISL0F_0_21 "r.a.ctrl.inst_0_RNISL0F_0[21]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNI0B5T_21 "r.a.ctrl.inst_0_RNI0B5T[21]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_RNI615E_0 "r.a.ctrl.cnt_RNI615E[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename rp_pwd_RNIA1RB "rp.pwd_RNIA1RB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_w_s_et_RNI0SF2 "r.w.s.et_RNI0SF2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_icc_RNIJ0N92_1 "r.m.icc_RNIJ0N92[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_d_annul_RNI35C5 "r.d.annul_RNI35C5") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_et_RNI718B "r.a.et_RNI718B") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_5_0 "r.e.alusel_RNO_5[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIEK1E_19 "r.a.ctrl.inst_RNIEK1E[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIID2A1_22 "r.e.ctrl.inst_RNIID2A1[22]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIMK1E_22 "r.e.ctrl.inst_RNIMK1E[22]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ldbp1_RNO_0 "r.e.ldbp1_RNO_0") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a0_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a0_1 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_mem_trap_trap54_RNO_0 "comb.mem_trap.trap54_RNO_0") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0_1 (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0_0 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_RNI0BU9_0_0 "r.a.ctrl.cnt_RNI0BU9_0[0]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI901E_13 "r.a.ctrl.inst_RNI901E[13]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIGOT11_20 "r.e.ctrl.inst_RNIGOT11[20]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename s_dwt_0_sqmuxa_0 "s.dwt_0_sqmuxa_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI8T1E_19 "r.m.ctrl.inst_RNI8T1E[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIDLF3_1 "r.d.cnt_RNIDLF3[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I117_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I117_Y_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I77_un1_Y_m1_e_1 (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I125_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I125_Y_0") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I85_un1_Y_0_0 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance data_4_sqmuxa_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIEJ1S_30 "r.x.ctrl.inst_RNIEJ1S[30]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIF32S_20 "r.x.ctrl.inst_RNIF32S[20]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI8446_19 "r.d.inst_0_RNI8446[19]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI9OS11_20 "r.e.ctrl.inst_RNI9OS11[20]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNINO1E_23 "r.e.ctrl.inst_RNINO1E[23]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_dci_signed_RNO_0 "r.m.dci.signed_RNO_0") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_RNIJ648_0 "r.a.ctrl.cnt_RNIJ648[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI580E_20 "r.a.ctrl.inst_RNI580E[20]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I11_G0N_m1_e_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_RNI7IM7_21 "r.d.inst_0_0_0_RNI7IM7[21]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI66J4_2_23 "r.d.inst_0_RNI66J4_2[23]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I73_un1_Y_0_1 (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI62J4_0_23 "r.d.inst_0_RNI62J4_0[23]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I62_Y_m4_i_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_m4_i_0 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNIH33A "r.e.ctrl.annul_RNIH33A") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIOS1E_0_24 "r.e.ctrl.inst_RNIOS1E_0[24]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIOS1E_24 "r.e.ctrl.inst_RNIOS1E[24]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_RNIM0KB_21 "r.d.inst_0_0_0_RNIM0KB[21]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIAK79_24 "r.d.inst_0_RNIAK79[24]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIRK1L_20 "r.e.ctrl.inst_RNIRK1L[20]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_dci_read_RNO_2 "r.m.dci.read_RNO_2") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_m_dci_read_RNO_1 "r.m.dci.read_RNO_1") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIJD0E_20 "r.x.ctrl.inst_RNIJD0E[20]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_6_2 "dsur.crdy_RNO_6[2]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename comb_diagwr_un152_dbgunit_0 "comb.diagwr.un152_dbgunit_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance wr_0_sqmuxa_1 (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename comb_diagwr_un163_dbgunit_0 "comb.diagwr.un163_dbgunit_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI2423_0_24 "r.d.inst_0_RNI2423_0[24]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNIU91S_23 "r.m.ctrl.inst_RNIU91S[23]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_icc_RNILOP8_1 "r.m.icc_RNILOP8[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_pv_RNIJQBC "r.m.ctrl.pv_RNIJQBC") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI6E2S_23 "r.m.ctrl.inst_RNI6E2S[23]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_m_nalign_RNIV7Q8 "r.m.nalign_RNIV7Q8") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_dci_write_RNO_2 "r.m.dci.write_RNO_2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_mem_trap_trap54_RNO_3 "comb.mem_trap.trap54_RNO_3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNILL0E_22 "r.x.ctrl.inst_RNILL0E[22]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIQD1E_20 "r.x.ctrl.inst_RNIQD1E[20]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNIO92L_19 "r.m.ctrl.inst_RNIO92L[19]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename comb_mem_trap_trap54_RNO_1 "comb.mem_trap.trap54_RNO_1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNII2R4_0 "dsur.asi_RNII2R4[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename dsur_asi_RNIH083_3 "dsur.asi_RNIH083[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNISL1E_22 "r.x.ctrl.inst_RNISL1E[22]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI3F3L_27 "r.x.ctrl.inst_RNI3F3L[27]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNITAMH_5 "r.a.ctrl.inst_RNITAMH[5]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNISAEP_10 "r.a.ctrl.inst_RNISAEP[10]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIG5R8_7 "r.a.ctrl.inst_RNIG5R8[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIPUDB_12 "r.a.ctrl.inst_RNIPUDB[12]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNIB2K6_4 "r.x.ctrl.tt_RNIB2K6[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNI72K6_2 "r.x.ctrl.tt_RNI72K6[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNI32K6_0 "r.x.ctrl.tt_RNI32K6[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename rp_pwd_RNIOOD8 "rp.pwd_RNIOOD8") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename rp_error_RNI6DGE "rp.error_RNI6DGE") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_alusel_RNIJDA9_0_0 "r.e.alusel_RNIJDA9_0[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_irq_trap_un4_irl_1 "comb.irq_trap.un4_irl_1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_irq_trap_un4_irl_0 "comb.irq_trap.un4_irl_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_rett_RNIHNQB "r.x.ctrl.rett_RNIHNQB") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rett_RNIANQB "r.e.ctrl.rett_RNIANQB") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I200_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I205_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_d_inull_RNIPRHA "r.d.inull_RNIPRHA") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIQ1LUSN1 "r.e.ldbp2_1_RNIQ1LUSN1") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIVND8JQ "r.e.ldbp2_1_RNIVND8JQ") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pv_RNIA6VC9 "r.m.ctrl.pv_RNIA6VC9") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_0_0_tz (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_0 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a0 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_pv_RNI6TFN27 "r.d.pv_RNI6TFN27") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un1_rabpmisstt_b0_i_a3_RNILCJSN (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I122_Y_m2_0_a2 (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_0 (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I11_G0N_m1_e (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_0 (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I2_G0N_m1_e (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I131_un1_Y_m2_0_a2 (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a1 (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a0 (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_0 (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I85_un1_Y_0 (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I8_P0N_m1_e (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I3_P0N_m1_e (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIE0R84_0 "r.e.op2_RNIE0R84[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI3EAQ4_24 "r.e.ctrl.inst_RNI3EAQ4[24]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIHOENB9_0 "r.e.aluop_RNIHOENB9[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIRVFDD4_0 "r.e.op2_RNIRVFDD4[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I4_P0N_m1_e (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_trap_RNI3FF4C1 "r.e.ctrl.trap_RNI3FF4C1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNII9JBK "r.m.ctrl.trap_RNII9JBK") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNIQV06E "r.w.s.dwt_RNIQV06E") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNIFFAT1_1 "r.x.dci.size_RNIFFAT1[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNO_2 "r.x.mexc_RNO_2") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI3L50AT "r.e.ldbp2_1_RNI3L50AT") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld_RNI2PAO1 "r.m.ctrl.ld_RNI2PAO1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I1_G0N_a0 (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIKLAP41 "comb.lock_gen.ldlock2_1_RNIKLAP41") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_pv_RNIGC0D4 "r.d.pv_RNIGC0D4") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIVD3H1_0_24 "r.e.ctrl.inst_RNIVD3H1_0[24]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIVD3H1_24 "r.e.ctrl.inst_RNIVD3H1[24]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNID6SO1P "r.e.ldbp2_1_RNID6SO1P") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNI5NHN6 "r.m.ctrl.trap_RNI5NHN6") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_m_dci_lock_RNO "r.m.dci.lock_RNO") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNI4PLCE "r.m.ctrl.trap_RNI4PLCE") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_pv_RNO "r.a.ctrl.pv_RNO") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I121_Y (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I129_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I130_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I268_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I268_Y (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I138_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I145_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I259_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I271_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I271_Y (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I121_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I129_Y (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I145_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I264_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I268_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I268_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I235_Y "comb.branch_address.tmp_ADD_30x30_fast_I235_Y") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I234_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I234_un1_Y") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I244_Y "comb.branch_address.tmp_ADD_30x30_fast_I244_Y") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI1G54S1 "r.e.jmpl_RNI1G54S1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIJ043A_8 "r.f.pc_RNIJ043A[8]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_14 "r.w.s.y_RNO[14]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIREMQ7_18 "r.w.s.y_RNIREMQ7[18]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIH3V29_22 "r.f.pc_RNIH3V29[22]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIS2B5A_22 "r.e.op1_RNIS2B5A[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6I95A_20 "r.e.op1_RNI6I95A[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI7BB5A_18 "r.e.op1_RNI7BB5A[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIHQ95A_16 "r.e.op1_RNIHQ95A[16]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_9 "r.w.s.y_RNO[9]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI3M38Q1_8 "r.x.ctrl.pc_RNI3M38Q1[8]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename dsur_asi_RNIKNBQ8_3 "dsur.asi_RNIKNBQ8[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIC5K4A_23 "r.e.op1_RNIC5K4A[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIG7B7Q1_5 "r.x.ctrl.pc_RNIG7B7Q1[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI97U6D_24 "r.e.op1_RNI97U6D[24]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIF985A_10 "r.e.op1_RNIF985A[10]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNIRCO5B_2 "r.w.s.cwp_RNIRCO5B[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNISP6ID_26 "r.e.op1_RNISP6ID[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI613D9_9 "r.e.op1_RNI613D9[9]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNI0K4T8_26 "r.w.s.y_RNI0K4T8[26]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIS9439_20 "r.f.pc_RNIS9439[20]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_10 "r.w.s.y_RNO[10]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_25 "r.w.s.y_RNO[25]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNISB66D2_6 "r.e.op1_RNISB66D2[6]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI0J4S72 "r.e.jmpl_RNI0J4S72") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI9MEP82_5 "r.e.op1_RNI9MEP82[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIOFV29_23 "r.f.pc_RNIOFV29[23]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_20 "r.w.s.y_RNO[20]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIHGHQ7_27 "r.f.pc_RNIHGHQ7[27]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename dsur_err_RNIK7BT8 "dsur.err_RNIK7BT8") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI63C5A_15 "r.e.op1_RNI63C5A[15]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIJ1N7D_25 "r.e.op1_RNIJ1N7D[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIEKK2A3_13 "r.e.op1_RNIEKK2A3[13]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI696L43 "r.e.jmpl_RNI696L43") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_8 "ir.addr_RNO[8]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_28 "ir.addr_RNO[28]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIEULQ7_16 "r.w.s.y_RNIEULQ7[16]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIVSGHD_28 "r.e.op1_RNIVSGHD[28]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5 "ir.addr_RNO[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNII3C5A_19 "r.e.op1_RNII3C5A[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIB7H1Q1_7 "r.x.ctrl.pc_RNIB7H1Q1[7]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNILN06A_11 "r.e.op1_RNILN06A[11]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIQ74T8_25 "r.f.pc_RNIQ74T8[25]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI6AT3Q1_31 "r.x.ctrl.pc_RNI6AT3Q1[31]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI249D62 "r.e.jmpl_RNI249D62") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIGU7ID_31 "r.e.op1_RNIGU7ID[31]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_24 "ir.addr_RNO[24]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_17 "ir.addr_RNO[17]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNI280CC_5 "ir.addr_RNI280CC[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIR42D9_8 "r.e.op1_RNIR42D9[8]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_3 "r.w.s.icc_RNO[3]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_0 "r.w.s.icc_RNO[0]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIRG43A_9 "r.f.pc_RNIRG43A[9]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIIHVT1_0_20 "r.e.ctrl.inst_RNIIHVT1_0[20]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIIHVT1_20 "r.e.ctrl.inst_RNIIHVT1[20]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_20 "ir.addr_RNO[20]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNI1I97B_0 "r.w.s.tt_RNI1I97B[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI8CMFQ1_17 "r.x.ctrl.pc_RNI8CMFQ1[17]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_26 "ir.addr_RNO[26]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI3I439_21 "r.f.pc_RNI3I439[21]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIGBN39_14 "r.f.pc_RNIGBN39[14]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_4_2 "r.m.icc_RNO_4[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIA4I4A_12 "r.e.op1_RNIA4I4A[12]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJ6IC27 "r.e.jmpl_RNIJ6IC27") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_14 "r.m.y_RNO[14]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIQFDB6_2 "r.e.op1_RNIQFDB6[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIRO4I2_1 "r.w.s.tt_RNIRO4I2[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIKANA6_5 "r.e.op1_RNIKANA6[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI10EB6_3 "r.e.op1_RNI10EB6[3]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIDQMA6_4 "r.e.op1_RNIDQMA6[4]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I277_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I277_Y_0") (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I317_Y_0 (viewRef prim (cellRef AX1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I310_Y_0 (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_31 "r.f.pc_RNO[31]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_28 "r.f.pc_RNO[28]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_22 "r.f.pc_RNO[22]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_19 "r.f.pc_RNO[19]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_16 "r.f.pc_RNO[16]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5 "r.f.pc_RNO[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I296_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I239_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I239_un1_Y") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I308_Y_0 (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I273_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I273_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I294_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I322_Y_0 (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I259_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I137_Y (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I259_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I269_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I265_un1_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I265_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I265_un1_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_a3 (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I319_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0 (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_a3 (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I113_Y (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I305_Y_0 (viewRef prim (cellRef AX1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I300_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_m_y_RNICGTO26_26 "r.m.y_RNICGTO26[26]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename rp_pwd_RNIU5UM "rp.pwd_RNIU5UM") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_size_RNO_1 "r.m.dci.size_RNO[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_a_rfe1_RNIHVJL1 "r.a.rfe1_RNIHVJL1") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNID5K9_24 "r.d.inst_0_RNID5K9[24]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI9GSU1 "r.m.dci.enaddr_RNI9GSU1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNI36U5B_7 "ir.addr_RNI36U5B[7]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance data_0_sqmuxa (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_diagwr_un152_dbgunit "comb.diagwr.un152_dbgunit") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2BOA6_7 "r.e.op1_RNI2BOA6[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I303_Y_0 (viewRef prim (cellRef AX1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I307_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I298_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI5I3O1_24 "r.e.ctrl.inst_RNI5I3O1[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNITSNQ7_0 "r.w.s.y_RNITSNQ7[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIOTBI1_23 "r.d.inst_0_RNIOTBI1[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_2 "r.d.inull_RNO_2") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_4 "r.d.inull_RNO_4") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIEA1231_5 "r.e.ctrl.rd_RNIEA1231[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_regaddr_un13_rs1opt "comb.regaddr.un13_rs1opt") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I263_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I263_un1_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I263_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I318_Y_0 (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I271_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I113_Y (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I313_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIMGFB6_6 "r.e.op1_RNIMGFB6[6]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I297_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I261_un1_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I261_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15 "r.f.pc_RNO[15]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I273_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I273_Y_0") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_23 "ir.addr_RNO[23]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I232_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I232_un1_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNI62M7A_10 "r.w.s.y_RNI62M7A[10]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_svt_RNO_0 "r.w.s.svt_RNO_0") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNO_0 "r.w.s.dwt_RNO_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI03MMU_1 "r.x.rstate_0_RNI03MMU[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIA4O511_1 "r.x.rstate_0_RNIA4O511[1]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI589AU_0 "r.x.rstate_RNI589AU[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI7APK_0 "r.x.npc_0_RNI7APK[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNO_2 "r.w.s.dwt_RNO_2") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNO "r.w.s.dwt_RNO") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNO_0_0 "r.x.rstate_RNO_0[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_annul_RNIFF19S "r.x.ctrl.annul_RNIFF19S") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_ctrl_wy_RNIJQ6TU "r.x.ctrl.wy_RNIJQ6TU") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_28 "r.x.data_0_RNO[28]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIHAA5A_21 "r.e.op1_RNIHAA5A[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_18 "r.w.s.y_RNO[18]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIG4NFQ1_18 "r.x.ctrl.pc_RNIG4NFQ1[18]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNICRIFQ1_22 "r.x.ctrl.pc_RNICRIFQ1[22]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_22 "r.w.s.y_RNO[22]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_22 "r.m.y_RNO[22]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_22 "r.x.data_0_RNO[22]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_10 "ir.addr_RNO[10]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_14 "r.x.data_0_RNO[14]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_10 "r.x.data_0_RNO[10]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_8 "r.x.data_0_RNO[8]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_29 "r.x.data_0_RNO[29]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_m_dci_size_RNO_0 "r.m.dci.size_RNO[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNISIA5A_17 "r.e.op1_RNISIA5A[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_26 "r.x.data_0_RNO[26]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_17 "r.x.data_0_RNO[17]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_11 "r.x.data_0_RNO[11]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIQRG9A_5 "r.m.ctrl.rd_RNIQRG9A[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_annul_RNIRT7K "r.d.annul_RNIRT7K") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_e_bp_RNIQ1ES3_0 "r.e.bp_RNIQ1ES3_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_cwp_RNIVS96_0_2 "r.d.cwp_RNIVS96_0[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_m_y_RNISEPJ4_0 "r.m.y_RNISEPJ4[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIDHPM_1 "r.e.aluop_0_RNIDHPM[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNIVS96_2 "r.d.cwp_RNIVS96[2]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIJR2SP1_0 "r.e.op1_RNIJR2SP1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3 "comb.branch_address.tmp_ADD_30x30_fast_I233_Y_0_a3") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_30 "ir.addr_RNO[30]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I288_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I288_Y_0") (viewRef prim (cellRef AX1E (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_30 "r.f.pc_RNO[30]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I260_un1_Y (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I260_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I240_Y "comb.branch_address.tmp_ADD_30x30_fast_I240_Y") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIUFD71_31 "r.d.inst_0_RNIUFD71[31]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_bp_RNO "r.a.bp_RNO") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_23 "r.f.pc_RNO[23]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI62J4_23 "r.d.inst_0_RNI62J4[23]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_15 "ir.addr_RNO[15]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3 "ir.addr_RNO[3]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI1IGI7_2 "r.f.pc_RNI1IGI7[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I293_Y_0 (viewRef prim (cellRef AX1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_8 "r.f.pc_RNO[8]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6 "r.f.pc_RNO[6]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI8R6N39_8 "r.f.pc_RNI8R6N39[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIG6ION_1 "r.x.rstate_0_RNIG6ION[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I261_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I261_Y_0") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_13 "r.x.data_0_RNO[13]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_laddr_RNISJPQE_1 "r.x.laddr_RNISJPQE[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIHV5I61 "r.x.dci.signed_RNIHV5I61") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNISBKFQ1_24 "r.x.ctrl.pc_RNISBKFQ1[24]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNILKMFQ1_27 "r.x.ctrl.pc_RNILKMFQ1[27]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I113_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI4F6M3_16 "r.d.inst_0_RNI4F6M3[16]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNICAR3U_1 "r.x.ctrl.rd_RNICAR3U[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_regaddr_un29_rs1opt "comb.regaddr.un29_rs1opt") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_regaddr_un73_ra "comb.regaddr.un73_ra") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_1 "r.e.alusel_RNO[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_2_1 "r.e.alusel_RNO_2[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_annul_RNIEV9AA "r.a.ctrl.annul_RNIEV9AA") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIBO9C_23 "r.d.inst_0_RNIBO9C[23]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_a_ticc_RNO "r.a.ticc_RNO") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIES9C_23 "r.d.inst_0_RNIES9C[23]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_RNIL2TG_21 "r.d.inst_0_0_0_RNIL2TG[21]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIU26G_0 "r.d.cnt_RNIU26G[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_RNI9MOA_21 "r.d.inst_0_0_0_RNI9MOA[21]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI3AJ4_23 "r.d.inst_0_RNI3AJ4[23]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_d_cnt_RNO_0_0 "r.d.cnt_RNO_0[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIHI881_0 "r.x.rstate_RNIHI881[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename dsur_crdy_RNIEAJK1_2 "dsur.crdy_RNIEAJK1[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_0_2 "dsur.crdy_RNO_0[2]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_4_2 "dsur.crdy_RNO_4[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_1 "dsur.crdy_RNO[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNIOU68E1 "r.e.ctrl.annul_RNIOU68E1") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_d_annul_RNIEFND "r.d.annul_RNIEFND") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_d_annul_RNIR7A74_0 "r.d.annul_RNIR7A74_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_4 "r.d.pv_RNO_4") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_2 "r.d.pv_RNO_2") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_pv_RNO "r.d.pv_RNO") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_d_annul_RNIOG5VG "r.d.annul_RNIOG5VG") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_pv_RNICD97U7 "r.d.pv_RNICD97U7") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_23 "r.x.data_0_RNO[23]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_7 "r.x.data_0_RNO[7]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_25 "r.x.data_0_RNO[25]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_16 "r.x.data_0_RNO[16]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_18 "r.x.data_0_RNO[18]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_31 "r.x.data_0_RNO[31]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_d_pc_RNILIO6L8_2 "r.d.pc_RNILIO6L8[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNIBD8V "r.e.ctrl.annul_RNIBD8V") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance trap_0_sqmuxa_4_RNIJB4S3 (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_m_result_RNILLE71_1 "r.m.result_RNILLE71[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIO41L_22 "r.e.ctrl.inst_RNIO41L[22]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIA5SD2_1 "r.e.aluop_RNIA5SD2[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_1 "r.e.ctrl.tt_RNO[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_m_irqen_RNO "r.m.irqen_RNO") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_2_1 "r.e.ctrl.tt_RNO_2[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_trap_RNO_0 "r.e.ctrl.trap_RNO_0") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_m_dci_signed_RNO "r.m.dci.signed_RNO") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNIT5RTB1 "r.m.ctrl.trap_RNIT5RTB1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_a3_1 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3_1 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIOK591_1 "r.e.aluop_0_RNIOK591[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I306_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_16 "ir.addr_RNO[16]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_nalign_RNI0UR41 "r.m.nalign_RNI0UR41") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_s_RNILJU9R3 "r.w.s.s_RNILJU9R3") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI31AG5_22 "r.a.ctrl.inst_RNI31AG5[22]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIQC2A1_19 "r.a.ctrl.inst_RNIQC2A1[19]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIPG231_24 "r.a.ctrl.inst_RNIPG231[24]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNIUH0M_21 "r.a.ctrl.inst_0_RNIUH0M[21]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNISK2A1_19 "r.a.ctrl.inst_RNISK2A1[19]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIIG1S_24 "r.a.ctrl.inst_RNIIG1S[24]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIJO1S_24 "r.a.ctrl.inst_RNIJO1S[24]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIT7HJG3_1 "r.x.rstate_0_RNIT7HJG3[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNI2EP3C "r.e.ctrl.annul_RNI2EP3C") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I269_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I269_Y_0") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIVQ9KF "r.x.mexc_RNIVQ9KF") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIL428Q1_2 "r.x.ctrl.pc_RNIL428Q1[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNINR1DV1 "r.e.jmpl_RNINR1DV1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI743N42_2 "r.e.op1_RNI743N42[2]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI28QA1_19 "r.e.ctrl.inst_RNI28QA1[19]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIBGR61_1_0 "r.e.aluop_0_RNIBGR61_1[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIBNOH_2 "r.e.aluop_0_RNIBNOH[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIBGR61_0 "r.e.aluop_0_RNIBGR61[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI5S491_1 "r.e.aluop_0_RNI5S491[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIEAJ5_1 "r.e.aluop_0_RNIEAJ5[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI451JD_29 "r.e.op1_RNI451JD[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_2 "r.e.ctrl.tt_RNO[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_0_2 "r.e.ctrl.tt_RNO_0[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIDFC0Q1_14 "r.x.ctrl.pc_RNIDFC0Q1[14]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_19 "r.x.data_0_RNO[19]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_m_ctrl_wy_RNIR5IJ_1 "r.m.ctrl.wy_RNIR5IJ_1") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_m_ctrl_wy_RNIR5IJ "r.m.ctrl.wy_RNIR5IJ") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_op1_RNINDMJD_30 "r.e.op1_RNINDMJD[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI893A1_0_20 "r.x.ctrl.inst_RNI893A1_0[20]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI893A1_20 "r.x.ctrl.inst_RNI893A1[20]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI893A1_22 "r.x.ctrl.inst_RNI893A1[22]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNITM3O1_20 "r.x.ctrl.inst_RNITM3O1[20]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_cnt_RNO_0_1 "r.d.cnt_RNO_0[1]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIOSIB_21 "r.d.inst_0_RNIOSIB[21]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI8OHCQ1_1 "r.x.rstate_0_RNI8OHCQ1[1]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNILM231_21 "r.m.ctrl.inst_RNILM231[21]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename comb_mem_trap_trap54_RNO "comb.mem_trap.trap54_RNO") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIEAJ5_0_1 "r.e.aluop_0_RNIEAJ5_0[1]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_4 "r.e.ctrl.tt_RNO[4]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_1_5 "r.e.ctrl.tt_RNO_1[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_5 "r.e.ctrl.tt_RNO[5]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_3_5 "r.e.ctrl.tt_RNO_3[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_a_su_RNIRVDR3 "r.a.su_RNIRVDR3") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNI8PSUK1 "r.m.ctrl.trap_RNI8PSUK1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_annul_RNIRK1K4 "r.d.annul_RNIRK1K4") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_0_0 "r.e.ctrl.tt_RNO_0[0]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_1_0 "r.e.ctrl.tt_RNO_1[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIGNG566_26 "r.e.op1_RNIGNG566[26]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_alucin_RNO "r.e.alucin_RNO") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_alucin_RNO_7 "r.e.alucin_RNO_7") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_e_alucin_RNO_8 "r.e.alucin_RNO_8") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_alucin_RNO_4 "r.e.alucin_RNO_4") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_alucin_RNO_0 "r.e.alucin_RNO_0") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_alucin_RNO_2 "r.e.alucin_RNO_2") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_5 "r.w.s.y_RNO[5]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I267_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I267_Y_0") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename comb_lock_gen_icc_check6_RNIQU222 "comb.lock_gen.icc_check6_RNIQU222") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI703B_22 "r.d.inst_0_RNI703B[22]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIKI1A_21 "r.d.inst_0_RNIKI1A[21]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename comb_regaddr_un51_ra "comb.regaddr.un51_ra") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I268_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I268_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I315_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I267_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I267_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I314_Y_0 (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I145_Y (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I146_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_6 "r.x.data_0_RNO[6]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_a3_1 (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_invop2_0_RNIQV5F "r.e.invop2_0_RNIQV5F") (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIJVCB6_1 "r.e.op1_RNIJVCB6[1]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_24 "r.f.pc_RNO[24]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6CVID_27 "r.e.op1_RNI6CVID[27]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_27 "r.x.data_0_RNO[27]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNI9N1F61 "r.x.dci.signed_RNI9N1F61") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_15 "r.x.data_0_RNO[15]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_5 "r.x.data_0_RNO[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIDCC371 "r.x.dci.signed_RNIDCC371") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2 "r.x.data_0_RNO[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI2P2L_14 "r.e.ctrl.inst_RNI2P2L[14]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIF6J4_25 "r.d.inst_0_RNIF6J4[25]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0 "r.x.data_0_RNO[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1 "r.x.data_0_RNO[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3 "r.x.data_0_RNO[3]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_4 "r.x.data_0_RNO[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_9 "r.x.data_0_RNO[9]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_12 "r.x.data_0_RNO[12]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_20 "r.x.data_0_RNO[20]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_21 "r.x.data_0_RNO[21]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_24 "r.x.data_0_RNO[24]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_30 "r.x.data_0_RNO[30]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIGCVVM8_3 "r.f.pc_RNIGCVVM8[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI9NGSP8_4 "r.f.pc_RNI9NGSP8[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNILRJVT8_5 "r.f.pc_RNILRJVT8[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIBQ8109_6 "r.f.pc_RNIBQ8109[6]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIFU1L39_7 "r.f.pc_RNIFU1L39[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNISLFM89_1 "r.x.rstate_0_RNISLFM89[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI19CEC9_10 "r.f.pc_RNI19CEC9[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIVKTCJ9_11 "r.f.pc_RNIVKTCJ9[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_2 "r.f.pc_RNO[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3 "r.f.pc_RNO[3]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4 "r.f.pc_RNO[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7 "r.f.pc_RNO[7]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9 "r.f.pc_RNO[9]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10 "r.f.pc_RNO[10]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11 "r.f.pc_RNO[11]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12 "r.f.pc_RNO[12]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13 "r.f.pc_RNO[13]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14 "r.f.pc_RNO[14]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_17 "r.f.pc_RNO[17]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_18 "r.f.pc_RNO[18]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_20 "r.f.pc_RNO[20]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_21 "r.f.pc_RNO[21]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_25 "r.f.pc_RNO[25]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_26 "r.f.pc_RNO[26]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_27 "r.f.pc_RNO[27]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_29 "r.f.pc_RNO[29]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I292_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename un1_r_w_s_cwp_1_1_SUM1_0 "un1_r.w.s.cwp_1_1_SUM1_0") (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNI43KSE_4 "r.a.ctrl.rd_RNI43KSE[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I263_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I263_Y_0") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I272_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I272_Y_0") (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I278_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I278_Y_0") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I284_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I284_Y_0") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I285_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I285_Y_0") (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNICCP9Q1_4 "r.x.ctrl.rd_RNICCP9Q1[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_laddr_RNIUL7U81_0 "r.x.laddr_RNIUL7U81[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIH5G5F5_22 "r.e.op1_RNIH5G5F5[22]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIFGP9Q1_5 "r.x.ctrl.rd_RNIFGP9Q1[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNICFCB6_0 "r.e.op1_RNICFCB6[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIIKP9Q1_6 "r.x.ctrl.rd_RNIIKP9Q1[6]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIF54P57_31 "r.e.op1_RNIF54P57[31]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIITDUU5_25 "r.e.op1_RNIITDUU5[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI0OTH05_20 "r.e.op1_RNI0OTH05[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIEA6M45_21 "r.e.op1_RNIEA6M45[21]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI1OL1V1_1 "r.e.op1_RNI1OL1V1[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIJV50R5_24 "r.e.op1_RNIJV50R5[24]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI013H1_24 "r.a.ctrl.inst_RNI013H1[24]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI10TO76_27 "r.e.op1_RNI10TO76[27]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIDS48E6_28 "r.e.op1_RNIDS48E6[28]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIKVOK_0 "r.e.aluop_0_RNIKVOK[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIUJFQ2_0 "r.e.aluop_0_RNIUJFQ2[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance pc_1_sqmuxa (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_w_s_et_RNI558521 "r.w.s.et_RNI558521") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIN9JM47_30 "r.e.op1_RNIN9JM47[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIBI6R_29 "r.a.ctrl.inst_RNIBI6R[29]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIA869_19 "r.d.inst_0_RNIA869[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_4_0 "r.e.alusel_RNO_4[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNIII2I1_21 "r.a.ctrl.inst_0_RNIII2I1[21]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNID22B1_0_21 "r.a.ctrl.inst_0_RNID22B1_0[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_0_RNID22B1_21 "r.a.ctrl.inst_0_RNID22B1[21]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIP42A1_21 "r.a.ctrl.inst_RNIP42A1[21]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI193H1_21 "r.a.ctrl.inst_RNI193H1[21]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIMS131_31 "r.a.ctrl.inst_RNIMS131[31]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIN02A1_20 "r.a.ctrl.inst_RNIN02A1[20]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_2_3 "r.e.ctrl.tt_RNO_2[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_4_3 "r.e.ctrl.tt_RNO_4[3]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI6PBR1_21 "r.a.ctrl.inst_RNI6PBR1[21]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIE41S_20 "r.a.ctrl.inst_RNIE41S[20]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIQG231_21 "r.a.ctrl.inst_RNIQG231[21]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIO02A1_20 "r.a.ctrl.inst_RNIO02A1[20]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIQC2A1_31 "r.a.ctrl.inst_RNIQC2A1[31]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI4H3O1_22 "r.a.ctrl.inst_RNI4H3O1[22]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIR82H1_23 "r.a.ctrl.inst_RNIR82H1[23]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_3 "r.e.ctrl.tt_RNO[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_debug_RNO_6 "r.x.debug_RNO_6") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_debug_RNO_4 "r.x.debug_RNO_4") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename dsur_err_RNO_1 "dsur.err_RNO_1") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_w_s_et_RNI6PFTR "r.w.s.et_RNI6PFTR") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_w_s_et_RNIHB7RT "r.w.s.et_RNIHB7RT") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_m_y_RNO_5_7 "r.m.y_RNO_5[7]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_7 "r.m.y_RNO_1[7]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_7 "r.m.y_RNO[7]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI24BLF5_23 "r.e.op1_RNI24BLF5[23]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNINP0041_1 "r.x.rstate_0_RNINP0041[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename rp_pwd_RNINQ7ES "rp.pwd_RNINQ7ES") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNI4MGI7_3 "r.f.pc_RNI4MGI7[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIRRLIM6_29 "r.e.op1_RNIRRLIM6[29]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICIRC2_5_0 "r.x.rstate_RNICIRC2_5[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNI9III_0_2 "r.w.s.cwp_RNI9III_0[2]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNI9III_2 "r.w.s.cwp_RNI9III[2]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNI5BQQ1_2 "r.w.s.cwp_RNI5BQQ1[2]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNI9HKPU_2 "r.w.s.cwp_RNI9HKPU[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNIEAHL74_0 "r.x.result_RNIEAHL74[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIUI9N3_20 "r.x.ctrl.inst_RNIUI9N3[20]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI8FIRV_30 "r.x.ctrl.inst_RNI8FIRV[30]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename s_dwt_0_sqmuxa "s.dwt_0_sqmuxa") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICIRC2_2_0 "r.x.rstate_RNICIRC2_2[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_ctrl_wy_RNIMUT1S "r.x.ctrl.wy_RNIMUT1S") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI7APK_3_0 "r.x.npc_0_RNI7APK_3[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI7APK_5_0 "r.x.npc_0_RNI7APK_5[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI7APK_0_0 "r.x.npc_0_RNI7APK_0[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_debug_RNO_1 "r.x.debug_RNO_1") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI1D691_1 "r.e.aluop_0_RNI1D691[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI11JC_1 "r.e.aluop_0_RNI11JC[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIHSVU_1 "r.e.aluop_0_RNIHSVU[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIIPPM_1 "r.e.aluop_0_RNIIPPM[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIPC0V_1 "r.e.aluop_0_RNIPC0V[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIDC591_1 "r.e.aluop_0_RNIDC591[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNILSVU_1 "r.e.aluop_0_RNILSVU[1]") (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIT40V_1 "r.e.aluop_0_RNIT40V[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIPK591_1 "r.e.aluop_0_RNIPK591[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIIC591_1 "r.e.aluop_0_RNIIC591[1]") (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI1POM_1 "r.e.aluop_0_RNI1POM[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIQ52V_1 "r.e.aluop_1_RNIQ52V[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIIL1V_1 "r.e.aluop_1_RNIIL1V[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNISS591_1 "r.e.aluop_1_RNISS591[1]") (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI9HD31_1 "r.e.aluop_1_RNI9HD31[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIUGOM_1 "r.e.aluop_1_RNIUGOM[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIEL1V_1 "r.e.aluop_1_RNIEL1V[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIUK0V_1 "r.e.aluop_1_RNIUK0V[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI6ALC_1 "r.e.aluop_1_RNI6ALC[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIQ9QM_1 "r.e.aluop_1_RNIQ9QM[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI2L691_1 "r.e.aluop_1_RNI2L691[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI1D691_1 "r.e.aluop_1_RNI1D691[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIA9PM_1 "r.e.aluop_1_RNIA9PM[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI71PM_1 "r.e.aluop_1_RNI71PM[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI2T0V_1 "r.e.aluop_1_RNI2T0V[1]") (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance data_2_sqmuxa (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld_RNIRO6O6 "r.m.ctrl.ld_RNIRO6O6") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_19 "r.w.s.y_RNO[19]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_31 "r.m.y_RNO[31]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_29 "r.m.y_RNO[29]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_8 "r.w.s.y_RNO[8]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_13 "r.m.y_RNO[13]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_15 "r.w.s.y_RNO[15]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_17 "r.m.y_RNO[17]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_29 "r.w.s.y_RNO[29]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_wicc_RNIICA9S "r.x.ctrl.wicc_RNIICA9S") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_ctrl_wicc_RNIF3E1U "r.x.ctrl.wicc_RNIF3E1U") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI0UGJT_1 "r.x.rstate_0_RNI0UGJT[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNITR8R2_25 "r.x.ctrl.inst_RNITR8R2[25]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance data_8_sqmuxa (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance data_0_sqmuxa_1 (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIEEM7A_11 "r.w.s.y_RNIEEM7A[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_ctrl_cnt_RNIANRH2_0 "r.e.ctrl.cnt_RNIANRH2[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_2 "r.w.s.icc_RNO[2]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI79C5Q1_10 "r.x.ctrl.pc_RNI79C5Q1[10]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI43H5Q1_26 "r.x.ctrl.pc_RNI43H5Q1[26]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIG3J5Q1_19 "r.x.ctrl.pc_RNIG3J5Q1[19]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_18 "ir.addr_RNO[18]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1 "r.m.y_RNO[1]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1 "r.w.s.y_RNO[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_27 "ir.addr_RNO[27]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIBN46Q1_11 "r.x.ctrl.pc_RNIBN46Q1[11]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIF746Q1_20 "r.x.ctrl.pc_RNIF746Q1[20]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIOOBT8_1 "r.w.s.y_RNIOOBT8[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI35VTP1_9 "r.x.ctrl.pc_RNI35VTP1[9]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_5 "r.m.y_RNO[5]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_1 "r.w.s.icc_RNO[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIEA1L4 "r.x.mexc_RNIEA1L4") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_a_bp_RNIQD984_0 "r.a.bp_RNIQD984_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_19 "ir.addr_RNO[19]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_17 "r.w.s.y_RNO[17]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_28 "r.w.s.y_RNO[28]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_11 "ir.addr_RNO[11]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_15 "r.m.y_RNO[15]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_7 "ir.addr_RNO[7]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_7 "r.w.s.y_RNO[7]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4 "r.m.y_RNO[4]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_19 "r.m.y_RNO[19]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI0UGJT_0_1 "r.x.rstate_0_RNI0UGJT_0[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIIO5T8_29 "r.f.pc_RNIIO5T8[29]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIHS16J6 "r.e.jmpl_RNIHS16J6") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_29 "ir.addr_RNO[29]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_13 "r.w.s.y_RNO[13]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNISSOT_1 "r.e.aluop_1_RNISSOT[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIKQF9_1 "r.x.rstate_0_RNIKQF9[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_27 "r.m.y_RNO[27]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_28 "r.m.y_RNO[28]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_9 "r.m.y_RNO[9]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_8 "r.m.y_RNO[8]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_21 "r.w.s.y_RNO[21]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_20 "r.m.y_RNO[20]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_26 "r.m.y_RNO[26]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_23 "r.w.s.y_RNO[23]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI4LO8C5 "r.e.jmpl_RNI4LO8C5") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_3_0 "r.e.alusel_RNO_3[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_RNI2F541_0 "r.a.ctrl.cnt_RNI2F541[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_1_1 "r.e.alusel_RNO_1[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIUS2A1_25 "r.a.ctrl.inst_RNIUS2A1[25]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_0 "r.e.alusel_RNO[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIKJDV5_30 "r.a.ctrl.inst_RNIKJDV5[30]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIONJ48_30 "r.a.ctrl.inst_RNIONJ48[30]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIUCPB8_20 "r.a.ctrl.inst_RNIUCPB8[20]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIDL68H_24 "r.a.ctrl.inst_RNIDL68H[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI970VD_30 "r.a.ctrl.inst_RNI970VD[30]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_su_RNIRL3OM "r.a.su_RNIRL3OM") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_w_s_et_RNI7Q0K2 "r.w.s.et_RNI7Q0K2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_mem_trap_trap54_RNO_2 "comb.mem_trap.trap54_RNO_2") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_m_y_RNIUPEV02_4 "r.m.y_RNIUPEV02[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIKAG962_4 "r.e.op1_RNIKAG962[4]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIR766Q1_13 "r.x.ctrl.pc_RNIR766Q1[13]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIDBBBQ1_16 "r.x.ctrl.pc_RNIDBBBQ1[16]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIOPD5Q1_12 "r.x.ctrl.pc_RNIOPD5Q1[12]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIRUCN2_0 "r.x.rstate_RNIRUCN2[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI11BNB2_7 "r.e.op1_RNI11BNB2[7]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename ir_addr_RNILS0CC_6 "ir.addr_RNILS0CC[6]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIGGCN5_31 "r.a.ctrl.inst_RNIGGCN5[31]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIMGKM9_24 "r.a.ctrl.inst_RNIMGKM9[24]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIP15R2_13 "r.a.ctrl.inst_RNIP15R2[13]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_16 "r.w.s.y_RNO[16]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_d_pv_RNI0SND4 "r.d.pv_RNI0SND4") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI1FA0Q1_21 "r.x.ctrl.pc_RNI1FA0Q1[21]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIF14R8_10 "r.f.pc_RNIF14R8[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI9DP4G_21 "r.m.ctrl.inst_RNI9DP4G[21]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNINB7E_23 "r.d.inst_0_RNINB7E[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance data_5_sqmuxa (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance data_4_sqmuxa (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI7I8IP1_3 "r.x.ctrl.pc_RNI7I8IP1[3]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNIO6A2K4 "r.w.s.ps_RNIO6A2K4") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_25 "ir.addr_RNO[25]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI9V6N8_8 "r.f.pc_RNI9V6N8[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_31 "ir.addr_RNO[31]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_22 "ir.addr_RNO[22]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_30 "r.m.y_RNO[30]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_30 "r.w.s.y_RNO[30]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_result_RNITNF632_5 "r.x.result_RNITNF632[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_11 "r.m.y_RNO[11]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_11 "r.w.s.y_RNO[11]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2 "ir.addr_RNO[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI55HRA6 "r.e.ldbp2_1_RNI55HRA6") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIM036A_14 "r.e.op1_RNIM036A[14]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_16 "r.m.y_RNO[16]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIT7AN8_11 "r.f.pc_RNIT7AN8[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIVE6N8_6 "r.f.pc_RNIVE6N8[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIQ66N8_5 "r.f.pc_RNIQ66N8[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNILU5N8_4 "r.f.pc_RNILU5N8[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI8ITPP1_28 "r.x.ctrl.pc_RNI8ITPP1[28]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIE77N8_9 "r.f.pc_RNIE77N8[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_26 "r.w.s.y_RNO[26]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_12 "ir.addr_RNO[12]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIRG9C46 "r.e.ldbp2_2_RNIRG9C46") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_12 "r.m.y_RNO[12]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_12 "r.w.s.y_RNO[12]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_6 "r.m.y_RNO[6]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_6 "r.w.s.y_RNO[6]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3 "r.w.s.y_RNO[3]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4 "ir.addr_RNO[4]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_4 "r.w.s.y_RNO[4]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2 "r.m.y_RNO[2]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2 "r.w.s.y_RNO[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_27 "r.w.s.y_RNO[27]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNIL6SJ_0 "r.x.ctrl.tt_RNIL6SJ[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJ8JJN5 "r.e.jmpl_RNIJ8JJN5") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIBG9RR1 "r.e.jmpl_RNIBG9RR1") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIU2NK33_12 "r.e.op1_RNIU2NK33[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIVD84G4_19 "r.m.y_RNIVD84G4[19]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIP9OHL4_19 "r.e.op1_RNIP9OHL4[19]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIOEVDF3 "r.e.jmpl_RNIOEVDF3") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6AERK3_15 "r.e.op1_RNI6AERK3[15]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNILGUOS2_10 "r.e.op1_RNILGUOS2[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI5770R2 "r.e.jmpl_RNI5770R2") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI72LD03_11 "r.e.op1_RNI72LD03[11]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIKBK915 "r.e.jmpl_RNIKBK915") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance data_3_sqmuxa (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_25 "r.m.y_RNO[25]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_31 "r.w.s.y_RNO[31]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI9V5AE4_17 "r.e.op1_RNI9V5AE4[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI9K3SK3 "r.e.ldbp2_1_RNI9K3SK3") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIQNI9Q3 "r.e.ldbp2_1_RNIQNI9Q3") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_10 "r.m.y_RNO[10]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIBVIQP1_25 "r.x.ctrl.pc_RNIBVIQP1[25]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI0PPPP1_23 "r.x.ctrl.pc_RNI0PPPP1[23]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6 "ir.addr_RNO[6]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_intack_RNO "r.x.intack_RNO") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIVA9IP1_6 "r.x.ctrl.pc_RNIVA9IP1[6]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIK4HHP1_4 "r.x.ctrl.pc_RNIK4HHP1[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_0 "r.w.s.y_RNO[0]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI4V90Q1_30 "r.x.ctrl.pc_RNI4V90Q1[30]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_24 "r.m.y_RNO[24]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIGIA5A_13 "r.e.op1_RNIGIA5A[13]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3 "r.m.y_RNO[3]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_result_RNIVDVN37_2 "r.x.result_RNIVDVN37[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_result_RNIGI4O46_1 "r.x.result_RNIGI4O46[1]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIB7JM_0 "r.x.rstate_RNIB7JM[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIVMIQP1_15 "r.x.ctrl.pc_RNIVMIQP1[15]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_23 "r.m.y_RNO[23]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_24 "r.w.s.y_RNO[24]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNILUTOB5 "r.e.jmpl_RNILUTOB5") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_18 "r.m.y_RNO[18]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI4EBBN4_18 "r.e.op1_RNI4EBBN4[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIBG8BK3_14 "r.e.op1_RNIBG8BK3[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_13 "ir.addr_RNO[13]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_14 "ir.addr_RNO[14]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_21 "ir.addr_RNO[21]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_werr_RNO "r.m.werr_RNO") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_m_y_RNIEAKBF2_9 "r.m.y_RNIEAKBF2[9]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIJFMLK2_9 "r.e.op1_RNIJFMLK2[9]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_9 "ir.addr_RNO[9]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIB0MQP1_29 "r.x.ctrl.pc_RNIB0MQP1[29]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI4N6N8_7 "r.f.pc_RNI4N6N8[7]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIMKB5H2_8 "r.e.op1_RNIMKB5H2[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNINR78V_1 "r.x.rstate_0_RNINR78V[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIKS6E12_3 "r.e.op1_RNIKS6E12[3]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNICCJR2_3 "r.d.inst_0_RNICCJR2[3]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIR1LAL1_13 "r.d.inst_0_RNIR1LAL1[13]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIRP5CH1_0_13 "r.d.inst_0_RNIRP5CH1_0[13]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIH5I2F_4 "r.m.ctrl.rd_RNIH5I2F[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIO21RE_5 "r.e.ctrl.rd_RNIO21RE[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I244_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I244_un1_Y") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I238_Y "comb.branch_address.tmp_ADD_30x30_fast_I238_Y") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I238_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I238_un1_Y") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I236_Y "comb.branch_address.tmp_ADD_30x30_fast_I236_Y") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I236_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I236_un1_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I242_Y "comb.branch_address.tmp_ADD_30x30_fast_I242_Y") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I242_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I242_un1_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I234_Y "comb.branch_address.tmp_ADD_30x30_fast_I234_Y") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I243_Y "comb.branch_address.tmp_ADD_30x30_fast_I243_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I125_Y "comb.branch_address.tmp_ADD_30x30_fast_I125_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I124_Y "comb.branch_address.tmp_ADD_30x30_fast_I124_Y") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I117_Y "comb.branch_address.tmp_ADD_30x30_fast_I117_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I116_Y "comb.branch_address.tmp_ADD_30x30_fast_I116_Y") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I109_Y "comb.branch_address.tmp_ADD_30x30_fast_I109_Y") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I108_Y "comb.branch_address.tmp_ADD_30x30_fast_I108_Y") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I100_Y "comb.branch_address.tmp_ADD_30x30_fast_I100_Y") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I137_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I130_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3_1 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I260_un1_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I130_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I129_Y (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I122_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I121_Y (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIQK206_1 "r.x.rstate_0_RNIQK206[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI05531_25 "r.x.ctrl.inst_RNI05531[25]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pv_RNID9DP "r.x.ctrl.pv_RNID9DP") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIKP6N3 "r.x.mexc_RNIKP6N3") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIA9DP2 "r.x.mexc_RNIA9DP2") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_7 "r.m.y_RNO_3[7]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_7 "r.m.y_RNO_4[7]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance trap_0_sqmuxa_4_RNIL21FR (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_wy_RNO_0 "r.a.ctrl.wy_RNO_0") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_wy_RNO_1 "r.a.ctrl.wy_RNO_1") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNI01TEH "comb.lock_gen.ldlock2_1_RNI01TEH") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNIGF7T "r.m.ctrl.trap_RNIGF7T") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_pv_RNIEMDRK1 "r.d.pv_RNIEMDRK1") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename rp_pwd_RNINA851 "rp.pwd_RNINA851") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI5HEI6_1 "r.x.rstate_0_RNI5HEI6[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNI6FM3C2 "comb.lock_gen.ldlock2_1_RNI6FM3C2") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_pv_RNIHGSQA6 "r.d.pv_RNIHGSQA6") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNI8HA2P "comb.lock_gen.ldlock2_1_RNI8HA2P") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNI8SAIN6 "comb.lock_gen.ldlock2_1_RNI8SAIN6") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_f_branch_RNI574NO3 "r.f.branch_RNI574NO3") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNICHM573 "comb.lock_gen.ldlock2_1_RNICHM573") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_branch_RNIPK5FND "r.f.branch_RNIPK5FND") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIJS9TU2 "comb.lock_gen.ldlock2_1_RNIJS9TU2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I1_P0N_a0 (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_a0 (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I2_P0N_m1_e (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIT6K4V2 "comb.lock_gen.ldlock2_1_RNIT6K4V2") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIEMDRK1 "comb.lock_gen.ldlock2_1_RNIEMDRK1") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNI5HL821 "comb.lock_gen.ldlock2_1_RNI5HL821") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I87_Y_0_a3 (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIJSOI9_31 "r.a.ctrl.inst_RNIJSOI9[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNILEQFDA "r.e.ldbp2_1_RNILEQFDA") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIC95FPE "r.e.ldbp2_2_RNIC95FPE") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI0UHT2_0 "r.x.rstate_RNI0UHT2[0]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIHE30A1_1 "r.x.rstate_0_RNIHE30A1[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_mexc_1_m6_i_a4_0 "r.x.mexc_1_m6_i_a4_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIKH0E_22 "r.x.ctrl.inst_RNIKH0E[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNI9JB9A "comb.lock_gen.ldlock2_1_RNI9JB9A") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIAPJ54 "comb.lock_gen.ldlock2_1_RNIAPJ54") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_0_0 "comb.branch_address.tmp_ADD_30x30_fast_I233_Y_0_a3_0_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_ticc_RNO_0 "r.a.ticc_RNO_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_pv_RNI83B6 "r.d.pv_RNI83B6") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI864D_0 "r.x.rstate_RNI864D[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_trap_RNI2ROB "r.x.ctrl.trap_RNI2ROB") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI17D01_1_0 "r.x.rstate_RNI17D01_1[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I114_Y_0 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNI6EJA "r.m.ctrl.trap_RNI6EJA") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_w_s_svt_RNI4KR6 "r.w.s.svt_RNI4KR6") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIT15I1_22 "r.a.ctrl.inst_RNIT15I1[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIFK0E_0_22 "r.e.ctrl.inst_RNIFK0E_0[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNID01L_0_30 "r.a.ctrl.inst_RNID01L_0[30]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_me_size_1_1_m9_0_a2_3 "comb.me_size_1_1_m9_0_a2_3") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename comb_me_size_1_1_m9_0_a2_0 "comb.me_size_1_1_m9_0_a2_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I101_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I101_Y_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance data_9_sqmuxa_1_0 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance data_9_sqmuxa_0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIAK79_0_24 "r.d.inst_0_RNIAK79_0[24]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance data_2_sqmuxa_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance data_0_sqmuxa_1_0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNII01E_22 "r.e.ctrl.inst_RNII01E[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI4423_24 "r.d.inst_0_RNI4423[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dsur_asi_RNIH083_0_3 "dsur.asi_RNIH083_0[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIHF0FR1 "comb.lock_gen.ldlock2_1_RNIHF0FR1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI3O0B_1 "r.x.rstate_0_RNI3O0B[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNI89CM "r.m.ctrl.trap_RNI89CM") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I101_Y "comb.branch_address.tmp_ADD_30x30_fast_I101_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI3CI763_1 "r.x.rstate_0_RNI3CI763[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIBEJ231_0 "r.x.rstate_RNIBEJ231[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance data_9_sqmuxa (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIAOP33_0_0 "r.x.rstate_RNIAOP33_0[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNITN6O "r.e.jmpl_RNITN6O") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNITN6O_1 "r.e.jmpl_RNITN6O_1") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIPC231_30 "r.a.ctrl.inst_RNIPC231[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_RNIIH6S_0 "r.a.ctrl.cnt_RNIIH6S[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_result_RNIJRB11_6 "r.x.result_RNIJRB11[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIMKL41 "r.x.mexc_RNIMKL41") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIFAJ5_0_1 "r.e.aluop_1_RNIFAJ5_0[1]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIUPHT "r.x.mexc_RNIUPHT") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIICL41 "r.x.mexc_RNIICL41") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIOOL41 "r.x.mexc_RNIOOL41") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIFAJ5_1 "r.e.aluop_1_RNIFAJ5[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIKGL41 "r.x.mexc_RNIKGL41") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI9446_19 "r.d.inst_0_RNI9446[19]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_pv_RNIHDQ94 "r.d.pv_RNIHDQ94") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIT5AL1_1 "r.e.aluop_1_RNIT5AL1[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNINMR4_1 "dsur.asi_RNINMR4[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_casa_RNO "r.m.casa_RNO") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5AL7_22 "r.d.inst_0_RNI5AL7[22]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I291_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename comb_dbgexc_un82_dbgm_RNIJS4ON "comb.dbgexc.un82_dbgm_RNIJS4ON") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_1 "comb.branch_address.tmp_ADD_30x30_fast_I233_Y_0_a3_1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I270_Y_0_a3 (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIMRAH_23 "r.d.inst_0_RNIMRAH[23]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_m_result_RNIF7CB_1 "r.m.result_RNIF7CB[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I114_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_dci_write_RNO_0 "r.m.dci.write_RNO_0") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNI9K8OR "comb.lock_gen.ldlock2_1_RNI9K8OR") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_1_tz (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I122_Y_1 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I70_Y_0 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I70_Y_0_tz (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIUDQ7I4_31 "r.e.op2_RNIUDQ7I4[31]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_e_alusel_RNI2FJL4_0 "r.e.alusel_RNI2FJL4[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNO_0 "r.x.mexc_RNO_0") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I74_Y_m3_i (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I74_Y_m2_e (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a1_0 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I1_P0N_0 (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I9_P0N_m1_e (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNICIH722 "comb.lock_gen.ldlock2_1_RNICIH722") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_a_nobp_RNIU3JH2 "r.a.nobp_RNIU3JH2") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_0 (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNILU42_1 "r.x.data_0_RNILU42[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_m4_i_a4_0 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I122_Ytt_m2_0_a2 (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_a4 (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_e (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Ytt_m3_i_a4 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_m5_0_a3_0 (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_m5_0_a3 (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I5_P0N_m1_e (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I5_P0Ntt_m1_0_a2 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a2 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a1 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I131_un1_Ytt_m2_0_a2 (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a3_0 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a2 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a3 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a2 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a1 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_0 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI93VC_17 "r.x.data_0_RNI93VC[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i_a4_0 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i_a4 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I122_Y_0_a0_0 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I19_P0N_0 (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1_m3_i (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1_m2_e (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1tt_m1_0_a2 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I60_Y_m3_i (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I60_Y_m2_e (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I60_Ytt_m1_0_a2 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I52_Y_m3_i (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I52_Y_m2_e (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I52_Ytt_m1_0_a2 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I82_Y_m3_i (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I82_Y_m2_e (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_0 (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI3N62_8 "r.x.data_0_RNI3N62[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I62_Y_0 (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI73VC_15 "r.x.data_0_RNI73VC[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I76_Y_m3_i (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I76_Y_m2_e (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_a2 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_a1 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_0 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIU5U5_0 "r.e.op2_RNIU5U5[0]") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIV8B2_0 "r.x.data_0_RNIV8B2[0]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_m4 (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_m1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_0 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_m1_e (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_m4 (viewRef prim (cellRef XA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_0 (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_m1_e (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_m4 (viewRef prim (cellRef XA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I73_un1_Y_a0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_1 (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_0_m1_e (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_0_m4 (viewRef prim (cellRef XA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I16_P0N_m1_e (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I16_P0Ntt_m1_0_a2 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I12_P0N_0 (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I4_P0N_0 (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I8_P0N_0 (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I12_P0N_m1_e (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I12_P0Ntt_m1_0_a2 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNIRQB34_1 "r.x.dci.size_RNIRQB34[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNIUK4V_1 "r.x.dci.size_RNIUK4V[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNIEMS61_1 "r.x.dci.size_RNIEMS61[1]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNO "r.x.mexc_RNO") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_mexc_RNO_1 "r.x.mexc_RNO_1") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_m_casa_RNI2DJ8TN1 "r.m.casa_RNI2DJ8TN1") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_m_casa_RNIG8P8JR1 "r.m.casa_RNIG8P8JR1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_casa_RNIB325R1 "r.m.casa_RNIB325R1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI6D9R4V "r.e.ldbp2_1_RNI6D9R4V") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld_RNIHTKJ3 "r.m.ctrl.ld_RNIHTKJ3") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld_RNIHU4Q "r.m.ctrl.ld_RNIHU4Q") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIUGAI_4 "r.d.inst_0_RNIUGAI[4]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_d_pv_RNI2LL9K2 "r.d.pv_RNI2LL9K2") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_d_pv_RNIASMJ01 "r.d.pv_RNIASMJ01") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIVQKIN "comb.lock_gen.ldlock2_1_RNIVQKIN") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI62M8_0 "r.e.op1_RNI62M8[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNI81J17 "r.m.ctrl.trap_RNI81J17") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNI1BFH "r.w.s.dwt_RNI1BFH") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_pv_RNISUHK62 "r.d.pv_RNISUHK62") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pv_RNI7M42O "r.m.ctrl.pv_RNI7M42O") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNIGN0S "r.w.s.dwt_RNIGN0S") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNITF5LE "r.m.ctrl.trap_RNITF5LE") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_0 (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_m_casa_RNI55N3OP1 "r.m.casa_RNI55N3OP1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIQ1LUSN1_0 "r.e.ldbp2_1_RNIQ1LUSN1_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIB2G5NH_29 "r.d.inst_0_RNIB2G5NH[29]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI50N39E_29 "r.d.inst_0_RNI50N39E[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIRFBN_1 "r.x.rstate_0_RNIRFBN[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIEO45_0_1 "r.x.rstate_0_RNIEO45_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIGQBHO3 "comb.lock_gen.ldlock2_1_RNIGQBHO3") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_m_ctrl_annul_RNI7RU8 "r.m.ctrl.annul_RNI7RU8") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNIQ4H9 "r.m.ctrl.trap_RNIQ4H9") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIET4H41 "comb.lock_gen.ldlock2_1_RNIET4H41") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIES72J "comb.lock_gen.ldlock2_1_RNIES72J") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_nobp_RNO_0 "r.a.nobp_RNO_0") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_m_y_RNO_0_7 "r.m.y_RNO_0[7]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNI488C8 "r.x.mexc_RNI488C8") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIME7L2_22 "r.a.ctrl.inst_RNIME7L2[22]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_a_ctrl_wicc_RNO_0 "r.a.ctrl.wicc_RNO_0") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_wicc_RNO_1 "r.a.ctrl.wicc_RNO_1") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_w_s_et_RNI6UQ6 "r.w.s.et_RNI6UQ6") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIFL462_19 "r.a.ctrl.inst_RNIFL462[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIU83A1_19 "r.a.ctrl.inst_RNIU83A1[19]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un1_write_reg30_1_3_RNO_3 (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un1_write_reg30_1_3_RNO_5 (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_e_bp_RNIN82133_0 "r.e.bp_RNIN82133_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_bp_RNIBND8I1 "r.e.bp_RNIBND8I1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_wy_RNO "r.a.ctrl.wy_RNO") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIEGD942 "comb.lock_gen.ldlock2_1_RNIEGD942") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_dci_write_RNO_1 "r.m.dci.write_RNO_1") (viewRef prim (cellRef AXOI5 (libraryRef PA3))) ) (instance (rename r_d_cnt_RNI2R7J_0 "r.d.cnt_RNI2R7J[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_wicc_RNO_3 "r.a.ctrl.wicc_RNO_3") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIF76821_1 "r.x.rstate_0_RNIF76821[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_wicc_RNO_4 "r.a.ctrl.wicc_RNO_4") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inull_RNI5TU301 "r.d.inull_RNI5TU301") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNICD012_31 "r.d.inst_0_RNICD012[31]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_annul_RNI6GEF1 "r.d.annul_RNI6GEF1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_casa_RNI8BU9_1 "r.m.casa_RNI8BU9_1") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_m_dci_lock_RNO_0 "r.m.dci.lock_RNO_0") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_m_dci_lock_RNO_1 "r.m.dci.lock_RNO_1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_pv_RNISCRM93 "r.d.pv_RNISCRM93") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_wicc_RNO "r.a.ctrl.wicc_RNO") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIP3L701 "comb.lock_gen.ldlock2_1_RNIP3L701") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename un1_r_w_s_cwp_1_1_CO1 "un1_r.w.s.cwp_1_1_CO1") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap_RNIA8BK7 "r.m.ctrl.trap_RNIA8BK7") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIVPN36_1 "r.x.rstate_0_RNIVPN36[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_nobp_RNO "r.a.nobp_RNO") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIJJ6OU "comb.lock_gen.ldlock2_1_RNIJJ6OU") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename rp_pwd_RNI3OPR3 "rp.pwd_RNI3OPR3") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNITR8R2_1_25 "r.x.ctrl.inst_RNITR8R2_1[25]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_16 "r.f.pc_RNO_4[16]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_19 "r.f.pc_RNO_4[19]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_22 "r.f.pc_RNO_4[22]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_28 "r.f.pc_RNO_10[28]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_31 "r.f.pc_RNO_4[31]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_5 "r.f.pc_RNO_3[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_16 "r.f.pc_RNO_1[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_16 "r.f.pc_RNO_7[16]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_16 "r.f.pc_RNO_3[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_16 "r.f.pc_RNO_10[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_19 "r.f.pc_RNO_1[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_19 "r.f.pc_RNO_7[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_19 "r.f.pc_RNO_3[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_19 "r.f.pc_RNO_10[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_22 "r.f.pc_RNO_1[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_22 "r.f.pc_RNO_7[22]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_22 "r.f.pc_RNO_3[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_22 "r.f.pc_RNO_10[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_28 "r.f.pc_RNO_0[28]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_28 "r.f.pc_RNO_11[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_28 "r.f.pc_RNO_7[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_28 "r.f.pc_RNO_6[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_31 "r.f.pc_RNO_1[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_31 "r.f.pc_RNO_7[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_31 "r.f.pc_RNO_3[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_31 "r.f.pc_RNO_10[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I69_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I159_un1_Y (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I160_Y (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I53_Y (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I61_Y (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I67_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I114_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I129_un1_Y (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I137_un1_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I166_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I181_un1_Y (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I181_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I189_un1_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I190_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I221_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I229_un1_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I244_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I244_Y (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I18_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I18_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I57_Y (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I58_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I95_un1_Y (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I96_Y (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I155_un1_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I156_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I54_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I63_Y_0 (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I5_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I5_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I6_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I6_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I69_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I85_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I89_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I90_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I100_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I131_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I132_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I139_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I140_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I147_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I148_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I151_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I151_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I159_un1_Y (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I160_Y (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I176_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I191_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I192_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I199_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I205_un1_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I205_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I245_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I249_un1_Y (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I249_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I3_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I7_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I70_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I86_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I9_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I9_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I10_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I10_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I24_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I24_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I197_un1_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I197_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I198_Y (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I204_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I237_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I248_un1_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I84_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I18_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I18_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I96_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I155_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I211_un1_Y (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I235_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I247_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I73_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I74_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I81_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I82_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I128_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I135_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I143_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I144_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I71_Y_0_a3 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I246_Y_0_a3 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I87_Y_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I145_un1_Y (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I166_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I181_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I182_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I189_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I197_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I198_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I204_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I204_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I221_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I237_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I248_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I84_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I18_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I95_un1_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I96_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I156_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I34_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I63_Y_0 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I71_Y_0 (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I147_Y "comb.branch_address.tmp_ADD_30x30_fast_I147_Y") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I196_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I196_un1_Y") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I140_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I140_un1_Y") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I144_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I144_un1_Y") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I145_Y "comb.branch_address.tmp_ADD_30x30_fast_I145_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I160_Y "comb.branch_address.tmp_ADD_30x30_fast_I160_Y") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I190_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I190_un1_Y") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I194_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I194_un1_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I210_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I210_un1_Y") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I241_Y_0_o3 "comb.branch_address.tmp_ADD_30x30_fast_I241_Y_0_o3") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I153_Y "comb.branch_address.tmp_ADD_30x30_fast_I153_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I198_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I198_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I214_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I214_un1_Y") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I219_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I219_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I219_Y "comb.branch_address.tmp_ADD_30x30_fast_I219_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_31 "r.f.pc_RNO_15[31]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_31 "r.f.pc_RNO_11[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_31 "r.f.pc_RNO_6[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_26 "r.f.pc_RNO_15[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_0_RNIULJIH3 "r.e.ldbp2_0_RNIULJIH3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI5M0L_3 "r.e.ctrl.pc_RNI5M0L[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNINDHH4 "r.e.ldbp2_1_RNINDHH4") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIC31B3_0 "r.e.aluop_0_RNIC31B3[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNI0USM4_3 "r.x.result_RNI0USM4[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIFKA21_3 "r.e.op2_RNIFKA21[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNI84K91_3 "r.m.y_RNI84K91[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_invop2_0_RNIOHQF42 "r.e.invop2_0_RNIOHQF42") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI237M_0_4 "r.e.shcnt_RNI237M_0[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIF1UB_4 "r.e.op1_RNIF1UB[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIGFGF4_4 "r.x.result_RNIGFGF4[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIE98F1_4 "r.e.op1_RNIE98F1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI51IG_4 "r.e.op2_RNI51IG[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI5NS8_4 "r.x.data_0_RNI5NS8[4]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI2E6T3_0 "r.e.aluop_RNI2E6T3[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNIBGLKC2 "r.e.ldbp2_RNIBGLKC2") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_22 "r.f.pc_RNO_11[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_22 "r.f.pc_RNO_6[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIDHIG_8 "r.e.op2_RNIDHIG[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI97T8_8 "r.x.data_0_RNI97T8[8]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIPHPNE "r.e.ldbp2_1_RNIPHPNE") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJH6CM "r.e.jmpl_RNIJH6CM") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIF3SVM_0 "r.e.jmpl_RNIF3SVM_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIIFIK2_0 "r.e.aluop_RNIIFIK2[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNI07191_18 "ir.addr_RNI07191[18]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI22EC_18 "r.x.data_0_RNI22EC[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIRNKL_18 "r.e.ctrl.pc_RNIRNKL[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIIS3U4_0 "r.e.aluop_RNIIS3U4[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIUELU3_18 "r.x.result_RNIUELU3[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIE1UB_0_3 "r.e.op1_RNIE1UB_0[3]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI7P7G4_3 "r.x.result_RNI7P7G4[3]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIC58F1_3 "r.e.op1_RNIC58F1[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI4VK04_0 "r.x.result_RNI4VK04[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI35ES3_16 "r.x.result_RNI35ES3[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI8REO1_16 "r.e.op1_RNI8REO1[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI43OF_0_18 "r.e.op1_RNI43OF_0[18]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNICNL04_2 "r.x.result_RNICNL04[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIBDES3_18 "r.x.result_RNIBDES3[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIC3FO1_18 "r.e.op1_RNIC3FO1[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIT2NF_20 "r.e.op1_RNIT2NF[20]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIPPUV3_4 "r.x.result_RNIPPUV3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIGE5T3_20 "r.x.result_RNIGE5T3[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI07EO1_20 "r.e.op1_RNI07EO1[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIVANF_22 "r.e.op1_RNIVANF[22]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIS7N04_6 "r.x.result_RNIS7N04[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIT0ES3_22 "r.x.result_RNIT0ES3[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI4FEO1_22 "r.e.op1_RNI4FEO1[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIV5EC_22 "r.x.data_0_RNIV5EC[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNII7AE_8 "r.x.ctrl.pc_RNII7AE[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI37AE_8 "r.m.ctrl.pc_RNI37AE[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIHNE41_0 "r.x.npc_0_RNIHNE41[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_8 "r.e.op2_RNO_3[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_8 "r.e.op2_RNO_4[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_8 "r.e.op2_RNO_1[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_8 "r.e.op2_RNO_0[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIKQKB_0 "r.x.rstate_RNIKQKB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_9 "r.w.s.y_RNO_3[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_8 "r.e.op2_RNO[8]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIQ85L "r.e.shleft_0_RNIQ85L") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_5 "r.e.op2_RNO_3[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_5 "r.e.op2_RNO_4[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_5 "r.e.op2_RNO_1[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_5 "r.e.op2_RNO_2[5]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_5 "r.e.op2_RNO_0[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_5 "r.e.op2_RNO_5[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5 "r.e.op2_RNO[5]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNI5S6A2_6 "r.a.ctrl.rd_RNI5S6A2[6]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI5UVV3_7 "r.x.result_RNI5UVV3[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI15ES3_23 "r.x.result_RNI15ES3[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIF3SVM "r.e.jmpl_RNIF3SVM") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIS965J_1 "r.e.shcnt_RNIS965J[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIK27NI_1 "r.e.shcnt_RNIK27NI[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI92QTJ_1 "r.e.shcnt_RNI92QTJ[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI1RQFJ_1 "r.e.shcnt_RNI1RQFJ[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIMQDMK_1 "r.e.shcnt_RNIMQDMK[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIFJE8K_1 "r.e.shcnt_RNIFJE8K[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI4J1FL_1 "r.e.shcnt_RNI4J1FL[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI91CRK_1 "r.e.shcnt_RNI91CRK[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIIBL7M_1 "r.e.shcnt_RNIIBL7M[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIMPVJL_1 "r.e.shcnt_RNIMPVJL[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIEQN3N_1 "r.e.shcnt_RNIEQN3N[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIMS9IM_1 "r.e.shcnt_RNIMS9IM[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNISIBSN_1 "r.e.shcnt_RNISIBSN[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI3LTAN_1 "r.e.shcnt_RNI3LTAN[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI9BVKO_1 "r.e.shcnt_RNI9BVKO[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIGDH3O_1 "r.e.shcnt_RNIGDH3O[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIM3JDP_1 "r.e.shcnt_RNIM3JDP[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIT55SO_1 "r.e.shcnt_RNIT55SO[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI3S66Q_1 "r.e.shcnt_RNI3S66Q[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIT8FQP_1 "r.e.shcnt_RNIT8FQP[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI0GPUQ_1 "r.e.shcnt_RNI0GPUQ[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIA13JQ_1 "r.e.shcnt_RNIA13JQ[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIQTMHR_1 "r.e.shcnt_RNIQTMHR[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIB4DHR_1 "r.e.shcnt_RNIB4DHR[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIR01GS_1 "r.e.shcnt_RNIR01GS[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIOS0AS_1 "r.e.shcnt_RNIOS0AS[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI9PK8T_1 "r.e.shcnt_RNI9PK8T[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIOVA8T_1 "r.e.shcnt_RNIOVA8T[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIMH81U_1 "r.e.shcnt_RNIMH81U[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIEGI39_2 "r.e.shcnt_RNIEGI39[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIMDKR8_2 "r.e.shcnt_RNIMDKR8[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIR86S9_2 "r.e.shcnt_RNIR86S9[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI368K9_2 "r.e.shcnt_RNI368K9[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI91QKA_2 "r.e.shcnt_RNI91QKA[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNITJ57A_2 "r.e.shcnt_RNITJ57A[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI5GSGB_2 "r.e.shcnt_RNI5GSGB[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNITMF5B_2 "r.e.shcnt_RNITMF5B[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNII8G9C_2 "r.e.shcnt_RNII8G9C[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIAF3UB_2 "r.e.shcnt_RNIAF3UB[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIV042D_2 "r.e.shcnt_RNIV042D[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIAIDSC_2 "r.e.shcnt_RNIAIDSC[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIBLNQD_2 "r.e.shcnt_RNIBLNQD[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIBO1PE_2 "r.e.shcnt_RNIBO1PE[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI6F9E_10 "r.x.data_0_RNI6F9E[10]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI84NC4_13 "r.x.result_RNI84NC4[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2FEO1_13 "r.e.op1_RNI2FEO1[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIPI0T3_3 "r.e.shcnt_RNIPI0T3[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIVVC84_3 "r.e.shcnt_RNIVVC84[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI6BKL4_3 "r.e.shcnt_RNI6BKL4[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIPDAR4_3 "r.e.shcnt_RNIPDAR4[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI6EUJ5_3 "r.e.shcnt_RNI6EUJ5[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI66UJ5_3 "r.e.shcnt_RNI66UJ5[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI6H8I6_3 "r.e.shcnt_RNI6H8I6[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI798I6_3 "r.e.shcnt_RNI798I6[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI6KIG7_3 "r.e.shcnt_RNI6KIG7[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNID0LH1 "r.e.shleft_0_RNID0LH1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIDSKH1 "r.e.shleft_0_RNIDSKH1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNII4H02 "r.e.shleft_0_RNII4H02") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIQO8A2 "r.e.shleft_RNIQO8A2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI7AI42 "r.e.shleft_RNI7AI42") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIVS4P2 "r.e.shleft_0_RNIVS4P2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIQRI83 "r.e.shleft_0_RNIQRI83") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIBBI41 "r.e.shleft_0_RNIBBI41") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNI5TOD1 "r.e.shleft_0_RNI5TOD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIUIUB "r.e.shleft_0_RNIUIUB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNI63VB "r.e.shleft_0_RNI63VB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIO45L "r.e.shleft_0_RNIO45L") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIOS4L "r.e.shleft_0_RNIOS4L") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI0FNF_23 "r.e.op1_RNI0FNF[23]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6JEO1_23 "r.e.op1_RNI6JEO1[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIJQNP_10 "r.e.op2_RNIJQNP[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNILM7V3_3 "r.e.shcnt_RNILM7V3[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIRU3E4_3 "r.e.shcnt_RNIRU3E4[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI2FRN4_3 "r.e.shcnt_RNI2FRN4[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI9NN65_3 "r.e.shcnt_RNI9NN65[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIUTTJ5_3 "r.e.shcnt_RNIUTTJ5[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIMFBV5_3 "r.e.shcnt_RNIMFBV5[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIBMHC6_3 "r.e.shcnt_RNIBMHC6[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIS2BN1 "r.e.shleft_0_RNIS2BN1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNITUAN1 "r.e.shleft_0_RNITUAN1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIPKLH1 "r.e.shleft_0_RNIPKLH1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIU0I02 "r.e.shleft_0_RNIU0I02") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNI9RUF2 "r.e.shleft_0_RNI9RUF2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIL3OD2 "r.e.shleft_RNIL3OD2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNICMEJ2 "r.e.shleft_RNICMEJ2") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIBP5P2 "r.e.shleft_0_RNIBP5P2") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIMJI83 "r.e.shleft_0_RNIMJI83") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNICPOH3 "r.e.shleft_0_RNICPOH3") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNISVFP "r.e.shleft_0_RNISVFP") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNI97I41 "r.e.shleft_0_RNI97I41") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNITCOD1 "r.e.shleft_0_RNITCOD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNI3POD1 "r.e.shleft_0_RNI3POD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNI71PD1 "r.e.shleft_0_RNI71PD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIB9PD1 "r.e.shleft_0_RNIB9PD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIDDPD1 "r.e.shleft_0_RNIDDPD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNID9PD1 "r.e.shleft_0_RNID9PD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNISEUB "r.e.shleft_0_RNISEUB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNI4VUB "r.e.shleft_0_RNI4VUB") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNICFVB "r.e.shleft_0_RNICFVB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIM05L "r.e.shleft_0_RNIM05L") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIUG5L "r.e.shleft_0_RNIUG5L") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNI6T5L "r.e.shleft_0_RNI6T5L") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNII2DB9_2 "r.e.shcnt_RNII2DB9[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI2UB59_2 "r.e.shcnt_RNI2UB59[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIVQ04A_2 "r.e.shcnt_RNIVQ04A[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIGMVT9_2 "r.e.shcnt_RNIGMVT9[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIDJKSA_2 "r.e.shcnt_RNIDJKSA[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNITEJMA_2 "r.e.shcnt_RNITEJMA[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIRB8LB_2 "r.e.shcnt_RNIRB8LB[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIA77FB_2 "r.e.shcnt_RNIA77FB[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI84SDC_2 "r.e.shcnt_RNI84SDC[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNINVQ7C_2 "r.e.shcnt_RNINVQ7C[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI5OE6D_2 "r.e.shcnt_RNI5OE6D[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI4OE0D_2 "r.e.shcnt_RNI4OE0D[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI6RO4E_2 "r.e.shcnt_RNI6RO4E[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIHG2PD_2 "r.e.shcnt_RNIHG2PD[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIJJCTE_2 "r.e.shcnt_RNIJJCTE[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIIJCNE_2 "r.e.shcnt_RNIIJCNE[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIAOD84_3 "r.e.shcnt_RNIAOD84[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI24D84_3 "r.e.shcnt_RNI24D84[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIAFOC4_3 "r.e.shcnt_RNIAFOC4[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNING115_3 "r.e.shcnt_RNING115[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIGS015_3 "r.e.shcnt_RNIGS015[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIO7C55_3 "r.e.shcnt_RNIO7C55[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI59LP5_3 "r.e.shcnt_RNI59LP5[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNITKKP5_3 "r.e.shcnt_RNITKKP5[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI500U5_3 "r.e.shcnt_RNI500U5[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNISFVN5_3 "r.e.shcnt_RNISFVN5[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI2T7I6_3 "r.e.shcnt_RNI2T7I6[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIAD8I6_3 "r.e.shcnt_RNIAD8I6[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI63AS6_3 "r.e.shcnt_RNI63AS6[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIFLRA7_3 "r.e.shcnt_RNIFLRA7[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIBGIG7_3 "r.e.shcnt_RNIBGIG7[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNII8LH1 "r.e.shleft_1_RNII8LH1") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIQMAN1 "r.e.shleft_1_RNIQMAN1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNII4LH1 "r.e.shleft_1_RNII4LH1") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIOGH02 "r.e.shleft_1_RNIOGH02") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI8ERQ1 "r.e.shleft_1_RNI8ERQ1") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNIOBS42 "r.e.shleft_0_RNIOBS42") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIV09A2 "r.e.shleft_RNIV09A2") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI7FUF2 "r.e.shleft_1_RNI7FUF2") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIVS8A2 "r.e.shleft_RNIVS8A2") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI595P2 "r.e.shleft_1_RNI595P2") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIL6FJ2 "r.e.shleft_RNIL6FJ2") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shleft_0_RNI54GT2 "r.e.shleft_0_RNI54GT2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI00J83 "r.e.shleft_1_RNI00J83") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNII1PH3 "r.e.shleft_1_RNII1PH3") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIM9PH3 "r.e.shleft_1_RNIM9PH3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIGDPD1 "r.e.shleft_1_RNIGDPD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI1NUB "r.e.shleft_1_RNI1NUB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI3RUB "r.e.shleft_1_RNI3RUB") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI97VB "r.e.shleft_1_RNI97VB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIJO4L "r.e.shleft_1_RNIJO4L") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNILS4L "r.e.shleft_1_RNILS4L") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNILO4L "r.e.shleft_1_RNILO4L") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIEFI41 "r.e.shleft_1_RNIEFI41") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIMVI41 "r.e.shleft_1_RNIMVI41") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI0HOD1 "r.e.shleft_1_RNI0HOD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI2LOD1 "r.e.shleft_1_RNI2LOD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI8TOD1 "r.e.shleft_1_RNI8TOD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIIHPD1 "r.e.shleft_1_RNIIHPD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIUUED_23 "r.x.result_RNIUUED[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIRK6G4_0 "r.x.result_RNIRK6G4[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIC7AE_5 "r.x.ctrl.pc_RNIC7AE[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIT6AE_5 "r.m.ctrl.pc_RNIT6AE[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI5NE41_0 "r.x.npc_0_RNI5NE41[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNIE5PAS_5 "r.x.result_RNIE5PAS[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI9FV2S_5 "r.x.ctrl.pc_RNI9FV2S[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIHQKB_0 "r.x.rstate_RNIHQKB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI75IG_5 "r.e.op2_RNI75IG[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIGQR03_5 "r.x.result_RNIGQR03[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI6RS8_5 "r.x.data_0_RNI6RS8[5]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIG1UB_5 "r.e.op1_RNIG1UB[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIKRGF4_5 "r.x.result_RNIKRGF4[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIGD8F1_5 "r.e.op1_RNIGD8F1[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI12VB4_10 "r.x.result_RNI12VB4[10]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIS2EO1_10 "r.e.op1_RNIS2EO1[10]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI1JNF_24 "r.e.op1_RNI1JNF[24]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI8NEO1_24 "r.e.op1_RNI8NEO1[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI8CO04_9 "r.x.result_RNI8CO04[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIOT8F1_9 "r.e.op1_RNIOT8F1[9]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI3RNF_26 "r.e.op1_RNI3RNF[26]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNICVEO1_26 "r.e.op1_RNICVEO1[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI1JOP_25 "r.e.op2_RNI1JOP[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIEJ9E_25 "r.x.data_0_RNIEJ9E[25]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI36EC_26 "r.x.data_0_RNI36EC[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJRP5Q "r.e.jmpl_RNIJRP5Q") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIQJKL_17 "r.e.ctrl.pc_RNIQJKL[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIANGTV1 "r.e.ldbp2_1_RNIANGTV1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIQTBKP "r.e.jmpl_RNIQTBKP") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJRP5Q_0 "r.e.jmpl_RNIJRP5Q_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI1L9473 "r.e.ldbp2_1_RNI1L9473") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIT5EC_20 "r.x.data_0_RNIT5EC[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIPFKL_16 "r.e.ctrl.pc_RNIPFKL[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIUQM66_0 "r.e.aluop_RNIUQM66[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIDAHB1_16 "r.e.op2_RNIDAHB1[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI2RN66_0 "r.e.aluop_RNI2RN66[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_25 "r.w.s.y_RNO_3[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIRCL32 "r.m.dci.enaddr_RNIRCL32") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI7UO842 "r.e.ldbp2_1_RNI7UO842") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI7M0L_5 "r.e.ctrl.pc_RNI7M0L[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI33FK7 "r.e.ldbp2_1_RNI33FK7") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNIA4K91_5 "r.m.y_RNIA4K91[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNIP7RJ2_2 "r.w.s.pil_RNIP7RJ2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNILVP6M "r.e.ldbp2_1_RNILVP6M") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIBIURN_0 "r.e.jmpl_RNIBIURN_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNI6V4H4_11 "r.x.result_RNI6V4H4[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIMF5H4_15 "r.x.result_RNIMF5H4[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_13 "r.e.op2_RNO_3[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_19 "r.e.op2_RNO_3[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_29 "r.e.op2_RNO_3[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_19 "r.e.op2_RNO_4[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_29 "r.e.op2_RNO_4[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_19 "r.e.op2_RNO_1[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_29 "r.e.op2_RNO_1[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_19 "r.e.op2_RNO_0[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_29 "r.e.op2_RNO_0[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1 "r.e.op2_RNO[1]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_19 "r.e.op2_RNO[19]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_29 "r.e.op2_RNO[29]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNO_0 "r.e.shcnt_RNO[0]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNO_1 "r.e.shcnt_RNO[1]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_7 "r.e.op2_RNO_3[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_10 "r.e.op2_RNO_3[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_27 "r.e.op2_RNO_3[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_30 "r.e.op2_RNO_3[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_7 "r.e.op2_RNO_4[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_27 "r.e.op2_RNO_4[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_30 "r.e.op2_RNO_4[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_7 "r.e.op2_RNO_1[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_10 "r.e.op2_RNO_1[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_27 "r.e.op2_RNO_1[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_30 "r.e.op2_RNO_1[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_7 "r.e.op2_RNO_0[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_27 "r.e.op2_RNO_0[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_30 "r.e.op2_RNO_0[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0 "r.e.op2_RNO[0]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3 "r.e.op2_RNO[3]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4 "r.e.op2_RNO[4]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_7 "r.e.op2_RNO[7]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_27 "r.e.op2_RNO[27]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_30 "r.e.op2_RNO[30]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNO_3 "r.e.shcnt_RNO[3]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNO_4 "r.e.shcnt_RNO[4]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_17 "r.e.op2_RNO_3[17]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_21 "r.e.op2_RNO_3[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_21 "r.e.op2_RNO_4[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_17 "r.e.op2_RNO_1[17]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_21 "r.e.op2_RNO_1[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_17 "r.e.op2_RNO_0[17]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_21 "r.e.op2_RNO_0[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_28 "r.e.op2_RNO_3[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_28 "r.e.op2_RNO_4[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_28 "r.e.op2_RNO_1[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_28 "r.e.op2_RNO_0[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNID5ORO "r.e.jmpl_RNID5ORO") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI636DP_0 "r.e.jmpl_RNI636DP_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNI69DUH "r.e.ldbp2_2_RNI69DUH") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIBIURN "r.e.jmpl_RNIBIURN") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI6FGK5_0 "r.e.aluop_0_RNI6FGK5[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIUHGB1_10 "r.e.op2_RNIUHGB1[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_15 "r.e.op2_RNO_4[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIP2SI91 "r.e.ldbp2_2_RNIP2SI91") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_31 "r.e.op2_RNO_3[31]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_31 "r.e.op2_RNO_4[31]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_31 "r.e.op2_RNO_1[31]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_31 "r.e.op2_RNO_2[31]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_31 "r.e.op2_RNO_0[31]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_31 "r.e.op2_RNO_5[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_11 "r.e.op2_RNO_3[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_11 "r.e.op2_RNO_4[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_11 "r.e.op2_RNO_1[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_27 "r.e.op2_RNO_2[27]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_11 "r.e.op2_RNO_0[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_27 "r.e.op2_RNO_5[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_11 "r.e.op2_RNO[11]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_10 "r.e.op2_RNO_4[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_13 "r.e.op2_RNO_4[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_13 "r.e.op2_RNO_1[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_13 "r.e.op2_RNO_0[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_13 "r.e.op2_RNO[13]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_12 "r.e.op2_RNO_3[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_12 "r.e.op2_RNO_4[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_12 "r.e.op2_RNO_1[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_12 "r.e.op2_RNO_0[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_12 "r.e.op2_RNO[12]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIPAIKO "r.e.jmpl_RNIPAIKO") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJKGAN_0 "r.e.jmpl_RNIJKGAN_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_26 "r.e.op2_RNO_3[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_26 "r.e.op2_RNO_4[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_26 "r.e.op2_RNO_1[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_26 "r.e.op2_RNO_0[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_26 "r.e.op2_RNO[26]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_6 "r.e.op2_RNO_3[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_6 "r.e.op2_RNO_4[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_6 "r.e.op2_RNO_1[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_6 "r.e.op2_RNO_0[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_6 "r.e.op2_RNO[6]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_9 "r.e.op2_RNO_3[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_15 "r.e.op2_RNO_3[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_16 "r.e.op2_RNO_3[16]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_23 "r.e.op2_RNO_3[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_9 "r.e.op2_RNO_4[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_16 "r.e.op2_RNO_4[16]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_23 "r.e.op2_RNO_4[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_9 "r.e.op2_RNO_1[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_15 "r.e.op2_RNO_1[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_16 "r.e.op2_RNO_1[16]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_23 "r.e.op2_RNO_1[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_9 "r.e.op2_RNO_2[9]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_16 "r.e.op2_RNO_2[16]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_23 "r.e.op2_RNO_2[23]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_9 "r.e.op2_RNO_0[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_10 "r.e.op2_RNO_0[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_15 "r.e.op2_RNO_0[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_16 "r.e.op2_RNO_0[16]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_23 "r.e.op2_RNO_0[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_9 "r.e.op2_RNO_5[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_16 "r.e.op2_RNO_5[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_18 "r.e.op2_RNO_5[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_23 "r.e.op2_RNO_5[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2 "r.e.op2_RNO[2]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_9 "r.e.op2_RNO[9]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_10 "r.e.op2_RNO[10]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_15 "r.e.op2_RNO[15]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_16 "r.e.op2_RNO[16]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_17 "r.e.op2_RNO[17]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_21 "r.e.op2_RNO[21]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_23 "r.e.op2_RNO[23]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_28 "r.e.op2_RNO[28]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_31 "r.e.op2_RNO[31]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNO_2 "r.e.shcnt_RNO[2]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_25 "r.e.op2_RNO_3[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_25 "r.e.op2_RNO_4[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_25 "r.e.op2_RNO_1[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_25 "r.e.op2_RNO_2[25]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_25 "r.e.op2_RNO_0[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_25 "r.e.op2_RNO_5[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_25 "r.e.op2_RNO[25]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJH6CM_0 "r.e.jmpl_RNIJH6CM_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_14 "r.f.pc_RNO_13[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_14 "r.f.pc_RNO_12[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_14 "r.f.pc_RNO_9[14]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_14 "r.f.pc_RNO_14[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_14 "r.f.pc_RNO_15[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_20 "r.w.s.y_RNO_2[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNI03191_27 "ir.addr_RNI03191[27]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIN2OP_12 "r.e.op2_RNIN2OP[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI8F9E_12 "r.x.data_0_RNI8F9E[12]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_17 "r.f.pc_RNO_14[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_17 "r.f.pc_RNO_13[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_17 "r.f.pc_RNO_11[17]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_17 "r.f.pc_RNO_15[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNI1NOP_17 "r.e.op2_RNI1NOP[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIDF9E_17 "r.x.data_0_RNIDF9E[17]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIGCNC4_15 "r.x.result_RNIGCNC4[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6NEO1_15 "r.e.op1_RNI6NEO1[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI436T3_25 "r.x.result_RNI436T3[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIAREO1_25 "r.e.op1_RNIAREO1[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIPAIKO_0 "r.e.jmpl_RNIPAIKO_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI0D43O "r.e.jmpl_RNI0D43O") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_27 "rfo_m[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_12 "rfo_m[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNILPES3_28 "r.x.result_RNILPES3[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_26 "r.f.pc_RNO_12[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_26 "r.f.pc_RNO_9[26]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_19 "r.f.pc_RNO_11[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_19 "r.f.pc_RNO_6[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_8 "ir.addr_RNO_5[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI56EC_28 "r.x.data_0_RNI56EC[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_16 "r.f.pc_RNO_11[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_16 "r.f.pc_RNO_6[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIC8NC4_14 "r.x.result_RNIC8NC4[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI4JEO1_14 "r.e.op1_RNI4JEO1[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_28 "r.f.pc_RNO_5[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_28 "r.f.pc_RNO_3[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_26 "r.f.pc_RNO_13[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_26 "r.f.pc_RNO_14[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIG7FO1_28 "r.e.op1_RNIG7FO1[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_20 "r.f.pc_RNO_12[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIRE091_22 "ir.addr_RNIRE091[22]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI26EC_25 "r.x.data_0_RNI26EC[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_5 "ir.addr_RNO_5[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIG3M04_3 "r.x.result_RNIG3M04[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIFHES3_19 "r.x.result_RNIFHES3[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIE7FO1_19 "r.e.op1_RNIE7FO1[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_20 "r.f.pc_RNO_13[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_20 "r.f.pc_RNO_9[20]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_20 "r.f.pc_RNO_14[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_31 "r.f.pc_RNO_13[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_31 "r.f.pc_RNO_12[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_31 "r.f.pc_RNO_9[31]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_31 "r.f.pc_RNO_14[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIG7AE_7 "r.x.ctrl.pc_RNIG7AE[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIO6KU_0 "r.x.npc_0_RNIO6KU[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIBFV2S_7 "r.x.ctrl.pc_RNIBFV2S[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNINB6R_0 "r.x.npc_0_RNINB6R[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNIKSJDS_31 "r.x.result_RNIKSJDS[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI0C1E_0 "r.x.rstate_RNI0C1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNIGC1L_3 "r.a.ctrl.rd_RNIGC1L[3]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_0_0 "r.x.ctrl.tt_RNO_0[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_1_0 "r.x.ctrl.tt_RNO_1[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_0_1 "r.x.ctrl.tt_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_0_2 "r.x.ctrl.tt_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_1_3 "r.x.ctrl.tt_RNO_1[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_0 "r.x.ctrl.tt_RNO[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_2 "r.x.ctrl.tt_RNO[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_3 "r.x.ctrl.tt_RNO[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_1 "r.x.ctrl.tt_RNO[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIDVK494_31 "r.e.op2_RNIDVK494[31]") (viewRef prim (cellRef ZOR3 (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNICSR02 "r.m.dci.enaddr_RNICSR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNIVFF81_3 "r.w.s.icc_RNIVFF81[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIT05I2_3 "r.w.s.tt_RNIT05I2[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIP9212 "r.m.dci.enaddr_RNIP9212") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNI39ES3_31 "r.x.result_RNI39ES3[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6FEO1_31 "r.e.op1_RNI6FEO1[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_24 "ir.addr_RNO_0[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_24 "ir.addr_RNO_2[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_24 "ir.addr_RNO_6[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_24 "ir.addr_RNO_5[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNI3D7G4_2 "r.x.result_RNI3D7G4[2]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIA18F1_2 "r.e.op1_RNIA18F1[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_17 "ir.addr_RNO_2[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_17 "ir.addr_RNO_6[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_17 "ir.addr_RNO_5[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNI40O04_8 "r.x.result_RNI40O04[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIMP8F1_8 "r.e.op1_RNIMP8F1[8]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNICOCV3_15 "r.x.result_RNICOCV3[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNISI091_23 "ir.addr_RNISI091[23]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI06EC_23 "r.x.data_0_RNI06EC[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIP1O71_31 "r.m.y_RNIP1O71[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIM1SA7_3 "r.e.shcnt_RNIM1SA7[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIDLOH3 "r.e.shleft_1_RNIDLOH3") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI16EC_24 "r.x.data_0_RNI16EC[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_14 "r.m.y_RNO_1[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIP2L6U_1 "r.e.shcnt_RNIP2L6U[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI6LOD1 "r.e.shleft_1_RNI6LOD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_2_0 "r.w.s.icc_RNO_2[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_11 "r.w.s.tba_RNO_0[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_11 "r.w.s.tba_RNO[11]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_20 "ir.addr_RNO_0[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_20 "ir.addr_RNO_2[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_20 "ir.addr_RNO_4[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_20 "ir.addr_RNO_6[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_20 "ir.addr_RNO_5[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_20 "r.f.pc_RNO_15[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_invop2_0_RNIB1ONC1 "r.e.invop2_0_RNIB1ONC1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_29 "r.f.pc_RNO_13[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_29 "r.f.pc_RNO_12[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_29 "r.f.pc_RNO_9[29]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_29 "r.f.pc_RNO_14[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_17 "r.f.pc_RNO_9[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNICJSC3_31 "r.x.result_RNICJSC3[31]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVR971_0_31 "r.e.op2_RNIVR971_0[31]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIDN9E_31 "r.x.data_0_RNIDN9E[31]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_29 "r.f.pc_RNO_15[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIT6OP_31 "r.e.op2_RNIT6OP[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI442E_0 "r.x.rstate_RNI442E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNIV2191_17 "ir.addr_RNIV2191[17]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI32EC_19 "r.x.data_0_RNI32EC[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNII2IF_17 "r.x.ctrl.pc_RNII2IF[17]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI32IF_17 "r.m.ctrl.pc_RNI32IF[17]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIHDU61_0 "r.x.npc_0_RNIHDU61[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIOJF61_22 "r.w.s.y_RNIOJF61[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNIUFF81_2 "r.w.s.icc_RNIUFF81[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNISM6M_0_1 "r.e.shcnt_RNISM6M_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVKHG_1 "r.e.op2_RNIVKHG[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI2BS8_1 "r.x.data_0_RNI2BS8[1]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_26 "ir.addr_RNO_5[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_26 "ir.addr_RNO_1[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIU1EC_14 "r.x.data_0_RNIU1EC[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIU5EC_21 "r.x.data_0_RNIU5EC[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_14 "rfo_m[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNIEU1V3_0 "r.e.ctrl.annul_RNIEU1V3_0") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI9GG984 "r.e.jmpl_RNI9GG984") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIB3JJ2_19 "r.w.s.tba_RNIB3JJ2[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_2_2 "r.m.icc_RNO_2[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_3_2 "r.m.icc_RNO_3[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_1_2 "r.m.icc_RNO_1[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_0_2 "r.m.icc_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_2 "r.m.icc_RNO[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIUQ6M_0_2 "r.e.shcnt_RNIUQ6M_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNI1PHG_2 "r.e.op2_RNI1PHG[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI3FS8_2 "r.x.data_0_RNI3FS8[2]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_0_RNII09B01 "r.e.ldbp2_0_RNII09B01") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI8R8VU_1 "r.e.shcnt_RNI8R8VU[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIQGLHF_2 "r.e.shcnt_RNIQGLHF[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIQQ4H82 "r.e.ldbp2_1_RNIQQ4H82") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I317_Y_0 (viewRef prim (cellRef AX1D (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I310_Y_0 (viewRef prim (cellRef AX1D (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I317_Y_0 (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I310_Y_0 (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I296_Y_0 (viewRef prim (cellRef AX1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I296_Y_0 (viewRef prim (cellRef AX1D (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I296_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI8M987 "r.e.ldbp2_1_RNI8M987") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNICJB4_5 "r.e.op1_RNICJB4[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIGJI41 "r.e.shleft_1_RNIGJI41") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_invop2_0_RNI9OGD4 "r.e.invop2_0_RNI9OGD4") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I6_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I6_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI3L5L "r.e.shleft_1_RNI3L5L") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I218_Y "comb.branch_address.tmp_ADD_30x30_fast_I218_Y") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I218_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I218_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I204_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I204_un1_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I154_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I154_un1_Y") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I92_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I92_un1_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I308_Y_0 (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I308_Y_0 (viewRef prim (cellRef AX1E (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I308_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIFABHV1 "r.e.ldbp2_1_RNIFABHV1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI4HOD1 "r.e.shleft_1_RNI4HOD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI9DOH3 "r.e.shleft_1_RNI9DOH3") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIEHRA7_3 "r.e.shcnt_RNIEHRA7[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIGT8I6_3 "r.e.shcnt_RNIGT8I6[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI5R76F_2 "r.e.shcnt_RNI5R76F[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI77LDE_2 "r.e.shcnt_RNI77LDE[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIK5RPU_1 "r.e.shcnt_RNIK5RPU[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIPE1LD_2 "r.e.shcnt_RNIPE1LD[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_invop2_0_RNIVSIC71 "r.e.invop2_0_RNIVSIC71") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I249_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I239_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I249_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I239_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_invop2_0_RNIOI633 "r.e.invop2_0_RNIOI633") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIFQI45 "r.e.ldbp2_1_RNIFQI45") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_a3 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_a3 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I294_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I294_Y_0 (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIS0C54 "r.e.ldbp2_1_RNIS0C54") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI4JS8_3 "r.x.data_0_RNI4JS8[3]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI4THG_3 "r.e.op2_RNI4THG[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI1V6M_3 "r.e.shcnt_RNI1V6M[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_invop2_0_RNI60MG2 "r.e.invop2_0_RNI60MG2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I4_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I4_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI7VS8_6 "r.x.data_0_RNI7VS8[6]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIA9IG_6 "r.e.op2_RNIA9IG[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIINI41 "r.e.shleft_1_RNIINI41") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIBNUF2 "r.e.shleft_1_RNIBNUF2") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I204_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I198_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I197_Y (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I197_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I322_Y_0 (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I322_Y_0 (viewRef prim (cellRef AX1E (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I322_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIE3BT74 "r.e.ldbp2_1_RNIE3BT74") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_invop2_0_RNIC42HK2 "r.e.invop2_0_RNIC42HK2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I155_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I105_Y (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I79_Y_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I76_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I75_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I78_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I77_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIBMLCI2 "r.e.ldbp2_1_RNIBMLCI2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI2HOD1 "r.e.shleft_1_RNI2HOD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNIK7I83 "r.e.shleft_1_RNIK7I83") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNINS4L "r.e.shleft_1_RNINS4L") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_invop2_0_RNIQP9II1 "r.e.invop2_0_RNIQP9II1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIAJ9E_21 "r.x.data_0_RNIAJ9E[21]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIQ2OP_21 "r.e.op2_RNIQ2OP[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I245_un1_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I231_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I184_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I245_Y (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I245_un1_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I231_un1_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIDJ9E_23 "r.x.data_0_RNIDJ9E[23]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVAOP_23 "r.e.op2_RNIVAOP[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI6POD1 "r.e.shleft_1_RNI6POD1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_1_RNI8DS23 "r.e.shleft_1_RNI8DS23") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI684O63 "r.e.ldbp2_1_RNI684O63") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIB2FF "r.e.shleft_RNIB2FF") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIC4L2V1 "r.e.invop2_1_RNIC4L2V1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I168_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I167_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I223_un1_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I168_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I167_un1_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I302_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I302_Y_0 (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIKORU "r.e.shleft_RNIKORU") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNICM5T2 "r.e.shleft_RNICM5T2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIVTH42 "r.e.shleft_RNIVTH42") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIFNBV4_3 "r.e.shcnt_RNIFNBV4[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI2VN64_3 "r.e.shcnt_RNI2VN64[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIMQ281 "r.e.shleft_RNIMQ281") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNINLHCD "r.e.invop2_1_RNINLHCD") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIQIKQL "r.e.ldbp2_1_RNIQIKQL") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I319_Y_0 (viewRef prim (cellRef AX1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I319_Y_0 (viewRef prim (cellRef AX1D (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIEINAM3 "r.e.ldbp2_1_RNIEINAM3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIK4I072 "r.e.invop2_1_RNIK4I072") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIHEFF "r.e.shleft_RNIHEFF") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I37_Y (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I173_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I98_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I305_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIH55181 "r.e.ldbp2_1_RNIH55181") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIGHD5O "r.e.invop2_1_RNIGHD5O") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I244_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I173_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I173_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I47_Y_0_o3 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I48_Y_i (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I47_Y_0_a3 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I104_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I103_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I171_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I171_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I46_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I107_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I45_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIU4KBE "r.e.ldbp2_1_RNIU4KBE") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNI8HPN8 "r.e.invop2_1_RNI8HPN8") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI6HR0U "r.e.jmpl_RNI6HR0U") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIDHES3_26 "r.x.result_RNIDHES3[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_shleft_RNID6FF "r.e.shleft_RNID6FF") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIOQ281 "r.e.shleft_RNIOQ281") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI2SB63 "r.e.shleft_RNI2SB63") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIPE557_3 "r.e.shcnt_RNIPE557[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIT9EF "r.e.shleft_RNIT9EF") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_RNICJ4L1 "r.e.shleft_RNICJ4L1") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIDB3V_1 "r.e.aluop_1_RNIDB3V[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIM14H3_2 "r.x.result_RNIM14H3[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIIL3H3_1 "r.x.result_RNIIL3H3[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIE93H3_0 "r.x.result_RNIE93H3[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rfe1_RNIV8FA61 "r.a.rfe1_RNIV8FA61") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename ir_addr_RNIVU091_26 "ir.addr_RNIVU091[26]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIFEFF "r.e.shleft_RNIFEFF") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I55_Y_0_o3 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_diagread_un497_dbgunit "comb.diagread.un497_dbgunit") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_4 "r.x.ctrl.tt_RNO[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI93BJ3_2 "r.x.result_RNI93BJ3[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIDFBJ3_3 "r.x.result_RNIDFBJ3[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNI1BAJ3_0 "r.x.result_RNI1BAJ3[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIP7741_18 "r.f.pc_RNIP7741[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNITBG61_18 "r.w.s.y_RNITBG61[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_18 "rfo_m[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_0_5 "r.x.ctrl.tt_RNO_0[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_5 "r.x.ctrl.tt_RNO[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I298_Y_0 (viewRef prim (cellRef AX1B (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI0HSU "r.e.shleft_RNI0HSU") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIKL8F1_7 "r.e.op1_RNIKL8F1[7]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNISJHF4_7 "r.x.result_RNISJHF4[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I303_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I303_Y_0 (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIQOUTO "r.e.ldbp2_1_RNIQOUTO") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIK279F "r.e.invop2_1_RNIK279F") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I246_Y_0_o3 (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I307_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I307_Y_0 (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI92FF "r.e.shleft_RNI92FF") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIUVD9C1 "r.e.ldbp2_1_RNIUVD9C1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIMPACR "r.e.invop2_1_RNIMPACR") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I274_Y_0_o3 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I274_Y_0_o3 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I274_Y_0_o3 (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I65_Y (viewRef prim (cellRef AO18 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I298_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNI8TRO5 "r.e.invop2_1_RNI8TRO5") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIK23D9 "r.e.ldbp2_2_RNIK23D9") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I136_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I127_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I196_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I195_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I195_un1_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I188_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I187_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I180_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I179_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I183_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I175_Y (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I124_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I123_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIK2LC92 "r.e.ldbp2_2_RNIK2LC92") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIT39KC1 "r.e.invop2_1_RNIT39KC1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I66_Y (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I62_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I183_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I176_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I175_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I301_Y_0 (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIDF9E_16 "r.x.data_0_RNIDF9E[16]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI2JOP_16 "r.e.op2_RNI2JOP[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI8U181 "r.e.shleft_RNI8U181") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNI4Q9IA "r.e.invop2_1_RNI4Q9IA") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIBS7IH "r.e.ldbp2_2_RNIBS7IH") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I11_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I248_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I237_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I182_Y (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I174_Y (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pv_RNIFF11D2 "r.m.ctrl.pv_RNIFF11D2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I24_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I24_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I314_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI7QEF "r.e.shleft_RNI7QEF") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I24_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I24_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNI4IG91_0 "r.w.s.y_RNI4IG91[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_diagwr_un147_dbgunit_1 "comb.diagwr.un147_dbgunit_1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_diagread_un462_dbgunit "comb.diagread.un462_dbgunit") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_6 "r.d.inull_RNO_6") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inull_RNO_1 "r.d.inull_RNO_1") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_d_inull_RNO "r.d.inull_RNO") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIGJF7J_0_5 "r.e.ctrl.rd_RNIGJF7J_0[5]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIMCLF11_5 "r.e.ctrl.rd_RNIMCLF11[5]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIMCLF11_0_5 "r.e.ctrl.rd_RNIMCLF11_0[5]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIA44S_29 "r.d.inst_0_RNIA44S[29]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIGJF7J_5 "r.e.ctrl.rd_RNIGJF7J[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I20_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I20_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI8VOP_19 "r.e.op2_RNI8VOP[19]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIGF9E_19 "r.x.data_0_RNIGF9E[19]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I310_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I111_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I111_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I270_Y_0_a3 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIC2281 "r.e.shleft_RNIC2281") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNISKR23 "r.e.shleft_RNISKR23") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIDAFF "r.e.shleft_RNIDAFF") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I120_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I119_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I20_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I20_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I116_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I115_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I172_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I164_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIDLJE52 "r.e.invop2_1_RNIDLJE52") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNI370VG3 "r.e.ldbp2_2_RNI370VG3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I318_Y_0 (viewRef prim (cellRef AX1D (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I318_Y_0 (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I247_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I247_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I235_un1_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I247_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I247_Y (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I73_Y (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I105_Y (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I106_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I48_Y_i (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I266_Y_0_a3 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I313_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I313_Y_0 (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIK23KN1 "r.e.invop2_1_RNIK23KN1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNILQ5LS2 "r.e.ldbp2_2_RNILQ5LS2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I304_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I304_Y_0 (viewRef prim (cellRef AX1D (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIOP8SJ "r.e.invop2_1_RNIOP8SJ") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I159_un1_Y (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I160_Y (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I215_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNILE245 "r.e.invop2_1_RNILE245") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIMJ7H8 "r.e.ldbp2_2_RNIMJ7H8") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I297_Y_0 (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I297_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIU7QJR3 "r.e.ldbp2_2_RNIU7QJR3") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIHLVBE1_0_1 "r.x.rstate_0_RNIHLVBE1_0[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIHMB973 "comb.lock_gen.ldlock2_1_RNIHMB973") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIFR42C2 "r.e.invop2_1_RNIFR42C2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I215_un1_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I215_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_bp_RNI55NGM1 "r.a.bp_RNI55NGM1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_bp_RNIHMB973 "r.a.bp_RNIHMB973") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_15 "r.f.pc_RNO_6[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_15 "r.f.pc_RNO_11[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_15 "r.f.pc_RNO_15[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_15 "r.f.pc_RNO_10[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_15 "r.f.pc_RNO_3[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_15 "r.f.pc_RNO_7[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_15 "r.f.pc_RNO_1[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_15 "r.f.pc_RNO_4[15]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIRAPD_23 "r.d.inst_0_RNIRAPD[23]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_23 "ir.addr_RNO_5[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_23 "ir.addr_RNO_6[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_23 "ir.addr_RNO_4[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_23 "ir.addr_RNO_2[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI45S23 "r.e.shleft_RNI45S23") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIGA281 "r.e.shleft_RNIGA281") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I6_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI9UEF "r.e.shleft_RNI9UEF") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIMM281 "r.e.shleft_RNIMM281") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI4PSU "r.e.shleft_RNI4PSU") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI22VB1 "r.e.shleft_RNI22VB1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNISI6T2 "r.e.shleft_RNISI6T2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIFQI42 "r.e.shleft_RNIFQI42") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI98JG6_3 "r.e.shcnt_RNI98JG6[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shleft_RNIN096 "r.e.shleft_RNIN096") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI7086 "r.e.shleft_RNI7086") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIL64E3_3 "r.e.shcnt_RNIL64E3[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNIL5OC8_2 "r.e.shcnt_RNIL5OC8[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI7AJUH_1 "r.e.shcnt_RNI7AJUH[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIV7RJ2_0 "r.w.s.wim_RNIV7RJ2[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIG05O_0 "r.e.op2_RNIG05O[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIKPRM4_0 "r.x.result_RNIKPRM4[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIP1DTJ "r.e.jmpl_RNIP1DTJ") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI41LE1_1 "r.e.aluop_1_RNI41LE1[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIDB3V_1 "r.e.aluop_0_RNIDB3V[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_17 "r.e.op2_RNO_4[17]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNIQALU3_17 "r.x.result_RNIQALU3[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIAVEO1_17 "r.e.op1_RNIAVEO1[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I18_G0N (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I11_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename dsur_tt_RNI7B161_6 "dsur.tt_RNI7B161[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_10 "rfo_m[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_22 "r.e.op2_RNO[22]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_22 "r.e.op2_RNO_0[22]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_22 "r.e.op2_RNO_1[22]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_22 "r.e.op2_RNO_4[22]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_22 "r.e.op2_RNO_3[22]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_shleft_RNI5MEF "r.e.shleft_RNI5MEF") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNICJ9E_22 "r.x.data_0_RNICJ9E[22]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_22 "r.e.op2_RNO_5[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIU6OP_22 "r.e.op2_RNIU6OP[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_22 "r.e.op2_RNO_2[22]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I23_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I23_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I23_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3 (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNI78TJG2 "r.e.ldbp2_2_RNI78TJG2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_18 "r.e.op2_RNO[18]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_18 "r.e.op2_RNO_0[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_18 "r.e.op2_RNO_2[18]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_18 "r.e.op2_RNO_1[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_18 "r.e.op2_RNO_4[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_18 "r.e.op2_RNO_3[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNI18MQG1 "r.e.invop2_1_RNI18MQG1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_svt_RNO "r.w.s.svt_RNO") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename rp_pwd_RNO_0 "rp.pwd_RNO_0") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNO_1 "r.w.s.dwt_RNO_1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rp_pwd_RNIMKUJR_0 "rp.pwd_RNIMKUJR_0") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNITUN8S_0_0 "r.x.npc_0_RNITUN8S_0[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename s_et_1_sqmuxa "s.et_1_sqmuxa") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIHNGG2_0 "r.x.rstate_RNIHNGG2[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIHI881_0_0 "r.x.rstate_RNIHI881_0[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_trap_RNILE8MR_0 "r.x.ctrl.trap_RNILE8MR_0") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename rp_pwd_RNIMKUJR "rp.pwd_RNIMKUJR") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename rp_pwd_RNO_1 "rp.pwd_RNO_1") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename rp_pwd_RNO "rp.pwd_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance data_10_sqmuxa (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename s_y_1_sqmuxa_1 "s.y_1_sqmuxa_1") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_ctrl_wy_RNI522OU "r.x.ctrl.wy_RNI522OU") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICIRC2_6_0 "r.x.rstate_RNICIRC2_6[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_trap_RNILE8MR "r.x.ctrl.trap_RNILE8MR") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICIRC2_3_0 "r.x.rstate_RNICIRC2_3[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI31F9_1_0 "r.x.rstate_RNI31F9_1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI31F9_2_0 "r.x.rstate_RNI31F9_2[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI31F9_3_0 "r.x.rstate_RNI31F9_3[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNO_0 "r.x.rstate_RNO[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNINNC8_1 "r.x.rstate_RNINNC8[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIFKLR_0 "r.x.rstate_RNIFKLR[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI6C241_0 "r.x.rstate_RNI6C241[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICIRC2_0 "r.x.rstate_RNICIRC2[0]") (viewRef prim (cellRef AXO2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIOFNVR_1_0 "r.x.rstate_RNIOFNVR_1[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIOFNVR_0_0 "r.x.rstate_RNIOFNVR_0[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIKL40S_0_0 "r.x.rstate_RNIKL40S_0[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename rp_pwd_RNI6P854 "rp.pwd_RNI6P854") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_trap_RNIATGCN1 "r.x.ctrl.trap_RNIATGCN1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_trap_RNI2ROB_0 "r.x.ctrl.trap_RNI2ROB_0") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename comb_dbgexc_un82_dbgm_RNIGRLEN "comb.dbgexc.un82_dbgm_RNIGRLEN") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_28 "r.x.data_0_RNO_1[28]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIU6NF_21 "r.e.op1_RNIU6NF[21]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIT5VV3_5 "r.x.result_RNIT5VV3[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2BEO1_21 "r.e.op1_RNI2BEO1[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIKI5T3_21 "r.x.result_RNIKI5T3[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_8 "r.d.inst_0_RNO_0[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I8_G0N "comb.branch_address.tmp_ADD_30x30_fast_I8_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIKAIF_18 "r.x.ctrl.pc_RNIKAIF[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI5AIF_18 "r.m.ctrl.pc_RNI5AIF[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNILTU61_0 "r.x.npc_0_RNILTU61[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNIMCJDS_18 "r.x.result_RNIMCJDS[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNITGJ3S_18 "r.x.ctrl.pc_RNITGJ3S[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI582E_0 "r.x.rstate_RNI582E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_18 "r.w.s.y_RNO_3[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_18 "r.w.s.y_RNO_1[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_18 "r.w.s.y_RNO_2[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIKNSC3_18 "r.x.result_RNIKNSC3[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_18 "r.a.imm_RNO[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_29 "r.a.imm_RNO[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_8 "r.a.imm_RNO[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_8 "r.d.inst_0_RNO[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_22 "r.x.data_0_RNO_0[22]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_22 "r.x.data_0_RNO_1[22]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI0LHD_22 "r.e.op1_RNI0LHD[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI6BSC3_22 "r.x.result_RNI6BSC3[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNISUED_22 "r.x.result_RNISUED[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIAQGF_22 "r.x.ctrl.pc_RNIAQGF[22]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIRPGF_22 "r.m.ctrl.pc_RNIRPGF[22]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI1TR61_0 "r.x.npc_0_RNI1TR61[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNIGKJDS_22 "r.x.result_RNIGKJDS[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIOOI3S_22 "r.x.ctrl.pc_RNIOOI3S[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI0G1E_0 "r.x.rstate_RNI0G1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_result_RNILI95_22 "r.w.result_RNILI95[22]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI201L2_1 "r.a.rsel1_0_RNI201L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIAOJOF5_1 "r.a.rsel1_0_RNIAOJOF5[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI92FGI5_0 "r.a.rsel1_0_RNI92FGI5[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIF3TC2_2 "r.a.rsel1_0_RNIF3TC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIGFA8F5_2 "r.a.rsel1_0_RNIGFA8F5[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_22 "r.m.y_RNO_1[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_22 "r.m.y_RNO_2[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_22 "r.m.y_RNO_4[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_22 "r.w.s.y_RNO_2[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_22 "rfo_m[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIM3EID2 "r.x.dci.signed_RNIM3EID2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_8 "r.x.data_0_RNO_2[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_10 "r.x.data_0_RNO_2[10]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_14 "r.x.data_0_RNO_3[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_14 "r.x.data_0_RNO_1[14]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_14 "r.x.data_0_RNO_2[14]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_0_6 "dsur.tt_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIH7741_10 "r.f.pc_RNIH7741[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIO6091_10 "ir.addr_RNIO6091[10]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_result_RNIIMED_10 "r.x.result_RNIIMED[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_10 "ir.addr_RNO_0[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_10 "ir.addr_RNO_2[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_10 "ir.addr_RNO_4[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_10 "ir.addr_RNO_6[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_10 "ir.addr_RNO_5[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_6 "dsur.tt_RNO[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI4AGF_10 "r.x.ctrl.pc_RNI4AGF[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIO8HD_10 "r.e.op1_RNIO8HD[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_dci_read_RNO_0 "r.m.dci.read_RNO_0") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_m_dci_read_RNO "r.m.dci.read_RNO") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_29 "r.x.data_0_RNO_1[29]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_m_dci_size_RNO_2_0 "r.m.dci.size_RNO_2[0]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_4_1 "r.x.data_0_RNO_4[1]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_11 "r.x.data_0_RNO_2[11]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_17 "r.x.data_0_RNO_1[17]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_26 "r.x.data_0_RNO_1[26]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_17 "r.d.inst_0_RNO_0[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I17_G0N "comb.branch_address.tmp_ADD_30x30_fast_I17_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI3VNF_0_17 "r.e.op1_RNI3VNF_0[17]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI8BL04_1 "r.x.result_RNI8BL04[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI79ES3_17 "r.x.result_RNI79ES3[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNICEHB1_17 "r.e.op2_RNICEHB1[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIGJSC3_17 "r.x.result_RNIGJSC3[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI0NED_17 "r.x.result_RNI0NED[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_17 "r.d.inst_0_RNO[17]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI85ID_26 "r.e.op1_RNI85ID[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I27_G0N (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI4B3RI_13 "r.d.inst_0_RNI4B3RI[13]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNI9ULD2_4 "r.a.rfa1_RNI9ULD2[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNIKLSH2_5 "r.a.rfa1_RNIKLSH2[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNI1D3M2_6 "r.a.rfa1_RNI1D3M2[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIPLP12_4 "r.m.ctrl.rd_RNIPLP12[4]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIHS6A2_6 "r.m.ctrl.rd_RNIHS6A2[6]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNI95GF_0_2 "r.d.cwp_RNI95GF_0[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_cwp_RNI95GF_1_2 "r.d.cwp_RNI95GF_1[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNI6K311_0 "r.d.cwp_RNI6K311[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI824U32_0 "r.w.s.wim_RNI824U32[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIKI4U32_2 "r.w.s.wim_RNIKI4U32[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI9TFU94_0 "r.w.s.wim_RNI9TFU94[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIJQJF22_1 "r.w.s.wim_RNIJQJF22[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIMBJ653_1 "r.w.s.wim_RNIMBJ653[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_cwp_RNI5T66G7_0 "r.d.cwp_RNI5T66G7[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIHO8321_3 "r.w.s.wim_RNIHO8321[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_cwp_RNID8722_2 "r.d.cwp_RNID8722[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_cwp_RNIA6E53_2 "r.d.cwp_RNIA6E53[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_cwp_RNIF04K1_0 "r.d.cwp_RNIF04K1[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNINK7L2_1 "r.d.cwp_RNINK7L2[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_d_cwp_RNILIEO3_2 "r.d.cwp_RNILIEO3[2]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_nobp_RNO_1 "r.a.nobp_RNO_1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNI5NULB_5 "r.a.ctrl.rd_RNI5NULB[5]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIQP7S_27 "r.e.ctrl.inst_RNIQP7S[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIKLEQ_27 "r.e.ctrl.inst_RNIKLEQ[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIQGCC_28 "r.e.ctrl.inst_RNIQGCC[28]") (viewRef prim (cellRef AX1A (libraryRef PA3))) ) (instance (rename r_m_icc_RNIJ8Q8_3 "r.m.icc_RNIJ8Q8[3]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNIH8Q8_1 "r.m.icc_RNIH8Q8[1]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIMCJA_28 "r.e.ctrl.inst_RNIMCJA[28]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_bp_RNO "r.e.bp_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI1DKH_27 "r.e.ctrl.inst_RNI1DKH[27]") (viewRef prim (cellRef AX1E (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI73TK1_26 "r.e.ctrl.inst_RNI73TK1[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIFHLO_27 "r.e.ctrl.inst_RNIFHLO[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIF35Q1_26 "r.e.ctrl.inst_RNIF35Q1[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI1V2M3_25 "r.e.ctrl.inst_RNI1V2M3[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIG8Q8_28 "r.e.ctrl.inst_RNIG8Q8[28]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIPC1L_2 "r.m.ctrl.rd_RNIPC1L[2]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5823_24 "r.d.inst_0_RNI5823[24]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIAINA_24 "r.d.inst_0_RNIAINA[24]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNII8Q8_28 "r.e.ctrl.inst_RNII8Q8[28]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIE0IP1_25 "r.d.inst_0_RNIE0IP1[25]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_m_wcwp_RNO "r.m.wcwp_RNO") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_ld_RNO "r.a.ctrl.ld_RNO") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIM2922_0 "r.e.aluop_0_RNIM2922[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_cwp_RNIVEVF2_0 "r.e.cwp_RNIVEVF2[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIMG2FN1 "r.e.jmpl_RNIMG2FN1") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_shleft_RNII5UB1 "r.e.shleft_RNII5UB1") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI71PM_2 "r.e.aluop_0_RNI71PM[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIE2ID1_1 "r.e.aluop_1_RNIE2ID1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIHMRU2_0 "r.e.aluop_0_RNIHMRU2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_cwp_RNI95GF_2 "r.d.cwp_RNI95GF[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_cwp_RNIIA0V_2 "r.d.cwp_RNIIA0V[2]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNO_2_0 "r.d.cwp_RNO_2[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNO_0_0 "r.d.cwp_RNO_0[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_cwp_RNO_0 "r.d.cwp_RNO[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_cwp_RNO_1_0 "r.d.cwp_RNO_1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNII9212 "r.m.dci.enaddr_RNII9212") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIRC2DQ1_1 "r.a.rsel1_0_RNIRC2DQ1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNII5TUP1_2 "r.a.rsel1_0_RNII5TUP1[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIL7AT82 "r.e.ldbp2_1_RNIL7AT82") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIQ0MIQ "r.e.jmpl_RNIQ0MIQ") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_alusel_RNINGGN2_0 "r.e.alusel_RNINGGN2[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI0KDUQ_0 "r.e.jmpl_RNI0KDUQ_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI86832_0 "r.e.aluop_0_RNI86832[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIJOTK_0_5 "r.e.op2_RNIJOTK_0[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIJOTK_5 "r.e.op2_RNIJOTK[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIEHPM_2 "r.e.aluop_0_RNIEHPM[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIS2JD1_1 "r.e.aluop_1_RNIS2JD1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIANTU2_0 "r.e.aluop_0_RNIANTU2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI62LB3_1 "r.e.aluop_1_RNI62LB3[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNILREF1_1 "r.e.aluop_0_RNILREF1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_y_RNI10TB6_0_0 "r.m.y_RNI10TB6_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_30 "r.f.pc_RNO_4[30]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_30 "r.f.pc_RNO_1[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_30 "r.f.pc_RNO_7[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_30 "r.f.pc_RNO_3[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_30 "r.f.pc_RNO_10[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I213_un1_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I27_G0N "comb.branch_address.tmp_ADD_30x30_fast_I27_G0N") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I27_P0N "comb.branch_address.tmp_ADD_30x30_fast_I27_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_0 "comb.branch_address.tmp_ADD_30x30_fast_I233_Y_0_a3_0") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_30 "ir.addr_RNO_5[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_30 "ir.addr_RNO_1[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_30 "ir.addr_RNO_3[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_30 "ir.addr_RNO_4[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_30 "ir.addr_RNO_6[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI8AGF_30 "r.x.ctrl.pc_RNI8AGF[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_30 "r.f.pc_RNO_13[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_30 "r.f.pc_RNO_12[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_30 "r.f.pc_RNO_9[30]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_30 "r.f.pc_RNO_14[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_30 "r.f.pc_RNO_15[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_30 "r.f.pc_RNO_11[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_30 "r.f.pc_RNO_6[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I98_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIA8R494 "r.e.ldbp2_2_RNIA8R494") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIT0TOJ2 "r.e.invop2_1_RNIT0TOJ2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I213_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I158_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I157_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_23 "r.f.pc_RNO_4[23]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_23 "r.f.pc_RNO_1[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_23 "r.f.pc_RNO_7[23]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_23 "r.f.pc_RNO_3[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_23 "r.f.pc_RNO_10[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I18_G0N "comb.branch_address.tmp_ADD_30x30_fast_I18_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I50_Y "comb.branch_address.tmp_ADD_30x30_fast_I50_Y") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I148_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I148_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I149_Y "comb.branch_address.tmp_ADD_30x30_fast_I149_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I156_Y "comb.branch_address.tmp_ADD_30x30_fast_I156_Y") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I157_Y "comb.branch_address.tmp_ADD_30x30_fast_I157_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIGSPT "r.x.mexc_RNIGSPT") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_nobp_RNIGBT032 "r.a.nobp_RNIGBT032") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_23 "r.f.pc_RNO_15[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_23 "r.f.pc_RNO_11[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_23 "r.f.pc_RNO_6[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_23 "r.f.pc_RNO_13[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_23 "r.f.pc_RNO_12[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_23 "r.f.pc_RNO_9[23]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_23 "r.f.pc_RNO_14[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_wicc_RNIETIP "r.a.ctrl.wicc_RNIETIP") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_nobp_RNIIMIG "r.a.nobp_RNIIMIG") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_23 "ir.addr_RNO_0[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNIBTVQ_3 "r.x.ctrl.tt_RNIBTVQ[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI8LEQ_27 "r.a.ctrl.inst_RNI8LEQ[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIE8Q8_28 "r.a.ctrl.inst_RNIE8Q8[28]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIC8Q8_28 "r.a.ctrl.inst_RNIC8Q8[28]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIMGCC_28 "r.a.ctrl.inst_RNIMGCC[28]") (viewRef prim (cellRef AX1A (libraryRef PA3))) ) (instance (rename r_m_icc_RNIF8Q8_3 "r.m.icc_RNIF8Q8[3]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNID8Q8_1 "r.m.icc_RNID8Q8[1]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIICJA_28 "r.a.ctrl.inst_RNIICJA[28]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename rfo_m_15 "rfo_m[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIQVF61_15 "r.w.s.y_RNIQVF61[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIM7741_15 "r.f.pc_RNIM7741[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNITQ091_15 "ir.addr_RNITQ091[15]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIV1EC_15 "r.x.data_0_RNIV1EC[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNI3HM71_2 "ir.addr_RNI3HM71[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI9FQ43_2 "r.f.pc_RNI9FQ43[2]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNILHV53_0 "r.x.rstate_RNILHV53[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_15 "r.f.pc_RNO_13[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_15 "r.f.pc_RNO_12[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_15 "r.f.pc_RNO_9[15]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_15 "r.f.pc_RNO_14[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_15 "ir.addr_RNO_3[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_15 "ir.addr_RNO_4[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_15 "ir.addr_RNO_5[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_15 "ir.addr_RNO_6[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_15 "ir.addr_RNO_1[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_3 "ir.addr_RNO_0[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_3 "ir.addr_RNO_2[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_3 "ir.addr_RNO_4[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_3 "ir.addr_RNO_6[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_3 "ir.addr_RNO_5[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I293_Y_0_1 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I293_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I293_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_invop2_1_RNIPRFT1 "r.e.invop2_1_RNIPRFT1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIK3Q43 "r.e.ldbp2_2_RNIK3Q43") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIUAEJ01_8 "r.f.pc_RNIUAEJ01[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNIFQ51E1 "r.e.ldbp2_RNIFQ51E1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIOTOEK1_1 "r.x.rstate_0_RNIOTOEK1[1]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_a_bp_RNIJQUNM1 "r.a.bp_RNIJQUNM1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI5QUNM1_1 "r.x.rstate_0_RNI5QUNM1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_6 "r.f.pc_RNO_1[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_6 "r.f.pc_RNO_6[6]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_6 "r.f.pc_RNO_4[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_6 "r.f.pc_RNO_3[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_8 "r.f.pc_RNO_1[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_8 "r.f.pc_RNO_5[8]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_8 "r.f.pc_RNO_3[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_8 "r.f.pc_RNO_6[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIBE81E_8 "r.f.pc_RNIBE81E[8]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI5HPGI_8 "r.f.pc_RNI5HPGI[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNILAV3N_8 "r.f.pc_RNILAV3N[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNI1PS47_2 "r.f.pc_RNI1PS47[2]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIUNL49_4 "r.f.pc_RNIUNL49[4]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_d_pc_RNIOT6EB_2 "r.d.pc_RNIOT6EB[2]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIIM1GD_4 "r.f.pc_RNIIM1GD[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_RNI9USDF_2 "r.a.ctrl.pc_RNI9USDF[2]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIKK7MH_4 "r.f.pc_RNIKK7MH[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIJJTR8_8 "r.f.pc_RNIJJTR8[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIO4FON1_8 "r.f.pc_RNIO4FON1[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNICI7NTC_8 "r.f.pc_RNICI7NTC[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI7PAHC_6 "r.f.pc_RNI7PAHC[6]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIS1PUG_6 "r.f.pc_RNIS1PUG[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIJTEBL_6 "r.f.pc_RNIJTEBL[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNISO30Q2_1 "r.x.rstate_0_RNISO30Q2[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIIKBS31_1 "r.x.rstate_0_RNIIKBS31[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNILB7SN_1 "r.x.rstate_0_RNILB7SN[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_bp_RNIKFN48 "r.a.bp_RNIKFN48") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_bp_RNIKFN48_0 "r.a.bp_RNIKFN48_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_annul_RNIV0KFA_0 "r.d.annul_RNIV0KFA_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIHV5I61_0 "r.x.dci.signed_RNIHV5I61_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_13 "r.x.data_0_RNO_2[13]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_13 "r.x.data_0_RNO_3[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I14_G0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I14_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I14_G0N (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I14_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_x_laddr_RNIIT6711_0 "r.x.laddr_RNIIT6711[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIUKHD_13 "r.e.op1_RNIUKHD[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIH1UB_6 "r.e.op1_RNIH1UB[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIOJ38M "r.x.dci.signed_RNIOJ38M") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_laddr_RNIQLJH3_0 "r.x.laddr_RNIQLJH3[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_laddr_RNISPJH3_1 "r.x.laddr_RNISPJH3[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I304_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIR9TNF2 "r.x.dci.signed_RNIR9TNF2") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_4_5 "r.x.data_0_RNO_4[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_laddr_RNIDN857_1_1 "r.x.laddr_RNIDN857_1[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_laddr_RNIDN857_1 "r.x.laddr_RNIDN857[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_laddr_RNIM9DCI_0_0 "r.x.laddr_RNIM9DCI_0[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_laddr_RNIM9DCI_0 "r.x.laddr_RNIM9DCI[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_laddr_RNIM9DCI_1_0 "r.x.laddr_RNIM9DCI_1[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNIM9DCI_0 "r.x.dci.size_RNIM9DCI[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNISJPQE_0 "r.x.dci.size_RNISJPQE[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNI9I47B_0 "r.x.dci.size_RNI9I47B[0]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNI9I47B_0_0 "r.x.dci.size_RNI9I47B_0[0]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIRLO5K "r.x.dci.signed_RNIRLO5K") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_mexc_1_sqmuxa_i "r.x.mexc_1_sqmuxa_i") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNIFSGL7_0 "r.x.dci.size_RNIFSGL7[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNIFSGL7_0_0 "r.x.dci.size_RNIFSGL7_0[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNIK15I3_0 "r.x.dci.size_RNIK15I3[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_dci_size_RNICO0N7_1 "r.x.dci.size_RNICO0N7[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I25_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I45_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I107_Y (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I108_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I21_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I21_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I46_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I43_Y (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I50_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I111_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I112_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I171_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I172_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I104_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIEOH11_0_24 "r.e.op2_RNIEOH11_0[24]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIEOH11_24 "r.e.op2_RNIEOH11[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIEAHF_24 "r.x.ctrl.pc_RNIEAHF[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIV9HF_24 "r.m.ctrl.pc_RNIV9HF[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNI9TS61_0 "r.x.npc_0_RNI9TS61[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNIKKJDS_24 "r.x.result_RNIKKJDS[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIQ0J3S_24 "r.x.ctrl.pc_RNIQ0J3S[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI2O1E_0 "r.x.rstate_RNI2O1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI4THD_24 "r.e.op1_RNI4THD[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIEJSC3_24 "r.x.result_RNIEJSC3[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNI0VED_24 "r.x.result_RNI0VED[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I266_Y_0_a3_1 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I266_Y_0_a3 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I49_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I22_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I22_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I47_Y_0_o3 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I315_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIMVG8_24 "r.x.data_0_RNIMVG8[24]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIARVJ_24 "r.e.op2_RNIARVJ[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I106_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I164_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I54_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I52_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I53_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I25_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I25_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I195_un1_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I195_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I196_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I203_un1_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I135_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I136_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I144_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I179_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I180_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I187_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I187_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I188_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I195_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I196_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I203_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_result_RNIQKJDS_27 "r.x.result_RNIQKJDS[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI542E_0 "r.x.rstate_RNI542E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIK2IF_27 "r.x.ctrl.pc_RNIK2IF[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI62IF_27 "r.m.ctrl.pc_RNI62IF[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIMDU61_0 "r.x.npc_0_RNIMDU61[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNITCJ3S_27 "r.x.ctrl.pc_RNITCJ3S[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I318_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I243_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I243_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I188_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I187_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I187_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I180_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I128_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I132_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I131_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I131_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I179_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I171_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I19_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I19_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I21_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I21_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I53_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I52_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I19_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I19_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I57_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I58_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I54_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I56_Y_i (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I55_Y_0_o3 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I19_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_x_result_RNI2NED_18 "r.x.result_RNI2NED[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI89ID_18 "r.e.op1_RNI89ID[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_20 "r.e.op2_RNO_1[20]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_20 "r.e.op2_RNO_2[20]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_20 "r.e.op2_RNO_0[20]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_20 "r.e.op2_RNO_5[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_20 "r.e.op2_RNO[20]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIADID_19 "r.e.op1_RNIADID[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I20_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I20_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNI69T01_1 "r.a.rfa1_RNI69T01[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNI9DT01_2 "r.a.rfa1_RNI9DT01[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNICHT01_3 "r.a.rfa1_RNICHT01[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNO_4 "r.a.ctrl.rd_RNO[4]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNO_5 "r.a.ctrl.rd_RNO[5]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNO_6 "r.a.ctrl.rd_RNO[6]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNIOLAB3_0 "r.a.rfa1_RNIOLAB3[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNI7GUD2_1 "r.a.rfa1_RNI7GUD2[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNIBOUD2_2 "r.a.rfa1_RNIBOUD2[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNIF0VD2_3 "r.a.rfa1_RNIF0VD2[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNIDHNQ3_4 "r.a.rfa1_RNIDHNQ3[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNIPCUU3_5 "r.a.rfa1_RNIPCUU3[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNI78534_6 "r.a.rfa1_RNI78534[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI7S13_17 "r.d.inst_0_RNI7S13[17]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIVGTN_29 "r.d.inst_0_RNIVGTN[29]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIKQ0Q_29 "r.d.inst_0_RNIKQ0Q[29]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI7OSN_17 "r.d.inst_0_RNI7OSN[17]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIS10Q_17 "r.d.inst_0_RNIS10Q[17]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIIB3S_17 "r.d.inst_0_RNIIB3S[17]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI1MGU1_17 "r.d.inst_0_RNI1MGU1[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIB9N22_17 "r.d.inst_0_RNIB9N22[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNINST62_17 "r.d.inst_0_RNINST62[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI1DOH_15 "r.d.inst_0_RNI1DOH[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5DOH_17 "r.d.inst_0_RNI5DOH[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI7DOH_18 "r.d.inst_0_RNI7DOH[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI3DOH_16 "r.d.inst_0_RNI3DOH[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIKLD3S_1 "r.x.ctrl.rd_RNIKLD3S[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNILJUM1_0 "r.x.rstate_RNILJUM1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNICEJ4_28 "r.d.inst_0_RNICEJ4[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIPS69_28 "r.d.inst_0_RNIPS69[28]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rett_RNO "r.x.ctrl.rett_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_annul_all_RNIPVOS "r.x.annul_all_RNIPVOS") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNINLHF_1 "r.e.aluop_1_RNINLHF[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_alusel_RNIJDA9_0 "r.e.alusel_RNIJDA9[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_wicc_RNO "r.x.ctrl.wicc_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_annul_RNO "r.m.ctrl.annul_RNO") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_alusel_RNIJDA9_1_0 "r.e.alusel_RNIJDA9_1[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIRC5C "r.e.jmpl_RNIRC5C") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIANOH_1 "r.e.aluop_1_RNIANOH[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rett_RNO "r.m.ctrl.rett_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_pv_RNI25P24 "r.d.pv_RNI25P24") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_annul_RNI0TGV3 "r.a.ctrl.annul_RNI0TGV3") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_lock_gen_ldlock2_1_RNIRU2I22 "comb.lock_gen.ldlock2_1_RNIRU2I22") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inull_RNI7AAMA1 "r.d.inull_RNI7AAMA1") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_d_inull_RNICHGG "r.d.inull_RNICHGG") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_d_annul_RNID4OG1 "r.d.annul_RNID4OG1") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_d_annul_RNIAM7T "r.d.annul_RNIAM7T") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI9NOH "r.e.jmpl_RNI9NOH") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I21_P0N "comb.branch_address.tmp_ADD_30x30_fast_I21_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIRE4Q_19 "r.d.inst_0_RNIRE4Q[19]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI8IM7_23 "r.d.inst_0_RNI8IM7[23]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI2423_24 "r.d.inst_0_RNI2423[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_RNIRHJKC2_21 "r.d.inst_0_0_0_RNIRHJKC2[21]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_RNIHK9C_21 "r.d.inst_0_0_0_RNIHK9C[21]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5C23_3_31 "r.d.inst_0_RNI5C23_3[31]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIBRO6C2_31 "r.d.inst_0_RNIBRO6C2[31]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_RNIBEM7_21 "r.d.inst_0_0_0_RNIBEM7[21]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIAO79_23 "r.d.inst_0_RNIAO79[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI7AJ4_26 "r.d.inst_0_RNI7AJ4[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI8AJ4_27 "r.d.inst_0_RNI8AJ4[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI9AJ4_28 "r.d.inst_0_RNI9AJ4[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5C23_0_31 "r.d.inst_0_RNI5C23_0[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_23 "r.a.imm_RNO[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5C23_1_31 "r.d.inst_0_RNI5C23_1[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5C23_31 "r.d.inst_0_RNI5C23[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIAT98C2_20 "r.d.inst_0_RNIAT98C2[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIATF3_1 "r.d.cnt_RNIATF3[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5023_19 "r.d.inst_0_RNI5023[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI0423_20 "r.d.inst_0_RNI0423[20]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIA869_20 "r.d.inst_0_RNIA869[20]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_d_pv_RNO_6 "r.d.pv_RNO_6") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_cnt_RNI9TF3_0 "r.d.cnt_RNI9TF3[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIV323_21 "r.d.inst_0_RNIV323[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5423_23 "r.d.inst_0_RNI5423[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI66J4_23 "r.d.inst_0_RNI66J4[23]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI4EJ4_20 "r.d.inst_0_RNI4EJ4[20]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI1423_21 "r.d.inst_0_RNI1423[21]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5AJ4_24 "r.d.inst_0_RNI5AJ4[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI3AJ4_21 "r.d.inst_0_RNI3AJ4[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIGMQD_20 "r.d.inst_0_RNIGMQD[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIDHU71_13 "r.d.inst_0_RNIDHU71[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI31OU_31 "r.d.inst_0_RNI31OU[31]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIBIL7_31 "r.d.inst_0_RNIBIL7[31]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_cnt_RNO_0 "r.d.cnt_RNO[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_0_2 "dsur.asi_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_2 "dsur.asi_RNO[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_diagwr_un156_dbgunit "comb.diagwr.un156_dbgunit") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_diagwr_un139_dbgunit "comb.diagwr.un139_dbgunit") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_dsuen_RNI9L801 "r.m.dci.dsuen_RNI9L801") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_2 "dsur.crdy_RNO[2]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename dsur_crdy_RNO_3_2 "dsur.crdy_RNO_3[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIFHDF_0 "r.x.rstate_RNIFHDF[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_casa_RNINSBP "r.m.casa_RNINSBP") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIJ56L1_21 "r.e.ctrl.inst_RNIJ56L1[21]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIGPQ8V2_21 "r.e.ctrl.inst_RNIGPQ8V2[21]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul_RNI5LDBF1 "r.e.ctrl.annul_RNI5LDBF1") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_e_ctrl_cnt_RNITRSAE1_0 "r.e.ctrl.cnt_RNITRSAE1[0]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_27 "r.d.inst_0_RNO_0[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rett_RNO "r.a.ctrl.rett_RNO") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_d_pv_RNI21HG8 "r.d.pv_RNI21HG8") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_rett_RNO "r.e.ctrl.rett_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_27 "r.d.inst_0_RNO[27]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_annul_RNIP2H4_0 "r.d.annul_RNIP2H4_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_annul_RNIP2H4 "r.d.annul_RNIP2H4") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNO_1 "r.a.ctrl.rd_RNO[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIDEJ4_29 "r.d.inst_0_RNIDEJ4[29]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_pv_RNIC2ST8 "r.d.pv_RNIC2ST8") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_annul_RNI42C232 "r.d.annul_RNI42C232") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inull_RNI6370Q "r.d.inull_RNI6370Q") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIQCA01F_29 "r.d.inst_0_RNIQCA01F[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI1NKMBG_29 "r.d.inst_0_RNI1NKMBG[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_14 "r.a.imm_RNO[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_annul_RNIV849 "r.d.annul_RNIV849") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_annul_RNI6C772 "r.d.annul_RNI6C772") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_annul_RNIQRUBA "r.d.annul_RNIQRUBA") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNILP07_0 "r.x.data_0_RNILP07[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNINLP81_0 "r.w.s.cwp_RNINLP81[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIAII81_0 "r.w.s.wim_RNIAII81[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename dsur_asi_RNIQ1741_0 "dsur.asi_RNIQ1741[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_0 "rfo_m[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_23 "r.x.data_0_RNO_0[23]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_23 "r.x.data_0_RNO_1[23]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_laddr_RNI8CQHM_1 "r.x.laddr_RNI8CQHM[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2PHD_23 "r.e.op1_RNI2PHD[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIRGG1U2 "r.x.dci.signed_RNIRGG1U2") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_25 "r.x.data_0_RNO_1[25]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_25 "r.x.data_0_RNO_0[25]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNIHKUJB5 "r.x.dci.signed_RNIHKUJB5") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI07FD_31 "r.x.result_RNI07FD[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_31 "r.x.data_0_RNO_1[31]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_31 "r.x.data_0_RNO_0[31]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_18 "r.x.data_0_RNO_1[18]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_18 "r.x.data_0_RNO_0[18]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_16 "r.x.data_0_RNO_1[16]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_16 "r.x.data_0_RNO_0[16]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIGRB4_7 "r.e.op1_RNIGRB4[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I8_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I8_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_f_pc_RNI4SL4_2 "r.f.pc_RNI4SL4[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIMTNEV_2 "r.f.pc_RNIMTNEV[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI4SNHM1_2 "r.f.pc_RNI4SNHM1[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_pc_RNI2SNHM1_2 "r.d.pc_RNI2SNHM1[2]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI5E6N7_2 "r.f.pc_RNI5E6N7[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIUILTE1_2 "r.f.pc_RNIUILTE1[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_pc_RNIVNVB6C_2 "r.d.pc_RNIVNVB6C[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_5 "r.e.op1_RNO[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNICHFG_23 "r.e.ctrl.inst_RNICHFG[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIVG244 "r.e.ldbp2_2_RNIVG244") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI5S3O1_24 "r.m.ctrl.inst_RNI5S3O1[24]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_e_ctrl_cnt_RNIDM631_0 "r.e.ctrl.cnt_RNIDM631[0]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIDC0E_20 "r.e.ctrl.inst_RNIDC0E[20]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIFK0E_22 "r.e.ctrl.inst_RNIFK0E[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI2P1S_22 "r.e.ctrl.inst_RNI2P1S[22]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_cnt_RNIBT47_0 "r.e.ctrl.cnt_RNIBT47[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIJ41E_24 "r.e.ctrl.inst_RNIJ41E[24]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_0_1 "r.e.ctrl.tt_RNO_0[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNO_0_3 "r.x.ctrl.tt_RNO_0[3]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_x_ctrl_trap_RNO "r.x.ctrl.trap_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI17D01_0 "r.x.rstate_RNI17D01[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename ir_pwd_RNO "ir.pwd_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIFVH51_0_1 "r.x.rstate_0_RNIFVH51_0[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_pwd_RNO_0 "ir.pwd_RNO_0") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_trap_RNIFQU8 "r.a.ctrl.trap_RNIFQU8") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_trap_RNO "r.e.ctrl.trap_RNO") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance trap_0_sqmuxa_RNINQC8L (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance trap_0_sqmuxa_RNI0MIV2 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_m_dci_signed_RNO_1 "r.m.dci.signed_RNO_1") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance trap_0_sqmuxa_4_RNIN4Q8I (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance trap_0_sqmuxa_4_RNIIM0F5 (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_x_ctrl_trap_RNI5S7L "r.x.ctrl.trap_RNI5S7L") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI635GO_30 "r.m.ctrl.inst_RNI635GO[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I26_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I45_Y (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I107_Y (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I108_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I46_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I43_Y (viewRef prim (cellRef AO18 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I44_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I111_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I111_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I112_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I41_un1_Y (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I41_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I42_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I103_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I103_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I104_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I49_Y (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I47_Y_0_o3 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIUR971_0_22 "r.e.op2_RNIUR971_0[22]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIUR971_22 "r.e.op2_RNIUR971[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIPK591_2 "r.e.aluop_0_RNIPK591[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIJ9BI2_1 "r.e.aluop_2_RNIJ9BI2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIN4E85_0 "r.e.aluop_0_RNIN4E85[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIB27K2_1 "r.e.aluop_0_RNIB27K2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I115_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I116_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I123_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I124_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I191_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I119_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I120_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I127_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I216_Y_0_a3 "comb.branch_address.tmp_ADD_30x30_fast_I216_Y_0_a3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I216_Y_0_o3 "comb.branch_address.tmp_ADD_30x30_fast_I216_Y_0_o3") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I61_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I203_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I243_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I243_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I62_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I60_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_e_invop2_RNIG9AGP "r.e.invop2_RNIG9AGP") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIULM691 "r.e.ldbp2_2_RNIULM691") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I306_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I306_Y_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I306_Y_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I17_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I17_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI41ID_16 "r.e.op1_RNI41ID[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIUMED_16 "r.x.result_RNIUMED[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_16 "ir.addr_RNO_3[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_16 "ir.addr_RNO_4[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_16 "ir.addr_RNO_6[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_16 "ir.addr_RNO_5[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_16 "ir.addr_RNO_1[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIHQHF_16 "r.x.ctrl.pc_RNIHQHF[16]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI2QHF_16 "r.m.ctrl.pc_RNI2QHF[16]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIFTT61_0 "r.x.npc_0_RNIFTT61[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNIJGOI2 "r.e.ldbp2_RNIJGOI2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_w_s_s_RNO_0 "r.w.s.s_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_0_7 "dsur.asi_RNO_0[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIMPPA_7 "r.e.op2_RNIMPPA[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIHF43_7 "r.x.data_0_RNIHF43[7]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_a_su_RNO "r.a.su_RNO") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_et_RNO "r.a.et_RNO") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNISB1F2_0 "r.x.rstate_RNISB1F2[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI9M0L_7 "r.e.ctrl.pc_RNI9M0L[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIFF8P9 "r.e.ldbp2_2_RNIFF8P9") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_8_7 "r.m.y_RNO_8[7]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI9K76_0 "r.e.aluop_0_RNI9K76[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIUVKA_7 "r.x.result_RNIUVKA[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_7 "dsur.asi_RNO[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_s_RNO "r.w.s.s_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIUG25T1_0 "r.x.rstate_RNIUG25T1[0]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I298_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIJL4D2_23 "r.a.ctrl.inst_RNIJL4D2[23]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIUI372_22 "r.a.ctrl.inst_RNIUI372[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIHC1S_24 "r.a.ctrl.inst_RNIHC1S[24]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIA01E_24 "r.a.ctrl.inst_RNIA01E[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIAS0E_24 "r.a.ctrl.inst_RNIAS0E[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIEK1E_0_19 "r.a.ctrl.inst_RNIEK1E_0[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIB41E_0_23 "r.a.ctrl.inst_RNIB41E_0[23]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI4D1E_19 "r.m.ctrl.inst_RNI4D1E[19]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_dci_size_RNO_0_1 "r.m.dci.size_RNO_0[1]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIKC1E_20 "r.e.ctrl.inst_RNIKC1E[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_annul_RNIV0KFA "r.d.annul_RNIV0KFA") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_18 "ir.addr_RNO_4[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_18 "ir.addr_RNO_6[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIPJCJ3_6 "r.x.result_RNIPJCJ3[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNID1UB_2 "r.e.op1_RNID1UB[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIK9212 "r.m.dci.enaddr_RNIK9212") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI77AE_2 "r.x.ctrl.pc_RNI77AE[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIO6AE_2 "r.m.ctrl.pc_RNIO6AE[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIRME41_0 "r.x.npc_0_RNIRME41[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNI33GBS_2 "r.x.result_RNI33GBS[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI6FV2S_2 "r.x.ctrl.pc_RNI6FV2S[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIEQKB_0 "r.x.rstate_RNIEQKB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIO0L32 "r.m.dci.enaddr_RNIO0L32") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNINLHF_0_1 "r.e.aluop_1_RNINLHF_0[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNI74K91_2 "r.m.y_RNI74K91[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIM5KI3_4 "r.x.result_RNIM5KI3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIQHKI3_5 "r.x.result_RNIQHKI3[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIANOH_0_1 "r.e.aluop_1_RNIANOH_0[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pv_RNIKLVC "r.e.ctrl.pv_RNIKLVC") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pv_RNI6GFJ "r.a.ctrl.pv_RNI6GFJ") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_x_npc_0_RNIH8B4T_0 "r.x.npc_0_RNIH8B4T[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_x_npc_1_RNI1ERTS_1 "r.x.npc_1_RNI1ERTS[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIEAJ5_0 "r.e.aluop_0_RNIEAJ5[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI4QSA1_1 "r.e.aluop_RNI4QSA1[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIB3971_0_10 "r.e.op2_RNIB3971_0[10]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIB3971_10 "r.e.op2_RNIB3971[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI6S491_2 "r.e.aluop_0_RNI6S491[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIDO9I2_1 "r.e.aluop_2_RNIDO9I2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIB2B85_0 "r.e.aluop_0_RNIB2B85[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNINHN3_1 "r.e.aluop_2_RNINHN3[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI5H5K2_1 "r.e.aluop_0_RNI5H5K2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNILHN3_1 "r.e.aluop_2_RNILHN3[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_29 "r.d.inst_0_RNO_0[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIIBFO1_29 "r.e.op1_RNIIBFO1[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_29 "r.d.inst_0_RNO[29]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNILD0E_30 "r.x.ctrl.inst_RNILD0E[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNIVC0E_30 "r.m.ctrl.inst_RNIVC0E[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNIVC0E_0_30 "r.m.ctrl.inst_RNIVC0E_0[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_imm_RNIR8OD2_2 "r.a.imm_RNIR8OD2[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel2_1_RNI6GBO2_1 "r.a.rsel2_1_RNI6GBO2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel2_1_RNIBME552_1 "r.a.rsel2_1_RNIBME552[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNI410U72_0 "r.a.rsel2_RNI410U72[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNISU8N42_2 "r.a.rsel2_RNISU8N42[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_2 "r.a.imm_RNO[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIFC0E_0_30 "r.e.ctrl.inst_RNIFC0E_0[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIFC0E_30 "r.e.ctrl.inst_RNIFC0E[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIU9HF_14 "r.m.ctrl.pc_RNIU9HF[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_RNISR7R_0 "r.x.npc_RNISR7R[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_14 "r.e.op2_RNO_2[14]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI92BES_14 "r.x.result_RNI92BES[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIP0J3S_14 "r.x.ctrl.pc_RNIP0J3S[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI1O1E_0 "r.x.rstate_RNI1O1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_2 "r.w.s.tba_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_2 "r.w.s.tba_RNO[2]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_14 "r.e.op2_RNO_5[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_14 "r.e.op2_RNO_3[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_14 "r.e.op2_RNO_4[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_14 "r.e.op2_RNO_1[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_14 "r.e.op2_RNO_0[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_14 "r.e.op2_RNO[14]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_x_result_RNIVSJD3_14 "r.x.result_RNIVSJD3[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_19 "r.x.data_0_RNO_0[19]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_19 "r.x.data_0_RNO_1[19]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I55_Y_0_o3 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_result_RNI4NED_19 "r.x.result_RNI4NED[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename rfo_m_19 "rfo_m[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_23 "r.d.inst_0_RNO_0[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_23 "r.d.inst_0_RNO[23]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_wy_RNI8E1D "r.m.ctrl.wy_RNI8E1D") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_mulstep_RNIDG4D_0 "r.e.mulstep_RNIDG4D_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIU2NF_30 "r.e.op1_RNIU2NF[30]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIQQ5T3_30 "r.x.result_RNIQQ5T3[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI4BEO1_30 "r.e.op1_RNI4BEO1[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_dci_signed_RNI684K3 "r.x.dci.signed_RNI684K3") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_casa_RNIBCDJ "r.m.casa_RNIBCDJ") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_2_0 "r.m.dci.asi_RNO_2[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_0_0 "r.m.dci.asi_RNO_0[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_1_0 "r.m.dci.asi_RNO_1[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_0_2 "r.m.dci.asi_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_0 "r.m.dci.asi_RNO[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_2 "r.m.dci.asi_RNO[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename dsur_asi_RNIQPUC_0 "dsur.asi_RNIQPUC[0]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIKCO2U_20 "r.x.ctrl.inst_RNIKCO2U[20]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNI50723_30 "r.x.ctrl.inst_RNI50723[30]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIAS84S_30 "r.x.ctrl.inst_RNIAS84S[30]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIE0331_20 "r.x.ctrl.inst_RNIE0331[20]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_ctrl_wicc_RNIIE1U1_0 "r.x.ctrl.wicc_RNIIE1U1_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_wicc_RNIAUOTT "r.x.ctrl.wicc_RNIAUOTT") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIRL9E01_0 "r.x.rstate_RNIRL9E01[0]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNITM3O1_30 "r.x.ctrl.inst_RNITM3O1[30]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNILL0E_0_22 "r.x.ctrl.inst_RNILL0E_0[22]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIQD1E_0_20 "r.x.ctrl.inst_RNIQD1E_0[20]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIL6RNT_0 "r.x.rstate_RNIL6RNT[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNI2AVHA_5 "r.a.ctrl.rd_RNI2AVHA[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_d_cnt_RNO_1 "r.d.cnt_RNO[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_m_dci_write_RNO "r.m.dci.write_RNO") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_0_1 "dsur.asi_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNISPAC01_1 "r.w.s.wim_RNISPAC01[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI6E9TT_1 "r.w.s.wim_RNI6E9TT[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_1 "dsur.asi_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI1T0E_23 "r.m.ctrl.inst_RNI1T0E[23]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI0P0E_20 "r.m.ctrl.inst_RNI0P0E[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNIVK0E_21 "r.m.ctrl.inst_RNIVK0E[21]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNIUG0E_20 "r.m.ctrl.inst_RNIUG0E[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNI4D1E_0_19 "r.m.ctrl.inst_RNI4D1E_0[19]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_RNIVK0E_0_21 "r.m.ctrl.inst_RNIVK0E_0[21]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_step_RNI6M74 "r.a.step_RNI6M74") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pv_RNIOGNA "r.a.ctrl.pv_RNIOGNA") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_5_5 "r.e.ctrl.tt_RNO_5[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_4_5 "r.e.ctrl.tt_RNO_4[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI9O0L_22 "r.a.ctrl.inst_RNI9O0L[22]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIEC1L_1 "r.e.ctrl.rd_RNIEC1L[1]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIKC1L_3 "r.e.ctrl.rd_RNIKC1L[3]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_aluadd_RNI2UTF94 "r.e.aluadd_RNI2UTF94") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIDVK494_0_31 "r.e.op2_RNIDVK494_0[31]") (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance (rename r_a_jmpl_RNO "r.a.jmpl_RNO") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNO "r.e.jmpl_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_0 "r.e.ctrl.tt_RNO[0]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_a_ticc_RNI4MH8 "r.a.ticc_RNI4MH8") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_3_0 "r.e.ctrl.tt_RNO_3[0]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I27_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I27_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I27_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I41_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I41_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I42_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIQFKL_26 "r.e.ctrl.pc_RNIQFKL[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_0_RNIP2PUH3 "r.e.ldbp2_0_RNIP2PUH3") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNILK72T_0 "r.e.jmpl_RNILK72T_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_alusel_RNIPQBF2_0 "r.e.alusel_RNIPQBF2[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI6FIJ2_14 "r.w.s.tba_RNI6FIJ2[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIAFF32 "r.m.dci.enaddr_RNIAFF32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIMRSC3_26 "r.x.result_RNIMRSC3[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNI4VED_26 "r.x.result_RNI4VED[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIB4QQ_0_1 "r.e.aluop_2_RNIB4QQ_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIB4QQ_1 "r.e.aluop_2_RNIB4QQ[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIUD632_26 "r.e.op1_RNIUD632[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I317_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_alucin_RNO_3 "r.e.alucin_RNO_3") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_e_alucin_RNO_6 "r.e.alucin_RNO_6") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI7C0E_1_30 "r.a.ctrl.inst_RNI7C0E_1[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI053A1_19 "r.a.ctrl.inst_RNI053A1[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI5H3O1_19 "r.a.ctrl.inst_RNI5H3O1[19]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI6P4J3_21 "r.a.ctrl.inst_RNI6P4J3[21]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI8T4Q3_19 "r.a.ctrl.inst_RNI8T4Q3[19]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI5H3O1_0_21 "r.a.ctrl.inst_RNI5H3O1_0[21]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI3C122_30 "r.a.ctrl.inst_RNI3C122[30]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_e_aluadd_RNI4QOJF4 "r.e.aluadd_RNI4QOJF4") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_0_0 "r.m.icc_RNO_0[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_0 "r.m.icc_RNO[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIONC8B_5 "r.f.pc_RNIONC8B[5]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNICPPKF_5 "r.f.pc_RNICPPKF[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNI8M7UJ_5 "r.f.pc_RNI8M7UJ[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_0_1 "r.m.dci.asi_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_1 "r.m.dci.asi_RNO[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_5 "r.w.s.y_RNO_2[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I21_G0N "comb.branch_address.tmp_ADD_30x30_fast_I21_G0N") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un1_write_reg30_1_3_RNO_4 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un1_write_reg30_1_3_RNO_0 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_wreg_RNO "r.a.ctrl.wreg_RNO") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_wreg_RNO_0 "r.a.ctrl.wreg_RNO_0") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance un1_write_reg30_1_3_RNO_2 (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIP25D_24 "r.d.inst_0_RNIP25D[24]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIDT4K_0 "r.d.cnt_RNIDT4K[0]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI66J4_22 "r.d.inst_0_RNI66J4[22]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI66J4_1_23 "r.d.inst_0_RNI66J4_1[23]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I3_G0N "comb.branch_address.tmp_ADD_30x30_fast_I3_G0N") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I3_P0N "comb.branch_address.tmp_ADD_30x30_fast_I3_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I4_G0N "comb.branch_address.tmp_ADD_30x30_fast_I4_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I4_P0N "comb.branch_address.tmp_ADD_30x30_fast_I4_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I80_Y "comb.branch_address.tmp_ADD_30x30_fast_I80_Y") (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I81_Y "comb.branch_address.tmp_ADD_30x30_fast_I81_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I132_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I132_un1_Y") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I5_P0N "comb.branch_address.tmp_ADD_30x30_fast_I5_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I130_Y "comb.branch_address.tmp_ADD_30x30_fast_I130_Y") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I131_Y "comb.branch_address.tmp_ADD_30x30_fast_I131_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I77_Y "comb.branch_address.tmp_ADD_30x30_fast_I77_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I75_Y "comb.branch_address.tmp_ADD_30x30_fast_I75_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I134_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I134_un1_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I134_Y "comb.branch_address.tmp_ADD_30x30_fast_I134_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I135_Y "comb.branch_address.tmp_ADD_30x30_fast_I135_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I78_Y "comb.branch_address.tmp_ADD_30x30_fast_I78_Y") (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIFET3_1_0 "r.d.cnt_RNIFET3_1[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIFET3_0 "r.d.cnt_RNIFET3[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIM0KB_0 "r.d.cnt_RNIM0KB[0]") (viewRef prim (cellRef AXOI5 (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIFET3_0_0 "r.d.cnt_RNIFET3_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIV66G_25 "r.d.inst_0_RNIV66G[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIBEJ4_27 "r.d.inst_0_RNIBEJ4[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIPL7S2_31 "r.d.inst_0_RNIPL7S2[31]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_d_annul_RNIQ9RH3 "r.d.annul_RNIQ9RH3") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI08FU3_13 "r.d.inst_0_RNI08FU3[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI35MS3_4 "r.d.inst_0_RNI35MS3[4]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIOEPU3_4 "r.d.inst_0_RNIOEPU3[4]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIEOS04_4 "r.d.inst_0_RNIEOS04[4]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNI5E224_5 "r.a.ctrl.rd_RNI5E224[5]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIRTK41_4 "r.d.inst_0_RNIRTK41[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIQQ3D_25 "r.d.inst_0_RNIQQ3D[25]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I43_Y (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I106_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I158_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I105_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I244_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I266_Y_0_o3 (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I40_Y_i_o3 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I29_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I29_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I31_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I38_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I36_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I39_Y_0_o3 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I40_Y_i_o3 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNI8VED_28 "r.x.result_RNI8VED[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNICDID_28 "r.e.op1_RNICDID[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIIB0K_28 "r.e.op2_RNIIB0K[28]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIQVG8_28 "r.x.data_0_RNIQVG8[28]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I319_Y_0_1 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I168_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I202_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I202_un1_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I217_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I217_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I217_Y "comb.branch_address.tmp_ADD_30x30_fast_I217_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I169_Y "comb.branch_address.tmp_ADD_30x30_fast_I169_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIF75U33 "r.e.ldbp2_2_RNIF75U33") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_invop2_RNI7VBSR1 "r.e.invop2_RNI7VBSR1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename comb_alu_op_aop2_i_o2_RNO_24 "comb.alu_op.aop2_i_o2_RNO[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_24 "r.e.op2_RNO[24]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_0_24 "r.e.op2_RNO_0[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_24 "r.e.op2_RNO_2[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_24 "r.e.op2_RNO_3[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_1_24 "r.e.op2_RNO_1[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I315_Y_0 (viewRef prim (cellRef AX1D (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I315_Y_0 (viewRef prim (cellRef AX1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I243_un1_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I243_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_invop2_RNIUGE0O1 "r.e.invop2_RNIUGE0O1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIPQBLR2 "r.e.ldbp2_2_RNIPQBLR2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I314_Y_0 (viewRef prim (cellRef AX1D (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I314_Y_0 (viewRef prim (cellRef AX1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I22_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I22_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIUGHD_21 "r.e.op1_RNIUGHD[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I8_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I8_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I250_Y_0_a3 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I65_Y (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_6 "r.x.data_0_RNO_1[6]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_6 "r.x.data_0_RNO_3[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I83_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I81_Y (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I7_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIENB4_6 "r.e.op1_RNIENB4[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I93_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I250_Y_0_a3 (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I2_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2VA4_0 "r.e.op1_RNI2VA4[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNIEEOO "r.e.ldbp2_RNIEEOO") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I89_Y (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I90_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I151_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I172_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I229_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNI520Q1 "r.e.ldbp2_RNI520Q1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_invop2_RNI1B231 "r.e.invop2_RNI1B231") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I292_Y_0 (viewRef prim (cellRef XOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I292_Y_0 (viewRef prim (cellRef XNOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I4_G0N (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I5_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I85_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I86_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I4_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I5_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I5_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I85_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I86_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIAFB4_4 "r.e.op1_RNIAFB4[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIO7KA_4 "r.x.result_RNIO7KA[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI8BB4_3 "r.e.op1_RNI8BB4[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIC1UB_1 "r.e.op1_RNIC1UB[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_24 "r.f.pc_RNO_4[24]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_24 "r.f.pc_RNO_1[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_24 "r.f.pc_RNO_7[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_24 "r.f.pc_RNO_3[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_24 "r.f.pc_RNO_10[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I93_Y "comb.branch_address.tmp_ADD_30x30_fast_I93_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I155_Y "comb.branch_address.tmp_ADD_30x30_fast_I155_Y") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I41_Y_i "comb.branch_address.tmp_ADD_30x30_fast_I41_Y_i") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I40_Y_0_o3 "comb.branch_address.tmp_ADD_30x30_fast_I40_Y_0_o3") (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I237_Y_0_o3 "comb.branch_address.tmp_ADD_30x30_fast_I237_Y_0_o3") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I22_G0N "comb.branch_address.tmp_ADD_30x30_fast_I22_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I22_P0N "comb.branch_address.tmp_ADD_30x30_fast_I22_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I42_Y "comb.branch_address.tmp_ADD_30x30_fast_I42_Y") (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_24 "r.f.pc_RNO_13[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_24 "r.f.pc_RNO_9[24]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_24 "r.f.pc_RNO_14[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_24 "r.f.pc_RNO_15[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_24 "r.f.pc_RNO_11[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_24 "r.f.pc_RNO_6[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_24 "r.f.pc_RNO_12[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I237_Y_0_a3 "comb.branch_address.tmp_ADD_30x30_fast_I237_Y_0_a3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_e_sari_RNIBKJO "r.e.sari_RNIBKJO") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2LHD_31 "r.e.op1_RNI2LHD[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI96HK_31 "r.x.data_0_RNI96HK[31]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_27 "r.x.data_0_RNO_0[27]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_27 "r.x.data_0_RNO_1[27]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I28_G0N (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I28_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I164_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I28_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I28_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI4VNF_27 "r.e.op1_RNI4VNF[27]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIE3FO1_27 "r.e.op1_RNIE3FO1[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI6VED_27 "r.x.result_RNI6VED[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIA9ID_27 "r.e.op1_RNIA9ID[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_15 "r.x.data_0_RNO_3[15]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_15 "r.x.data_0_RNO_1[15]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_15 "r.x.data_0_RNO_2[15]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I16_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I16_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I16_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2THD_15 "r.e.op1_RNI2THD[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_5 "r.x.data_0_RNO_3[5]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_5 "r.x.data_0_RNO_2[5]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_result_RNIQFKA_5 "r.x.result_RNIQFKA[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_2 "r.x.data_0_RNO_0[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_2 "r.x.data_0_RNO_1[2]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_4_2 "r.x.data_0_RNO_4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_2 "r.d.inst_0_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIS8AI_2 "r.d.inst_0_RNIS8AI[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_2 "r.d.inst_0_RNO[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI9NOH_1 "r.e.aluop_0_RNI9NOH[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_debug_RNO "r.x.debug_RNO") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNITI8K2_1 "r.e.aluop_0_RNITI8K2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNITQ1R_1 "r.e.aluop_0_RNITQ1R[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNITHRV1_1 "r.e.aluop_0_RNITHRV1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIVBFF1_1 "r.e.aluop_0_RNIVBFF1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIDISV1_1 "r.e.aluop_0_RNIDISV1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNILH6K2_1 "r.e.aluop_0_RNILH6K2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI5IRV1_1 "r.e.aluop_0_RNI5IRV1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIL2SV1_1 "r.e.aluop_0_RNIL2SV1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNID27K2_1 "r.e.aluop_0_RNID27K2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIVH6K2_1 "r.e.aluop_0_RNIVH6K2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIVADF1_1 "r.e.aluop_0_RNIVADF1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIG4002_1 "r.e.aluop_1_RNIG4002[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI04VV1_1 "r.e.aluop_1_RNI04VV1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIKI7K2_1 "r.e.aluop_1_RNIKI7K2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIERM82_1 "r.e.aluop_1_RNIERM82[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIOQCF1_1 "r.e.aluop_1_RNIOQCF1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIO3VV1_1 "r.e.aluop_1_RNIO3VV1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIO2TV1_1 "r.e.aluop_1_RNIO2TV1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI8D6R_1 "r.e.aluop_1_RNI8D6R[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIGCGF1_1 "r.e.aluop_1_RNIGCGF1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI039K2_1 "r.e.aluop_1_RNI039K2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIUI8K2_1 "r.e.aluop_1_RNIUI8K2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIGBEF1_1 "r.e.aluop_1_RNIGBEF1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNIARDF1_1 "r.e.aluop_1_RNIARDF1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_1_RNI0JTV1_1 "r.e.aluop_1_RNI0JTV1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_26 "r.d.pc_RNO[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_23 "r.d.pc_RNO[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_20 "r.d.pc_RNO[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_17 "r.d.pc_RNO[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_14 "r.d.pc_RNO[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_11 "r.d.pc_RNO[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_10 "r.d.pc_RNO[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_8 "r.d.pc_RNO[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_6 "r.d.pc_RNO[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_5 "r.d.pc_RNO[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_4 "r.d.pc_RNO[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_pc_RNO_3 "r.d.pc_RNO[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIA5SD2_23 "r.e.ctrl.inst_RNIA5SD2[23]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance data_0_sqmuxa_2 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance data_3_sqmuxa_1 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance data_1_sqmuxa_3 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNIA5SD2_1_23 "r.e.ctrl.inst_RNIA5SD2_1[23]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_w_s_et_RNI6JHB_0 "r.w.s.et_RNI6JHB_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_alusel_RNIRC5C_0 "r.e.alusel_RNIRC5C[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance data_4_sqmuxa_1 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICIRC2_7_0 "r.x.rstate_RNICIRC2_7[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance data_3_sqmuxa_1_0 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance data_9_sqmuxa_1 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIK4QT "r.x.mexc_RNIK4QT") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIQ5MM "r.x.mexc_RNIQ5MM") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNII0QT "r.x.mexc_RNII0QT") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIEOPT "r.x.mexc_RNIEOPT") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNICKPT "r.x.mexc_RNICKPT") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_mexc_RNI65KR1_0 "r.x.mexc_RNI65KR1_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI5V5I1_0 "r.x.rstate_RNI5V5I1[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIM4JR1 "r.x.mexc_RNIM4JR1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_mexc_RNI65KR1 "r.x.mexc_RNI65KR1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIF7GQ_6 "r.x.result_RNIF7GQ[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance fpcwr_1_sqmuxa (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIHNGG2_0_0 "r.x.rstate_RNIHNGG2_0[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance data_1_sqmuxa_2 (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_x_result_RNISURC3_12 "r.x.result_RNISURC3[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIRC5C_0 "r.e.jmpl_RNIRC5C_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNIO051A "r.e.ldbp2_RNIO051A") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIR1EC_11 "r.x.data_0_RNIR1EC[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNII7741_11 "r.f.pc_RNII7741[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_2_2 "r.w.s.icc_RNO_2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_wicc_RNI7LCQA7 "r.e.ctrl.wicc_RNI7LCQA7") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_wicc_RNIUN9L "r.m.ctrl.wicc_RNIUN9L") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_icc_RNIFSID_3 "r.x.icc_RNIFSID[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNO_1_0 "r.m.icc_RNO_1[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI765V97_0 "r.e.aluop_RNI765V97[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_10 "r.w.s.tba_RNO[10]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_10 "r.w.s.tba_RNO_0[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIR5H85_0 "r.e.aluop_0_RNIR5H85[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNI5QCI2_1 "r.e.aluop_2_RNI5QCI2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI2D691_2 "r.e.aluop_0_RNI2D691[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI7KA71_25 "r.e.op2_RNI7KA71[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI7KA71_0_25 "r.e.op2_RNI7KA71_0[25]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_wicc_RNO "r.m.ctrl.wicc_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIDUSMPD_11 "r.f.pc_RNIDUSMPD[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI2ND242_11 "r.f.pc_RNI2ND242[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIV4JS8_11 "r.f.pc_RNIV4JS8[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_tt_RNI8B161_7 "dsur.tt_RNI8B161[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_icc_RNI88I3_3 "r.m.icc_RNI88I3[3]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNI68I3_0 "r.m.icc_RNI68I3[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_wicc_RNI0ERB "r.a.ctrl.wicc_RNI0ERB") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_w_s_et_RNI6JHB "r.w.s.et_RNI6JHB") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename rfo_m_30 "rfo_m[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIQA091_21 "ir.addr_RNIQA091[21]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNINFF61_21 "r.w.s.y_RNINFF61[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_21 "rfo_m[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_dwt_RNIET191 "r.w.s.dwt_RNIET191") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNISM091_14 "ir.addr_RNISM091[14]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIPRF61_14 "r.w.s.y_RNIPRF61[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI402E_0 "r.x.rstate_RNI402E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIS8J3S_26 "r.x.ctrl.pc_RNIS8J3S[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIOKJDS_26 "r.x.result_RNIOKJDS[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIT71E_0 "r.x.rstate_RNIT71E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNILGI3S_10 "r.x.ctrl.pc_RNILGI3S[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI6CJDS_10 "r.x.result_RNI6CJDS[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIUC311_0 "r.x.npc_RNIUC311[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_RNI1C011_0 "r.x.npc_RNI1C011[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI4QHF_26 "r.m.ctrl.pc_RNI4QHF[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIM9GF_10 "r.m.ctrl.pc_RNIM9GF[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIJQHF_26 "r.x.ctrl.pc_RNIJQHF[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI6C2E_0 "r.x.rstate_RNI6C2E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIUKJ3S_19 "r.x.ctrl.pc_RNIUKJ3S[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNI6T411_0 "r.x.npc_RNI6T411[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNINIIF_19 "r.x.ctrl.pc_RNINIIF[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_9 "r.d.inst_0_RNO[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_4 "r.d.inst_0_RNO[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_3 "r.d.inst_0_RNO[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_1 "r.d.inst_0_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0 "r.d.inst_0_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_7 "dsur.tt_RNO[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_3 "dsur.tt_RNO[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_7 "r.d.inst_0_RNO[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_6 "r.d.inst_0_RNO[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_5 "r.d.inst_0_RNO[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_18 "ir.addr_RNO_1[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_18 "ir.addr_RNO_5[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_18 "ir.addr_RNO_3[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_1 "r.m.y_RNO_2[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_1 "r.m.y_RNO_1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_1 "r.m.y_RNO_4[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIUB1E_0 "r.x.rstate_RNIUB1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIMKI3S_11 "r.x.ctrl.pc_RNIMKI3S[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI32BES_11 "r.x.result_RNI32BES[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_27 "ir.addr_RNO_5[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_27 "ir.addr_RNO_6[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_27 "ir.addr_RNO_4[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_27 "ir.addr_RNO_2[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_npc_RNI6S011_0 "r.x.npc_RNI6S011[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIOHGF_11 "r.m.ctrl.pc_RNIOHGF[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI7IGF_11 "r.x.ctrl.pc_RNI7IGF[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNO "r.w.s.ps_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_24 "r.d.inst_0_RNO[24]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_22 "r.d.inst_0_RNO[22]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIFD2T52_21 "r.d.inst_0_RNIFD2T52[21]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_31 "r.d.inst_0_RNO[31]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_30 "r.d.inst_0_RNO[30]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_20 "r.d.inst_0_RNO[20]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIU71E_0 "r.x.rstate_RNIU71E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIMGI3S_20 "r.x.ctrl.pc_RNIMGI3S[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI7ABES_20 "r.x.result_RNI7ABES[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNI6C011_0 "r.x.npc_RNI6C011[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIO9GF_20 "r.m.ctrl.pc_RNIO9GF[20]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI7AGF_20 "r.x.ctrl.pc_RNI7AGF[20]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIL3H8_30 "r.x.data_0_RNIL3H8[30]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI3RIF_30 "r.e.op2_RNI3RIF[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_6 "dsur.asi_RNO[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_5 "dsur.asi_RNO[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_4 "dsur.asi_RNO[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_3 "dsur.asi_RNO[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_0 "dsur.asi_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_err_RNO "dsur.err_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename rp_error_RNO "rp.error_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_asi_RNIR5741_1 "dsur.asi_RNIR5741[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIBII81_1 "r.w.s.wim_RNIBII81[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNIOLP81_1 "r.w.s.cwp_RNIOLP81[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNI5IG91_1 "r.w.s.y_RNI5IG91[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_1 "rfo_m[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNILQKB_0 "r.x.rstate_RNILQKB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIDFV2S_9 "r.x.ctrl.pc_RNIDFV2S[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNI27KU_0 "r.x.npc_RNI27KU[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI67AE_9 "r.m.ctrl.pc_RNI67AE[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIL7AE_9 "r.x.ctrl.pc_RNIL7AE[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_5 "r.m.y_RNO_2[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_5 "r.m.y_RNO_1[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_5 "r.m.y_RNO_4[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIIVG8_20 "r.x.data_0_RNIIVG8[20]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_28 "r.d.inst_0_RNO[28]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIQLUF62_12 "r.d.inst_0_RNIQLUF62[12]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVMIF_20 "r.e.op2_RNIVMIF[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_2 "dsur.tt_RNO[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_1 "dsur.tt_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_0 "dsur.tt_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_26 "ir.addr_RNO_6[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_26 "ir.addr_RNO_4[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_26 "ir.addr_RNO_3[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI8IIF_19 "r.m.ctrl.pc_RNI8IIF[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_26 "r.d.inst_0_RNO[26]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_5 "dsur.tt_RNO[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_2_1 "r.w.s.icc_RNO_2[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename rp_error_RNO_1 "rp.error_RNO_1") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_25 "r.d.inst_0_RNO[25]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_16 "r.d.inst_0_RNO[16]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_15 "r.d.inst_0_RNO[15]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_13 "r.d.inst_0_RNO[13]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_11 "r.d.inst_0_RNO[11]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI9T2M3_25 "r.a.ctrl.inst_RNI9T2M3[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIJ25Q1_26 "r.a.ctrl.inst_RNIJ25Q1[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI3HLO_27 "r.a.ctrl.inst_RNI3HLO[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIF2TK1_26 "r.a.ctrl.inst_RNIF2TK1[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIEP7S_27 "r.a.ctrl.inst_RNIEP7S[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIPCKH_27 "r.a.ctrl.inst_RNIPCKH[27]") (viewRef prim (cellRef AX1E (libraryRef PA3))) ) (instance (rename r_e_op2_RNI59C6_0 "r.e.op2_RNI59C6[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_shcnt_RNI2B1C_0 "r.e.shcnt_RNI2B1C[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIAJ33_0 "r.x.data_0_RNIAJ33[0]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_1 "r.w.s.y_RNO_2[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIOCJDS_19 "r.x.result_RNIOCJDS[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIHRHBS_9 "r.x.result_RNIHRHBS[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_27 "ir.addr_RNO_0[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIQ6091_30 "ir.addr_RNIQ6091[30]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNINF741_30 "r.f.pc_RNINF741[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNINBF61_30 "r.w.s.y_RNINBF61[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNITFF81_1 "r.w.s.icc_RNITFF81[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNICIG91_8 "r.w.s.y_RNICIG91[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIGAJ5_1 "r.e.aluop_2_RNIGAJ5[1]") (viewRef prim (cellRef XO1A (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIGAJ5_1_1 "r.e.aluop_2_RNIGAJ5_1[1]") (viewRef prim (cellRef AXOI4 (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIGAJ5_2_1 "r.e.aluop_2_RNIGAJ5_2[1]") (viewRef prim (cellRef AXO3 (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIGAJ5_0_1 "r.e.aluop_2_RNIGAJ5_0[1]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_e_mulstep_RNIDG4D_2 "r.e.mulstep_RNIDG4D_2") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIMMED_12 "r.x.result_RNIMMED[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI67B4_2 "r.e.op1_RNI67B4[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIGE0C2_28 "r.e.op1_RNIGE0C2[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNII07V_1 "r.e.aluop_2_RNII07V[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNII07V_0_1 "r.e.aluop_2_RNII07V_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNIS3BB2_19 "r.e.op2_RNIS3BB2[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIA2PO_0_1 "r.e.aluop_2_RNIA2PO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIA2PO_1 "r.e.aluop_2_RNIA2PO[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_2 "r.w.s.tt_RNO[2]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_0_2 "r.w.s.tt_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_14 "r.w.s.tba_RNO[14]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_14 "r.w.s.tba_RNO_0[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_18 "r.w.s.tba_RNO[18]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_18 "r.w.s.tba_RNO_0[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIQGMI2_0 "r.x.rstate_RNIQGMI2[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI9L9VT_0 "r.x.result_RNI9L9VT[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_1 "r.w.s.tt_RNO[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_0_1 "r.w.s.tt_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNISCJ3S_17 "r.x.ctrl.pc_RNISCJ3S[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_19 "ir.addr_RNO_1[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_19 "ir.addr_RNO_5[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_19 "ir.addr_RNO_6[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_19 "ir.addr_RNO_4[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_19 "ir.addr_RNO_3[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNI78NA_0 "r.e.op2_RNI78NA[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIRL3M1_0 "r.e.aluop_0_RNIRL3M1[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNI526P_1 "r.e.aluop_2_RNI526P[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI21JC_2 "r.e.aluop_0_RNI21JC[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI78NA_0_0 "r.e.op2_RNI78NA_0[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename ir_addr_RNI1B191_19 "ir.addr_RNI1B191[19]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIQ7741_19 "r.f.pc_RNIQ7741[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_17 "r.w.s.y_RNO_2[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_5 "r.w.s.tba_RNO[5]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_5 "r.w.s.tba_RNO_0[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIO7741_17 "r.f.pc_RNIO7741[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIS7G61_17 "r.w.s.y_RNIS7G61[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_17 "rfo_m[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_4 "r.w.s.tba_RNO[4]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_4 "r.w.s.tba_RNO_0[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIR3NV3_0 "r.e.aluop_0_RNIR3NV3[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNI5PVT1_1 "r.e.aluop_2_RNI5PVT1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIISVU_2 "r.e.aluop_0_RNIISVU[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIN34T_11 "r.e.op2_RNIN34T[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIN34T_0_11 "r.e.op2_RNIN34T_0[11]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_19 "r.w.s.tba_RNO[19]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_19 "r.w.s.tba_RNO_0[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0 "r.w.s.tba_RNO[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_0 "r.w.s.tba_RNO_0[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNIUFNQ32_2 "r.w.s.cwp_RNIUFNQ32[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNILU2151_2 "r.w.s.cwp_RNILU2151[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_w_s_et_RNIRPC3U "r.w.s.et_RNIRPC3U") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIVNUU2_0 "r.e.aluop_0_RNIVNUU2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNI7JJD1_1 "r.e.aluop_2_RNI7JJD1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIJPPM_2 "r.e.aluop_0_RNIJPPM[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIO0UK_6 "r.e.op2_RNIO0UK[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIO0UK_0_6 "r.e.op2_RNIO0UK_0[6]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_28 "r.w.s.y_RNO_2[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_6 "r.w.s.tt_RNO[6]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_0_6 "r.w.s.tt_RNO_0[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_11 "ir.addr_RNO_1[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_11 "ir.addr_RNO_5[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_11 "ir.addr_RNO_6[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_11 "ir.addr_RNO_4[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNISGHD_12 "r.e.op1_RNISGHD[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_7 "r.w.s.y_RNO_2[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_7 "ir.addr_RNO_1[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_15 "r.m.y_RNO_3[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_15 "r.m.y_RNO_2[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_15 "r.m.y_RNO_4[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIK7P54_0 "r.e.aluop_RNIK7P54[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIT8DK5_0 "r.e.aluop_RNIT8DK5[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNILP0U1_1 "r.e.aluop_2_RNILP0U1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIMRAO2_1 "r.e.aluop_2_RNIMRAO2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIQC0V_2 "r.e.aluop_0_RNIQC0V[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI7F5F1_2 "r.e.aluop_RNI7F5F1[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVJ4T_13 "r.e.op2_RNIVJ4T[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIJJ971_12 "r.e.op2_RNIJJ971[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVJ4T_0_13 "r.e.op2_RNIVJ4T_0[13]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIJJ971_0_12 "r.e.op2_RNIJJ971_0[12]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_0 "r.w.s.tt_RNO[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_0_0 "r.w.s.tt_RNO_0[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_4 "r.m.y_RNO_4[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_16 "r.w.s.tba_RNO[16]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_8 "r.w.s.tba_RNO[8]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_7 "r.w.s.tba_RNO[7]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_16 "r.w.s.tba_RNO_0[16]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_8 "r.w.s.tba_RNO_0[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_7 "r.w.s.tba_RNO_0[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIT9NB4_0 "r.e.aluop_RNIT9NB4[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNI6SV32_1 "r.e.aluop_2_RNI6SV32[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIFVV41_2 "r.e.aluop_RNIFVV41[2]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIR34T_20 "r.e.op2_RNIR34T[20]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIR34T_0_20 "r.e.op2_RNIR34T_0[20]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNIB4H373_2 "r.w.s.cwp_RNIB4H373[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIKCJDS_17 "r.x.result_RNIKCJDS[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_11 "ir.addr_RNO_3[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_7 "ir.addr_RNO_5[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_7 "ir.addr_RNO_6[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_7 "ir.addr_RNO_4[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_7 "ir.addr_RNO_3[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIUFG61_19 "r.w.s.y_RNIUFG61[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_19 "r.m.y_RNO_3[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_19 "r.m.y_RNO_2[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_19 "r.m.y_RNO_4[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_4 "r.m.y_RNO_2[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_4 "r.m.y_RNO_1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIQCHD_11 "r.e.op1_RNIQCHD[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI0HHD_30 "r.e.op1_RNI0HHD[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_y_RNI02P71_29 "r.m.y_RNI02P71[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI2U5U4_0 "r.e.aluop_RNI2U5U4[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIRVG8_29 "r.x.data_0_RNIRVG8[29]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIHRJF_29 "r.e.op2_RNIHRJF[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_17 "r.w.s.tba_RNO[17]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_17 "r.w.s.tba_RNO_0[17]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIDRF32 "r.m.dci.enaddr_RNIDRF32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNISIC11_29 "r.e.op2_RNISIC11[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJ9FPU "r.e.jmpl_RNIJ9FPU") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIPKVVR3 "r.e.ldbp2_2_RNIPKVVR3") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNITRKL_29 "r.e.ctrl.pc_RNITRKL[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI66EC_29 "r.x.data_0_RNI66EC[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIVFG61_29 "r.w.s.y_RNIVFG61[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIMHV53_0 "r.x.rstate_RNIMHV53[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIAJQ43_3 "r.f.pc_RNIAJQ43[3]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename ir_addr_RNI4HM71_3 "ir.addr_RNI4HM71[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNITAOB4_0 "r.e.aluop_RNITAOB4[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIMC042_1 "r.e.aluop_2_RNIMC042[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIN7051_2 "r.e.aluop_RNIN7051[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI3C4T_30 "r.e.op2_RNI3C4T[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI3C4T_0_30 "r.e.op2_RNI3C4T_0[30]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNITK1A9C_3 "r.f.pc_RNITK1A9C[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI1MG2G1_3 "r.f.pc_RNI1MG2G1[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI9M6N7_3 "r.f.pc_RNI9M6N7[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_29 "r.f.pc_RNO_6[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNI8PNIG_3 "r.f.pc_RNI8PNIG[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_29 "r.f.pc_RNO_11[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIVPPFC_3 "r.f.pc_RNIVPPFC[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIAQE58_3 "r.f.pc_RNIAQE58[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_13 "r.f.pc_RNO_11[13]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIDAEK5_0 "r.e.aluop_RNIDAEK5[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIECBO2_1 "r.e.aluop_2_RNIECBO2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIJN5F1_2 "r.e.aluop_RNIJN5F1[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVR971_31 "r.e.op2_RNIVR971[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIH9DK5_0 "r.e.aluop_RNIH9DK5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNI0SAO2_1 "r.e.aluop_2_RNI0SAO2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNICF5F1_2 "r.e.aluop_RNICF5F1[2]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIOJ971_21 "r.e.op2_RNIOJ971[21]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIOJ971_0_21 "r.e.op2_RNIOJ971_0[21]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_13 "r.w.s.y_RNO_2[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_29 "ir.addr_RNO_5[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_29 "ir.addr_RNO_6[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_29 "ir.addr_RNO_4[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_29 "ir.addr_RNO_2[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_29 "ir.addr_RNO_0[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld_RNO "r.m.ctrl.ld_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dsur_asi_RNIUH741_4 "dsur.asi_RNIUH741[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIB1O41_4 "ir.addr_RNIB1O41[4]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIMEK31_4 "r.f.pc_RNIMEK31[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNI5VR61_0 "r.w.s.tt_RNI5VR61[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIEII81_4 "r.w.s.wim_RNIEII81[4]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_w_s_y_RNI8IG91_4 "r.w.s.y_RNI8IG91[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_4 "rfo_m[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_17 "r.f.pc_RNO_3[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_17 "r.f.pc_RNO_5[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIFRQA3_0 "r.e.aluop_RNIFRQA3[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_2_RNIUKHJ1_1 "r.e.aluop_2_RNIUKHJ1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIRROS_2 "r.e.aluop_RNIRROS[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI70TK_2 "r.e.op2_RNI70TK[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI70TK_0_2 "r.e.op2_RNI70TK_0[2]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_invop2_RNIM7J46 "r.e.invop2_RNIM7J46") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_20 "r.f.pc_RNO_6[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_20 "r.f.pc_RNO_11[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI2S1E_0 "r.x.rstate_RNI2S1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNI2B191_29 "ir.addr_RNI2B191[29]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_13 "r.f.pc_RNO_15[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_16_13 "r.f.pc_RNO_16[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_mexc_RNIAGPT "r.x.mexc_RNIAGPT") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNINLT9S "r.e.jmpl_RNINLT9S") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_27 "r.m.y_RNO_4[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_5_28 "r.m.y_RNO_5[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIHLVBE1_1_1 "r.x.rstate_0_RNIHLVBE1_1[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIG1O41_9 "ir.addr_RNIG1O41[9]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIDIG91_9 "r.w.s.y_RNIDIG91[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_9 "rfo_m[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_9 "r.m.y_RNO_4[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_9 "r.m.y_RNO_2[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_9 "r.m.y_RNO_1[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_1 "r.w.s.tba_RNO[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_1 "r.w.s.tba_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_21 "r.w.s.y_RNO_2[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_21 "r.w.s.y_RNO_1[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_21 "r.w.s.y_RNO_3[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_logic_op_y_iv_RNO_3_21 "comb.logic_op.y_iv_RNO_3[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_logic_op_y_iv_RNO_4_21 "comb.logic_op.y_iv_RNO_4[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_8 "r.m.y_RNO_4[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_8 "r.m.y_RNO_2[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_8 "r.m.y_RNO_1[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI8ABC01_5 "r.w.s.wim_RNI8ABC01[5]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIEU9TT_5 "r.w.s.wim_RNIEU9TT[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNIF50R_5 "r.x.ctrl.tt_RNIF50R[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNI5HVQ_0 "r.x.ctrl.tt_RNI5HVQ[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_26 "r.m.y_RNO_3[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_26 "r.m.y_RNO_2[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_26 "r.m.y_RNO_4[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_20 "r.m.y_RNO_4[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_5_20 "r.m.y_RNO_5[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_20 "r.m.y_RNO_2[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_20 "r.m.y_RNO_1[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNO_2_3 "r.w.s.icc_RNO_2[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNI9PVQ_2 "r.x.ctrl.tt_RNI9PVQ[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNI7LVQ_1 "r.x.ctrl.tt_RNI7LVQ[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_23 "r.w.s.y_RNO_1[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_RNID10R_4 "r.x.ctrl.tt_RNID10R[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_23 "r.w.s.y_RNO_3[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_23 "r.w.s.y_RNO_2[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI73F32 "r.m.dci.enaddr_RNI73F32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI33IJ2_11 "r.w.s.tba_RNI33IJ2[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_icc_RNIF9LF2_3 "r.m.icc_RNIF9LF2[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNIQ9O71_23 "r.m.y_RNIQ9O71[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIAQK66_0 "r.e.aluop_RNIAQK66[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIK7H1S2 "r.e.ldbp2_2_RNIK7H1S2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNI6B161_5 "dsur.tt_RNI6B161[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNI3II81_1 "r.w.s.pil_RNI3II81[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNI5B161_4 "dsur.tt_RNI5B161[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_28 "r.m.y_RNO_3[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_28 "r.m.y_RNO_4[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_28 "r.m.y_RNO_2[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_27 "r.m.y_RNO_3[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_27 "r.m.y_RNO_2[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_23 "r.m.y_RNO_2[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_18 "r.m.y_RNO_1[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNI84TF_1 "r.m.y_RNI84TF[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIPIJN_1 "r.e.aluop_RNIPIJN[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNI7LDV3_29 "r.x.result_RNI7LDV3[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIT5DB_9 "r.x.result_RNIT5DB[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNILK6E_21 "r.x.result_RNILK6E[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIFC6E_11 "r.x.result_RNIFC6E[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIJK6E_20 "r.x.result_RNIJK6E[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIJEH31_0_1 "r.e.aluop_0_RNIJEH31_0[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIBCTU_0 "r.e.aluop_RNIBCTU[0]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_3 "r.w.s.tba_RNO[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIOIS03_7 "r.x.result_RNIOIS03[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_3 "r.w.s.tba_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNITGHQ_0_1 "r.e.aluop_RNITGHQ_0[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI9LLI_1 "r.e.aluop_RNI9LLI[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNITGHQ_1 "r.e.aluop_RNITGHQ[1]") (viewRef prim (cellRef AXOI4 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI6NNF_2 "r.e.aluop_RNI6NNF[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIA8FQ_0 "r.w.s.y_RNIA8FQ[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_14_7 "r.m.y_RNO_14[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_13_7 "r.m.y_RNO_13[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_7_7 "r.m.y_RNO_7[7]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_29 "r.w.s.y_RNO_2[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_5_17 "r.m.y_RNO_5[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_17 "r.m.y_RNO_4[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_17 "r.m.y_RNO_2[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_17 "r.m.y_RNO_3[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_15 "r.w.s.y_RNO_3[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_15 "r.w.s.y_RNO_1[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_15 "r.w.s.y_RNO_2[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_13 "r.m.y_RNO_4[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_13 "r.m.y_RNO_3[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_13 "r.m.y_RNO_2[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_8 "r.w.s.y_RNO_3[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_8 "r.w.s.y_RNO_1[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_8 "r.w.s.y_RNO_2[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_10_7 "r.m.y_RNO_10[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_11_7 "r.m.y_RNO_11[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_29 "r.m.y_RNO_1[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_29 "r.m.y_RNO_2[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_29 "r.m.y_RNO_4[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_31 "r.m.y_RNO_4[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_31 "r.m.y_RNO_3[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_31 "r.m.y_RNO_2[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_14 "r.m.y_RNO_4[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_14 "r.m.y_RNO_2[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_19 "r.w.s.y_RNO_3[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_19 "r.w.s.y_RNO_1[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_19 "r.w.s.y_RNO_2[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIMRJL_31 "r.e.ctrl.pc_RNIMRJL[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNITM091_24 "ir.addr_RNITM091[24]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIOB741_24 "r.f.pc_RNIOB741[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIQRF61_24 "r.w.s.y_RNIQRF61[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_alusel_RNIBR5O2_0 "r.e.alusel_RNIBR5O2[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNI5L0H94 "r.e.ldbp2_2_RNI5L0H94") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIHT1IV_0 "r.e.jmpl_RNIHT1IV_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNISCHD_20 "r.e.op1_RNISCHD[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI43B4_1 "r.e.op1_RNI43B4[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI9ABES_21 "r.x.result_RNI9ABES[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_16 "r.m.y_RNO_1[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIPNF61_23 "r.w.s.y_RNIPNF61[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIPA091_11 "ir.addr_RNIPA091[11]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename rfo_m_11 "rfo_m[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_5_31 "r.m.y_RNO_5[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_0 "r.w.s.y_RNO_3[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_cwp_RNI1FVF2_2 "r.e.cwp_RNI1FVF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIK6LU3_23 "r.x.result_RNIK6LU3[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_0_31 "r.e.op1_RNO_0[31]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_x_icc_RNIBSID_1 "r.x.icc_RNIBSID[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIFFQO92 "r.e.ldbp2_2_RNIFFQO92") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIQDO71_14 "r.m.y_RNIQDO71[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_RNIJDADA "r.e.ldbp2_RNIJDADA") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNICGA21_2 "r.e.op2_RNICGA21[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIQT7G4 "r.e.jmpl_RNIQT7G4") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNI4B161_3 "dsur.tt_RNI4B161[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNI1B161_0 "dsur.tt_RNI1B161[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_2 "rfo_m[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_16 "r.m.y_RNO_4[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_trap_RNISBSJ "r.e.ctrl.trap_RNISBSJ") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIP51E_23 "r.x.ctrl.inst_RNIP51E[23]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_wreg_RNIIPDC "r.e.ctrl.wreg_RNIIPDC") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_d_cnt_RNISDD3_1 "r.d.cnt_RNISDD3[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_wreg_RNIDRS0Q1 "r.x.ctrl.wreg_RNIDRS0Q1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_wreg_RNIS7EBQ1 "r.x.ctrl.wreg_RNIS7EBQ1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_nerror_RNO "r.x.nerror_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_asi_RNIVL741_5 "dsur.asi_RNIVL741[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIC1O41_5 "ir.addr_RNIC1O41[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNINIK31_5 "r.f.pc_RNINIK31[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNI63S61_1 "r.w.s.tt_RNI63S61[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIFII81_5 "r.w.s.wim_RNIFII81[5]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_w_s_et_RNIO1M41 "r.w.s.et_RNIO1M41") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNI9IG91_5 "r.w.s.y_RNI9IG91[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_5 "rfo_m[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_debug_RNO_0 "r.x.debug_RNO_0") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI29M2O_0 "r.x.rstate_RNI29M2O[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_debug_RNO_2 "r.x.debug_RNO_2") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_17 "ir.addr_RNO_4[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_17 "ir.addr_RNO_0[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNID1UB_0_2 "r.e.op1_RNID1UB_0[2]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI6M0L_4 "r.e.ctrl.pc_RNI6M0L[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIUI18K_0 "r.e.jmpl_RNIUI18K_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJIKEL "r.e.jmpl_RNIJIKEL") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIQK4I2_0 "r.w.s.tt_RNIQK4I2[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIGOA21_4 "r.e.op2_RNIGOA21[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI2C2T3_0 "r.e.aluop_RNI2C2T3[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIA7OG5 "r.e.ldbp2_1_RNIA7OG5") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIQ8L32 "r.m.dci.enaddr_RNIQ8L32") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI38RJ2_4 "r.w.s.wim_RNI38RJ2[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIOSI3S_13 "r.x.ctrl.pc_RNIOSI3S[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIES111_0 "r.x.npc_RNIES111[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIS1HF_13 "r.m.ctrl.pc_RNIS1HF[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIB2HF_13 "r.x.ctrl.pc_RNIB2HF[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_24 "ir.addr_RNO_4[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI302E_0 "r.x.rstate_RNI302E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIR8J3S_16 "r.x.ctrl.pc_RNIR8J3S[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIICJDS_16 "r.x.result_RNIICJDS[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIVF1E_0 "r.x.rstate_RNIVF1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNINOI3S_12 "r.x.ctrl.pc_RNINOI3S[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIACJDS_12 "r.x.result_RNIACJDS[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIAC111_0 "r.x.npc_RNIAC111[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIQPGF_12 "r.m.ctrl.pc_RNIQPGF[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI9QGF_12 "r.x.ctrl.pc_RNI9QGF[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_3 "r.m.dci.asi_RNO[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_0_3 "r.m.dci.asi_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_4 "r.m.dci.asi_RNO[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_dci_asi_RNO_0_4 "r.m.dci.asi_RNO_0[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI0K1E_0 "r.x.rstate_RNI0K1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI72BES_13 "r.x.result_RNI72BES[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIMLHF_1 "r.e.aluop_0_RNIMLHF[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNILO6M4_7 "r.x.result_RNILO6M4[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNII1UB_7 "r.e.op1_RNII1UB[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename dsur_asi_RNI0Q741_6 "dsur.asi_RNI0Q741[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNID1O41_6 "ir.addr_RNID1O41[6]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIOMK31_6 "r.f.pc_RNIOMK31[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNI77S61_2 "r.w.s.tt_RNI77S61[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIGII81_6 "r.w.s.wim_RNIGII81[6]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNI22M41 "r.w.s.ps_RNI22M41") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIAIG91_6 "r.w.s.y_RNIAIG91[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNITKL32 "r.m.dci.enaddr_RNITKL32") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_su_RNIFD4H2 "r.e.su_RNIFD4H2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNIC4K91_7 "r.m.y_RNIC4K91[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI1B87M_0 "r.e.jmpl_RNI1B87M_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI6PIJL "r.e.jmpl_RNI6PIJL") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNI3B161_2 "dsur.tt_RNI3B161[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIMS131_23 "r.a.ctrl.inst_RNIMS131[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIFC1L_0_23 "r.a.ctrl.inst_RNIFC1L_0[23]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIFK1L_21 "r.a.ctrl.inst_RNIFK1L[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI7G0E_0_30 "r.a.ctrl.inst_RNI7G0E_0[30]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNICC1E_1_20 "r.a.ctrl.inst_RNICC1E_1[20]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIAO0L_21 "r.a.ctrl.inst_RNIAO0L[21]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_alusel_RNO_6_0 "r.e.alusel_RNO_6[0]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIK42S_23 "r.a.ctrl.inst_RNIK42S[23]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_RNI7RQQ3_1 "r.a.ctrl.cnt_RNI7RQQ3[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIS9523_19 "r.a.ctrl.inst_RNIS9523[19]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIJ42S_13 "r.a.ctrl.inst_RNIJ42S[13]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_RNIVN022_0 "r.a.ctrl.cnt_RNIVN022[0]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_a_wovf_RNIO7N5 "r.a.wovf_RNIO7N5") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIOH5D2_21 "r.a.ctrl.inst_RNIOH5D2[21]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIFK1L_19 "r.a.ctrl.inst_RNIFK1L[19]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI479A3_22 "r.a.ctrl.inst_RNI479A3[22]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIB94V1_23 "r.a.ctrl.inst_RNIB94V1[23]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIJ02S_19 "r.a.ctrl.inst_RNIJ02S[19]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI5C0E_21 "r.a.ctrl.inst_RNI5C0E[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNICC1E_0_20 "r.a.ctrl.inst_RNICC1E_0[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_et_RNIOP971 "r.a.et_RNIOP971") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI5C0E_0_21 "r.a.ctrl.inst_RNI5C0E_0[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_ldbp1_RNO "r.e.ldbp1_RNO") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_a_su_RNIV8BD1 "r.a.su_RNIV8BD1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIIG1S_0_23 "r.a.ctrl.inst_RNIIG1S_0[23]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_su_RNIK4AV "r.a.su_RNIK4AV") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIFK1L_0_21 "r.a.ctrl.inst_RNIFK1L_0[21]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIIG1S_23 "r.a.ctrl.inst_RNIIG1S[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIBO0L_31 "r.a.ctrl.inst_RNIBO0L[31]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_RNI0BU9_0 "r.a.ctrl.cnt_RNI0BU9[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIP42A1_23 "r.a.ctrl.inst_RNIP42A1[23]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIAO0L_0_21 "r.a.ctrl.inst_RNIAO0L_0[21]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNID01L_30 "r.a.ctrl.inst_RNID01L[30]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI9O0E_23 "r.a.ctrl.inst_RNI9O0E[23]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIHC1S_22 "r.a.ctrl.inst_RNIHC1S[22]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIB41E_23 "r.a.ctrl.inst_RNIB41E[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIVL523_24 "r.a.ctrl.inst_RNIVL523[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNISK2A1_0_19 "r.a.ctrl.inst_RNISK2A1_0[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI7C0E_30 "r.a.ctrl.inst_RNI7C0E[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNICABA2_31 "r.a.ctrl.inst_RNICABA2[31]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIS1AE1_31 "r.a.ctrl.inst_RNIS1AE1[31]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIHC1S_0_24 "r.a.ctrl.inst_RNIHC1S_0[24]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNICC1E_20 "r.a.ctrl.inst_RNICC1E[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIFC1L_23 "r.a.ctrl.inst_RNIFC1L[23]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIJ02S_22 "r.a.ctrl.inst_RNIJ02S[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIOC231_23 "r.a.ctrl.inst_RNIOC231[23]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNID01L_24 "r.a.ctrl.inst_RNID01L[24]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI7G0E_30 "r.a.ctrl.inst_RNI7G0E[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI7C0E_2_30 "r.a.ctrl.inst_RNI7C0E_2[30]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNITO2A1_20 "r.a.ctrl.inst_RNITO2A1[20]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_5_3 "r.e.ctrl.tt_RNO_5[3]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIS82H1_30 "r.a.ctrl.inst_RNIS82H1[30]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI153H1_23 "r.a.ctrl.inst_RNI153H1[23]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI5H3O1_21 "r.a.ctrl.inst_RNI5H3O1[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI5H3O1_20 "r.a.ctrl.inst_RNI5H3O1[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNIPT6A1_30 "r.a.ctrl.inst_RNIPT6A1[30]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_a_su_RNINOSP9 "r.a.su_RNINOSP9") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_su_RNIHOKQ5 "r.a.su_RNIHOKQ5") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_RNI7C0E_0_30 "r.a.ctrl.inst_RNI7C0E_0[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_sari_RNO "r.e.sari_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_RNI7NUN_0 "r.a.ctrl.cnt_RNI7NUN[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI9K5M4_4 "r.x.result_RNI9K5M4[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_16 "r.w.s.y_RNO_2[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNI2B161_1 "dsur.tt_RNI2B161[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIA80N3_0 "r.e.aluop_RNIA80N3[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNINKK13_8 "r.x.result_RNINKK13[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI66J4_0_23 "r.d.inst_0_RNI66J4_0[23]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5023_0_19 "r.d.inst_0_RNI5023_0[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIF423_29 "r.d.inst_0_RNIF423[29]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_RNI9AF34_29 "r.e.ctrl.inst_RNI9AF34[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIEP9EED_10 "r.f.pc_RNIEP9EED[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI1UBOV1_10 "r.f.pc_RNI1UBOV1[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIGUC09_10 "r.f.pc_RNIGUC09[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_13 "r.f.pc_RNO_3[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_13 "r.f.pc_RNO_5[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_13 "r.f.pc_RNO_9[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_25 "r.f.pc_RNO_15[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_25 "r.f.pc_RNO_11[25]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_25 "r.f.pc_RNO_13[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_25 "r.f.pc_RNO_14[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIVB1E_0 "r.x.rstate_RNIVB1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNINKI3S_21 "r.x.ctrl.pc_RNINKI3S[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIKB6R_0 "r.x.npc_RNIKB6R[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIQHGF_21 "r.m.ctrl.pc_RNIQHGF[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIJ1M9_21 "r.x.ctrl.pc_RNIJ1M9[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI4VB83_0 "r.x.rstate_RNI4VB83[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI6GD53_10 "r.f.pc_RNI6GD53[10]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename ir_addr_RNIIMUB1_10 "ir.addr_RNIIMUB1[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_irq_trap_un3_irl "comb.irq_trap.un3_irl") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNIDC1L_2 "r.a.ctrl.rd_RNIDC1L[2]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNI44JL_1 "r.a.ctrl.rd_RNI44JL[1]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIG4JL_1 "r.m.ctrl.rd_RNIG4JL[1]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNI20JL_0 "r.a.ctrl.rd_RNI20JL[0]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNI60JL_0 "r.e.ctrl.rd_RNI60JL[0]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_9 "r.a.imm_RNO[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_7 "r.a.imm_RNO[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_6 "r.a.imm_RNO[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_5 "r.a.imm_RNO[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_4 "r.a.imm_RNO[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_3 "r.a.imm_RNO[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_1 "r.a.imm_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_0 "r.a.imm_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_31 "r.a.imm_RNO[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_30 "r.a.imm_RNO[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_28 "r.a.imm_RNO[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_27 "r.a.imm_RNO[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_26 "r.a.imm_RNO[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_25 "r.a.imm_RNO[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_24 "r.a.imm_RNO[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_21 "r.a.imm_RNO[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_20 "r.a.imm_RNO[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_19 "r.a.imm_RNO[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_17 "r.a.imm_RNO[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_16 "r.a.imm_RNO[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_15 "r.a.imm_RNO[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_13 "r.a.imm_RNO[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_12 "r.a.imm_RNO[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_11 "r.a.imm_RNO[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_imm_RNO_10 "r.a.imm_RNO[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa2_RNI3HF11_1 "r.a.rfa2_RNI3HF11[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa2_RNI19F11_0 "r.a.rfa2_RNI19F11[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_25 "r.f.pc_RNO_3[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_25 "r.f.pc_RNO_5[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_25 "r.f.pc_RNO_9[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_wicc_RNIB7MIC9 "r.e.ctrl.wicc_RNIB7MIC9") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIFA35_28 "r.d.inst_0_RNIFA35[28]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNIA6A3_1 "r.m.icc_RNIA6A3[1]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNIC6A3_3 "r.m.icc_RNIC6A3[3]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNIJES6_2 "r.m.icc_RNIJES6[2]") (viewRef prim (cellRef AX1 (libraryRef PA3))) ) (instance (rename r_m_icc_RNI96A3_0 "r.m.icc_RNI96A3[0]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNIB6A3_2 "r.m.icc_RNIB6A3[2]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIKQ4F1_14 "r.d.inst_0_RNIKQ4F1[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIRA7G_14 "r.d.inst_0_RNIRA7G[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIVB391_25 "r.d.inst_0_RNIVB391[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIU3LJ_26 "r.d.inst_0_RNIU3LJ[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIVIU9_27 "r.d.inst_0_RNIVIU9[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIQE58_27 "r.d.inst_0_RNIQE58[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIT5TJ_26 "r.d.inst_0_RNIT5TJ[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI5NNB_27 "r.d.inst_0_RNI5NNB[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIJCK6_27 "r.d.inst_0_RNIJCK6[27]") (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance (rename r_d_cnt_RNIRCME_0 "r.d.cnt_RNIRCME[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI9423_25 "r.d.inst_0_RNI9423[25]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIAAJ4_29 "r.d.inst_0_RNIAAJ4[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI6AJ4_25 "r.d.inst_0_RNI6AJ4[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_wicc_RNO_2 "r.a.ctrl.wicc_RNO_2") (viewRef prim (cellRef AXOI4 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI4023_19 "r.d.inst_0_RNI4023[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_d_step_RNO "r.d.step_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_wicc_RNION9L "r.m.ctrl.wicc_RNION9L") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_icc_RNIE9LF2_2 "r.m.icc_RNIE9LF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIR4AI_1 "r.d.inst_0_RNIR4AI[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIVBI13_2 "r.x.result_RNIVBI13[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNITSJD3_21 "r.x.result_RNITSJD3[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNII5CET_30 "r.x.ctrl.inst_RNII5CET[30]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNI3OI13_3 "r.x.result_RNI3OI13[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIPS6E_30 "r.x.result_RNIPS6E[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_RNO_3_1 "r.e.ctrl.tt_RNO_3[1]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_x_result_RNIORSC3_19 "r.x.result_RNIORSC3[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI5BGBS_3 "r.x.result_RNI5BGBS[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIOKI3S_31 "r.x.ctrl.pc_RNIOKI3S[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI61M9_31 "r.m.ctrl.pc_RNI61M9[31]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIL1M9_31 "r.x.ctrl.pc_RNIL1M9[31]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIRB1F2_0 "r.x.rstate_RNIRB1F2[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIA8LRT_6 "r.x.result_RNIA8LRT[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNIO8AJN2 "r.w.s.ps_RNIO8AJN2") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI22BC01_3 "r.w.s.wim_RNI22BC01[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIAM9TT_3 "r.w.s.wim_RNIAM9TT[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_npc_RNIU4VI_0 "r.x.npc_RNIU4VI[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI4MF8_3 "r.m.ctrl.pc_RNI4MF8[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIJMF8_3 "r.x.ctrl.pc_RNIJMF8[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIV3C4L2_0 "r.x.rstate_RNIV3C4L2[0]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_25 "ir.addr_RNO_1[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_25 "ir.addr_RNO_6[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIRHV53_0 "r.x.rstate_RNIRHV53[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIF7R43_8 "r.f.pc_RNIF7R43[8]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename ir_addr_RNI9HM71_8 "ir.addr_RNI9HM71[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIHT1IV "r.e.jmpl_RNIHT1IV") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIJGJD3_11 "r.x.result_RNIJGJD3[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_y_RNIOTN71_30 "r.m.y_RNIOTN71[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNI35KD3_30 "r.x.result_RNI35KD3[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIKFD327 "r.e.ldbp2_2_RNIKFD327") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI5NE32 "r.m.dci.enaddr_RNI5NE32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIONTN4_0 "r.e.aluop_RNIONTN4[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIMQRUU "r.e.jmpl_RNIMQRUU") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNILNJL_30 "r.e.ctrl.pc_RNILNJL[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_RNIFTNEQ1_30 "r.x.ctrl.inst_RNIFTNEQ1[30]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIJQKB_0 "r.x.rstate_RNIJQKB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIILPAS_7 "r.x.result_RNIILPAS[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNICMF8_7 "r.m.ctrl.pc_RNICMF8[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_31 "ir.addr_RNO_5[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_31 "ir.addr_RNO_6[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_31 "ir.addr_RNO_4[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_31 "ir.addr_RNO_2[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_31 "ir.addr_RNO_0[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_22 "ir.addr_RNO_5[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_22 "ir.addr_RNO_6[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_22 "ir.addr_RNO_4[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_22 "ir.addr_RNO_2[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_22 "ir.addr_RNO_0[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_27 "r.f.pc_RNO_9[27]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_27 "r.f.pc_RNO_15[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_16_27 "r.f.pc_RNO_16[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI7H0I4_0 "r.e.aluop_RNI7H0I4[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI5H4A2_1 "r.e.aluop_RNI5H4A2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIJ8251_2 "r.e.aluop_RNIJ8251[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVC6T_29 "r.e.op2_RNIVC6T[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVC6T_0_29 "r.e.op2_RNIVC6T_0[29]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI57OF_0_19 "r.e.op1_RNI57OF_0[19]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNITMIF_11 "r.e.op2_RNITMIF[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_30 "r.w.s.y_RNO_2[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_30 "r.w.s.y_RNO_1[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_30 "r.w.s.y_RNO_3[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_30 "r.m.y_RNO_3[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_30 "r.m.y_RNO_2[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_30 "r.m.y_RNO_4[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIVGMI2_0 "r.x.rstate_RNIVGMI2[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIE9AVT_5 "r.x.result_RNIE9AVT[5]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNITFU1V_0 "r.x.rstate_RNITFU1V[0]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIGRG8_11 "r.x.data_0_RNIGRG8[11]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_11 "r.w.s.y_RNO_2[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_11 "r.m.y_RNO_3[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_5 "ir.addr_RNO_6[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_5 "ir.addr_RNO_4[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_5 "ir.addr_RNO_2[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_5 "ir.addr_RNO_0[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICIRC2_0_0 "r.x.rstate_RNICIRC2_0[0]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance fpcwr_5_sqmuxa (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_2 "ir.addr_RNO_5[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_2 "ir.addr_RNO_6[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_2 "ir.addr_RNO_4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_2 "ir.addr_RNO_2[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_2 "ir.addr_RNO_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_27 "r.f.pc_RNO_6[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_27 "r.f.pc_RNO_11[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_27 "r.f.pc_RNO_14[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIFQKB_0 "r.x.rstate_RNIFQKB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI7FV2S_3 "r.x.ctrl.pc_RNI7FV2S[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_25 "ir.addr_RNO_5[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_25 "ir.addr_RNO_4[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_25 "ir.addr_RNO_3[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIUQ091_25 "ir.addr_RNIUQ091[25]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIRVF61_25 "r.w.s.y_RNIRVF61[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIF1O41_8 "ir.addr_RNIF1O41[8]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_11 "r.m.y_RNO_2[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_11 "r.m.y_RNO_4[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIV7P61_0 "r.e.aluop_RNIV7P61[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename rfo_m_16 "rfo_m[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNICNF32 "r.m.dci.enaddr_RNICNF32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI8NIJ2_16 "r.w.s.tba_RNI8NIJ2[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIVTO71_28 "r.m.y_RNIVTO71[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNISNKL_28 "r.e.ctrl.pc_RNISNKL[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIFJ957D_9 "r.f.pc_RNIFJ957D[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI7B87S1_9 "r.f.pc_RNI7B87S1[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIPVTR8_9 "r.f.pc_RNIPVTR8[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_28 "r.f.pc_RNO_9[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_21 "r.f.pc_RNO_3[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_21 "r.f.pc_RNO_5[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_21 "r.f.pc_RNO_9[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_21 "r.f.pc_RNO_15[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_21 "r.f.pc_RNO_11[21]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_21 "r.f.pc_RNO_14[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_19 "r.f.pc_RNO_9[19]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_19 "r.f.pc_RNO_14[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_12 "r.f.pc_RNO_14[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_12 "r.f.pc_RNO_9[12]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_12 "r.f.pc_RNO_12[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_12 "r.f.pc_RNO_13[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_16 "r.f.pc_RNO_15[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNI02EC_16 "r.x.data_0_RNI02EC[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIUU091_16 "ir.addr_RNIUU091[16]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIN7741_16 "r.f.pc_RNIN7741[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIR3G61_16 "r.w.s.y_RNIR3G61[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_16 "r.f.pc_RNO_14[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_16 "r.f.pc_RNO_9[16]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_16 "r.f.pc_RNO_12[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_16 "r.f.pc_RNO_13[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_16 "r.m.y_RNO_2[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI0PHD_14 "r.e.op1_RNI0PHD[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_28 "ir.addr_RNO_5[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_28 "ir.addr_RNO_6[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_28 "ir.addr_RNO_4[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_28 "ir.addr_RNO_2[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_28 "ir.addr_RNO_0[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIRI091_13 "ir.addr_RNIRI091[13]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIONF61_13 "r.w.s.y_RNIONF61[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNID830N1_7 "r.f.pc_RNID830N1[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNID7TR8_7 "r.f.pc_RNID7TR8[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIGQJBM_7 "r.f.pc_RNIGQJBM[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNITVLRH_7 "r.f.pc_RNITVLRH[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNI6C6DD_7 "r.f.pc_RNI6C6DD[7]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI1CO8OC_6 "r.f.pc_RNI1CO8OC[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIAVTVL1_6 "r.f.pc_RNIAVTVL1[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNI7RSR8_6 "r.f.pc_RNI7RSR8[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIU3TC3_28 "r.x.result_RNIU3TC3[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ir_addr_RNI17191_28 "ir.addr_RNI17191[28]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNISB741_28 "r.f.pc_RNISB741[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIUBG61_28 "r.w.s.y_RNIUBG61[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_5 "r.w.s.tt_RNO[5]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_0_5 "r.w.s.tt_RNO_0[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI53C83_0 "r.x.rstate_RNI53C83[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI7GD53_11 "r.f.pc_RNI7GD53[11]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename ir_addr_RNIJQUB1_11 "ir.addr_RNIJQUB1[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIPHV53_0 "r.x.rstate_RNIPHV53[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIDVQ43_6 "r.f.pc_RNIDVQ43[6]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename ir_addr_RNI7HM71_6 "ir.addr_RNI7HM71[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIQPRPKC_5 "r.f.pc_RNIQPRPKC[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNIPBMIK1_5 "r.f.pc_RNIPBMIK1[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNI1FSR8_5 "r.f.pc_RNI1FSR8[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIOHV53_0 "r.x.rstate_RNIOHV53[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNICRQ43_5 "r.f.pc_RNICRQ43[5]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename ir_addr_RNI6HM71_5 "ir.addr_RNI6HM71[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIVTLAI1_4 "r.f.pc_RNIVTLAI1[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIR2SR8_4 "r.f.pc_RNIR2SR8[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNINHV53_0 "r.x.rstate_RNINHV53[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIBNQ43_4 "r.f.pc_RNIBNQ43[4]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename ir_addr_RNI5HM71_4 "ir.addr_RNI5HM71[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI682E_0 "r.x.rstate_RNI682E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIUGJ3S_28 "r.x.ctrl.pc_RNIUGJ3S[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNISKJDS_28 "r.x.result_RNISKJDS[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIQBFL_0 "r.x.npc_RNIQBFL[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIIPN9_28 "r.m.ctrl.pc_RNIIPN9[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI1QN9_28 "r.x.ctrl.pc_RNI1QN9[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_4 "r.w.s.tt_RNO[4]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_0_4 "r.w.s.tt_RNO_0[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_12 "r.f.pc_RNO_6[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_12 "r.f.pc_RNO_11[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_12 "r.f.pc_RNO_15[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_8 "ir.addr_RNO_6[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_8 "ir.addr_RNO_4[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_8 "ir.addr_RNO_2[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_8 "ir.addr_RNO_0[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_3 "r.w.s.tt_RNO[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_0_3 "r.w.s.tt_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNISHV53_0 "r.x.rstate_RNISHV53[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIGBR43_9 "r.f.pc_RNIGBR43[9]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename ir_addr_RNIAHM71_9 "ir.addr_RNIAHM71[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_19 "r.f.pc_RNO_13[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIK7741_13 "r.f.pc_RNIK7741[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_13 "rfo_m[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_28 "r.f.pc_RNO_13[28]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_21 "r.f.pc_RNO_13[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIJEH31_1 "r.e.aluop_0_RNIJEH31[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI7GUH4_0 "r.e.aluop_RNI7GUH4[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNILG3A2_1 "r.e.aluop_RNILG3A2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIBO151_2 "r.e.aluop_RNIBO151[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNINS5T_27 "r.e.op2_RNINS5T[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNINS5T_0_27 "r.e.op2_RNINS5T_0[27]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIFDFQ5_0 "r.e.aluop_RNIFDFQ5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI9VBU2_1 "r.e.aluop_RNI9VBU2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNILV5F1_2 "r.e.aluop_RNILV5F1[2]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI14A71_23 "r.e.op2_RNI14A71[23]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI14A71_0_23 "r.e.op2_RNI14A71_0[23]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIEL4NS_0 "r.x.rstate_RNIEL4NS[0]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_result_RNI1RFBS_1 "r.x.result_RNI1RFBS[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIBDCQT_2 "r.x.ctrl.rd_RNIBDCQT[2]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIMNUM1_0 "r.x.rstate_RNIMNUM1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_26 "r.w.s.y_RNO_2[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIILNJ2_1 "r.w.s.tba_RNIILNJ2[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIP9O71_13 "r.m.y_RNIP9O71[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIM3KL_13 "r.e.ctrl.pc_RNIM3KL[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI63F32 "r.m.dci.enaddr_RNI63F32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIVENF_13 "r.e.op1_RNIVENF[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_0_RNIDDEN01 "r.e.ldbp2_0_RNIDDEN01") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIDDKD3_25 "r.x.result_RNIDDKD3[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI61ID_25 "r.e.op1_RNI61ID[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2NNF_25 "r.e.op1_RNI2NNF[25]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNO_1 "r.w.s.pil_RNO[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNO_0_1 "r.w.s.pil_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_12 "ir.addr_RNO_1[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_12 "ir.addr_RNO_5[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_12 "ir.addr_RNO_6[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_12 "ir.addr_RNO_4[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_12 "ir.addr_RNO_3[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIQVSC3_27 "r.x.result_RNIQVSC3[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIPVG8_27 "r.x.data_0_RNIPVG8[27]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIDJJF_27 "r.e.op2_RNIDJJF[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_15 "r.w.s.tba_RNO[15]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_15 "r.w.s.tba_RNO_0[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIBJF32 "r.m.dci.enaddr_RNIBJF32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIRJKL_27 "r.e.ctrl.pc_RNIRJKL[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNI31KD3_15 "r.x.result_RNI31KD3[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_12 "r.w.s.y_RNO_2[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNINJF61_12 "r.w.s.y_RNINJF61[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_12 "r.m.y_RNO_3[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_12 "r.m.y_RNO_2[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIBEBC01_6 "r.w.s.wim_RNIBEBC01[6]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIG2ATT_6 "r.w.s.wim_RNIG2ATT[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNO_2 "r.w.s.pil_RNO[2]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIT7G61_27 "r.w.s.y_RNIT7G61[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_6 "r.w.s.y_RNO_2[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_6 "r.m.y_RNO_4[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_3 "r.w.s.y_RNO_2[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_4 "r.w.s.y_RNO_2[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_4 "r.w.s.y_RNO_1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_4 "r.w.s.y_RNO_3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_4 "ir.addr_RNO_1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_4 "ir.addr_RNO_5[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_4 "ir.addr_RNO_6[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_4 "ir.addr_RNO_4[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_4 "ir.addr_RNO_3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_2 "r.w.s.y_RNO_3[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_2 "r.w.s.y_RNO_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_2 "r.w.s.y_RNO_2[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI8I9TT_2 "r.w.s.wim_RNI8I9TT[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_2 "r.m.y_RNO_4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_2 "r.m.y_RNO_2[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNO_0 "r.w.s.pil_RNO[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNO_0_0 "r.w.s.pil_RNO_0[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_14 "r.f.pc_RNO_6[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_14 "r.f.pc_RNO_11[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_7 "r.w.s.tt_RNO[7]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNO_0_7 "r.w.s.tt_RNO_0[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rfa2_RNI71G11_3 "r.a.rfa2_RNI71G11[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIFVH6_2 "r.x.ctrl.rd_RNIFVH6[2]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_27 "r.w.s.y_RNO_2[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNO_3 "r.w.s.pil_RNO[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNO_0_3 "r.w.s.pil_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNO_0_2 "r.w.s.pil_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_y_RNIUPO71_27 "r.m.y_RNIUPO71[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI2T3U4_0 "r.e.aluop_RNI2T3U4[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI6HR0U_0 "r.e.jmpl_RNI6HR0U_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNILNH0U "r.e.jmpl_RNILNH0U") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIP5O71_22 "r.m.y_RNIP5O71[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIV85I2_5 "r.w.s.tt_RNIV85I2[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIQE091_12 "ir.addr_RNIQE091[12]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_12 "r.m.y_RNO_4[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_6 "r.m.y_RNO_2[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_6 "r.m.y_RNO_1[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_2 "r.m.y_RNO_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIKMRC3_10 "r.x.result_RNIKMRC3[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNI5QGB1_21 "r.e.op2_RNI5QGB1[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNICMI06_0 "r.e.aluop_RNICMI06[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIT70NR_0 "r.e.jmpl_RNIT70NR_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI7P9BR "r.e.jmpl_RNI7P9BR") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_result_RNIBTO7_2 "r.w.result_RNIBTO7[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_6 "r.e.op2_RNO_5[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_6 "r.e.op2_RNO_2[6]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIUBJF5_0 "r.e.aluop_RNIUBJF5[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIAKAA43 "r.e.ldbp2_2_RNIAKAA43") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI87F32 "r.m.dci.enaddr_RNI87F32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI47IJ2_12 "r.w.s.tba_RNI47IJ2[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIRDO71_24 "r.m.y_RNIRDO71[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_26 "r.e.op2_RNO_5[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_26 "r.e.op2_RNO_2[26]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_m_y_RNIO5O71_12 "r.m.y_RNIO5O71[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIOLI06_0 "r.e.aluop_RNIOLI06[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIL54AP "r.e.ldbp2_1_RNIL54AP") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNILVJL_12 "r.e.ctrl.pc_RNILVJL[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_12 "r.e.op2_RNO_5[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_12 "r.e.op2_RNO_2[12]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIGSK0V2 "r.e.ldbp2_1_RNIGSK0V2") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI5VE32 "r.m.dci.enaddr_RNI5VE32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNIUANF_12 "r.e.op1_RNIUANF[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIHLNJ2_0 "r.w.s.tba_RNIHLNJ2[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_13 "r.e.op2_RNO_5[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIDUE5A3_2 "r.a.rsel1_0_RNIDUE5A3[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNICVSC2_2 "r.a.rsel1_0_RNICVSC2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_13 "r.e.op2_RNO_2[13]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIMIAED3_0 "r.a.rsel1_0_RNIMIAED3[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIUKFMA3_1 "r.a.rsel1_0_RNIUKFMA3[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIRJ0L2_1 "r.a.rsel1_0_RNIRJ0L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIHA95_13 "r.w.result_RNIHA95[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_10 "r.e.op2_RNO_5[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_10 "r.e.op2_RNO_2[10]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_11 "r.e.op2_RNO_5[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_11 "r.e.op2_RNO_2[11]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_9 "r.w.s.tba_RNO[9]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_9 "r.w.s.tba_RNO_0[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_4_20 "r.e.op2_RNO_4[20]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_3_20 "r.e.op2_RNO_3[20]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNICRF32 "r.m.dci.enaddr_RNICRF32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI57OF_19 "r.e.op1_RNI57OF[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIOBKL_15 "r.e.ctrl.pc_RNIOBKL[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_15 "r.e.op2_RNO_5[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_15 "r.e.op2_RNO_2[15]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI8BF32 "r.m.dci.enaddr_RNI8BF32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIKLNJ2_3 "r.w.s.tba_RNIKLNJ2[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIDQS4O2 "r.e.ldbp2_2_RNIDQS4O2") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_y_RNIMTN71_10 "r.m.y_RNIMTN71[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNIQ7RJ2_3 "r.w.s.pil_RNIQ7RJ2[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNIN1O71_11 "r.m.y_RNIN1O71[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_cwp_RNI0FVF2_1 "r.e.cwp_RNI0FVF2[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNI64K91_1 "r.m.y_RNI64K91[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIACA21_1 "r.e.op2_RNIACA21[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIP1DTJ_0 "r.e.jmpl_RNIP1DTJ_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIHQDFJ "r.e.jmpl_RNIHQDFJ") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI8SJ9S "r.e.jmpl_RNI8SJ9S") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIO7KL_24 "r.e.ctrl.pc_RNIO7KL[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIRHO71_15 "r.m.y_RNIRHO71[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_28 "r.e.op2_RNO_5[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_28 "r.e.op2_RNO_2[28]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename rfo_m_23 "rfo_m[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_21 "r.e.op2_RNO_5[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_17 "r.e.op2_RNO_5[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_21 "r.e.op2_RNO_2[21]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_17 "r.e.op2_RNO_2[17]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_30 "r.e.op2_RNO_5[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_7 "r.e.op2_RNO_5[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNI9NCE12_2 "r.a.rsel2_RNI9NCE12[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNIVERF42_0 "r.a.rsel2_RNIVERF42[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_30 "r.e.op2_RNO_2[30]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_7 "r.e.op2_RNO_2[7]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNIE7UP12_1 "r.a.rsel2_RNIE7UP12[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNIUCNL2_1 "r.a.rsel2_RNIUCNL2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNID5P7_3 "r.w.result_RNID5P7[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_imm_RNITCOD2_3 "r.a.imm_RNITCOD2[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_29 "r.e.op2_RNO_5[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_19 "r.e.op2_RNO_5[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNIMIR1V1_2 "r.a.rsel2_RNIMIR1V1[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNI029322_0 "r.a.rsel2_RNI029322[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_29 "r.e.op2_RNO_2[29]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_19 "r.e.op2_RNO_2[19]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNINICDV1_1 "r.a.rsel2_RNINICDV1[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNIMKML2_1 "r.a.rsel2_RNIMKML2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNI9LO7_1 "r.w.result_RNI9LO7[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_imm_RNIP4OD2_1 "r.a.imm_RNIP4OD2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNI95M962_2 "r.a.rsel2_RNI95M962[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNIARDA92_0 "r.a.rsel2_RNIARDA92[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNIL7GK62_1 "r.a.rsel2_RNIL7GK62[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNI2PNL2_1 "r.a.rsel2_RNI2PNL2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIFDP7_4 "r.w.result_RNIFDP7[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_imm_RNIVGOD2_4 "r.a.imm_RNIVGOD2[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI5RE32 "r.m.dci.enaddr_RNI5RE32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIISR02 "r.m.dci.enaddr_RNIISR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIHSR02 "r.m.dci.enaddr_RNIHSR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIESR02 "r.m.dci.enaddr_RNIESR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI1NNF_15 "r.e.op1_RNI1NNF[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIDSR02 "r.m.dci.enaddr_RNIDSR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIBSR02 "r.m.dci.enaddr_RNIBSR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI4RE32 "r.m.dci.enaddr_RNI4RE32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIASR02 "r.m.dci.enaddr_RNIASR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIT6NF_11 "r.e.op1_RNIT6NF[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI9SR02 "r.m.dci.enaddr_RNI9SR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIP4L32 "r.m.dci.enaddr_RNIP4L32") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIJ9212 "r.m.dci.enaddr_RNIJ9212") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_icc_RNID9LF2_1 "r.m.icc_RNID9LF2[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNIO1O71_21 "r.m.y_RNIO1O71[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI63ROI2 "r.e.ldbp2_1_RNI63ROI2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNILRJL_21 "r.e.ctrl.pc_RNILRJL[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNITK6E_25 "r.x.result_RNITK6E[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIOO78T "r.e.jmpl_RNIOO78T") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNILK72T "r.e.jmpl_RNILK72T") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNIISA21_5 "r.e.op2_RNIISA21[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNI543B3_0 "r.e.aluop_0_RNI543B3[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_31 "r.e.op1_RNO[31]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_24 "r.e.op1_RNO[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_23 "r.e.op1_RNO[23]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_20 "r.e.op1_RNO[20]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_19 "r.e.op1_RNO[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_18 "r.e.op1_RNO[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_17 "r.e.op1_RNO[17]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_16 "r.e.op1_RNO[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_15 "r.e.op1_RNO[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_14 "r.e.op1_RNO[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_13 "r.e.op1_RNO[13]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_12 "r.e.op1_RNO[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_11 "r.e.op1_RNO[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_10 "r.e.op1_RNO[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_9 "r.e.op1_RNO[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_8 "r.e.op1_RNO[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_7 "r.e.op1_RNO[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_6 "r.e.op1_RNO[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_4 "r.e.op1_RNO[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_3 "r.e.op1_RNO[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_2 "r.e.op1_RNO[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_1 "r.e.op1_RNO[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_0 "r.e.op1_RNO[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIV1OK05_2 "r.a.rsel1_0_RNIV1OK05[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIKQORS2_2 "r.a.rsel1_0_RNIKQORS2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIIPGOK2_2 "r.a.rsel1_0_RNIIPGOK2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIJKAC62_2 "r.a.rsel1_0_RNIJKAC62[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIJ61H12_2 "r.a.rsel1_0_RNIJ61H12[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNID3TC2_2 "r.a.rsel1_0_RNID3TC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI9VSC2_2 "r.a.rsel1_0_RNI9VSC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI3PGF2_2 "r.a.rsel1_0_RNI3PGF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIU4GF2_2 "r.a.rsel1_0_RNIU4GF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIT0GF2_2 "r.a.rsel1_0_RNIT0GF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI9AKT35_0 "r.a.rsel1_0_RNI9AKT35[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIJOS303_0 "r.a.rsel1_0_RNIJOS303[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIFRC6O2_0 "r.a.rsel1_0_RNIFRC6O2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNISRBP92_0 "r.a.rsel1_0_RNISRBP92[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIIFPU42_0 "r.a.rsel1_0_RNIIFPU42[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIG0P515_1 "r.a.rsel1_0_RNIG0P515[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI4R1CT2_1 "r.a.rsel1_0_RNI4R1CT2[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNID9O6L2_1 "r.a.rsel1_0_RNID9O6L2[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI96PP62_1 "r.a.rsel1_0_RNI96PP62[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI267V12_1 "r.a.rsel1_0_RNI267V12[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNISV0L2_1 "r.a.rsel1_0_RNISV0L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIIJ0L2_1 "r.a.rsel1_0_RNIIJ0L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI58QS2_1 "r.a.rsel1_0_RNI58QS2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIMBOS2_1 "r.a.rsel1_0_RNIMBOS2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIJVNS2_1 "r.a.rsel1_0_RNIJVNS2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIHI95_20 "r.w.result_RNIHI95[20]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIBA95_10 "r.w.result_RNIBA95[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNI45FA_9 "r.w.result_RNI45FA[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIQSDA_4 "r.w.result_RNIQSDA[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIOKDA_3 "r.w.result_RNIOKDA[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIJIKEL_0 "r.e.jmpl_RNIJIKEL_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNICBL0L "r.e.jmpl_RNICBL0L") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_27 "r.e.op1_RNO[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_22 "r.e.op1_RNO[22]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_21 "r.e.op1_RNO[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNID6VAE6_2 "r.a.rsel1_1_RNID6VAE6[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIEK0P45_2 "r.a.rsel1_1_RNIEK0P45[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIPJIKL4_2 "r.a.rsel1_1_RNIPJIKL4[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIBQ2EK3_2 "r.a.rsel1_1_RNIBQ2EK3[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIM3TC2_2 "r.a.rsel1_1_RNIM3TC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIF3TC2_2 "r.a.rsel1_1_RNIF3TC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIKVSC2_2 "r.a.rsel1_1_RNIKVSC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIEVSC2_2 "r.a.rsel1_1_RNIEVSC2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI6Q3JH6_0 "r.a.rsel1_0_RNI6Q3JH6[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIVSS185_0 "r.a.rsel1_0_RNIVSS185[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI9JMSO4_0 "r.a.rsel1_0_RNI9JMSO4[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNISEUMN3_0 "r.a.rsel1_0_RNISEUMN3[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIJF8RE6_1 "r.a.rsel1_0_RNIJF8RE6[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI1J1A55_1 "r.a.rsel1_0_RNI1J1A55[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIRKR4M4_1 "r.a.rsel1_0_RNIRKR4M4[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIUG3VK3_1 "r.a.rsel1_0_RNIUG3VK3[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIM01L2_1 "r.a.rsel1_0_RNIM01L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI101L2_1 "r.a.rsel1_0_RNI101L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIHK0L2_1 "r.a.rsel1_1_RNIHK0L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNI1K0L2_1 "r.a.rsel1_1_RNI1K0L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNI2J95_28 "r.w.result_RNI2J95[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIKI95_21 "r.w.result_RNIKI95[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIUA95_19 "r.w.result_RNIUA95[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIKA95_14 "r.w.result_RNIKA95[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNI12G4V1_2 "r.a.rsel1_1_RNI12G4V1[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNISOFF2_2 "r.a.rsel1_1_RNISOFF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIP27I22_0 "r.a.rsel1_0_RNIP27I22[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIDHLIV1_1 "r.a.rsel1_1_RNIDHLIV1[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIF7NS2_1 "r.a.rsel1_1_RNIF7NS2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIK4DA_1 "r.w.result_RNIK4DA[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNISS4I2_2 "r.w.s.tt_RNISS4I2[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNIB4K91_6 "r.m.y_RNIB4K91[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNISGL32 "r.m.dci.enaddr_RNISGL32") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIMU58H2_2 "r.a.rsel1_1_RNIMU58H2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNI3LGF2_2 "r.a.rsel1_1_RNI3LGF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_31 "r.w.s.y_RNO_2[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_31 "r.w.s.y_RNO_1[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_31 "r.w.s.y_RNO_3[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_25 "r.w.s.y_RNO_2[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_25 "r.w.s.y_RNO_1[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNIIC1MK2_0 "r.a.rsel1_0_RNIIC1MK2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIG6DMH2_1 "r.a.rsel1_1_RNIG6DMH2[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNI5SPS2_1 "r.a.rsel1_1_RNI5SPS2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNI3TEA_8 "r.w.result_RNI3TEA[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_y_RNISHO71_25 "r.m.y_RNISHO71[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIMIMK5_0 "r.e.aluop_0_RNIMIMK5[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIPBKL_25 "r.e.ctrl.pc_RNIPBKL[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_25 "r.m.y_RNO_3[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_25 "r.m.y_RNO_2[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_25 "r.m.y_RNO_4[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIOVG8_26 "r.x.data_0_RNIOVG8[26]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_26 "r.e.op1_RNO[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_25 "r.e.op1_RNO[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIH1B866_2 "r.a.rsel1_2_RNIH1B866[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIL3TC2_2 "r.a.rsel1_2_RNIL3TC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIBFJF_26 "r.e.op2_RNIBFJF[26]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI4LFG96_0 "r.a.rsel1_0_RNI4LFG96[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIKAKO66_1 "r.a.rsel1_1_RNIKAKO66[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIJ01L2_1 "r.a.rsel1_1_RNIJ01L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIVI95_26 "r.w.result_RNIVI95[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIJ781V5_2 "r.a.rsel1_2_RNIJ781V5[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIK3TC2_2 "r.a.rsel1_2_RNIK3TC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNISKFF2_2 "r.a.rsel1_2_RNISKFF2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNISG4A26_0 "r.a.rsel1_0_RNISG4A26[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_0_RNI6IJCT1_0 "r.a.rsel1_0_RNI6IJCT1[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIF69IV5_1 "r.a.rsel1_1_RNIF69IV5[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIG01L2_1 "r.a.rsel1_1_RNIG01L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIERMS2_1 "r.a.rsel1_1_RNIERMS2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNITI95_25 "r.w.result_RNITI95[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIJSCA_0 "r.w.result_RNIJSCA[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_29 "r.e.op1_RNO[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_28 "r.e.op1_RNO[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIS5GLM6_2 "r.a.rsel1_2_RNIS5GLM6[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIO3TC2_2 "r.a.rsel1_2_RNIO3TC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIE0ORP6_0 "r.a.rsel1_RNIE0ORP6[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNI05H6N6_1 "r.a.rsel1_1_RNI05H6N6[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIS01L2_1 "r.a.rsel1_1_RNIS01L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNI5J95_29 "r.w.result_RNI5J95[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_10 "r.w.s.y_RNO_2[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNI2ANR76_2 "r.a.rsel1_2_RNI2ANR76[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIM3TC2_2 "r.a.rsel1_2_RNIM3TC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_13 "r.w.s.tba_RNO[13]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_13 "r.w.s.tba_RNO_0[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIFE71B6_0 "r.a.rsel1_RNIFE71B6[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNI7J0C86_1 "r.a.rsel1_1_RNI7J0C86[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIM01L2_1 "r.a.rsel1_1_RNIM01L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNI1J95_27 "r.w.result_RNI1J95[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIVTNUT4 "r.e.ldbp2_2_RNIVTNUT4") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI4NE32 "r.m.dci.enaddr_RNI4NE32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNIPLNJ2_8 "r.w.s.tba_RNIPLNJ2[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNITPO71_17 "r.m.y_RNITPO71[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIA90DE4_2 "r.a.rsel1_2_RNIA90DE4[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIIVSC2_2 "r.a.rsel1_2_RNIIVSC2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI7PFIH4_0 "r.a.rsel1_RNI7PFIH4[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNI9A9TE4_1 "r.a.rsel1_1_RNI9A9TE4[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNICK0L2_1 "r.a.rsel1_1_RNICK0L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIRA95_17 "r.w.result_RNIRA95[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNICG2M94 "r.e.ldbp2_1_RNICG2M94") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIAJF32 "r.m.dci.enaddr_RNIAJF32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI3VNF_17 "r.e.op1_RNI3VNF[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIR1DCQ3_2 "r.a.rsel1_2_RNIR1DCQ3[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_2_RNIHVSC2_2 "r.a.rsel1_2_RNIHVSC2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIKHSHT3_0 "r.a.rsel1_RNIKHSHT3[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIO2MSQ3_1 "r.a.rsel1_1_RNIO2MSQ3[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIAK0L2_1 "r.a.rsel1_1_RNIAK0L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIQA95_16 "r.w.result_RNIQA95[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI9FF32 "r.m.dci.enaddr_RNI9FF32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI2RNF_16 "r.e.op1_RNI2RNF[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNISLO71_16 "r.m.y_RNISLO71[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNI0DHN33_2 "r.a.rsel1_3_RNI0DHN33[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNIEVSC2_2 "r.a.rsel1_3_RNIEVSC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI6S0T63_0 "r.a.rsel1_RNI6S0T63[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNILDQ743_1 "r.a.rsel1_1_RNILDQ743[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNIVJ0L2_1 "r.a.rsel1_1_RNIVJ0L2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIIA95_12 "r.w.result_RNIIA95[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNIAEB11_20 "r.e.op2_RNIAEB11[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIOMSN4_0 "r.e.aluop_RNIOMSN4[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIQ0MIQ_0 "r.e.jmpl_RNIQ0MIQ_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIT70NR "r.e.jmpl_RNIT70NR") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNI2L20H2 "r.e.ldbp2_2_RNI2L20H2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIQ44B3_0 "r.e.aluop_0_RNIQ44B3[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNICBL0L_0 "r.e.jmpl_RNICBL0L_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI1B87M "r.e.jmpl_RNI1B87M") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIH0DT8 "r.e.ldbp2_2_RNIH0DT8") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNIB09S82_2 "r.a.rsel1_3_RNIB09S82[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNI9ETP42_2 "r.a.rsel1_3_RNI9ETP42[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNI29GF2_2 "r.a.rsel1_3_RNI29GF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNIVSFF2_2 "r.a.rsel1_3_RNIVSFF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI9T14C2_0 "r.a.rsel1_RNI9T14C2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI7LNV72_0 "r.a.rsel1_RNI7LNV72[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_1_RNI4QN992_1 "r.a.rsel1_1_RNI4QN992[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIBME552_1 "r.a.rsel1_RNIBME552[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIJ84Q2_1 "r.a.rsel1_RNIJ84Q2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIA43Q2_1 "r.a.rsel1_RNIA43Q2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIU4EA_5 "r.w.result_RNIU4EA[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIOCDA_2 "r.w.result_RNIOCDA[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNI9CFG03_2 "r.a.rsel1_3_RNI9CFG03[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNIDVSC2_2 "r.a.rsel1_3_RNIDVSC2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIDIDH33_0 "r.a.rsel1_RNIDIDH33[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIBJRU03_1 "r.a.rsel1_RNIBJRU03[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIG4CI2_1 "r.a.rsel1_RNIG4CI2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIGA95_11 "r.w.result_RNIGA95[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNI3B5QB2_2 "r.a.rsel1_3_RNI3B5QB2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNI4HGF2_2 "r.a.rsel1_3_RNI4HGF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIV0BVE2_0 "r.a.rsel1_RNIV0BVE2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIK505C2_1 "r.a.rsel1_RNIK505C2[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIP05Q2_1 "r.a.rsel1_RNIP05Q2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNI2LEA_7 "r.w.result_RNI2LEA[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNIUL09D2_2 "r.a.rsel1_3_RNIUL09D2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNI3DGF2_2 "r.a.rsel1_3_RNI3DGF2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIGDTEG2_0 "r.a.rsel1_RNIGDTEG2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI8UIKD2_1 "r.a.rsel1_RNI8UIKD2[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIMK4Q2_1 "r.a.rsel1_RNIMK4Q2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNI0DEA_6 "r.w.result_RNI0DEA[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_3_RNIL903R5_2 "r.a.rsel1_3_RNIL903R5[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI6K8A2_2 "r.a.rsel1_RNI6K8A2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI1GUTT5_0 "r.a.rsel1_RNI1GUTT5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI83LGR5_1 "r.a.rsel1_RNI83LGR5[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI7I3D2_1 "r.a.rsel1_RNI7I3D2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIE3L2_24 "r.w.result_RNIE3L2[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename ir_addr_RNIP6091_20 "ir.addr_RNIP6091[20]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_w_s_icc_RNISFF81_0 "r.w.s.icc_RNISFF81[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIMBF61_20 "r.w.s.y_RNIMBF61[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_20 "rfo_m[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIB4PM47_2 "r.a.rsel1_RNIB4PM47[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIMUGLF5_2 "r.a.rsel1_RNIMUGLF5[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI5O8A2_2 "r.a.rsel1_RNI5O8A2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI5K8A2_2 "r.a.rsel1_RNI5K8A2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIDKFI77_0 "r.a.rsel1_RNIDKFI77[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIT4FGI5_0 "r.a.rsel1_RNIT4FGI5[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNINR5557_1 "r.a.rsel1_RNINR5557[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI7O53G5_1 "r.a.rsel1_RNI7O53G5[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI4U3D2_1 "r.a.rsel1_RNI4U3D2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI4I3D2_1 "r.a.rsel1_RNI4I3D2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNICBL2_30 "r.w.result_RNICBL2[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_result_RNIC3L2_23 "r.w.result_RNIC3L2[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIHVH6_3 "r.x.ctrl.rd_RNIHVH6[3]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIDHCQT_3 "r.x.ctrl.rd_RNIDHCQT[3]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_e_op1_RNO_30 "r.e.op1_RNO[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI30AP57_2 "r.a.rsel1_RNI30AP57[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI6O8A2_2 "r.a.rsel1_RNI6O8A2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIFQ8K87_0 "r.a.rsel1_RNIFQ8K87[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIM1V667_1 "r.a.rsel1_RNIM1V667[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI7U3D2_1 "r.a.rsel1_RNI7U3D2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIEBL2_31 "r.w.result_RNIEBL2[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIP3VM1_0 "r.x.rstate_RNIP3VM1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNINRUM1_0 "r.x.rstate_RNINRUM1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNI7R6BS5 "r.e.ldbp2_1_RNI7R6BS5") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI9BF32 "r.m.dci.enaddr_RNI9BF32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI6VE32 "r.m.dci.enaddr_RNI6VE32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIGSR02 "r.m.dci.enaddr_RNIGSR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIFSR02 "r.m.dci.enaddr_RNIFSR02") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIQ9212 "r.m.dci.enaddr_RNIQ9212") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIL9212 "r.m.dci.enaddr_RNIL9212") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI5BIJ2_13 "r.w.s.tba_RNI5BIJ2[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_icc_RNIC9LF2_0 "r.m.icc_RNIC9LF2[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNINTN71_20 "r.m.y_RNINTN71[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIQB741_26 "r.f.pc_RNIQB741[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIS3G61_26 "r.w.s.y_RNIS3G61[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_26 "rfo_m[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_10 "r.m.y_RNO_4[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_5_10 "r.m.y_RNO_5[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_10 "r.m.y_RNO_2[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_10 "r.m.y_RNO_1[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_casa_RNIA3U537 "r.m.casa_RNIA3U537") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI6RE32 "r.m.dci.enaddr_RNI6RE32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNITTKD3_29 "r.x.result_RNITTKD3[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNIJC6E_13 "r.x.result_RNIJC6E[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNI5L6E_29 "r.x.result_RNI5L6E[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIEHID_29 "r.e.op1_RNIEHID[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIKJ6T3_29 "r.x.result_RNIKJ6T3[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI67OF_29 "r.e.op1_RNI67OF[29]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIR0L13_9 "r.x.result_RNIR0L13[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIK3C4_9 "r.e.op1_RNIK3C4[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIR4J3S_25 "r.x.ctrl.pc_RNIR4J3S[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIERDL_0 "r.x.npc_RNIERDL[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIC1N9_25 "r.m.ctrl.pc_RNIC1N9[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIR1N9_25 "r.x.ctrl.pc_RNIR1N9[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIPSI3S_23 "r.x.ctrl.pc_RNIPSI3S[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNI6RCL_0 "r.x.npc_RNI6RCL[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI8HM9_23 "r.m.ctrl.pc_RNI8HM9[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNINHM9_23 "r.x.ctrl.pc_RNINHM9[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_6 "ir.addr_RNO_1[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_6 "ir.addr_RNO_5[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_6 "ir.addr_RNO_6[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_6 "ir.addr_RNO_4[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_6 "ir.addr_RNO_3[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename dsur_asi_RNIS9741_2 "dsur.asi_RNIS9741[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNI91O41_2 "ir.addr_RNI91O41[2]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIK6K31_2 "r.f.pc_RNIK6K31[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNICII81_2 "r.w.s.wim_RNICII81[2]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_w_s_y_RNI6IG91_2 "r.w.s.y_RNI6IG91[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIFSJ13_6 "r.x.result_RNIFSJ13[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNINC6E_15 "r.x.result_RNINC6E[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIIRG8_13 "r.x.data_0_RNIIRG8[13]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIROJD3_13 "r.x.result_RNIROJD3[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNI1VIF_13 "r.e.op2_RNI1VIF[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIQ7VM1_0 "r.x.rstate_RNIQ7VM1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNIVMCFS_2 "r.w.s.cwp_RNIVMCFS[2]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIPLD3S_6 "r.x.ctrl.rd_RNIPLD3S[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNINJH13_0 "r.x.result_RNINJH13[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIBTAB_0 "r.x.result_RNIBTAB[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNINDCB_6 "r.x.result_RNINDCB[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIIQKB_0 "r.x.rstate_RNIIQKB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIAFV2S_6 "r.x.ctrl.pc_RNIAFV2S[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIB3HBS_6 "r.x.result_RNIB3HBS[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIA5VI_0 "r.x.npc_RNIA5VI[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIAMF8_6 "r.m.ctrl.pc_RNIAMF8[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIPMF8_6 "r.x.ctrl.pc_RNIPMF8[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIGQKB_0 "r.x.rstate_RNIGQKB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI8FV2S_4 "r.x.ctrl.pc_RNI8FV2S[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNICTOAS_4 "r.x.result_RNICTOAS[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNI25VI_0 "r.x.npc_RNI25VI[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI6MF8_4 "r.m.ctrl.pc_RNI6MF8[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNILMF8_4 "r.x.ctrl.pc_RNILMF8[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNIFDBB_2 "r.x.result_RNIFDBB[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_0 "r.w.s.y_RNO_2[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_0 "r.w.s.y_RNO_1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNI4M0L_2 "r.e.ctrl.pc_RNI4M0L[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIV71E_0 "r.x.rstate_RNIV71E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNINGI3S_30 "r.x.ctrl.pc_RNINGI3S[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIDIBES_30 "r.x.result_RNIDIBES[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_npc_RNIJR5R_0 "r.x.npc_RNIJR5R[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNI4PL9_30 "r.m.ctrl.pc_RNI4PL9[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op1_RNI6P7F1_0 "r.e.op1_RNI6P7F1[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op1_RNIB1UB_0 "r.e.op1_RNIB1UB[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIAFSC3_23 "r.x.result_RNIAFSC3[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIHLBB_3 "r.x.result_RNIHLBB[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNID5BB_1 "r.x.result_RNID5BB[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI3S1E_0 "r.x.rstate_RNI3S1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIHABES_25 "r.x.result_RNIHABES[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI1K1E_0 "r.x.rstate_RNI1K1E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIIKJDS_23 "r.x.result_RNIIKJDS[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIJLD3S_0 "r.x.ctrl.rd_RNIJLD3S[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIV6NF_31 "r.e.op1_RNIV6NF[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNISHSM4_2 "r.x.result_RNISHSM4[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_24 "r.m.y_RNO_4[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_24 "r.m.y_RNO_2[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_24 "r.m.y_RNO_1[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIRA091_31 "ir.addr_RNIRA091[31]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIOF741_31 "r.f.pc_RNIOF741[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI65ID_17 "r.e.op1_RNI65ID[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNI1U741_7 "dsur.asi_RNI1U741[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNIE1O41_7 "ir.addr_RNIE1O41[7]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIPQK31_7 "r.f.pc_RNIPQK31[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNI8BS61_3 "r.w.s.tt_RNI8BS61[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIBIG91_7 "r.w.s.y_RNIBIG91[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_7 "rfo_m[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_3_3 "r.m.y_RNO_3[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_3 "r.m.y_RNO_2[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_3 "r.m.y_RNO_4[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIQTBKP_0 "r.e.jmpl_RNIQTBKP_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI0KDUQ "r.e.jmpl_RNI0KDUQ") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI0D43O_0 "r.e.jmpl_RNI0D43O_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI636DP "r.e.jmpl_RNI636DP") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI6PIJL_0 "r.e.jmpl_RNI6PIJL_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI6Q0MK_0 "r.e.jmpl_RNI6Q0MK_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIUI18K "r.e.jmpl_RNIUI18K") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNIHQDFJ_0 "r.e.jmpl_RNIHQDFJ_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI6Q0MK "r.e.jmpl_RNI6Q0MK") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNIOFF61_31 "r.w.s.y_RNIOFF61[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_31 "rfo_m[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_1_23 "r.m.y_RNO_1[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIVTAC01_2 "r.w.s.wim_RNIVTAC01[2]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIBT9VT_2 "r.x.result_RNIBT9VT[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIRGMI2_0 "r.x.rstate_RNIRGMI2[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_x_result_RNIAP9VT_1 "r.x.result_RNIAP9VT[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_cwp_RNO_2 "r.d.cwp_RNO[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNO_1 "r.d.cwp_RNO[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNO_0_2 "r.d.cwp_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNO_0_1 "r.d.cwp_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNO_1_2 "r.d.cwp_RNO_1[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_cwp_RNO_1_1 "r.d.cwp_RNO_1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNI4J6355_2 "r.w.s.cwp_RNI4J6355[2]") (viewRef prim (cellRef XA1C (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIPLAC01_0 "r.w.s.wim_RNIPLAC01[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI4A9TT_0 "r.w.s.wim_RNI4A9TT[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNIBD4NS_0 "r.x.result_RNIBD4NS[0]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_x_rstate_RNICQKB_0 "r.x.rstate_RNICQKB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNIA1O41_3 "ir.addr_RNIA1O41[3]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNILAK31_3 "r.f.pc_RNILAK31[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNIDII81_3 "r.w.s.wim_RNIDII81[3]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_w_s_y_RNI7IG91_3 "r.w.s.y_RNI7IG91[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_3 "rfo_m[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_a_wunf_RNO "r.a.wunf_RNO") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_a_wovf_RNO "r.a.wovf_RNO") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNINVH6_6 "r.x.ctrl.rd_RNINVH6[6]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNI9S6A2_6 "r.e.ctrl.rd_RNI9S6A2[6]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNISN544_6 "r.a.ctrl.rd_RNISN544[6]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNI0O544_6 "r.e.ctrl.rd_RNI0O544[6]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNI8O544_6 "r.m.ctrl.rd_RNI8O544[6]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNIHE224_5 "r.m.ctrl.rd_RNIHE224[5]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIJVH6_4 "r.x.ctrl.rd_RNIJVH6[4]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIHLP12_4 "r.e.ctrl.rd_RNIHLP12[4]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNIDLP12_4 "r.a.ctrl.rd_RNIDLP12[4]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIJ4VV3_4 "r.e.ctrl.rd_RNIJ4VV3[4]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_a_rfa2_RNIRO2G4_6 "r.a.rfa2_RNIRO2G4[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa2_RNI4BVD4_5 "r.a.rfa2_RNI4BVD4[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa2_RNIETRB4_4 "r.a.rfa2_RNIETRB4[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIQ4KRK3_2 "r.a.rsel1_RNIQ4KRK3[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI3G8A2_2 "r.a.rsel1_RNI3G8A2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIQ4J3S_15 "r.x.ctrl.pc_RNIQ4J3S[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIB2BES_15 "r.x.result_RNIB2BES[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNIUMCFS_1 "r.w.s.cwp_RNIUMCFS[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNIOLD3S_5 "r.x.ctrl.rd_RNIOLD3S[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIMC9NN3_0 "r.a.rsel1_RNIMC9NN3[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI4C0AL3_1 "r.a.rsel1_RNI4C0AL3[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI063D2_1 "r.a.rsel1_RNI063D2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIARK2_15 "r.w.result_RNIARK2[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_npc_RNIARDL_0 "r.x.npc_RNIARDL[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIA1N9_15 "r.m.ctrl.pc_RNIA1N9[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIP1N9_15 "r.x.ctrl.pc_RNIP1N9[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_result_RNIRTCB_8 "r.x.result_RNIRTCB[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_5_8 "r.e.op2_RNO_5[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_24 "r.w.s.y_RNO_2[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_24 "r.w.s.y_RNO_1[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_3_24 "r.w.s.y_RNO_3[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_9 "r.w.s.y_RNO_2[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_1_9 "r.w.s.y_RNO_1[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_12 "r.w.s.tba_RNO[12]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_12 "r.w.s.tba_RNO_0[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNICFV2S_8 "r.x.ctrl.pc_RNICFV2S[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIFJHBS_8 "r.x.result_RNIFJHBS[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNO_2_8 "r.e.op2_RNO_2[8]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_23 "r.m.y_RNO_4[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI3VD35_0 "r.e.aluop_RNI3VD35[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI38RI2_1 "r.e.aluop_RNI38RI2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI2KD91_2 "r.e.aluop_RNI2KD91[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_x_result_RNIPOJD3_20 "r.x.result_RNIPOJD3[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_result_RNICFSC3_16 "r.x.result_RNICFSC3[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI9UGB1_22 "r.e.op2_RNI9UGB1[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_0_RNIIHJK5_0 "r.e.aluop_0_RNIIHJK5[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIRVH13_1 "r.x.result_RNIRVH13[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNI2VHJ2_10 "r.w.s.tba_RNI2VHJ2[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNIG2LU3_22 "r.x.result_RNIG2LU3[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNINTPG3_0 "r.e.aluop_RNINTPG3[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNID7HP1_1 "r.e.aluop_RNID7HP1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNINJOS_2 "r.e.aluop_RNINJOS[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI3OSK_1 "r.e.op2_RNI3OSK[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI3OSK_0_1 "r.e.op2_RNI3OSK_0[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNINRG8_18 "r.x.data_0_RNINRG8[18]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIO8HBN4_2 "r.a.rsel1_RNIO8HBN4[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI7G8A2_2 "r.a.rsel1_RNI7G8A2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIBJJF_18 "r.e.op2_RNIBJJF[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_6 "r.w.s.tba_RNO[6]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_tba_RNO_0_6 "r.w.s.tba_RNO_0[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNI9RE6Q4_0 "r.a.rsel1_RNI9RE6Q4[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIDQ5PN4_1 "r.a.rsel1_RNIDQ5PN4[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel1_RNIA63D2_1 "r.a.rsel1_RNIA63D2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNIGRK2_18 "r.w.result_RNIGRK2[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNI4N7NI4 "r.e.ldbp2_2_RNI4N7NI4") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIBNF32 "r.m.dci.enaddr_RNIBNF32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI43OF_18 "r.e.op1_RNI43OF[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNIUTO71_18 "r.m.y_RNIUTO71[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_18 "r.f.pc_RNO_14[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_18 "r.f.pc_RNO_9[18]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_18 "r.f.pc_RNO_12[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_18 "r.f.pc_RNO_13[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNO_4_18 "r.m.y_RNO_4[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_y_RNO_2_18 "r.m.y_RNO_2[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNINFUH4_0 "r.e.aluop_RNINFUH4[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIDG3A2_1 "r.e.aluop_RNIDG3A2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI7O151_2 "r.e.aluop_RNI7O151[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIJS5T_18 "r.e.op2_RNIJS5T[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIJS5T_0_18 "r.e.op2_RNIJS5T_0[18]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_13 "ir.addr_RNO_4[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_13 "ir.addr_RNO_6[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_13 "ir.addr_RNO_2[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIJRG8_14 "r.x.data_0_RNIJRG8[14]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_14 "r.d.inst_0_RNO[14]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_10 "r.d.inst_0_RNO[10]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_result_RNILC6E_14 "r.x.result_RNILC6E[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI33JF_14 "r.e.op2_RNI33JF[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_18 "r.f.pc_RNO_6[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIJB8D31_11 "r.f.pc_RNIJB8D31[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_18 "r.f.pc_RNO_11[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIOE4FU_11 "r.f.pc_RNIOE4FU[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_18 "r.f.pc_RNO_15[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNI59ARP_11 "r.f.pc_RNI59ARP[11]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_w_s_y_RNO_2_14 "r.w.s.y_RNO_2[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_21 "ir.addr_RNO_5[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_21 "ir.addr_RNO_6[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_21 "ir.addr_RNO_4[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_21 "ir.addr_RNO_2[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_21 "ir.addr_RNO_0[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_14 "ir.addr_RNO_5[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_14 "ir.addr_RNO_6[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_14 "ir.addr_RNO_4[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_2_14 "ir.addr_RNO_2[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_14 "ir.addr_RNO_0[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_13 "ir.addr_RNO_5[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_0_13 "ir.addr_RNO_0[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNINP5NF3 "r.e.ldbp2_1_RNINP5NF3") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNI77F32 "r.m.dci.enaddr_RNI77F32") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_op1_RNI0JNF_14 "r.e.op1_RNI0JNF[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIEQB11_14 "r.e.op2_RNIEQB11[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIIQVT4_0 "r.e.aluop_RNIIQVT4[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNICIAD81 "r.e.ldbp2_1_RNICIAD81") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_RNIN7KL_14 "r.e.ctrl.pc_RNIN7KL[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNINDQH4_0 "r.e.aluop_RNINDQH4[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIDF1A2_1 "r.e.aluop_RNIDF1A2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNINN051_2 "r.e.aluop_RNINN051[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNINPM9_14 "r.x.ctrl.pc_RNINPM9[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNI3S4T_14 "r.e.op2_RNI3S4T[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI3S4T_0_14 "r.e.op2_RNI3S4T_0[14]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIJN43_9 "r.x.data_0_RNIJN43[9]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_19 "r.d.inst_0_RNO[19]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_18 "r.d.inst_0_RNO[18]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_4 "dsur.tt_RNO[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_op2_RNINDD6_9 "r.e.op2_RNINDD6[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIU4OIR_9 "r.f.pc_RNIU4OIR[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIGCASM_9 "r.f.pc_RNIGCASM[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNIIMNBI_9 "r.f.pc_RNIIMNBI[9]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_x_rstate_RNI7C2E_0 "r.x.rstate_RNI7C2E[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNIVKJ3S_29 "r.x.ctrl.pc_RNIVKJ3S[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIPABES_29 "r.x.result_RNIPABES[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIOVUM1_0 "r.x.rstate_RNIOVUM1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_cwp_RNITMCFS_0 "r.w.s.cwp_RNITMCFS[0]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_RNINLD3S_4 "r.x.ctrl.rd_RNINLD3S[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename ir_addr_RNO_1_9 "ir.addr_RNO_1[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_5_9 "ir.addr_RNO_5[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_6_9 "ir.addr_RNO_6[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_4_9 "ir.addr_RNO_4[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename ir_addr_RNO_3_9 "ir.addr_RNO_3[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIR9212 "r.m.dci.enaddr_RNIR9212") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIVSL32 "r.m.dci.enaddr_RNIVSL32") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIK1UB_9 "r.e.op1_RNIK1UB[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNIO5SM4_1 "r.x.result_RNIO5SM4[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIN2D82_0 "r.e.aluop_RNIN2D82[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNITPA51_1 "r.e.aluop_RNITPA51[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIVCLI_2 "r.e.aluop_RNIVCLI[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_x_npc_RNIURFL_0 "r.x.npc_RNIURFL[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_RNIK1O9_29 "r.m.ctrl.pc_RNIK1O9[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_RNI32O9_29 "r.x.ctrl.pc_RNI32O9[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_op2_RNIBHPA_9 "r.e.op2_RNIBHPA[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIBHPA_0_9 "r.e.op2_RNIBHPA_0[9]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIIVB4_8 "r.e.op1_RNIIVB4[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_15_22 "r.f.pc_RNO_15[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIKFUM1_0 "r.x.rstate_RNIKFUM1[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr_RNIUOL32 "r.m.dci.enaddr_RNIUOL32") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_op1_RNIJ1UB_8 "r.e.op1_RNIJ1UB[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_w_s_tt_RNIU45I2_4 "r.w.s.tt_RNIU45I2[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNIN7RJ2_0 "r.w.s.pil_RNIN7RJ2[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_y_RNID4K91_8 "r.m.y_RNID4K91[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_w_s_pil_RNI2II81_0 "r.w.s.pil_RNI2II81[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename rfo_m_8 "rfo_m[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_14_22 "r.f.pc_RNO_14[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_9_22 "r.f.pc_RNO_9[22]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_22 "r.f.pc_RNO_12[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_13_22 "r.f.pc_RNO_13[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_rstate_RNIQHV53_0 "r.x.rstate_RNIQHV53[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIE3R43_7 "r.f.pc_RNIE3R43[7]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI711H3_0 "r.e.aluop_RNI711H3[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI5PKP1_1 "r.e.aluop_RNI5PKP1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIJCQS_2 "r.e.aluop_RNIJCQS[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVGUK_8 "r.e.op2_RNIVGUK[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIVGUK_0_8 "r.e.op2_RNIVGUK_0[8]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance addr_1_sqmuxa (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_m_dci_lock_RNI09G7 "r.m.dci.lock_RNI09G7") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_x_result_RNICER03_4 "r.x.result_RNICER03[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNI56BC01_4 "r.w.s.wim_RNI56BC01[4]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_w_s_wim_RNICQ9TT_4 "r.w.s.wim_RNICQ9TT[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI7EIQ5_0 "r.e.aluop_RNI7EIQ5[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI3EHQ5_0 "r.e.aluop_RNI3EHQ5[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI7VSG3_0 "r.e.aluop_RNI7VSG3[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNILFDU2_1 "r.e.aluop_RNILFDU2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIJVCU2_1 "r.e.aluop_RNIJVCU2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI5OIP1_1 "r.e.aluop_RNI5OIP1[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIRN6F1_2 "r.e.aluop_RNIRN6F1[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIQF6F1_2 "r.e.aluop_RNIQF6F1[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI3CPS_2 "r.e.aluop_RNI3CPS[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI7SA71_17 "r.e.op2_RNI7SA71[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI6KA71_16 "r.e.op2_RNI6KA71[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIFGTK_4 "r.e.op2_RNIFGTK[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI7SA71_0_17 "r.e.op2_RNI7SA71_0[17]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI6KA71_0_16 "r.e.op2_RNI6KA71_0[16]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op2_RNIFGTK_0_4 "r.e.op2_RNIFGTK_0[4]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_e_op1_RNIE1UB_3 "r.e.op1_RNIE1UB[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIC8TK_3 "r.e.op2_RNIC8TK[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNIC8TK_0_3 "r.e.op2_RNIC8TK_0[3]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNIKRG8_15 "r.x.data_0_RNIKRG8[15]") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNI8M8SP1_2 "r.a.rsel2_RNI8M8SP1[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI57JF_15 "r.e.op2_RNI57JF[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNICHLTS1_0 "r.a.rsel2_RNICHLTS1[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNI7EP7Q1_1 "r.a.rsel2_RNI7EP7Q1[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_a_rsel2_RNII8ML2_1 "r.a.rsel2_RNII8ML2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_w_result_RNI7DO7_0 "r.w.result_RNI7DO7[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_imm_RNIN0OD2_0 "r.a.imm_RNIN0OD2[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_jmpl_RNI7P9BR_0 "r.e.jmpl_RNI7P9BR_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIG7B1T2 "r.e.ldbp2_2_RNIG7B1T2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_aluop_RNI7ERH4_0 "r.e.aluop_RNI7ERH4[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNILV1A2_1 "r.e.aluop_RNILV1A2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_e_aluop_RNIRV051_2 "r.e.aluop_RNIRV051[2]") (viewRef prim (cellRef XA1 (libraryRef PA3))) ) (instance (rename r_e_op2_RNI745T_15 "r.e.op2_RNI745T[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_op2_RNI745T_0_15 "r.e.op2_RNI745T_0[15]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNITCAI_3 "r.d.inst_0_RNITCAI[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIQ0AI_0 "r.d.inst_0_RNIQ0AI[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_RNI68JL_2 "r.a.ctrl.rd_RNI68JL[2]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNIA8JL_2 "r.e.ctrl.rd_RNIA8JL[2]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_RNII8JL_2 "r.m.ctrl.rd_RNII8JL[2]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_a_rfa2_RNI5PF11_2 "r.a.rfa2_RNI5PF11[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_26 "r.f.pc_RNO_6[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_11_26 "r.f.pc_RNO_11[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIPTH34_13 "r.d.inst_0_RNIPTH34[13]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIRP5CH1_13 "r.d.inst_0_RNIRP5CH1[13]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_RNI98JTT_5 "r.e.ctrl.rd_RNI98JTT[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_e_ctrl_wicc_RNO "r.e.ctrl.wicc_RNO") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_e_ctrl_wreg_RNO "r.e.ctrl.wreg_RNO") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_a_rfe2_RNISE0OL1 "r.a.rfe2_RNISE0OL1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_a_ctrl_wreg_RNIPJ0BF "r.a.ctrl.wreg_RNIPJ0BF") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_ctrl_wreg_RNILGCE "r.a.ctrl.wreg_RNILGCE") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNI42J4_21 "r.d.inst_0_RNI42J4[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNI1PCVU_10 "r.f.pc_RNI1PCVU[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNID045Q_10 "r.f.pc_RNID045Q[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNILITIL_10 "r.f.pc_RNILITIL[10]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_m_ctrl_wreg_RNO "r.m.ctrl.wreg_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I168_Y "comb.branch_address.tmp_ADD_30x30_fast_I168_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I168_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I168_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I123_Y "comb.branch_address.tmp_ADD_30x30_fast_I123_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I115_Y "comb.branch_address.tmp_ADD_30x30_fast_I115_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I114_Y "comb.branch_address.tmp_ADD_30x30_fast_I114_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I114_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I114_un1_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I111_Y "comb.branch_address.tmp_ADD_30x30_fast_I111_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I110_Y "comb.branch_address.tmp_ADD_30x30_fast_I110_Y") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I107_Y "comb.branch_address.tmp_ADD_30x30_fast_I107_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I106_Y "comb.branch_address.tmp_ADD_30x30_fast_I106_Y") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I103_Y "comb.branch_address.tmp_ADD_30x30_fast_I103_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I99_Y "comb.branch_address.tmp_ADD_30x30_fast_I99_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I95_Y "comb.branch_address.tmp_ADD_30x30_fast_I95_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I63_Y "comb.branch_address.tmp_ADD_30x30_fast_I63_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I58_Y "comb.branch_address.tmp_ADD_30x30_fast_I58_Y") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I55_Y "comb.branch_address.tmp_ADD_30x30_fast_I55_Y") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I54_Y "comb.branch_address.tmp_ADD_30x30_fast_I54_Y") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I83_Y "comb.branch_address.tmp_ADD_30x30_fast_I83_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I82_Y "comb.branch_address.tmp_ADD_30x30_fast_I82_Y") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I71_Y "comb.branch_address.tmp_ADD_30x30_fast_I71_Y") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I70_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I70_un1_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I0_S_0 "comb.branch_address.tmp_ADD_30x30_fast_I0_S_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I223_Y "comb.branch_address.tmp_ADD_30x30_fast_I223_Y") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I223_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I223_un1_Y") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I185_Y "comb.branch_address.tmp_ADD_30x30_fast_I185_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I185_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I185_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I180_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I180_un1_Y") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I173_Y "comb.branch_address.tmp_ADD_30x30_fast_I173_Y") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I172_Y "comb.branch_address.tmp_ADD_30x30_fast_I172_Y") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I165_Y "comb.branch_address.tmp_ADD_30x30_fast_I165_Y") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I164_Y "comb.branch_address.tmp_ADD_30x30_fast_I164_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I164_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I164_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I137_Y "comb.branch_address.tmp_ADD_30x30_fast_I137_Y") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I127_Y "comb.branch_address.tmp_ADD_30x30_fast_I127_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I119_Y "comb.branch_address.tmp_ADD_30x30_fast_I119_Y") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I118_Y "comb.branch_address.tmp_ADD_30x30_fast_I118_Y") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I102_Y "comb.branch_address.tmp_ADD_30x30_fast_I102_Y") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I98_Y "comb.branch_address.tmp_ADD_30x30_fast_I98_Y") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I94_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I94_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I62_Y "comb.branch_address.tmp_ADD_30x30_fast_I62_Y") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I47_Y "comb.branch_address.tmp_ADD_30x30_fast_I47_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I46_Y "comb.branch_address.tmp_ADD_30x30_fast_I46_Y") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I43_Y "comb.branch_address.tmp_ADD_30x30_fast_I43_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I39_Y "comb.branch_address.tmp_ADD_30x30_fast_I39_Y") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I23_P0N "comb.branch_address.tmp_ADD_30x30_fast_I23_P0N") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I20_P0N "comb.branch_address.tmp_ADD_30x30_fast_I20_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I20_G0N "comb.branch_address.tmp_ADD_30x30_fast_I20_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I0_CO1 "comb.branch_address.tmp_ADD_30x30_fast_I0_CO1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I48_Y_0_o3 "comb.branch_address.tmp_ADD_30x30_fast_I48_Y_0_o3") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I220_Y_0_o3 "comb.branch_address.tmp_ADD_30x30_fast_I220_Y_0_o3") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I182_Y_0_o3 "comb.branch_address.tmp_ADD_30x30_fast_I182_Y_0_o3") (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I72_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I72_Y_0") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I64_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I64_Y_0") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I56_Y_0 "comb.branch_address.tmp_ADD_30x30_fast_I56_Y_0") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I74_Y "comb.branch_address.tmp_ADD_30x30_fast_I74_Y") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I66_Y "comb.branch_address.tmp_ADD_30x30_fast_I66_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I51_Y "comb.branch_address.tmp_ADD_30x30_fast_I51_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I15_P0N "comb.branch_address.tmp_ADD_30x30_fast_I15_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I15_G0N "comb.branch_address.tmp_ADD_30x30_fast_I15_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I11_P0N "comb.branch_address.tmp_ADD_30x30_fast_I11_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I11_G0N "comb.branch_address.tmp_ADD_30x30_fast_I11_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I10_P0N "comb.branch_address.tmp_ADD_30x30_fast_I10_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I10_G0N "comb.branch_address.tmp_ADD_30x30_fast_I10_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I6_P0N "comb.branch_address.tmp_ADD_30x30_fast_I6_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I6_G0N "comb.branch_address.tmp_ADD_30x30_fast_I6_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I69_Y "comb.branch_address.tmp_ADD_30x30_fast_I69_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I61_Y "comb.branch_address.tmp_ADD_30x30_fast_I61_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I53_Y "comb.branch_address.tmp_ADD_30x30_fast_I53_Y") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I45_Y "comb.branch_address.tmp_ADD_30x30_fast_I45_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I37_Y "comb.branch_address.tmp_ADD_30x30_fast_I37_Y") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I19_P0N "comb.branch_address.tmp_ADD_30x30_fast_I19_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I19_G0N "comb.branch_address.tmp_ADD_30x30_fast_I19_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I18_P0N "comb.branch_address.tmp_ADD_30x30_fast_I18_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I14_P0N "comb.branch_address.tmp_ADD_30x30_fast_I14_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I14_G0N "comb.branch_address.tmp_ADD_30x30_fast_I14_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I12_P0N "comb.branch_address.tmp_ADD_30x30_fast_I12_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I12_G0N "comb.branch_address.tmp_ADD_30x30_fast_I12_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I13_P0N "comb.branch_address.tmp_ADD_30x30_fast_I13_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I13_G0N "comb.branch_address.tmp_ADD_30x30_fast_I13_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I17_P0N "comb.branch_address.tmp_ADD_30x30_fast_I17_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I221_Y "comb.branch_address.tmp_ADD_30x30_fast_I221_Y") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I221_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I221_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I183_Y "comb.branch_address.tmp_ADD_30x30_fast_I183_Y") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I177_Y "comb.branch_address.tmp_ADD_30x30_fast_I177_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I176_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I176_un1_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I161_Y "comb.branch_address.tmp_ADD_30x30_fast_I161_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I122_Y "comb.branch_address.tmp_ADD_30x30_fast_I122_Y") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I91_Y "comb.branch_address.tmp_ADD_30x30_fast_I91_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I90_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I90_un1_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I87_Y "comb.branch_address.tmp_ADD_30x30_fast_I87_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I66_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I66_un1_Y") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I35_Y "comb.branch_address.tmp_ADD_30x30_fast_I35_Y") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I34_Y "comb.branch_address.tmp_ADD_30x30_fast_I34_Y") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I31_Y "comb.branch_address.tmp_ADD_30x30_fast_I31_Y") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I30_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I30_un1_Y") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I28_P0N "comb.branch_address.tmp_ADD_30x30_fast_I28_P0N") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I28_G0N "comb.branch_address.tmp_ADD_30x30_fast_I28_G0N") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I26_P0N "comb.branch_address.tmp_ADD_30x30_fast_I26_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I25_P0N "comb.branch_address.tmp_ADD_30x30_fast_I25_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I25_G0N "comb.branch_address.tmp_ADD_30x30_fast_I25_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I16_G0N "comb.branch_address.tmp_ADD_30x30_fast_I16_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I5_G0N "comb.branch_address.tmp_ADD_30x30_fast_I5_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I222_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I222_un1_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I212_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I212_un1_Y") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I184_Y "comb.branch_address.tmp_ADD_30x30_fast_I184_Y") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I184_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I184_un1_Y") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I179_Y "comb.branch_address.tmp_ADD_30x30_fast_I179_Y") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I178_Y "comb.branch_address.tmp_ADD_30x30_fast_I178_Y") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I178_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I178_un1_Y") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I171_Y "comb.branch_address.tmp_ADD_30x30_fast_I171_Y") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I170_Y "comb.branch_address.tmp_ADD_30x30_fast_I170_Y") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I163_Y "comb.branch_address.tmp_ADD_30x30_fast_I163_Y") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I162_Y "comb.branch_address.tmp_ADD_30x30_fast_I162_Y") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I136_Y "comb.branch_address.tmp_ADD_30x30_fast_I136_Y") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I84_Y "comb.branch_address.tmp_ADD_30x30_fast_I84_Y") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I36_Y "comb.branch_address.tmp_ADD_30x30_fast_I36_Y") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I36_un1_Y "comb.branch_address.tmp_ADD_30x30_fast_I36_un1_Y") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I9_P0N "comb.branch_address.tmp_ADD_30x30_fast_I9_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I8_P0N "comb.branch_address.tmp_ADD_30x30_fast_I8_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I2_P0N "comb.branch_address.tmp_ADD_30x30_fast_I2_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I2_G0N "comb.branch_address.tmp_ADD_30x30_fast_I2_G0N") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I1_P0N "comb.branch_address.tmp_ADD_30x30_fast_I1_P0N") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_branch_address_tmp_ADD_30x30_fast_I1_G0N "comb.branch_address.tmp_ADD_30x30_fast_I1_G0N") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_r_w_s_cwp_1_1_SUM0_0 "un1_r.w.s.cwp_1_1_SUM0_0") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_r_w_s_cwp_1_1_ANB0 "un1_r.w.s.cwp_1_1_ANB0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I250_Y_0_a3 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I144_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I143_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I143_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I136_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I135_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I135_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I128_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I127_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I127_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I103_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I103_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I81_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I81_un1_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I66_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I120_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I119_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I58_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I57_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I137_un1_Y (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I75_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I17_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I15_G0N (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I10_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I10_G0N (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I78_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I70_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I61_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I30_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I199_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I192_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I191_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I191_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I184_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I183_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I183_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I176_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I175_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I151_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I147_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I140_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I139_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I139_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I132_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I131_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I123_Y (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I116_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I115_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I77_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I13_P0N (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance un6_ex_add_res_d2_ADD_33x33_fast_I12_G0N (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I40_Y_i_o3 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I39_Y_0_o3 (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I63_Y_0_a3 (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I66_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I65_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I42_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I41_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I41_un1_Y (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I36_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I190_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I189_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I182_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I181_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I181_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I174_Y (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I173_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I173_un1_Y (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I166_Y (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I158_Y (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I157_un1_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I129_un1_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I121_un1_Y (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I98_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I68_Y (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I67_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I59_un1_Y (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I16_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I16_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I14_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I14_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I38_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I37_Y (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I28_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I28_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I29_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I29_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I27_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I27_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I12_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I12_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I2_P0N (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d1_ADD_33x33_fast_I2_G0N (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I39_Y_0_o3 (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I79_Y_0_a3 (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I143_Y (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I143_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I36_Y (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I15_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I9_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I78_Y (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I38_Y (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I37_Y (viewRef prim (cellRef AO13 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I30_P0N (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I30_G0N (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I3_G0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I31_P0N (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I21_P0N (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I21_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I205_un1_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I199_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I192_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I148_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I147_Y (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I140_Y (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I139_Y (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I139_un1_Y (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I77_Y (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance un6_ex_add_res_d0_ADD_33x33_fast_I13_G0N (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_31 "r.d.inst_0_RNO_0[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_30 "r.d.inst_0_RNO_0[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_28 "r.d.inst_0_RNO_0[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_26 "r.d.inst_0_RNO_0[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_25 "r.d.inst_0_RNO_0[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_24 "r.d.inst_0_RNO_0[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_22 "r.d.inst_0_RNO_0[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNIA8DP52_21 "r.d.inst_0_RNIA8DP52[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_20 "r.d.inst_0_RNO_0[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_19 "r.d.inst_0_RNO_0[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_18 "r.d.inst_0_RNO_0[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_16 "r.d.inst_0_RNO_0[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_15 "r.d.inst_0_RNO_0[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_14 "r.d.inst_0_RNO_0[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_13 "r.d.inst_0_RNO_0[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNILG9C62_12 "r.d.inst_0_RNILG9C62[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_11 "r.d.inst_0_RNO_0[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_10 "r.d.inst_0_RNO_0[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_9 "r.d.inst_0_RNO_0[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_7 "r.d.inst_0_RNO_0[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_6 "r.d.inst_0_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_5 "r.d.inst_0_RNO_0[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_4 "r.d.inst_0_RNO_0[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_3 "r.d.inst_0_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_1 "r.d.inst_0_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNO_0_0 "r.d.inst_0_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_0_7 "dsur.tt_RNO_0[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_0_5 "dsur.tt_RNO_0[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_0_4 "dsur.tt_RNO_0[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_0_3 "dsur.tt_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_0_2 "dsur.tt_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_0_1 "dsur.tt_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_tt_RNO_0_0 "dsur.tt_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_0_6 "dsur.asi_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_0_5 "dsur.asi_RNO_0[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_0_4 "dsur.asi_RNO_0[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_0_3 "dsur.asi_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename dsur_asi_RNO_0_0 "dsur.asi_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_a_rfa1_RNIOI9U1_0 "r.a.rfa1_RNIOI9U1[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename rp_error_RNO_0 "rp.error_RNO_0") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename dsur_err_RNO_0 "dsur.err_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_w_s_ps_RNO_0 "r.w.s.ps_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_29 "r.f.pc_RNO_10[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_29 "r.f.pc_RNO_3[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_29 "r.f.pc_RNO_7[29]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_29 "r.f.pc_RNO_1[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_28 "r.f.pc_RNO_1[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_27 "r.f.pc_RNO_10[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_27 "r.f.pc_RNO_3[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_27 "r.f.pc_RNO_7[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_27 "r.f.pc_RNO_1[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_26 "r.f.pc_RNO_10[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_26 "r.f.pc_RNO_3[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_26 "r.f.pc_RNO_7[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_26 "r.f.pc_RNO_1[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_25 "r.f.pc_RNO_12[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_25 "r.f.pc_RNO_6[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_25 "r.f.pc_RNO_0[25]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_25 "r.f.pc_RNO_1[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_21 "r.f.pc_RNO_12[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_21 "r.f.pc_RNO_6[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_21 "r.f.pc_RNO_0[21]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_21 "r.f.pc_RNO_1[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_20 "r.f.pc_RNO_10[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_20 "r.f.pc_RNO_3[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_20 "r.f.pc_RNO_7[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_20 "r.f.pc_RNO_1[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_18 "r.f.pc_RNO_10[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_18 "r.f.pc_RNO_3[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_18 "r.f.pc_RNO_7[18]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_18 "r.f.pc_RNO_1[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_17 "r.f.pc_RNO_12[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_17 "r.f.pc_RNO_6[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_17 "r.f.pc_RNO_0[17]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_17 "r.f.pc_RNO_1[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_14 "r.f.pc_RNO_10[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_14 "r.f.pc_RNO_3[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_14 "r.f.pc_RNO_7[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_14 "r.f.pc_RNO_1[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_12_13 "r.f.pc_RNO_12[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_13 "r.f.pc_RNO_6[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_0_13 "r.f.pc_RNO_0[13]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_13 "r.f.pc_RNO_1[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_10_12 "r.f.pc_RNO_10[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_12 "r.f.pc_RNO_3[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_12 "r.f.pc_RNO_7[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_12 "r.f.pc_RNO_1[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_11 "r.f.pc_RNO_6[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_11 "r.f.pc_RNO_3[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_11 "r.f.pc_RNO_5[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_11 "r.f.pc_RNO_1[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_10 "r.f.pc_RNO_6[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_10 "r.f.pc_RNO_3[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_10 "r.f.pc_RNO_5[10]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_10 "r.f.pc_RNO_1[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_9 "r.f.pc_RNO_6[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_9 "r.f.pc_RNO_3[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_9 "r.f.pc_RNO_5[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_9 "r.f.pc_RNO_1[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_7 "r.f.pc_RNO_3[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_7 "r.f.pc_RNO_4[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_7 "r.f.pc_RNO_6[7]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_7 "r.f.pc_RNO_1[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_5 "r.f.pc_RNO_4[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_5 "r.f.pc_RNO_6[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_5 "r.f.pc_RNO_1[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_4 "r.f.pc_RNO_6[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_4 "r.f.pc_RNO_3[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_5_4 "r.f.pc_RNO_5[4]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_4 "r.f.pc_RNO_1[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_3 "r.f.pc_RNO_3[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_3 "r.f.pc_RNO_4[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_3 "r.f.pc_RNO_6[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_3 "r.f.pc_RNO_1[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_3_2 "r.f.pc_RNO_3[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_2 "r.f.pc_RNO_4[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_6_2 "r.f.pc_RNO_6[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_1_2 "r.f.pc_RNO_1[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_29 "r.f.pc_RNO_4[29]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_27 "r.f.pc_RNO_4[27]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_26 "r.f.pc_RNO_4[26]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_25 "r.f.pc_RNO_7[25]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_21 "r.f.pc_RNO_7[21]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_20 "r.f.pc_RNO_4[20]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_18 "r.f.pc_RNO_4[18]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_17 "r.f.pc_RNO_7[17]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_14 "r.f.pc_RNO_4[14]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_7_13 "r.f.pc_RNO_7[13]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_f_pc_RNO_4_12 "r.f.pc_RNO_4[12]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_e_bp_RNICI3AJ1 "r.e.bp_RNICI3AJ1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIUS7SM1_1 "r.x.rstate_0_RNIUS7SM1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIHCLQP1 "r.e.ldbp2_1_RNIHCLQP1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIIJHJ01_11 "r.f.pc_RNIIJHJ01[11]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_bp_RNI5E86J1 "r.e.bp_RNI5E86J1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI35KQM1_1 "r.x.rstate_0_RNI35KQM1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNITFU3L1_1 "r.x.rstate_0_RNITFU3L1[1]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNI2M8IL1 "r.e.ldbp2_2_RNI2M8IL1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNI4DBN01_10 "r.f.pc_RNI4DBN01[10]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI9D0PM1_1 "r.x.rstate_0_RNI9D0PM1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_a_bp_RNIPD0PM1 "r.a.bp_RNIPD0PM1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIO27UK1_1 "r.x.rstate_0_RNIO27UK1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI3JEJ01_9 "r.f.pc_RNI3JEJ01[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNIBOM4_9 "r.f.pc_RNIBOM4[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_e_bp_RNI4GTRI1 "r.e.bp_RNI4GTRI1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI2BTMM1_1 "r.x.rstate_0_RNI2BTMM1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIE816L1_1 "r.x.rstate_0_RNIE816L1[1]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIBS3DD1 "r.e.ldbp2_2_RNIBS3DD1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIP2EJ01_7 "r.f.pc_RNIP2EJ01[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_bp_RNI8HLOI1 "r.e.bp_RNI8HLOI1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI00SLM1_1 "r.x.rstate_0_RNI00SLM1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIGKEJI1_1 "r.x.rstate_0_RNIGKEJI1[1]") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_e_ldbp2_2_RNIDD8HC1 "r.e.ldbp2_2_RNIDD8HC1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIKQDJ01_6 "r.f.pc_RNIKQDJ01[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_bp_RNIDIDLI1 "r.e.bp_RNIDIDLI1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIVOQKM1_1 "r.x.rstate_0_RNIVOQKM1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIDC20I1_1 "r.x.rstate_0_RNIDC20I1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIVFA8B1 "r.e.ldbp2_1_RNIVFA8B1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIFIDJ01_5 "r.f.pc_RNIFIDJ01[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_bp_RNIJJ5II1 "r.e.bp_RNIJJ5II1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNIVLPJM1_1 "r.x.rstate_0_RNIVLPJM1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNISK06G1_1 "r.x.rstate_0_RNISK06G1[1]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIAADJ01_4 "r.f.pc_RNIAADJ01[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_e_bp_RNIQKTEI1 "r.e.bp_RNIQKTEI1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI0NOIM1_1 "r.x.rstate_0_RNI0NOIM1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_x_rstate_0_RNI1DLIF1_1 "r.x.rstate_0_RNI1DLIF1[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_e_ldbp2_1_RNIJQC581 "r.e.ldbp2_1_RNIJQC581") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_f_pc_RNIP1OEV_3 "r.f.pc_RNIP1OEV[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_f_pc_RNI50M4_3 "r.f.pc_RNI50M4[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_30 "r.x.data_0_RNO_1[30]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_24 "r.x.data_0_RNO_1[24]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_21 "r.x.data_0_RNO_1[21]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_21 "r.x.data_0_RNO_0[21]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_20 "r.x.data_0_RNO_2[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_12 "r.x.data_0_RNO_2[12]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_12 "r.x.data_0_RNO_1[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_12 "r.x.data_0_RNO_3[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_2_9 "r.x.data_0_RNO_2[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_4_7 "r.x.data_0_RNO_4[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_7 "r.x.data_0_RNO_0[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_7 "r.x.data_0_RNO_1[7]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_4_6 "r.x.data_0_RNO_4[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_4_4 "r.x.data_0_RNO_4[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_4 "r.x.data_0_RNO_0[4]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_4 "r.x.data_0_RNO_1[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_4 "r.x.data_0_RNO_3[4]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_5_4 "r.x.data_0_RNO_5[4]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_4_3 "r.x.data_0_RNO_4[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_3 "r.x.data_0_RNO_0[3]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_3 "r.x.data_0_RNO_1[3]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_3_1 "r.x.data_0_RNO_3[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_1 "r.x.data_0_RNO_1[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_4_0 "r.x.data_0_RNO_4[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_0_0 "r.x.data_0_RNO_0[0]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_x_data_0_RNO_1_0 "r.x.data_0_RNO_1[0]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_x_intack_RNI3VGC "r.x.intack_RNI3VGC") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_m_werr_RNIA2H4 "r.m.werr_RNIA2H4") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_d_inst_0_0_0_RNIQA2N_21 "r.d.inst_0_0_0_RNIQA2N[21]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_d_inst_0_RNINSV2_0_31 "r.d.inst_0_RNINSV2_0[31]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_a_rfe2 "r.a.rfe2") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_m_dci_enaddr "r.m.dci.enaddr") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pv "r.d.pv") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_trap "r.x.ctrl.trap") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_irqen2 "r.m.irqen2") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_irqen "r.m.irqen") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_annul "r.d.annul") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_branch "r.f.branch") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_inull "r.d.inull") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_wunf "r.a.wunf") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_wovf "r.a.wovf") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_et "r.e.et") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_wy "r.e.ctrl.wy") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_alucin "r.e.alucin") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_trap "r.a.ctrl.trap") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_nobp "r.a.nobp") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_et "r.a.et") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_wy "r.a.ctrl.wy") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_wreg "r.a.ctrl.wreg") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_wicc "r.a.ctrl.wicc") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rett "r.a.ctrl.rett") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_bp "r.a.bp") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_mexc "r.d.mexc") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pv "r.e.ctrl.pv") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_su "r.e.su") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_et "r.w.s.et") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_jmpl "r.a.jmpl") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pv "r.a.ctrl.pv") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_su "r.a.su") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_annul "r.a.ctrl.annul") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_wreg "r.e.ctrl.wreg") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_wicc "r.e.ctrl.wicc") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_wreg "r.x.ctrl.wreg") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_dwt "r.w.s.dwt") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_wicc "r.x.ctrl.wicc") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_wreg "r.m.ctrl.wreg") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_wicc "r.m.ctrl.wicc") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_annul "r.x.ctrl.annul") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_annul "r.m.ctrl.annul") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_annul "r.e.ctrl.annul") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_pwd "ir.pwd") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_debug "r.x.debug") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_x_annul_all "r.x.annul_all") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_sari "r.e.sari") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ymsb "r.e.ymsb") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_trap "r.m.ctrl.trap") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfe1 "r.a.rfe1") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_trap "r.e.ctrl.trap") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ldbp2 "r.e.ldbp2") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_nalign "r.m.nalign") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_dci_signed "r.x.dci.signed") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_signed "r.m.dci.signed") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_lock "r.m.dci.lock") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_write "r.m.dci.write") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_bp "r.e.bp") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_invop2 "r.e.invop2") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_svt "r.w.s.svt") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_ld "r.e.ctrl.ld") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ticc "r.a.ticc") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_ld "r.a.ctrl.ld") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_read "r.m.dci.read") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ldbp1 "r.e.ldbp1") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_aluadd "r.e.aluadd") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_dsuen "r.m.dci.dsuen") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_wcwp "r.m.wcwp") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_casa "r.m.casa") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_intack "r.x.intack") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_shleft "r.e.shleft") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_mulstep "r.e.mulstep") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_ld "r.x.ctrl.ld") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_step "r.a.step") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_nerror "r.x.nerror") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_ld "r.m.ctrl.ld") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rett "r.x.ctrl.rett") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rett "r.m.ctrl.rett") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_jmpl "r.e.jmpl") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rett "r.e.ctrl.rett") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_step "r.d.step") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_wy "r.x.ctrl.wy") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pv "r.x.ctrl.pv") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_wy "r.m.ctrl.wy") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pv "r.m.ctrl.pv") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_ps "r.w.s.ps") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_w_s_s "r.w.s.s") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_x_mexc "r.x.mexc") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename rp_error "rp.error") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename rp_pwd "rp.pwd") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_err "dsur.err") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_m_werr "r.m.werr") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_x_ipend "r.x.ipend") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_x_rstate_0 "r.x.rstate[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_rstate_1 "r.x.rstate[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename dsur_asi_0 "dsur.asi[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_asi_1 "dsur.asi[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_asi_2 "dsur.asi[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_asi_3 "dsur.asi[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_asi_4 "dsur.asi[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_asi_5 "dsur.asi[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_asi_6 "dsur.asi[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_asi_7 "dsur.asi[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_tt_0 "dsur.tt[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_tt_1 "dsur.tt[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_tt_2 "dsur.tt[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_tt_3 "dsur.tt[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_tt_4 "dsur.tt[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_tt_5 "dsur.tt[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_tt_6 "dsur.tt[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_tt_7 "dsur.tt[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_0 "r.d.inst_0[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_1 "r.d.inst_0[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_2 "r.d.inst_0[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_3 "r.d.inst_0[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_4 "r.d.inst_0[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_5 "r.d.inst_0[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_6 "r.d.inst_0[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_7 "r.d.inst_0[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_8 "r.d.inst_0[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_9 "r.d.inst_0[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_10 "r.d.inst_0[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_11 "r.d.inst_0[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_12 "r.d.inst_0[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_13 "r.d.inst_0[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_14 "r.d.inst_0[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_15 "r.d.inst_0[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_16 "r.d.inst_0[16]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_17 "r.d.inst_0[17]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_18 "r.d.inst_0[18]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_19 "r.d.inst_0[19]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_20 "r.d.inst_0[20]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_21 "r.d.inst_0[21]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_22 "r.d.inst_0[22]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_23 "r.d.inst_0[23]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_24 "r.d.inst_0[24]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_25 "r.d.inst_0[25]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_26 "r.d.inst_0[26]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_27 "r.d.inst_0[27]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_28 "r.d.inst_0[28]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_29 "r.d.inst_0[29]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_30 "r.d.inst_0[30]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_inst_0_31 "r.d.inst_0[31]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_crdy_1 "dsur.crdy[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename dsur_crdy_2 "dsur.crdy[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_19 "r.m.ctrl.inst[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_20 "r.m.ctrl.inst[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_21 "r.m.ctrl.inst[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_22 "r.m.ctrl.inst[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_23 "r.m.ctrl.inst[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_24 "r.m.ctrl.inst[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_25 "r.m.ctrl.inst[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_26 "r.m.ctrl.inst[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_27 "r.m.ctrl.inst[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_28 "r.m.ctrl.inst[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_29 "r.m.ctrl.inst[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_30 "r.m.ctrl.inst[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_inst_31 "r.m.ctrl.inst[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_2 "r.e.ctrl.pc[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_3 "r.e.ctrl.pc[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_4 "r.e.ctrl.pc[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_5 "r.e.ctrl.pc[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_6 "r.e.ctrl.pc[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_7 "r.e.ctrl.pc[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_8 "r.e.ctrl.pc[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_9 "r.e.ctrl.pc[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_10 "r.e.ctrl.pc[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_11 "r.e.ctrl.pc[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_12 "r.e.ctrl.pc[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_13 "r.e.ctrl.pc[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_14 "r.e.ctrl.pc[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_15 "r.e.ctrl.pc[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_16 "r.e.ctrl.pc[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_17 "r.e.ctrl.pc[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_18 "r.e.ctrl.pc[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_19 "r.e.ctrl.pc[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_20 "r.e.ctrl.pc[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_21 "r.e.ctrl.pc[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_22 "r.e.ctrl.pc[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_23 "r.e.ctrl.pc[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_24 "r.e.ctrl.pc[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_25 "r.e.ctrl.pc[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_26 "r.e.ctrl.pc[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_27 "r.e.ctrl.pc[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_28 "r.e.ctrl.pc[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_29 "r.e.ctrl.pc[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_30 "r.e.ctrl.pc[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_pc_31 "r.e.ctrl.pc[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_0 "r.m.ctrl.rd[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_1 "r.m.ctrl.rd[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_2 "r.m.ctrl.rd[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_3 "r.m.ctrl.rd[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_4 "r.m.ctrl.rd[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_5 "r.m.ctrl.rd[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_rd_6 "r.m.ctrl.rd[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_cwp_0 "r.e.cwp[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_cwp_1 "r.e.cwp[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_cwp_2 "r.e.cwp[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_cnt_0 "r.e.ctrl.cnt[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_cnt_1 "r.e.ctrl.cnt[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_2 "r.x.ctrl.pc[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_3 "r.x.ctrl.pc[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_4 "r.x.ctrl.pc[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_5 "r.x.ctrl.pc[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_6 "r.x.ctrl.pc[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_7 "r.x.ctrl.pc[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_8 "r.x.ctrl.pc[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_9 "r.x.ctrl.pc[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_10 "r.x.ctrl.pc[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_11 "r.x.ctrl.pc[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_12 "r.x.ctrl.pc[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_13 "r.x.ctrl.pc[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_14 "r.x.ctrl.pc[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_15 "r.x.ctrl.pc[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_16 "r.x.ctrl.pc[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_17 "r.x.ctrl.pc[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_18 "r.x.ctrl.pc[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_19 "r.x.ctrl.pc[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_20 "r.x.ctrl.pc[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_21 "r.x.ctrl.pc[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_22 "r.x.ctrl.pc[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_23 "r.x.ctrl.pc[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_24 "r.x.ctrl.pc[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_25 "r.x.ctrl.pc[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_26 "r.x.ctrl.pc[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_27 "r.x.ctrl.pc[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_28 "r.x.ctrl.pc[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_29 "r.x.ctrl.pc[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_30 "r.x.ctrl.pc[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_pc_31 "r.x.ctrl.pc[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_2 "r.m.ctrl.pc[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_3 "r.m.ctrl.pc[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_4 "r.m.ctrl.pc[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_5 "r.m.ctrl.pc[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_6 "r.m.ctrl.pc[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_7 "r.m.ctrl.pc[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_8 "r.m.ctrl.pc[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_9 "r.m.ctrl.pc[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_10 "r.m.ctrl.pc[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_11 "r.m.ctrl.pc[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_12 "r.m.ctrl.pc[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_13 "r.m.ctrl.pc[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_14 "r.m.ctrl.pc[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_15 "r.m.ctrl.pc[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_16 "r.m.ctrl.pc[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_17 "r.m.ctrl.pc[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_18 "r.m.ctrl.pc[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_19 "r.m.ctrl.pc[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_20 "r.m.ctrl.pc[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_21 "r.m.ctrl.pc[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_22 "r.m.ctrl.pc[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_23 "r.m.ctrl.pc[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_24 "r.m.ctrl.pc[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_25 "r.m.ctrl.pc[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_26 "r.m.ctrl.pc[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_27 "r.m.ctrl.pc[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_28 "r.m.ctrl.pc[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_29 "r.m.ctrl.pc[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_30 "r.m.ctrl.pc[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_pc_31 "r.m.ctrl.pc[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_0 "r.x.ctrl.rd[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_1 "r.x.ctrl.rd[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_2 "r.x.ctrl.rd[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_3 "r.x.ctrl.rd[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_4 "r.x.ctrl.rd[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_5 "r.x.ctrl.rd[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_rd_6 "r.x.ctrl.rd[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_cnt_0 "r.m.ctrl.cnt[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_cnt_1 "r.m.ctrl.cnt[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_0 "r.a.imm[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_1 "r.a.imm[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_2 "r.a.imm[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_3 "r.a.imm[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_4 "r.a.imm[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_5 "r.a.imm[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_6 "r.a.imm[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_7 "r.a.imm[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_8 "r.a.imm[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_9 "r.a.imm[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_10 "r.a.imm[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_11 "r.a.imm[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_12 "r.a.imm[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_13 "r.a.imm[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_14 "r.a.imm[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_15 "r.a.imm[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_16 "r.a.imm[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_17 "r.a.imm[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_18 "r.a.imm[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_19 "r.a.imm[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_20 "r.a.imm[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_21 "r.a.imm[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_22 "r.a.imm[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_23 "r.a.imm[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_24 "r.a.imm[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_25 "r.a.imm[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_26 "r.a.imm[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_27 "r.a.imm[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_28 "r.a.imm[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_29 "r.a.imm[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_30 "r.a.imm[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_imm_31 "r.a.imm[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_5 "r.e.ctrl.inst[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_6 "r.e.ctrl.inst[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_7 "r.e.ctrl.inst[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_8 "r.e.ctrl.inst[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_9 "r.e.ctrl.inst[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_14 "r.e.ctrl.inst[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_17 "r.e.ctrl.inst[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_18 "r.e.ctrl.inst[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_19 "r.e.ctrl.inst[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_20 "r.e.ctrl.inst[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_21 "r.e.ctrl.inst[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_22 "r.e.ctrl.inst[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_23 "r.e.ctrl.inst[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_24 "r.e.ctrl.inst[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_25 "r.e.ctrl.inst[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_26 "r.e.ctrl.inst[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_27 "r.e.ctrl.inst[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_28 "r.e.ctrl.inst[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_29 "r.e.ctrl.inst[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_30 "r.e.ctrl.inst[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_inst_31 "r.e.ctrl.inst[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_5 "r.a.ctrl.inst[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_6 "r.a.ctrl.inst[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_7 "r.a.ctrl.inst[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_8 "r.a.ctrl.inst[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_9 "r.a.ctrl.inst[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_10 "r.a.ctrl.inst[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_11 "r.a.ctrl.inst[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_12 "r.a.ctrl.inst[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_13 "r.a.ctrl.inst[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_14 "r.a.ctrl.inst[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_17 "r.a.ctrl.inst[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_18 "r.a.ctrl.inst[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_19 "r.a.ctrl.inst[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_20 "r.a.ctrl.inst[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_21 "r.a.ctrl.inst[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_22 "r.a.ctrl.inst[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_23 "r.a.ctrl.inst[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_24 "r.a.ctrl.inst[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_25 "r.a.ctrl.inst[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_26 "r.a.ctrl.inst[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_27 "r.a.ctrl.inst[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_28 "r.a.ctrl.inst[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_29 "r.a.ctrl.inst[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_30 "r.a.ctrl.inst[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_inst_31 "r.a.ctrl.inst[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_19 "r.x.ctrl.inst[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_20 "r.x.ctrl.inst[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_21 "r.x.ctrl.inst[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_22 "r.x.ctrl.inst[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_23 "r.x.ctrl.inst[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_24 "r.x.ctrl.inst[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_25 "r.x.ctrl.inst[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_26 "r.x.ctrl.inst[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_27 "r.x.ctrl.inst[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_28 "r.x.ctrl.inst[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_29 "r.x.ctrl.inst[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_30 "r.x.ctrl.inst[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_inst_31 "r.x.ctrl.inst[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa1_0 "r.a.rfa1[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa1_1 "r.a.rfa1[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa1_2 "r.a.rfa1[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa1_3 "r.a.rfa1[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa1_4 "r.a.rfa1[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa1_5 "r.a.rfa1[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa1_6 "r.a.rfa1[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_data_0_0 "r.x.data_0[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_1 "r.x.data_0[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_2 "r.x.data_0[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_3 "r.x.data_0[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_4 "r.x.data_0[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_5 "r.x.data_0[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_6 "r.x.data_0[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_7 "r.x.data_0[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_8 "r.x.data_0[8]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_9 "r.x.data_0[9]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_10 "r.x.data_0[10]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_11 "r.x.data_0[11]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_12 "r.x.data_0[12]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_13 "r.x.data_0[13]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_14 "r.x.data_0[14]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_15 "r.x.data_0[15]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_16 "r.x.data_0[16]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_17 "r.x.data_0[17]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_18 "r.x.data_0[18]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_19 "r.x.data_0[19]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_20 "r.x.data_0[20]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_21 "r.x.data_0[21]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_22 "r.x.data_0[22]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_23 "r.x.data_0[23]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_24 "r.x.data_0[24]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_25 "r.x.data_0[25]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_26 "r.x.data_0[26]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_27 "r.x.data_0[27]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_28 "r.x.data_0[28]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_29 "r.x.data_0[29]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_30 "r.x.data_0[30]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_x_data_0_31 "r.x.data_0[31]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_m_dci_asi_0 "r.m.dci.asi[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_asi_1 "r.m.dci.asi[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_asi_2 "r.m.dci.asi[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_asi_3 "r.m.dci.asi[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_asi_4 "r.m.dci.asi[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel1_0 "r.a.rsel1[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel1_1 "r.a.rsel1[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel1_2 "r.a.rsel1[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_dci_size_0 "r.x.dci.size[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_dci_size_1 "r.x.dci.size[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_aluop_0 "r.e.aluop[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_aluop_1 "r.e.aluop[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_aluop_2 "r.e.aluop[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_size_0 "r.m.dci.size[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_dci_size_1 "r.m.dci.size[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_0 "r.x.y[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_1 "r.x.y[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_2 "r.x.y[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_3 "r.x.y[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_4 "r.x.y[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_5 "r.x.y[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_6 "r.x.y[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_7 "r.x.y[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_8 "r.x.y[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_9 "r.x.y[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_10 "r.x.y[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_11 "r.x.y[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_12 "r.x.y[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_13 "r.x.y[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_14 "r.x.y[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_15 "r.x.y[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_16 "r.x.y[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_17 "r.x.y[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_18 "r.x.y[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_19 "r.x.y[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_20 "r.x.y[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_21 "r.x.y[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_22 "r.x.y[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_23 "r.x.y[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_24 "r.x.y[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_25 "r.x.y[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_26 "r.x.y[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_27 "r.x.y[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_28 "r.x.y[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_29 "r.x.y[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_30 "r.x.y[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_y_31 "r.x.y[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_0 "r.m.y[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_1 "r.m.y[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_2 "r.m.y[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_3 "r.m.y[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_4 "r.m.y[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_5 "r.m.y[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_6 "r.m.y[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_7 "r.m.y[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_8 "r.m.y[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_9 "r.m.y[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_10 "r.m.y[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_11 "r.m.y[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_12 "r.m.y[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_13 "r.m.y[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_14 "r.m.y[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_15 "r.m.y[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_16 "r.m.y[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_17 "r.m.y[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_18 "r.m.y[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_19 "r.m.y[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_20 "r.m.y[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_21 "r.m.y[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_22 "r.m.y[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_23 "r.m.y[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_24 "r.m.y[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_25 "r.m.y[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_26 "r.m.y[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_27 "r.m.y[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_28 "r.m.y[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_29 "r.m.y[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_30 "r.m.y[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_y_31 "r.m.y[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_alusel_0 "r.e.alusel[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_alusel_1 "r.e.alusel[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_0 "r.w.result[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_1 "r.w.result[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_2 "r.w.result[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_3 "r.w.result[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_4 "r.w.result[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_5 "r.w.result[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_6 "r.w.result[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_7 "r.w.result[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_8 "r.w.result[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_9 "r.w.result[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_10 "r.w.result[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_11 "r.w.result[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_12 "r.w.result[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_13 "r.w.result[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_14 "r.w.result[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_15 "r.w.result[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_16 "r.w.result[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_17 "r.w.result[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_18 "r.w.result[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_19 "r.w.result[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_20 "r.w.result[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_21 "r.w.result[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_22 "r.w.result[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_23 "r.w.result[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_24 "r.w.result[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_25 "r.w.result[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_26 "r.w.result[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_27 "r.w.result[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_28 "r.w.result[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_29 "r.w.result[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_30 "r.w.result[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_result_31 "r.w.result[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tt_0 "r.w.s.tt[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tt_1 "r.w.s.tt[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tt_2 "r.w.s.tt[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tt_3 "r.w.s.tt[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tt_4 "r.w.s.tt[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tt_5 "r.w.s.tt[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tt_6 "r.w.s.tt[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tt_7 "r.w.s.tt[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_pil_0 "r.w.s.pil[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_pil_1 "r.w.s.pil[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_pil_2 "r.w.s.pil[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_pil_3 "r.w.s.pil[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_tt_0 "r.m.ctrl.tt[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_tt_1 "r.m.ctrl.tt[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_tt_2 "r.m.ctrl.tt[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_tt_3 "r.m.ctrl.tt[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_tt_4 "r.m.ctrl.tt[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_ctrl_tt_5 "r.m.ctrl.tt[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_2 "ir.addr[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_3 "ir.addr[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_4 "ir.addr[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_5 "ir.addr[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_6 "ir.addr[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_7 "ir.addr[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_8 "ir.addr[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_9 "ir.addr[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_10 "ir.addr[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_11 "ir.addr[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_12 "ir.addr[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_13 "ir.addr[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_14 "ir.addr[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_15 "ir.addr[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_16 "ir.addr[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_17 "ir.addr[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_18 "ir.addr[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_19 "ir.addr[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_20 "ir.addr[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_21 "ir.addr[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_22 "ir.addr[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_23 "ir.addr[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_24 "ir.addr[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_25 "ir.addr[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_26 "ir.addr[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_27 "ir.addr[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_28 "ir.addr[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_29 "ir.addr[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_30 "ir.addr[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename ir_addr_31 "ir.addr[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_0 "r.e.ctrl.tt[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_1 "r.e.ctrl.tt[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_2 "r.e.ctrl.tt[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_3 "r.e.ctrl.tt[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_4 "r.e.ctrl.tt[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_tt_5 "r.e.ctrl.tt[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel2_0 "r.a.rsel2[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel2_1 "r.a.rsel2[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rsel2_2 "r.a.rsel2[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_0 "r.x.result[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_1 "r.x.result[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_2 "r.x.result[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_3 "r.x.result[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_4 "r.x.result[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_5 "r.x.result[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_6 "r.x.result[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_7 "r.x.result[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_8 "r.x.result[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_9 "r.x.result[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_10 "r.x.result[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_11 "r.x.result[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_12 "r.x.result[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_13 "r.x.result[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_14 "r.x.result[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_15 "r.x.result[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_16 "r.x.result[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_17 "r.x.result[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_18 "r.x.result[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_19 "r.x.result[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_20 "r.x.result[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_21 "r.x.result[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_22 "r.x.result[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_23 "r.x.result[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_24 "r.x.result[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_25 "r.x.result[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_26 "r.x.result[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_27 "r.x.result[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_28 "r.x.result[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_29 "r.x.result[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_30 "r.x.result[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_result_31 "r.x.result[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_laddr_0 "r.x.laddr[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_laddr_1 "r.x.laddr[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_0 "r.e.ctrl.rd[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_1 "r.e.ctrl.rd[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_2 "r.e.ctrl.rd[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_3 "r.e.ctrl.rd[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_4 "r.e.ctrl.rd[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_5 "r.e.ctrl.rd[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_ctrl_rd_6 "r.e.ctrl.rd[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_0 "r.m.result[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_1 "r.m.result[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_2 "r.m.result[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_3 "r.m.result[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_4 "r.m.result[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_5 "r.m.result[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_6 "r.m.result[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_7 "r.m.result[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_8 "r.m.result[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_9 "r.m.result[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_10 "r.m.result[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_11 "r.m.result[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_12 "r.m.result[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_13 "r.m.result[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_14 "r.m.result[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_15 "r.m.result[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_16 "r.m.result[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_17 "r.m.result[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_18 "r.m.result[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_19 "r.m.result[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_20 "r.m.result[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_21 "r.m.result[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_22 "r.m.result[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_23 "r.m.result[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_24 "r.m.result[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_25 "r.m.result[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_26 "r.m.result[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_27 "r.m.result[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_28 "r.m.result[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_29 "r.m.result[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_30 "r.m.result[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_result_31 "r.m.result[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_0 "r.a.ctrl.rd[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_1 "r.a.ctrl.rd[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_2 "r.a.ctrl.rd[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_3 "r.a.ctrl.rd[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_4 "r.a.ctrl.rd[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_5 "r.a.ctrl.rd[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_rd_6 "r.a.ctrl.rd[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_icc_0 "r.x.icc[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_icc_1 "r.x.icc[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_icc_2 "r.x.icc[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_icc_3 "r.x.icc[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_icc_0 "r.m.icc[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_icc_1 "r.m.icc[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_icc_2 "r.m.icc[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_m_icc_3 "r.m.icc[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_0 "r.w.s.y[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_1 "r.w.s.y[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_2 "r.w.s.y[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_3 "r.w.s.y[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_4 "r.w.s.y[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_5 "r.w.s.y[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_6 "r.w.s.y[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_7 "r.w.s.y[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_8 "r.w.s.y[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_9 "r.w.s.y[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_10 "r.w.s.y[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_11 "r.w.s.y[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_12 "r.w.s.y[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_13 "r.w.s.y[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_14 "r.w.s.y[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_15 "r.w.s.y[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_16 "r.w.s.y[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_17 "r.w.s.y[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_18 "r.w.s.y[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_19 "r.w.s.y[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_20 "r.w.s.y[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_21 "r.w.s.y[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_22 "r.w.s.y[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_23 "r.w.s.y[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_24 "r.w.s.y[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_25 "r.w.s.y[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_26 "r.w.s.y[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_27 "r.w.s.y[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_28 "r.w.s.y[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_29 "r.w.s.y[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_30 "r.w.s.y[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_y_31 "r.w.s.y[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_0 "r.w.s.tba[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_1 "r.w.s.tba[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_2 "r.w.s.tba[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_3 "r.w.s.tba[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_4 "r.w.s.tba[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_5 "r.w.s.tba[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_6 "r.w.s.tba[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_7 "r.w.s.tba[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_8 "r.w.s.tba[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_9 "r.w.s.tba[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_10 "r.w.s.tba[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_11 "r.w.s.tba[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_12 "r.w.s.tba[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_13 "r.w.s.tba[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_14 "r.w.s.tba[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_15 "r.w.s.tba[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_16 "r.w.s.tba[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_17 "r.w.s.tba[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_18 "r.w.s.tba[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_tba_19 "r.w.s.tba[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_wim_0 "r.w.s.wim[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_wim_1 "r.w.s.wim[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_wim_2 "r.w.s.wim[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_wim_3 "r.w.s.wim[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_wim_4 "r.w.s.wim[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_wim_5 "r.w.s.wim[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_wim_6 "r.w.s.wim[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_npc_0 "r.x.npc[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_x_npc_1 "r.x.npc[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_e_shcnt_0 "r.e.shcnt[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_shcnt_1 "r.e.shcnt[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_shcnt_2 "r.e.shcnt[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_shcnt_3 "r.e.shcnt[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_shcnt_4 "r.e.shcnt[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_2 "r.d.pc[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_3 "r.d.pc[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_4 "r.d.pc[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_5 "r.d.pc[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_6 "r.d.pc[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_7 "r.d.pc[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_8 "r.d.pc[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_9 "r.d.pc[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_10 "r.d.pc[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_11 "r.d.pc[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_12 "r.d.pc[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_13 "r.d.pc[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_14 "r.d.pc[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_15 "r.d.pc[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_16 "r.d.pc[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_17 "r.d.pc[17]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_18 "r.d.pc[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_19 "r.d.pc[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_20 "r.d.pc[20]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_21 "r.d.pc[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_22 "r.d.pc[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_23 "r.d.pc[23]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_24 "r.d.pc[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_25 "r.d.pc[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_26 "r.d.pc[26]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_pc_27 "r.d.pc[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_28 "r.d.pc[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_29 "r.d.pc[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_30 "r.d.pc[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_pc_31 "r.d.pc[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_icc_0 "r.w.s.icc[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_icc_1 "r.w.s.icc[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_icc_2 "r.w.s.icc[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_icc_3 "r.w.s.icc[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_0 "r.e.op2[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_1 "r.e.op2[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_2 "r.e.op2[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_3 "r.e.op2[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_4 "r.e.op2[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_5 "r.e.op2[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_6 "r.e.op2[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_7 "r.e.op2[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_8 "r.e.op2[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_9 "r.e.op2[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_10 "r.e.op2[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_11 "r.e.op2[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_12 "r.e.op2[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_13 "r.e.op2[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_14 "r.e.op2[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_15 "r.e.op2[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_16 "r.e.op2[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_17 "r.e.op2[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_18 "r.e.op2[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_19 "r.e.op2[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_20 "r.e.op2[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_21 "r.e.op2[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_22 "r.e.op2[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_23 "r.e.op2[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_24 "r.e.op2[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_25 "r.e.op2[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_26 "r.e.op2[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_27 "r.e.op2[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_28 "r.e.op2[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_29 "r.e.op2[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_30 "r.e.op2[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op2_31 "r.e.op2[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_0 "r.e.op1[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_1 "r.e.op1[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_2 "r.e.op1[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_3 "r.e.op1[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_4 "r.e.op1[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_5 "r.e.op1[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_6 "r.e.op1[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_7 "r.e.op1[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_8 "r.e.op1[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_9 "r.e.op1[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_10 "r.e.op1[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_11 "r.e.op1[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_12 "r.e.op1[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_13 "r.e.op1[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_14 "r.e.op1[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_15 "r.e.op1[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_16 "r.e.op1[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_17 "r.e.op1[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_18 "r.e.op1[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_19 "r.e.op1[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_20 "r.e.op1[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_21 "r.e.op1[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_22 "r.e.op1[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_23 "r.e.op1[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_24 "r.e.op1[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_25 "r.e.op1[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_26 "r.e.op1[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_27 "r.e.op1[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_28 "r.e.op1[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_29 "r.e.op1[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_30 "r.e.op1[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_e_op1_31 "r.e.op1[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_2 "r.a.ctrl.pc[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_3 "r.a.ctrl.pc[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_4 "r.a.ctrl.pc[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_5 "r.a.ctrl.pc[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_6 "r.a.ctrl.pc[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_7 "r.a.ctrl.pc[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_8 "r.a.ctrl.pc[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_9 "r.a.ctrl.pc[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_10 "r.a.ctrl.pc[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_11 "r.a.ctrl.pc[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_12 "r.a.ctrl.pc[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_13 "r.a.ctrl.pc[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_14 "r.a.ctrl.pc[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_15 "r.a.ctrl.pc[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_16 "r.a.ctrl.pc[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_17 "r.a.ctrl.pc[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_18 "r.a.ctrl.pc[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_19 "r.a.ctrl.pc[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_20 "r.a.ctrl.pc[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_21 "r.a.ctrl.pc[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_22 "r.a.ctrl.pc[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_23 "r.a.ctrl.pc[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_24 "r.a.ctrl.pc[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_25 "r.a.ctrl.pc[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_26 "r.a.ctrl.pc[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_27 "r.a.ctrl.pc[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_28 "r.a.ctrl.pc[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_29 "r.a.ctrl.pc[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_30 "r.a.ctrl.pc[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_pc_31 "r.a.ctrl.pc[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_cnt_0 "r.d.cnt[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_d_cnt_1 "r.d.cnt[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_a_cwp_0 "r.a.cwp[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_cwp_1 "r.a.cwp[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_cwp_2 "r.a.cwp[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_cwp_0 "r.d.cwp[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_cwp_1 "r.d.cwp[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_d_cwp_2 "r.d.cwp[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_2 "r.f.pc[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_3 "r.f.pc[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_4 "r.f.pc[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_5 "r.f.pc[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_6 "r.f.pc[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_7 "r.f.pc[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_8 "r.f.pc[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_9 "r.f.pc[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_10 "r.f.pc[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_11 "r.f.pc[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_12 "r.f.pc[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_13 "r.f.pc[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_14 "r.f.pc[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_15 "r.f.pc[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_16 "r.f.pc[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_17 "r.f.pc[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_18 "r.f.pc[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_19 "r.f.pc[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_20 "r.f.pc[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_21 "r.f.pc[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_22 "r.f.pc[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_23 "r.f.pc[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_24 "r.f.pc[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_25 "r.f.pc[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_26 "r.f.pc[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_27 "r.f.pc[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_28 "r.f.pc[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_29 "r.f.pc[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_30 "r.f.pc[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_f_pc_31 "r.f.pc[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_cwp_0 "r.w.s.cwp[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_cwp_1 "r.w.s.cwp[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_w_s_cwp_2 "r.w.s.cwp[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_0 "r.x.ctrl.tt[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_1 "r.x.ctrl.tt[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_2 "r.x.ctrl.tt[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_3 "r.x.ctrl.tt[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_4 "r.x.ctrl.tt[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_x_ctrl_tt_5 "r.x.ctrl.tt[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_0 "r.a.ctrl.cnt[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_ctrl_cnt_1 "r.a.ctrl.cnt[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa2_0 "r.a.rfa2[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa2_1 "r.a.rfa2[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa2_2 "r.a.rfa2[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa2_3 "r.a.rfa2[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa2_4 "r.a.rfa2[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa2_5 "r.a.rfa2[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_a_rfa2_6 "r.a.rfa2[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_11 "comb.irq_trap.op_gt.un2_irl_0_I_11") (viewRef prim (cellRef AOI1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_10 "comb.irq_trap.op_gt.un2_irl_0_I_10") (viewRef prim (cellRef AOI1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_9 "comb.irq_trap.op_gt.un2_irl_0_I_9") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_8 "comb.irq_trap.op_gt.un2_irl_0_I_8") (viewRef prim (cellRef NOR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_7 "comb.irq_trap.op_gt.un2_irl_0_I_7") (viewRef prim (cellRef NOR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_6 "comb.irq_trap.op_gt.un2_irl_0_I_6") (viewRef prim (cellRef NOR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_5 "comb.irq_trap.op_gt.un2_irl_0_I_5") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_4 "comb.irq_trap.op_gt.un2_irl_0_I_4") (viewRef prim (cellRef AOI1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_3 "comb.irq_trap.op_gt.un2_irl_0_I_3") (viewRef prim (cellRef AND2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_2 "comb.irq_trap.op_gt.un2_irl_0_I_2") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename comb_irq_trap_op_gt_un2_irl_0_I_1 "comb.irq_trap.op_gt.un2_irl_0_I_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un28_ra_I_14 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un28_ra_I_13 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un28_ra_I_9 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un28_ra_I_1 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un9_ra_I_14 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un9_ra_I_13 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un9_ra_I_9 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un9_ra_I_1 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un46_ra_I_14 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un46_ra_I_13 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un46_ra_I_9 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un46_ra_I_1 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_d_cwp_1_I_15 "un1_r.d.cwp_1_I_15") (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_d_cwp_1_I_14 "un1_r.d.cwp_1_I_14") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_d_cwp_1_I_13 "un1_r.d.cwp_1_I_13") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_d_cwp_1_I_11 "un1_r.d.cwp_1_I_11") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_d_cwp_1_I_10 "un1_r.d.cwp_1_I_10") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_d_cwp_1_I_7 "un1_r.d.cwp_1_I_7") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_d_cwp_1_I_5 "un1_r.d.cwp_1_I_5") (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un68_ra_I_14 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un68_ra_I_13 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un68_ra_I_9 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un68_ra_I_1 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_210 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_209 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_206 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_203 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_202 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_199 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_196 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_195 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_192 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_189 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_186 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_185 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_182 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_179 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_176 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_173 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_172 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_169 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_166 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_165 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_162 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_159 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_156 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_155 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_152 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_149 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_146 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_143 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_142 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_139 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_136 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_135 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_132 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_129 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_128 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_125 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_122 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_121 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_118 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_115 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_114 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_111 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_108 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_105 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_104 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_101 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_98 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_97 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_94 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_91 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_90 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_87 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_84 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_83 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_80 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_77 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_76 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_73 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_69 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_66 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_65 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_62 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_59 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_56 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_55 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_52 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_48 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_45 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_44 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_41 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_38 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_37 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_34 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_31 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_30 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_27 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_24 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_23 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_20 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_16 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_13 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_12 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_9 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_1_I_5 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_210 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_209 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_206 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_203 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_202 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_199 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_196 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_195 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_192 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_189 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_186 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_185 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_182 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_179 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_176 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_173 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_172 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_169 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_166 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_165 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_162 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_159 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_156 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_155 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_152 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_149 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_146 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_143 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_142 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_139 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_136 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_135 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_132 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_129 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_128 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_125 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_122 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_121 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_118 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_115 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_114 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_111 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_108 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_105 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_104 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_101 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_98 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_97 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_94 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_91 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_90 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_87 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_84 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_83 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_80 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_77 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_76 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_73 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_69 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_66 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_65 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_62 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_59 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_56 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_55 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_52 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_48 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_45 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_44 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_41 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_38 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_37 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_34 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_31 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_30 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_27 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_24 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_23 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_20 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_16 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_13 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_12 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_9 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_0_I_5 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_210 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_209 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_206 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_203 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_202 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_199 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_196 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_195 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_192 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_189 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_186 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_185 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_182 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_179 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_176 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_173 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_172 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_169 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_166 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_165 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_162 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_159 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_156 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_155 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_152 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_149 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_146 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_143 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_142 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_139 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_136 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_135 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_132 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_129 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_128 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_125 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_122 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_121 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_118 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_115 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_114 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_111 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_108 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_105 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_104 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_101 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_98 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_97 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_94 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_91 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_90 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_87 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_84 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_83 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_80 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_77 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_76 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_73 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_69 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_66 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_65 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_62 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_59 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_56 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_55 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_52 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_48 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_45 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_44 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_41 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_38 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_37 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_34 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_31 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_30 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_27 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_24 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_23 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_20 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_16 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_13 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_12 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_9 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_fe_npc_2_I_5 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename un1_p0_6_0_129 "un1_p0_6_0[129]") (joined (portRef Q (instanceRef r_a_ctrl_inst_0_21)) (portRef C (instanceRef r_e_alucin_RNO_8)) (portRef A (instanceRef r_a_ctrl_inst_0_RNIUH0M_21)) (portRef B (instanceRef r_a_ctrl_cnt_RNIJ648_0)) (portRef C (instanceRef r_a_et_RNI718B)) (portRef B (instanceRef r_a_ctrl_inst_0_RNI0B5T_21)) (portRef B (instanceRef r_a_ctrl_inst_0_RNISL0F_0_21)) (portRef C (instanceRef r_a_ctrl_inst_0_RNISL0F_21)) (portRef B (instanceRef r_e_alusel_RNO_1_0)) (portRef C (instanceRef r_a_ctrl_inst_0_RNIQ50F_21)) (portRef B (instanceRef r_a_su_RNIHH74)) (portRef A (instanceRef r_a_ctrl_inst_0_RNISL0F_1_21)) (portRef B (instanceRef r_e_ctrl_tt_RNO_2_5)) (portRef B (instanceRef r_a_ctrl_inst_0_RNIJQ3D3_21)) )) (net (rename inst_0_21 "inst_0[21]") (joined (portRef Q (instanceRef r_d_inst_0_21)) (portRef D (instanceRef r_a_ctrl_inst_21)) (portRef B (instanceRef r_d_inst_0_RNIA8DP52_21)) (portRef B (instanceRef r_d_inst_0_RNI42J4_21)) (portRef A (instanceRef r_d_inst_0_RNI6AJ4_25)) (portRef A (instanceRef r_d_inst_0_RNIAAJ4_29)) (portRef A (instanceRef r_a_imm_RNO_31)) (portRef B (instanceRef r_d_inst_0_RNI5023_0_19)) (portRef B (instanceRef r_a_ctrl_wreg_RNO_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I21_G0N)) (portRef A (instanceRef r_d_inst_0_RNI3AJ4_21)) (portRef A (instanceRef r_d_inst_0_RNI5AJ4_24)) (portRef B (instanceRef r_d_inst_0_RNI1423_21)) (portRef A (instanceRef r_d_inst_0_RNIV323_21)) (portRef A (instanceRef r_d_inst_0_RNI5023_19)) (portRef A (instanceRef r_d_inst_0_RNI9AJ4_28)) (portRef A (instanceRef r_d_inst_0_RNI8AJ4_27)) (portRef A (instanceRef r_d_inst_0_RNI7AJ4_26)) (portRef D (instanceRef r_a_ctrl_inst_0_21)) )) (net un16_casaen_0_2_0 (joined (portRef Y (instanceRef r_m_casa_RNI8BU9_2)) (portRef B (instanceRef r_e_op1_RNI4VNF_27)) (portRef B (instanceRef r_e_op1_RNIC1UB_1)) (portRef A (instanceRef r_m_casa_RNIBCDJ)) (portRef B (instanceRef r_e_op1_RNIU2NF_30)) (portRef C (instanceRef r_e_aluop_RNI4QSA1_1)) (portRef A (instanceRef r_e_aluop_1_RNINLHF_0_1)) (portRef B (instanceRef r_e_op1_RNID1UB_2)) (portRef B (instanceRef r_m_casa_RNINSBP)) (portRef A (instanceRef r_e_aluop_1_RNINLHF_1)) (portRef B (instanceRef r_e_op1_RNIH1UB_6)) (portRef B (instanceRef r_e_op1_RNI3VNF_0_17)) (portRef B (instanceRef r_m_casa_RNINSBP_0)) (portRef C (instanceRef r_e_aluop_RNI4QSA1_0_1)) )) (net (rename cnt_1 "cnt[1]") (joined (portRef Q (instanceRef r_e_ctrl_cnt_1)) (portRef D (instanceRef r_m_ctrl_cnt_1)) (portRef B (instanceRef r_e_ctrl_cnt_RNIBT47_0)) (portRef C (instanceRef r_e_ctrl_cnt_RNIDM631_0)) (portRef A (instanceRef r_m_casa_RNI8BU9_1)) (portRef C (instanceRef r_m_dci_write_RNO_1)) (portRef B (instanceRef r_m_dci_read_RNO_1)) (portRef A (instanceRef r_e_ctrl_cnt_RNI3ISC_0)) (portRef A (instanceRef r_m_casa_RNI8BU9_0)) (portRef A (instanceRef r_m_casa_RNI8BU9)) (portRef A (instanceRef r_m_casa_RNI8BU9_3)) (portRef A (instanceRef r_m_casa_RNI8BU9_2)) )) (net casa (joined (portRef Q (instanceRef r_m_casa)) (portRef B (instanceRef r_a_ctrl_cnt_RNI0BU9_0)) (portRef B (instanceRef r_m_casa_RNI8BU9_1)) (portRef B (instanceRef r_a_ctrl_cnt_RNI0BU9_0_0)) (portRef B (instanceRef r_m_casa_RNI8BU9_0)) (portRef B (instanceRef r_m_casa_RNI8BU9)) (portRef B (instanceRef r_m_casa_RNI8BU9_3)) (portRef B (instanceRef r_m_casa_RNI8BU9_2)) )) (net (rename cnt_0 "cnt[0]") (joined (portRef Q (instanceRef r_e_ctrl_cnt_0)) (portRef D (instanceRef r_m_ctrl_cnt_0)) (portRef A (instanceRef r_e_ctrl_cnt_RNIBT47_0)) (portRef A (instanceRef r_e_ctrl_cnt_RNIDM631_0)) (portRef C (instanceRef r_m_casa_RNI8BU9_1)) (portRef B (instanceRef r_m_dci_write_RNO_1)) (portRef A (instanceRef r_m_dci_read_RNO_1)) (portRef B (instanceRef r_e_ctrl_cnt_RNI3ISC_0)) (portRef C (instanceRef r_m_casa_RNI8BU9_0)) (portRef C (instanceRef r_m_casa_RNI8BU9)) (portRef C (instanceRef r_m_casa_RNI8BU9_3)) (portRef C (instanceRef r_m_casa_RNI8BU9_2)) )) (net (rename un1_p0_6_0_51 "un1_p0_6_0[51]") (joined (portRef Q (instanceRef r_d_inst_0_0_0_12)) (portRef B (instanceRef r_a_imm_RNO_21)) (portRef B (instanceRef r_a_imm_RNO_24)) (portRef B (instanceRef r_a_imm_RNO_25)) (portRef B (instanceRef r_a_imm_RNO_26)) (portRef B (instanceRef r_a_imm_RNO_27)) (portRef B (instanceRef r_a_imm_RNO_28)) (portRef B (instanceRef r_a_imm_RNO_30)) (portRef B (instanceRef r_a_imm_RNO_31)) (portRef B (instanceRef r_a_imm_RNO_14)) (portRef B (instanceRef r_a_imm_RNO_23)) (portRef B (instanceRef r_a_imm_RNO_29)) (portRef B (instanceRef r_a_imm_RNO_18)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I272_Y_0)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_a_rfa2_6)) (portRef CLK (instanceRef r_a_rfa2_5)) (portRef CLK (instanceRef r_a_rfa2_4)) (portRef CLK (instanceRef r_a_rfa2_3)) (portRef CLK (instanceRef r_a_rfa2_2)) (portRef CLK (instanceRef r_a_rfa2_1)) (portRef CLK (instanceRef r_a_rfa2_0)) (portRef CLK (instanceRef r_a_ctrl_cnt_1)) (portRef CLK (instanceRef r_a_ctrl_cnt_0)) (portRef CLK (instanceRef r_x_ctrl_tt_5)) (portRef CLK (instanceRef r_x_ctrl_tt_4)) (portRef CLK (instanceRef r_x_ctrl_tt_3)) (portRef CLK (instanceRef r_x_ctrl_tt_2)) (portRef CLK (instanceRef r_x_ctrl_tt_1)) (portRef CLK (instanceRef r_x_ctrl_tt_0)) (portRef CLK (instanceRef r_w_s_cwp_2)) (portRef CLK (instanceRef r_w_s_cwp_1)) (portRef CLK (instanceRef r_w_s_cwp_0)) (portRef CLK (instanceRef r_f_pc_31)) (portRef CLK (instanceRef r_f_pc_30)) (portRef CLK (instanceRef r_f_pc_29)) (portRef CLK (instanceRef r_f_pc_28)) (portRef CLK (instanceRef r_f_pc_27)) (portRef CLK (instanceRef r_f_pc_26)) (portRef CLK (instanceRef r_f_pc_25)) (portRef CLK (instanceRef r_f_pc_24)) (portRef CLK (instanceRef r_f_pc_23)) (portRef CLK (instanceRef r_f_pc_22)) (portRef CLK (instanceRef r_f_pc_21)) (portRef CLK (instanceRef r_f_pc_20)) (portRef CLK (instanceRef r_f_pc_19)) (portRef CLK (instanceRef r_f_pc_18)) (portRef CLK (instanceRef r_f_pc_17)) (portRef CLK (instanceRef r_f_pc_16)) (portRef CLK (instanceRef r_f_pc_15)) (portRef CLK (instanceRef r_f_pc_14)) (portRef CLK (instanceRef r_f_pc_13)) (portRef CLK (instanceRef r_f_pc_12)) (portRef CLK (instanceRef r_f_pc_11)) (portRef CLK (instanceRef r_f_pc_10)) (portRef CLK (instanceRef r_f_pc_9)) (portRef CLK (instanceRef r_f_pc_8)) (portRef CLK (instanceRef r_f_pc_7)) (portRef CLK (instanceRef r_f_pc_6)) (portRef CLK (instanceRef r_f_pc_5)) (portRef CLK (instanceRef r_f_pc_4)) (portRef CLK (instanceRef r_f_pc_3)) (portRef CLK (instanceRef r_f_pc_2)) (portRef CLK (instanceRef r_d_cwp_2)) (portRef CLK (instanceRef r_d_cwp_1)) (portRef CLK (instanceRef r_d_cwp_0)) (portRef CLK (instanceRef r_a_cwp_2)) (portRef CLK (instanceRef r_a_cwp_1)) (portRef CLK (instanceRef r_a_cwp_0)) (portRef CLK (instanceRef r_d_cnt_1)) (portRef CLK (instanceRef r_d_cnt_0)) (portRef CLK (instanceRef r_a_ctrl_pc_31)) (portRef CLK (instanceRef r_a_ctrl_pc_30)) (portRef CLK (instanceRef r_a_ctrl_pc_29)) (portRef CLK (instanceRef r_a_ctrl_pc_28)) (portRef CLK (instanceRef r_a_ctrl_pc_27)) (portRef CLK (instanceRef r_a_ctrl_pc_26)) (portRef CLK (instanceRef r_a_ctrl_pc_25)) (portRef CLK (instanceRef r_a_ctrl_pc_24)) (portRef CLK (instanceRef r_a_ctrl_pc_23)) (portRef CLK (instanceRef r_a_ctrl_pc_22)) (portRef CLK (instanceRef r_a_ctrl_pc_21)) (portRef CLK (instanceRef r_a_ctrl_pc_20)) (portRef CLK (instanceRef r_a_ctrl_pc_19)) (portRef CLK (instanceRef r_a_ctrl_pc_18)) (portRef CLK (instanceRef r_a_ctrl_pc_17)) (portRef CLK (instanceRef r_a_ctrl_pc_16)) (portRef CLK (instanceRef r_a_ctrl_pc_15)) (portRef CLK (instanceRef r_a_ctrl_pc_14)) (portRef CLK (instanceRef r_a_ctrl_pc_13)) (portRef CLK (instanceRef r_a_ctrl_pc_12)) (portRef CLK (instanceRef r_a_ctrl_pc_11)) (portRef CLK (instanceRef r_a_ctrl_pc_10)) (portRef CLK (instanceRef r_a_ctrl_pc_9)) (portRef CLK (instanceRef r_a_ctrl_pc_8)) (portRef CLK (instanceRef r_a_ctrl_pc_7)) (portRef CLK (instanceRef r_a_ctrl_pc_6)) (portRef CLK (instanceRef r_a_ctrl_pc_5)) (portRef CLK (instanceRef r_a_ctrl_pc_4)) (portRef CLK (instanceRef r_a_ctrl_pc_3)) (portRef CLK (instanceRef r_a_ctrl_pc_2)) (portRef CLK (instanceRef r_e_op1_31)) (portRef CLK (instanceRef r_e_op1_30)) (portRef CLK (instanceRef r_e_op1_29)) (portRef CLK (instanceRef r_e_op1_28)) (portRef CLK (instanceRef r_e_op1_27)) (portRef CLK (instanceRef r_e_op1_26)) (portRef CLK (instanceRef r_e_op1_25)) (portRef CLK (instanceRef r_e_op1_24)) (portRef CLK (instanceRef r_e_op1_23)) (portRef CLK (instanceRef r_e_op1_22)) (portRef CLK (instanceRef r_e_op1_21)) (portRef CLK (instanceRef r_e_op1_20)) (portRef CLK (instanceRef r_e_op1_19)) (portRef CLK (instanceRef r_e_op1_18)) (portRef CLK (instanceRef r_e_op1_17)) (portRef CLK (instanceRef r_e_op1_16)) (portRef CLK (instanceRef r_e_op1_15)) (portRef CLK (instanceRef r_e_op1_14)) (portRef CLK (instanceRef r_e_op1_13)) (portRef CLK (instanceRef r_e_op1_12)) (portRef CLK (instanceRef r_e_op1_11)) (portRef CLK (instanceRef r_e_op1_10)) (portRef CLK (instanceRef r_e_op1_9)) (portRef CLK (instanceRef r_e_op1_8)) (portRef CLK (instanceRef r_e_op1_7)) (portRef CLK (instanceRef r_e_op1_6)) (portRef CLK (instanceRef r_e_op1_5)) (portRef CLK (instanceRef r_e_op1_4)) (portRef CLK (instanceRef r_e_op1_3)) (portRef CLK (instanceRef r_e_op1_2)) (portRef CLK (instanceRef r_e_op1_1)) (portRef CLK (instanceRef r_e_op1_0)) (portRef CLK (instanceRef r_e_op2_31)) (portRef CLK (instanceRef r_e_op2_30)) (portRef CLK (instanceRef r_e_op2_29)) (portRef CLK (instanceRef r_e_op2_28)) (portRef CLK (instanceRef r_e_op2_27)) (portRef CLK (instanceRef r_e_op2_26)) (portRef CLK (instanceRef r_e_op2_25)) (portRef CLK (instanceRef r_e_op2_24)) (portRef CLK (instanceRef r_e_op2_23)) (portRef CLK (instanceRef r_e_op2_22)) (portRef CLK (instanceRef r_e_op2_21)) (portRef CLK (instanceRef r_e_op2_20)) (portRef CLK (instanceRef r_e_op2_19)) (portRef CLK (instanceRef r_e_op2_18)) (portRef CLK (instanceRef r_e_op2_17)) (portRef CLK (instanceRef r_e_op2_16)) (portRef CLK (instanceRef r_e_op2_15)) (portRef CLK (instanceRef r_e_op2_14)) (portRef CLK (instanceRef r_e_op2_13)) (portRef CLK (instanceRef r_e_op2_12)) (portRef CLK (instanceRef r_e_op2_11)) (portRef CLK (instanceRef r_e_op2_10)) (portRef CLK (instanceRef r_e_op2_9)) (portRef CLK (instanceRef r_e_op2_8)) (portRef CLK (instanceRef r_e_op2_7)) (portRef CLK (instanceRef r_e_op2_6)) (portRef CLK (instanceRef r_e_op2_5)) (portRef CLK (instanceRef r_e_op2_4)) (portRef CLK (instanceRef r_e_op2_3)) (portRef CLK (instanceRef r_e_op2_2)) (portRef CLK (instanceRef r_e_op2_1)) (portRef CLK (instanceRef r_e_op2_0)) (portRef CLK (instanceRef r_w_s_icc_3)) (portRef CLK (instanceRef r_w_s_icc_2)) (portRef CLK (instanceRef r_w_s_icc_1)) (portRef CLK (instanceRef r_w_s_icc_0)) (portRef CLK (instanceRef r_d_pc_31)) (portRef CLK (instanceRef r_d_pc_30)) (portRef CLK (instanceRef r_d_pc_29)) (portRef CLK (instanceRef r_d_pc_28)) (portRef CLK (instanceRef r_d_pc_27)) (portRef CLK (instanceRef r_d_pc_26)) (portRef CLK (instanceRef r_d_pc_25)) (portRef CLK (instanceRef r_d_pc_24)) (portRef CLK (instanceRef r_d_pc_23)) (portRef CLK (instanceRef r_d_pc_22)) (portRef CLK (instanceRef r_d_pc_21)) (portRef CLK (instanceRef r_d_pc_20)) (portRef CLK (instanceRef r_d_pc_19)) (portRef CLK (instanceRef r_d_pc_18)) (portRef CLK (instanceRef r_d_pc_17)) (portRef CLK (instanceRef r_d_pc_16)) (portRef CLK (instanceRef r_d_pc_15)) (portRef CLK (instanceRef r_d_pc_14)) (portRef CLK (instanceRef r_d_pc_13)) (portRef CLK (instanceRef r_d_pc_12)) (portRef CLK (instanceRef r_d_pc_11)) (portRef CLK (instanceRef r_d_pc_10)) (portRef CLK (instanceRef r_d_pc_9)) (portRef CLK (instanceRef r_d_pc_8)) (portRef CLK (instanceRef r_d_pc_7)) (portRef CLK (instanceRef r_d_pc_6)) (portRef CLK (instanceRef r_d_pc_5)) (portRef CLK (instanceRef r_d_pc_4)) (portRef CLK (instanceRef r_d_pc_3)) (portRef CLK (instanceRef r_d_pc_2)) (portRef CLK (instanceRef r_e_shcnt_4)) (portRef CLK (instanceRef r_e_shcnt_3)) (portRef CLK (instanceRef r_e_shcnt_2)) (portRef CLK (instanceRef r_e_shcnt_1)) (portRef CLK (instanceRef r_e_shcnt_0)) (portRef CLK (instanceRef r_x_npc_1)) (portRef CLK (instanceRef r_x_npc_0)) (portRef CLK (instanceRef r_w_s_wim_6)) (portRef CLK (instanceRef r_w_s_wim_5)) (portRef CLK (instanceRef r_w_s_wim_4)) (portRef CLK (instanceRef r_w_s_wim_3)) (portRef CLK (instanceRef r_w_s_wim_2)) (portRef CLK (instanceRef r_w_s_wim_1)) (portRef CLK (instanceRef r_w_s_wim_0)) (portRef CLK (instanceRef r_w_s_tba_19)) (portRef CLK (instanceRef r_w_s_tba_18)) (portRef CLK (instanceRef r_w_s_tba_17)) (portRef CLK (instanceRef r_w_s_tba_16)) (portRef CLK (instanceRef r_w_s_tba_15)) (portRef CLK (instanceRef r_w_s_tba_14)) (portRef CLK (instanceRef r_w_s_tba_13)) (portRef CLK (instanceRef r_w_s_tba_12)) (portRef CLK (instanceRef r_w_s_tba_11)) (portRef CLK (instanceRef r_w_s_tba_10)) (portRef CLK (instanceRef r_w_s_tba_9)) (portRef CLK (instanceRef r_w_s_tba_8)) (portRef CLK (instanceRef r_w_s_tba_7)) (portRef CLK (instanceRef r_w_s_tba_6)) (portRef CLK (instanceRef r_w_s_tba_5)) (portRef CLK (instanceRef r_w_s_tba_4)) (portRef CLK (instanceRef r_w_s_tba_3)) (portRef CLK (instanceRef r_w_s_tba_2)) (portRef CLK (instanceRef r_w_s_tba_1)) (portRef CLK (instanceRef r_w_s_tba_0)) (portRef CLK (instanceRef r_w_s_y_31)) (portRef CLK (instanceRef r_w_s_y_30)) (portRef CLK (instanceRef r_w_s_y_29)) (portRef CLK (instanceRef r_w_s_y_28)) (portRef CLK (instanceRef r_w_s_y_27)) (portRef CLK (instanceRef r_w_s_y_26)) (portRef CLK (instanceRef r_w_s_y_25)) (portRef CLK (instanceRef r_w_s_y_24)) (portRef CLK (instanceRef r_w_s_y_23)) (portRef CLK (instanceRef r_w_s_y_22)) (portRef CLK (instanceRef r_w_s_y_21)) (portRef CLK (instanceRef r_w_s_y_20)) (portRef CLK (instanceRef r_w_s_y_19)) (portRef CLK (instanceRef r_w_s_y_18)) (portRef CLK (instanceRef r_w_s_y_17)) (portRef CLK (instanceRef r_w_s_y_16)) (portRef CLK (instanceRef r_w_s_y_15)) (portRef CLK (instanceRef r_w_s_y_14)) (portRef CLK (instanceRef r_w_s_y_13)) (portRef CLK (instanceRef r_w_s_y_12)) (portRef CLK (instanceRef r_w_s_y_11)) (portRef CLK (instanceRef r_w_s_y_10)) (portRef CLK (instanceRef r_w_s_y_9)) (portRef CLK (instanceRef r_w_s_y_8)) (portRef CLK (instanceRef r_w_s_y_7)) (portRef CLK (instanceRef r_w_s_y_6)) (portRef CLK (instanceRef r_w_s_y_5)) (portRef CLK (instanceRef r_w_s_y_4)) (portRef CLK (instanceRef r_w_s_y_3)) (portRef CLK (instanceRef r_w_s_y_2)) (portRef CLK (instanceRef r_w_s_y_1)) (portRef CLK (instanceRef r_w_s_y_0)) (portRef CLK (instanceRef r_m_icc_3)) (portRef CLK (instanceRef r_m_icc_2)) (portRef CLK (instanceRef r_m_icc_1)) (portRef CLK (instanceRef r_m_icc_0)) (portRef CLK (instanceRef r_x_icc_3)) (portRef CLK (instanceRef r_x_icc_2)) (portRef CLK (instanceRef r_x_icc_1)) (portRef CLK (instanceRef r_x_icc_0)) (portRef CLK (instanceRef r_a_ctrl_rd_6)) (portRef CLK (instanceRef r_a_ctrl_rd_5)) (portRef CLK (instanceRef r_a_ctrl_rd_4)) (portRef CLK (instanceRef r_a_ctrl_rd_3)) (portRef CLK (instanceRef r_a_ctrl_rd_2)) (portRef CLK (instanceRef r_a_ctrl_rd_1)) (portRef CLK (instanceRef r_a_ctrl_rd_0)) (portRef CLK (instanceRef r_m_result_31)) (portRef CLK (instanceRef r_m_result_30)) (portRef CLK (instanceRef r_m_result_29)) (portRef CLK (instanceRef r_m_result_28)) (portRef CLK (instanceRef r_m_result_27)) (portRef CLK (instanceRef r_m_result_26)) (portRef CLK (instanceRef r_m_result_25)) (portRef CLK (instanceRef r_m_result_24)) (portRef CLK (instanceRef r_m_result_23)) (portRef CLK (instanceRef r_m_result_22)) (portRef CLK (instanceRef r_m_result_21)) (portRef CLK (instanceRef r_m_result_20)) (portRef CLK (instanceRef r_m_result_19)) (portRef CLK (instanceRef r_m_result_18)) (portRef CLK (instanceRef r_m_result_17)) (portRef CLK (instanceRef r_m_result_16)) (portRef CLK (instanceRef r_m_result_15)) (portRef CLK (instanceRef r_m_result_14)) (portRef CLK (instanceRef r_m_result_13)) (portRef CLK (instanceRef r_m_result_12)) (portRef CLK (instanceRef r_m_result_11)) (portRef CLK (instanceRef r_m_result_10)) (portRef CLK (instanceRef r_m_result_9)) (portRef CLK (instanceRef r_m_result_8)) (portRef CLK (instanceRef r_m_result_7)) (portRef CLK (instanceRef r_m_result_6)) (portRef CLK (instanceRef r_m_result_5)) (portRef CLK (instanceRef r_m_result_4)) (portRef CLK (instanceRef r_m_result_3)) (portRef CLK (instanceRef r_m_result_2)) (portRef CLK (instanceRef r_m_result_1)) (portRef CLK (instanceRef r_m_result_0)) (portRef CLK (instanceRef r_e_ctrl_rd_6)) (portRef CLK (instanceRef r_e_ctrl_rd_5)) (portRef CLK (instanceRef r_e_ctrl_rd_4)) (portRef CLK (instanceRef r_e_ctrl_rd_3)) (portRef CLK (instanceRef r_e_ctrl_rd_2)) (portRef CLK (instanceRef r_e_ctrl_rd_1)) (portRef CLK (instanceRef r_e_ctrl_rd_0)) (portRef CLK (instanceRef r_x_laddr_1)) (portRef CLK (instanceRef r_x_laddr_0)) (portRef CLK (instanceRef r_x_result_31)) (portRef CLK (instanceRef r_x_result_30)) (portRef CLK (instanceRef r_x_result_29)) (portRef CLK (instanceRef r_x_result_28)) (portRef CLK (instanceRef r_x_result_27)) (portRef CLK (instanceRef r_x_result_26)) (portRef CLK (instanceRef r_x_result_25)) (portRef CLK (instanceRef r_x_result_24)) (portRef CLK (instanceRef r_x_result_23)) (portRef CLK (instanceRef r_x_result_22)) (portRef CLK (instanceRef r_x_result_21)) (portRef CLK (instanceRef r_x_result_20)) (portRef CLK (instanceRef r_x_result_19)) (portRef CLK (instanceRef r_x_result_18)) (portRef CLK (instanceRef r_x_result_17)) (portRef CLK (instanceRef r_x_result_16)) (portRef CLK (instanceRef r_x_result_15)) (portRef CLK (instanceRef r_x_result_14)) (portRef CLK (instanceRef r_x_result_13)) (portRef CLK (instanceRef r_x_result_12)) (portRef CLK (instanceRef r_x_result_11)) (portRef CLK (instanceRef r_x_result_10)) (portRef CLK (instanceRef r_x_result_9)) (portRef CLK (instanceRef r_x_result_8)) (portRef CLK (instanceRef r_x_result_7)) (portRef CLK (instanceRef r_x_result_6)) (portRef CLK (instanceRef r_x_result_5)) (portRef CLK (instanceRef r_x_result_4)) (portRef CLK (instanceRef r_x_result_3)) (portRef CLK (instanceRef r_x_result_2)) (portRef CLK (instanceRef r_x_result_1)) (portRef CLK (instanceRef r_x_result_0)) (portRef CLK (instanceRef r_a_rsel2_2)) (portRef CLK (instanceRef r_a_rsel2_1)) (portRef CLK (instanceRef r_a_rsel2_0)) (portRef CLK (instanceRef r_e_ctrl_tt_5)) (portRef CLK (instanceRef r_e_ctrl_tt_4)) (portRef CLK (instanceRef r_e_ctrl_tt_3)) (portRef CLK (instanceRef r_e_ctrl_tt_2)) (portRef CLK (instanceRef r_e_ctrl_tt_1)) (portRef CLK (instanceRef r_e_ctrl_tt_0)) (portRef CLK (instanceRef ir_addr_31)) (portRef CLK (instanceRef ir_addr_30)) (portRef CLK (instanceRef ir_addr_29)) (portRef CLK (instanceRef ir_addr_28)) (portRef CLK (instanceRef ir_addr_27)) (portRef CLK (instanceRef ir_addr_26)) (portRef CLK (instanceRef ir_addr_25)) (portRef CLK (instanceRef ir_addr_24)) (portRef CLK (instanceRef ir_addr_23)) (portRef CLK (instanceRef ir_addr_22)) (portRef CLK (instanceRef ir_addr_21)) (portRef CLK (instanceRef ir_addr_20)) (portRef CLK (instanceRef ir_addr_19)) (portRef CLK (instanceRef ir_addr_18)) (portRef CLK (instanceRef ir_addr_17)) (portRef CLK (instanceRef ir_addr_16)) (portRef CLK (instanceRef ir_addr_15)) (portRef CLK (instanceRef ir_addr_14)) (portRef CLK (instanceRef ir_addr_13)) (portRef CLK (instanceRef ir_addr_12)) (portRef CLK (instanceRef ir_addr_11)) (portRef CLK (instanceRef ir_addr_10)) (portRef CLK (instanceRef ir_addr_9)) (portRef CLK (instanceRef ir_addr_8)) (portRef CLK (instanceRef ir_addr_7)) (portRef CLK (instanceRef ir_addr_6)) (portRef CLK (instanceRef ir_addr_5)) (portRef CLK (instanceRef ir_addr_4)) (portRef CLK (instanceRef ir_addr_3)) (portRef CLK (instanceRef ir_addr_2)) (portRef CLK (instanceRef r_m_ctrl_tt_5)) (portRef CLK (instanceRef r_m_ctrl_tt_4)) (portRef CLK (instanceRef r_m_ctrl_tt_3)) (portRef CLK (instanceRef r_m_ctrl_tt_2)) (portRef CLK (instanceRef r_m_ctrl_tt_1)) (portRef CLK (instanceRef r_m_ctrl_tt_0)) (portRef CLK (instanceRef r_w_s_pil_3)) (portRef CLK (instanceRef r_w_s_pil_2)) (portRef CLK (instanceRef r_w_s_pil_1)) (portRef CLK (instanceRef r_w_s_pil_0)) (portRef CLK (instanceRef r_w_s_tt_7)) (portRef CLK (instanceRef r_w_s_tt_6)) (portRef CLK (instanceRef r_w_s_tt_5)) (portRef CLK (instanceRef r_w_s_tt_4)) (portRef CLK (instanceRef r_w_s_tt_3)) (portRef CLK (instanceRef r_w_s_tt_2)) (portRef CLK (instanceRef r_w_s_tt_1)) (portRef CLK (instanceRef r_w_s_tt_0)) (portRef CLK (instanceRef r_w_result_31)) (portRef CLK (instanceRef r_w_result_30)) (portRef CLK (instanceRef r_w_result_29)) (portRef CLK (instanceRef r_w_result_28)) (portRef CLK (instanceRef r_w_result_27)) (portRef CLK (instanceRef r_w_result_26)) (portRef CLK (instanceRef r_w_result_25)) (portRef CLK (instanceRef r_w_result_24)) (portRef CLK (instanceRef r_w_result_23)) (portRef CLK (instanceRef r_w_result_22)) (portRef CLK (instanceRef r_w_result_21)) (portRef CLK (instanceRef r_w_result_20)) (portRef CLK (instanceRef r_w_result_19)) (portRef CLK (instanceRef r_w_result_18)) (portRef CLK (instanceRef r_w_result_17)) (portRef CLK (instanceRef r_w_result_16)) (portRef CLK (instanceRef r_w_result_15)) (portRef CLK (instanceRef r_w_result_14)) (portRef CLK (instanceRef r_w_result_13)) (portRef CLK (instanceRef r_w_result_12)) (portRef CLK (instanceRef r_w_result_11)) (portRef CLK (instanceRef r_w_result_10)) (portRef CLK (instanceRef r_w_result_9)) (portRef CLK (instanceRef r_w_result_8)) (portRef CLK (instanceRef r_w_result_7)) (portRef CLK (instanceRef r_w_result_6)) (portRef CLK (instanceRef r_w_result_5)) (portRef CLK (instanceRef r_w_result_4)) (portRef CLK (instanceRef r_w_result_3)) (portRef CLK (instanceRef r_w_result_2)) (portRef CLK (instanceRef r_w_result_1)) (portRef CLK (instanceRef r_w_result_0)) (portRef CLK (instanceRef r_e_alusel_1)) (portRef CLK (instanceRef r_e_alusel_0)) (portRef CLK (instanceRef r_m_y_31)) (portRef CLK (instanceRef r_m_y_30)) (portRef CLK (instanceRef r_m_y_29)) (portRef CLK (instanceRef r_m_y_28)) (portRef CLK (instanceRef r_m_y_27)) (portRef CLK (instanceRef r_m_y_26)) (portRef CLK (instanceRef r_m_y_25)) (portRef CLK (instanceRef r_m_y_24)) (portRef CLK (instanceRef r_m_y_23)) (portRef CLK (instanceRef r_m_y_22)) (portRef CLK (instanceRef r_m_y_21)) (portRef CLK (instanceRef r_m_y_20)) (portRef CLK (instanceRef r_m_y_19)) (portRef CLK (instanceRef r_m_y_18)) (portRef CLK (instanceRef r_m_y_17)) (portRef CLK (instanceRef r_m_y_16)) (portRef CLK (instanceRef r_m_y_15)) (portRef CLK (instanceRef r_m_y_14)) (portRef CLK (instanceRef r_m_y_13)) (portRef CLK (instanceRef r_m_y_12)) (portRef CLK (instanceRef r_m_y_11)) (portRef CLK (instanceRef r_m_y_10)) (portRef CLK (instanceRef r_m_y_9)) (portRef CLK (instanceRef r_m_y_8)) (portRef CLK (instanceRef r_m_y_7)) (portRef CLK (instanceRef r_m_y_6)) (portRef CLK (instanceRef r_m_y_5)) (portRef CLK (instanceRef r_m_y_4)) (portRef CLK (instanceRef r_m_y_3)) (portRef CLK (instanceRef r_m_y_2)) (portRef CLK (instanceRef r_m_y_1)) (portRef CLK (instanceRef r_m_y_0)) (portRef CLK (instanceRef r_x_y_31)) (portRef CLK (instanceRef r_x_y_30)) (portRef CLK (instanceRef r_x_y_29)) (portRef CLK (instanceRef r_x_y_28)) (portRef CLK (instanceRef r_x_y_27)) (portRef CLK (instanceRef r_x_y_26)) (portRef CLK (instanceRef r_x_y_25)) (portRef CLK (instanceRef r_x_y_24)) (portRef CLK (instanceRef r_x_y_23)) (portRef CLK (instanceRef r_x_y_22)) (portRef CLK (instanceRef r_x_y_21)) (portRef CLK (instanceRef r_x_y_20)) (portRef CLK (instanceRef r_x_y_19)) (portRef CLK (instanceRef r_x_y_18)) (portRef CLK (instanceRef r_x_y_17)) (portRef CLK (instanceRef r_x_y_16)) (portRef CLK (instanceRef r_x_y_15)) (portRef CLK (instanceRef r_x_y_14)) (portRef CLK (instanceRef r_x_y_13)) (portRef CLK (instanceRef r_x_y_12)) (portRef CLK (instanceRef r_x_y_11)) (portRef CLK (instanceRef r_x_y_10)) (portRef CLK (instanceRef r_x_y_9)) (portRef CLK (instanceRef r_x_y_8)) (portRef CLK (instanceRef r_x_y_7)) (portRef CLK (instanceRef r_x_y_6)) (portRef CLK (instanceRef r_x_y_5)) (portRef CLK (instanceRef r_x_y_4)) (portRef CLK (instanceRef r_x_y_3)) (portRef CLK (instanceRef r_x_y_2)) (portRef CLK (instanceRef r_x_y_1)) (portRef CLK (instanceRef r_x_y_0)) (portRef CLK (instanceRef r_m_dci_size_1)) (portRef CLK (instanceRef r_m_dci_size_0)) (portRef CLK (instanceRef r_e_aluop_2)) (portRef CLK (instanceRef r_e_aluop_1)) (portRef CLK (instanceRef r_e_aluop_0)) (portRef CLK (instanceRef r_x_dci_size_1)) (portRef CLK (instanceRef r_x_dci_size_0)) (portRef CLK (instanceRef r_a_rsel1_2)) (portRef CLK (instanceRef r_a_rsel1_1)) (portRef CLK (instanceRef r_a_rsel1_0)) (portRef CLK (instanceRef r_m_dci_asi_4)) (portRef CLK (instanceRef r_m_dci_asi_3)) (portRef CLK (instanceRef r_m_dci_asi_2)) (portRef CLK (instanceRef r_m_dci_asi_1)) (portRef CLK (instanceRef r_m_dci_asi_0)) (portRef CLK (instanceRef r_x_data_0_31)) (portRef CLK (instanceRef r_x_data_0_30)) (portRef CLK (instanceRef r_x_data_0_29)) (portRef CLK (instanceRef r_x_data_0_28)) (portRef CLK (instanceRef r_x_data_0_27)) (portRef CLK (instanceRef r_x_data_0_26)) (portRef CLK (instanceRef r_x_data_0_25)) (portRef CLK (instanceRef r_x_data_0_24)) (portRef CLK (instanceRef r_x_data_0_23)) (portRef CLK (instanceRef r_x_data_0_22)) (portRef CLK (instanceRef r_x_data_0_21)) (portRef CLK (instanceRef r_x_data_0_20)) (portRef CLK (instanceRef r_x_data_0_19)) (portRef CLK (instanceRef r_x_data_0_18)) (portRef CLK (instanceRef r_x_data_0_17)) (portRef CLK (instanceRef r_x_data_0_16)) (portRef CLK (instanceRef r_x_data_0_15)) (portRef CLK (instanceRef r_x_data_0_14)) (portRef CLK (instanceRef r_x_data_0_13)) (portRef CLK (instanceRef r_x_data_0_12)) (portRef CLK (instanceRef r_x_data_0_11)) (portRef CLK (instanceRef r_x_data_0_10)) (portRef CLK (instanceRef r_x_data_0_9)) (portRef CLK (instanceRef r_x_data_0_8)) (portRef CLK (instanceRef r_x_data_0_7)) (portRef CLK (instanceRef r_x_data_0_6)) (portRef CLK (instanceRef r_x_data_0_5)) (portRef CLK (instanceRef r_x_data_0_4)) (portRef CLK (instanceRef r_x_data_0_3)) (portRef CLK (instanceRef r_x_data_0_2)) (portRef CLK (instanceRef r_x_data_0_1)) (portRef CLK (instanceRef r_x_data_0_0)) (portRef CLK (instanceRef r_a_rfa1_6)) (portRef CLK (instanceRef r_a_rfa1_5)) (portRef CLK (instanceRef r_a_rfa1_4)) (portRef CLK (instanceRef r_a_rfa1_3)) (portRef CLK (instanceRef r_a_rfa1_2)) (portRef CLK (instanceRef r_a_rfa1_1)) (portRef CLK (instanceRef r_a_rfa1_0)) (portRef CLK (instanceRef r_x_ctrl_inst_31)) (portRef CLK (instanceRef r_x_ctrl_inst_30)) (portRef CLK (instanceRef r_x_ctrl_inst_29)) (portRef CLK (instanceRef r_x_ctrl_inst_28)) (portRef CLK (instanceRef r_x_ctrl_inst_27)) (portRef CLK (instanceRef r_x_ctrl_inst_26)) (portRef CLK (instanceRef r_x_ctrl_inst_25)) (portRef CLK (instanceRef r_x_ctrl_inst_24)) (portRef CLK (instanceRef r_x_ctrl_inst_23)) (portRef CLK (instanceRef r_x_ctrl_inst_22)) (portRef CLK (instanceRef r_x_ctrl_inst_21)) (portRef CLK (instanceRef r_x_ctrl_inst_20)) (portRef CLK (instanceRef r_x_ctrl_inst_19)) (portRef CLK (instanceRef r_a_ctrl_inst_31)) (portRef CLK (instanceRef r_a_ctrl_inst_30)) (portRef CLK (instanceRef r_a_ctrl_inst_29)) (portRef CLK (instanceRef r_a_ctrl_inst_28)) (portRef CLK (instanceRef r_a_ctrl_inst_27)) (portRef CLK (instanceRef r_a_ctrl_inst_26)) (portRef CLK (instanceRef r_a_ctrl_inst_25)) (portRef CLK (instanceRef r_a_ctrl_inst_24)) (portRef CLK (instanceRef r_a_ctrl_inst_23)) (portRef CLK (instanceRef r_a_ctrl_inst_22)) (portRef CLK (instanceRef r_a_ctrl_inst_21)) (portRef CLK (instanceRef r_a_ctrl_inst_20)) (portRef CLK (instanceRef r_a_ctrl_inst_19)) (portRef CLK (instanceRef r_a_ctrl_inst_18)) (portRef CLK (instanceRef r_a_ctrl_inst_17)) (portRef CLK (instanceRef r_a_ctrl_inst_14)) (portRef CLK (instanceRef r_a_ctrl_inst_13)) (portRef CLK (instanceRef r_a_ctrl_inst_12)) (portRef CLK (instanceRef r_a_ctrl_inst_11)) (portRef CLK (instanceRef r_a_ctrl_inst_10)) (portRef CLK (instanceRef r_a_ctrl_inst_9)) (portRef CLK (instanceRef r_a_ctrl_inst_8)) (portRef CLK (instanceRef r_a_ctrl_inst_7)) (portRef CLK (instanceRef r_a_ctrl_inst_6)) (portRef CLK (instanceRef r_a_ctrl_inst_5)) (portRef CLK (instanceRef r_e_ctrl_inst_31)) (portRef CLK (instanceRef r_e_ctrl_inst_30)) (portRef CLK (instanceRef r_e_ctrl_inst_29)) (portRef CLK (instanceRef r_e_ctrl_inst_28)) (portRef CLK (instanceRef r_e_ctrl_inst_27)) (portRef CLK (instanceRef r_e_ctrl_inst_26)) (portRef CLK (instanceRef r_e_ctrl_inst_25)) (portRef CLK (instanceRef r_e_ctrl_inst_24)) (portRef CLK (instanceRef r_e_ctrl_inst_23)) (portRef CLK (instanceRef r_e_ctrl_inst_22)) (portRef CLK (instanceRef r_e_ctrl_inst_21)) (portRef CLK (instanceRef r_e_ctrl_inst_20)) (portRef CLK (instanceRef r_e_ctrl_inst_19)) (portRef CLK (instanceRef r_e_ctrl_inst_18)) (portRef CLK (instanceRef r_e_ctrl_inst_17)) (portRef CLK (instanceRef r_e_ctrl_inst_14)) (portRef CLK (instanceRef r_e_ctrl_inst_9)) (portRef CLK (instanceRef r_e_ctrl_inst_8)) (portRef CLK (instanceRef r_e_ctrl_inst_7)) (portRef CLK (instanceRef r_e_ctrl_inst_6)) (portRef CLK (instanceRef r_e_ctrl_inst_5)) (portRef CLK (instanceRef r_a_imm_31)) (portRef CLK (instanceRef r_a_imm_30)) (portRef CLK (instanceRef r_a_imm_29)) (portRef CLK (instanceRef r_a_imm_28)) (portRef CLK (instanceRef r_a_imm_27)) (portRef CLK (instanceRef r_a_imm_26)) (portRef CLK (instanceRef r_a_imm_25)) (portRef CLK (instanceRef r_a_imm_24)) (portRef CLK (instanceRef r_a_imm_23)) (portRef CLK (instanceRef r_a_imm_22)) (portRef CLK (instanceRef r_a_imm_21)) (portRef CLK (instanceRef r_a_imm_20)) (portRef CLK (instanceRef r_a_imm_19)) (portRef CLK (instanceRef r_a_imm_18)) (portRef CLK (instanceRef r_a_imm_17)) (portRef CLK (instanceRef r_a_imm_16)) (portRef CLK (instanceRef r_a_imm_15)) (portRef CLK (instanceRef r_a_imm_14)) (portRef CLK (instanceRef r_a_imm_13)) (portRef CLK (instanceRef r_a_imm_12)) (portRef CLK (instanceRef r_a_imm_11)) (portRef CLK (instanceRef r_a_imm_10)) (portRef CLK (instanceRef r_a_imm_9)) (portRef CLK (instanceRef r_a_imm_8)) (portRef CLK (instanceRef r_a_imm_7)) (portRef CLK (instanceRef r_a_imm_6)) (portRef CLK (instanceRef r_a_imm_5)) (portRef CLK (instanceRef r_a_imm_4)) (portRef CLK (instanceRef r_a_imm_3)) (portRef CLK (instanceRef r_a_imm_2)) (portRef CLK (instanceRef r_a_imm_1)) (portRef CLK (instanceRef r_a_imm_0)) (portRef CLK (instanceRef r_m_ctrl_cnt_1)) (portRef CLK (instanceRef r_m_ctrl_cnt_0)) (portRef CLK (instanceRef r_x_ctrl_rd_6)) (portRef CLK (instanceRef r_x_ctrl_rd_5)) (portRef CLK (instanceRef r_x_ctrl_rd_4)) (portRef CLK (instanceRef r_x_ctrl_rd_3)) (portRef CLK (instanceRef r_x_ctrl_rd_2)) (portRef CLK (instanceRef r_x_ctrl_rd_1)) (portRef CLK (instanceRef r_x_ctrl_rd_0)) (portRef CLK (instanceRef r_m_ctrl_pc_31)) (portRef CLK (instanceRef r_m_ctrl_pc_30)) (portRef CLK (instanceRef r_m_ctrl_pc_29)) (portRef CLK (instanceRef r_m_ctrl_pc_28)) (portRef CLK (instanceRef r_m_ctrl_pc_27)) (portRef CLK (instanceRef r_m_ctrl_pc_26)) (portRef CLK (instanceRef r_m_ctrl_pc_25)) (portRef CLK (instanceRef r_m_ctrl_pc_24)) (portRef CLK (instanceRef r_m_ctrl_pc_23)) (portRef CLK (instanceRef r_m_ctrl_pc_22)) (portRef CLK (instanceRef r_m_ctrl_pc_21)) (portRef CLK (instanceRef r_m_ctrl_pc_20)) (portRef CLK (instanceRef r_m_ctrl_pc_19)) (portRef CLK (instanceRef r_m_ctrl_pc_18)) (portRef CLK (instanceRef r_m_ctrl_pc_17)) (portRef CLK (instanceRef r_m_ctrl_pc_16)) (portRef CLK (instanceRef r_m_ctrl_pc_15)) (portRef CLK (instanceRef r_m_ctrl_pc_14)) (portRef CLK (instanceRef r_m_ctrl_pc_13)) (portRef CLK (instanceRef r_m_ctrl_pc_12)) (portRef CLK (instanceRef r_m_ctrl_pc_11)) (portRef CLK (instanceRef r_m_ctrl_pc_10)) (portRef CLK (instanceRef r_m_ctrl_pc_9)) (portRef CLK (instanceRef r_m_ctrl_pc_8)) (portRef CLK (instanceRef r_m_ctrl_pc_7)) (portRef CLK (instanceRef r_m_ctrl_pc_6)) (portRef CLK (instanceRef r_m_ctrl_pc_5)) (portRef CLK (instanceRef r_m_ctrl_pc_4)) (portRef CLK (instanceRef r_m_ctrl_pc_3)) (portRef CLK (instanceRef r_m_ctrl_pc_2)) (portRef CLK (instanceRef r_x_ctrl_pc_31)) (portRef CLK (instanceRef r_x_ctrl_pc_30)) (portRef CLK (instanceRef r_x_ctrl_pc_29)) (portRef CLK (instanceRef r_x_ctrl_pc_28)) (portRef CLK (instanceRef r_x_ctrl_pc_27)) (portRef CLK (instanceRef r_x_ctrl_pc_26)) (portRef CLK (instanceRef r_x_ctrl_pc_25)) (portRef CLK (instanceRef r_x_ctrl_pc_24)) (portRef CLK (instanceRef r_x_ctrl_pc_23)) (portRef CLK (instanceRef r_x_ctrl_pc_22)) (portRef CLK (instanceRef r_x_ctrl_pc_21)) (portRef CLK (instanceRef r_x_ctrl_pc_20)) (portRef CLK (instanceRef r_x_ctrl_pc_19)) (portRef CLK (instanceRef r_x_ctrl_pc_18)) (portRef CLK (instanceRef r_x_ctrl_pc_17)) (portRef CLK (instanceRef r_x_ctrl_pc_16)) (portRef CLK (instanceRef r_x_ctrl_pc_15)) (portRef CLK (instanceRef r_x_ctrl_pc_14)) (portRef CLK (instanceRef r_x_ctrl_pc_13)) (portRef CLK (instanceRef r_x_ctrl_pc_12)) (portRef CLK (instanceRef r_x_ctrl_pc_11)) (portRef CLK (instanceRef r_x_ctrl_pc_10)) (portRef CLK (instanceRef r_x_ctrl_pc_9)) (portRef CLK (instanceRef r_x_ctrl_pc_8)) (portRef CLK (instanceRef r_x_ctrl_pc_7)) (portRef CLK (instanceRef r_x_ctrl_pc_6)) (portRef CLK (instanceRef r_x_ctrl_pc_5)) (portRef CLK (instanceRef r_x_ctrl_pc_4)) (portRef CLK (instanceRef r_x_ctrl_pc_3)) (portRef CLK (instanceRef r_x_ctrl_pc_2)) (portRef CLK (instanceRef r_e_ctrl_cnt_1)) (portRef CLK (instanceRef r_e_ctrl_cnt_0)) (portRef CLK (instanceRef r_e_cwp_2)) (portRef CLK (instanceRef r_e_cwp_1)) (portRef CLK (instanceRef r_e_cwp_0)) (portRef CLK (instanceRef r_m_ctrl_rd_6)) (portRef CLK (instanceRef r_m_ctrl_rd_5)) (portRef CLK (instanceRef r_m_ctrl_rd_4)) (portRef CLK (instanceRef r_m_ctrl_rd_3)) (portRef CLK (instanceRef r_m_ctrl_rd_2)) (portRef CLK (instanceRef r_m_ctrl_rd_1)) (portRef CLK (instanceRef r_m_ctrl_rd_0)) (portRef CLK (instanceRef r_e_ctrl_pc_31)) (portRef CLK (instanceRef r_e_ctrl_pc_30)) (portRef CLK (instanceRef r_e_ctrl_pc_29)) (portRef CLK (instanceRef r_e_ctrl_pc_28)) (portRef CLK (instanceRef r_e_ctrl_pc_27)) (portRef CLK (instanceRef r_e_ctrl_pc_26)) (portRef CLK (instanceRef r_e_ctrl_pc_25)) (portRef CLK (instanceRef r_e_ctrl_pc_24)) (portRef CLK (instanceRef r_e_ctrl_pc_23)) (portRef CLK (instanceRef r_e_ctrl_pc_22)) (portRef CLK (instanceRef r_e_ctrl_pc_21)) (portRef CLK (instanceRef r_e_ctrl_pc_20)) (portRef CLK (instanceRef r_e_ctrl_pc_19)) (portRef CLK (instanceRef r_e_ctrl_pc_18)) (portRef CLK (instanceRef r_e_ctrl_pc_17)) (portRef CLK (instanceRef r_e_ctrl_pc_16)) (portRef CLK (instanceRef r_e_ctrl_pc_15)) (portRef CLK (instanceRef r_e_ctrl_pc_14)) (portRef CLK (instanceRef r_e_ctrl_pc_13)) (portRef CLK (instanceRef r_e_ctrl_pc_12)) (portRef CLK (instanceRef r_e_ctrl_pc_11)) (portRef CLK (instanceRef r_e_ctrl_pc_10)) (portRef CLK (instanceRef r_e_ctrl_pc_9)) (portRef CLK (instanceRef r_e_ctrl_pc_8)) (portRef CLK (instanceRef r_e_ctrl_pc_7)) (portRef CLK (instanceRef r_e_ctrl_pc_6)) (portRef CLK (instanceRef r_e_ctrl_pc_5)) (portRef CLK (instanceRef r_e_ctrl_pc_4)) (portRef CLK (instanceRef r_e_ctrl_pc_3)) (portRef CLK (instanceRef r_e_ctrl_pc_2)) (portRef CLK (instanceRef r_m_ctrl_inst_31)) (portRef CLK (instanceRef r_m_ctrl_inst_30)) (portRef CLK (instanceRef r_m_ctrl_inst_29)) (portRef CLK (instanceRef r_m_ctrl_inst_28)) (portRef CLK (instanceRef r_m_ctrl_inst_27)) (portRef CLK (instanceRef r_m_ctrl_inst_26)) (portRef CLK (instanceRef r_m_ctrl_inst_25)) (portRef CLK (instanceRef r_m_ctrl_inst_24)) (portRef CLK (instanceRef r_m_ctrl_inst_23)) (portRef CLK (instanceRef r_m_ctrl_inst_22)) (portRef CLK (instanceRef r_m_ctrl_inst_21)) (portRef CLK (instanceRef r_m_ctrl_inst_20)) (portRef CLK (instanceRef r_m_ctrl_inst_19)) (portRef CLK (instanceRef dsur_crdy_2)) (portRef CLK (instanceRef dsur_crdy_1)) (portRef CLK (instanceRef r_d_inst_0_31)) (portRef CLK (instanceRef r_d_inst_0_30)) (portRef CLK (instanceRef r_d_inst_0_29)) (portRef CLK (instanceRef r_d_inst_0_28)) (portRef CLK (instanceRef r_d_inst_0_27)) (portRef CLK (instanceRef r_d_inst_0_26)) (portRef CLK (instanceRef r_d_inst_0_25)) (portRef CLK (instanceRef r_d_inst_0_24)) (portRef CLK (instanceRef r_d_inst_0_23)) (portRef CLK (instanceRef r_d_inst_0_22)) (portRef CLK (instanceRef r_d_inst_0_21)) (portRef CLK (instanceRef r_d_inst_0_20)) (portRef CLK (instanceRef r_d_inst_0_19)) (portRef CLK (instanceRef r_d_inst_0_18)) (portRef CLK (instanceRef r_d_inst_0_17)) (portRef CLK (instanceRef r_d_inst_0_16)) (portRef CLK (instanceRef r_d_inst_0_15)) (portRef CLK (instanceRef r_d_inst_0_14)) (portRef CLK (instanceRef r_d_inst_0_13)) (portRef CLK (instanceRef r_d_inst_0_12)) (portRef CLK (instanceRef r_d_inst_0_11)) (portRef CLK (instanceRef r_d_inst_0_10)) (portRef CLK (instanceRef r_d_inst_0_9)) (portRef CLK (instanceRef r_d_inst_0_8)) (portRef CLK (instanceRef r_d_inst_0_7)) (portRef CLK (instanceRef r_d_inst_0_6)) (portRef CLK (instanceRef r_d_inst_0_5)) (portRef CLK (instanceRef r_d_inst_0_4)) (portRef CLK (instanceRef r_d_inst_0_3)) (portRef CLK (instanceRef r_d_inst_0_2)) (portRef CLK (instanceRef r_d_inst_0_1)) (portRef CLK (instanceRef r_d_inst_0_0)) (portRef CLK (instanceRef dsur_tt_7)) (portRef CLK (instanceRef dsur_tt_6)) (portRef CLK (instanceRef dsur_tt_5)) (portRef CLK (instanceRef dsur_tt_4)) (portRef CLK (instanceRef dsur_tt_3)) (portRef CLK (instanceRef dsur_tt_2)) (portRef CLK (instanceRef dsur_tt_1)) (portRef CLK (instanceRef dsur_tt_0)) (portRef CLK (instanceRef dsur_asi_7)) (portRef CLK (instanceRef dsur_asi_6)) (portRef CLK (instanceRef dsur_asi_5)) (portRef CLK (instanceRef dsur_asi_4)) (portRef CLK (instanceRef dsur_asi_3)) (portRef CLK (instanceRef dsur_asi_2)) (portRef CLK (instanceRef dsur_asi_1)) (portRef CLK (instanceRef dsur_asi_0)) (portRef CLK (instanceRef r_x_rstate_1)) (portRef CLK (instanceRef r_x_rstate_0)) (portRef CLK (instanceRef r_x_ipend)) (portRef CLK (instanceRef r_m_werr)) (portRef CLK (instanceRef dsur_err)) (portRef CLK (instanceRef rp_pwd)) (portRef CLK (instanceRef rp_error)) (portRef CLK (instanceRef r_x_mexc)) (portRef CLK (instanceRef r_w_s_s)) (portRef CLK (instanceRef r_w_s_ps)) (portRef CLK (instanceRef r_m_ctrl_pv)) (portRef CLK (instanceRef r_m_ctrl_wy)) (portRef CLK (instanceRef r_x_ctrl_pv)) (portRef CLK (instanceRef r_x_ctrl_wy)) (portRef CLK (instanceRef r_d_step)) (portRef CLK (instanceRef r_e_ctrl_rett)) (portRef CLK (instanceRef r_e_jmpl)) (portRef CLK (instanceRef r_m_ctrl_rett)) (portRef CLK (instanceRef r_x_ctrl_rett)) (portRef CLK (instanceRef r_m_ctrl_ld)) (portRef CLK (instanceRef r_x_nerror)) (portRef CLK (instanceRef r_a_step)) (portRef CLK (instanceRef r_x_ctrl_ld)) (portRef CLK (instanceRef r_e_mulstep)) (portRef CLK (instanceRef r_e_shleft)) (portRef CLK (instanceRef r_x_intack)) (portRef CLK (instanceRef r_m_casa)) (portRef CLK (instanceRef r_m_wcwp)) (portRef CLK (instanceRef r_m_dci_dsuen)) (portRef CLK (instanceRef r_e_aluadd)) (portRef CLK (instanceRef r_e_ldbp1)) (portRef CLK (instanceRef r_m_dci_read)) (portRef CLK (instanceRef r_a_ctrl_ld)) (portRef CLK (instanceRef r_a_ticc)) (portRef CLK (instanceRef r_e_ctrl_ld)) (portRef CLK (instanceRef r_w_s_svt)) (portRef CLK (instanceRef r_e_invop2)) (portRef CLK (instanceRef r_e_bp)) (portRef CLK (instanceRef r_m_dci_write)) (portRef CLK (instanceRef r_m_dci_lock)) (portRef CLK (instanceRef r_m_dci_signed)) (portRef CLK (instanceRef r_x_dci_signed)) (portRef CLK (instanceRef r_m_nalign)) (portRef CLK (instanceRef r_e_ldbp2)) (portRef CLK (instanceRef r_e_ctrl_trap)) (portRef CLK (instanceRef r_a_rfe1)) (portRef CLK (instanceRef r_m_ctrl_trap)) (portRef CLK (instanceRef r_e_ymsb)) (portRef CLK (instanceRef r_e_sari)) (portRef CLK (instanceRef r_x_annul_all)) (portRef CLK (instanceRef r_x_debug)) (portRef CLK (instanceRef ir_pwd)) (portRef CLK (instanceRef r_e_ctrl_annul)) (portRef CLK (instanceRef r_m_ctrl_annul)) (portRef CLK (instanceRef r_x_ctrl_annul)) (portRef CLK (instanceRef r_m_ctrl_wicc)) (portRef CLK (instanceRef r_m_ctrl_wreg)) (portRef CLK (instanceRef r_x_ctrl_wicc)) (portRef CLK (instanceRef r_w_s_dwt)) (portRef CLK (instanceRef r_x_ctrl_wreg)) (portRef CLK (instanceRef r_e_ctrl_wicc)) (portRef CLK (instanceRef r_e_ctrl_wreg)) (portRef CLK (instanceRef r_a_ctrl_annul)) (portRef CLK (instanceRef r_a_su)) (portRef CLK (instanceRef r_a_ctrl_pv)) (portRef CLK (instanceRef r_a_jmpl)) (portRef CLK (instanceRef r_w_s_et)) (portRef CLK (instanceRef r_e_su)) (portRef CLK (instanceRef r_e_ctrl_pv)) (portRef CLK (instanceRef r_d_mexc)) (portRef CLK (instanceRef r_a_bp)) (portRef CLK (instanceRef r_a_ctrl_rett)) (portRef CLK (instanceRef r_a_ctrl_wicc)) (portRef CLK (instanceRef r_a_ctrl_wreg)) (portRef CLK (instanceRef r_a_ctrl_wy)) (portRef CLK (instanceRef r_a_et)) (portRef CLK (instanceRef r_a_nobp)) (portRef CLK (instanceRef r_a_ctrl_trap)) (portRef CLK (instanceRef r_e_alucin)) (portRef CLK (instanceRef r_e_ctrl_wy)) (portRef CLK (instanceRef r_e_et)) (portRef CLK (instanceRef r_a_wovf)) (portRef CLK (instanceRef r_a_wunf)) (portRef CLK (instanceRef r_d_inull)) (portRef CLK (instanceRef r_f_branch)) (portRef CLK (instanceRef r_d_annul)) (portRef CLK (instanceRef r_m_irqen)) (portRef CLK (instanceRef r_m_irqen2)) (portRef CLK (instanceRef r_x_ctrl_trap)) (portRef CLK (instanceRef r_d_pv)) (portRef CLK (instanceRef r_m_dci_enaddr)) (portRef CLK (instanceRef r_a_rfe2)) (portRef CLK (instanceRef r_x_rstate_0_1)) (portRef CLK (instanceRef r_e_shleft_0)) (portRef CLK (instanceRef r_e_shleft_1)) (portRef CLK (instanceRef r_x_ctrl_ld_0)) (portRef CLK (instanceRef r_e_invop2_0)) (portRef CLK (instanceRef r_e_invop2_1)) (portRef CLK (instanceRef r_e_ldbp2_0)) (portRef CLK (instanceRef r_e_ldbp2_1)) (portRef CLK (instanceRef r_e_ldbp2_2)) (portRef CLK (instanceRef r_a_rsel2_0_1)) (portRef CLK (instanceRef r_a_rsel2_1_1)) (portRef CLK (instanceRef r_a_rsel2_0_0)) (portRef CLK (instanceRef r_e_aluop_0_2)) (portRef CLK (instanceRef r_e_aluop_0_1)) (portRef CLK (instanceRef r_e_aluop_1_1)) (portRef CLK (instanceRef r_e_aluop_2_1)) (portRef CLK (instanceRef r_e_aluop_0_0)) (portRef CLK (instanceRef r_a_rsel1_0_2)) (portRef CLK (instanceRef r_a_rsel1_1_2)) (portRef CLK (instanceRef r_a_rsel1_2_2)) (portRef CLK (instanceRef r_a_rsel1_3_2)) (portRef CLK (instanceRef r_a_rsel1_0_1)) (portRef CLK (instanceRef r_a_rsel1_1_1)) (portRef CLK (instanceRef r_a_rsel1_0_0)) (portRef CLK (instanceRef r_x_npc_0_1)) (portRef CLK (instanceRef r_x_npc_1_1)) (portRef CLK (instanceRef r_x_npc_0_0)) (portRef CLK (instanceRef r_m_dci_dsuen_0)) (portRef CLK (instanceRef r_d_inst_0_0_0_21)) (portRef CLK (instanceRef r_d_inst_0_0_0_12)) (portRef CLK (instanceRef r_a_ctrl_inst_0_21)) )) (net (rename inst_0_RNIQLUF62_12 "inst_0_RNIQLUF62[12]") (joined (portRef Y (instanceRef r_d_inst_0_RNIQLUF62_12)) (portRef D (instanceRef r_d_inst_0_12)) (portRef D (instanceRef r_d_inst_0_0_0_12)) )) (net (rename un1_p0_6_0_60 "un1_p0_6_0[60]") (joined (portRef Q (instanceRef r_d_inst_0_0_0_21)) (portRef A (instanceRef r_d_inst_0_RNIAO79_23)) (portRef A (instanceRef r_d_inst_0_0_0_RNIBEM7_21)) (portRef B (instanceRef r_d_inst_0_RNI8IM7_23)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I21_P0N)) (portRef A (instanceRef r_a_ctrl_ld_RNO)) (portRef B (instanceRef r_a_ctrl_wicc_RNO_4)) (portRef C (instanceRef un1_write_reg30_1_3_RNO_5)) (portRef B (instanceRef r_d_inst_0_RNIAK79_0_24)) (portRef A (instanceRef r_a_ctrl_wy_RNO_0)) (portRef A (instanceRef r_d_inst_0_0_0_RNI9MOA_21)) (portRef C (instanceRef r_d_inst_0_RNIAK79_24)) (portRef A (instanceRef r_d_inst_0_0_0_RNIM0KB_21)) (portRef A (instanceRef r_d_inst_0_0_0_RNI7IM7_21)) (portRef B (instanceRef r_d_inst_0_0_0_RNI8MM7_21)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I100_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I281_Y_0_0)) (portRef A (instanceRef r_d_inull_RNO_10)) )) (net (rename inst_0_RNIFD2T52_21 "inst_0_RNIFD2T52[21]") (joined (portRef Y (instanceRef r_d_inst_0_RNIFD2T52_21)) (portRef D (instanceRef r_d_inst_0_21)) (portRef D (instanceRef r_d_inst_0_0_0_21)) )) (net dsuen (joined (portRef Y (instanceRef dsur_crdy_RNIEAJK1_2)) (portRef D (instanceRef r_m_dci_dsuen)) (portRef D (instanceRef r_m_dci_dsuen_0)) )) (net holdn (joined (portRef holdn) (portRef E (instanceRef r_a_rfa2_6)) (portRef E (instanceRef r_a_rfa2_5)) (portRef E (instanceRef r_a_rfa2_4)) (portRef E (instanceRef r_a_rfa2_3)) (portRef E (instanceRef r_a_rfa2_2)) (portRef E (instanceRef r_a_rfa2_1)) (portRef E (instanceRef r_a_rfa2_0)) (portRef E (instanceRef r_a_ctrl_cnt_1)) (portRef E (instanceRef r_a_ctrl_cnt_0)) (portRef E (instanceRef r_x_ctrl_tt_5)) (portRef E (instanceRef r_x_ctrl_tt_4)) (portRef E (instanceRef r_x_ctrl_tt_3)) (portRef E (instanceRef r_x_ctrl_tt_2)) (portRef E (instanceRef r_x_ctrl_tt_1)) (portRef E (instanceRef r_x_ctrl_tt_0)) (portRef E (instanceRef r_w_s_cwp_2)) (portRef E (instanceRef r_w_s_cwp_1)) (portRef E (instanceRef r_w_s_cwp_0)) (portRef E (instanceRef r_f_pc_31)) (portRef E (instanceRef r_f_pc_30)) (portRef E (instanceRef r_f_pc_29)) (portRef E (instanceRef r_f_pc_28)) (portRef E (instanceRef r_f_pc_27)) (portRef E (instanceRef r_f_pc_26)) (portRef E (instanceRef r_f_pc_25)) (portRef E (instanceRef r_f_pc_24)) (portRef E (instanceRef r_f_pc_23)) (portRef E (instanceRef r_f_pc_22)) (portRef E (instanceRef r_f_pc_21)) (portRef E (instanceRef r_f_pc_20)) (portRef E (instanceRef r_f_pc_19)) (portRef E (instanceRef r_f_pc_18)) (portRef E (instanceRef r_f_pc_17)) (portRef E (instanceRef r_f_pc_16)) (portRef E (instanceRef r_f_pc_15)) (portRef E (instanceRef r_f_pc_14)) (portRef E (instanceRef r_f_pc_13)) (portRef E (instanceRef r_f_pc_12)) (portRef E (instanceRef r_f_pc_11)) (portRef E (instanceRef r_f_pc_10)) (portRef E (instanceRef r_f_pc_9)) (portRef E (instanceRef r_f_pc_8)) (portRef E (instanceRef r_f_pc_7)) (portRef E (instanceRef r_f_pc_6)) (portRef E (instanceRef r_f_pc_5)) (portRef E (instanceRef r_f_pc_4)) (portRef E (instanceRef r_f_pc_3)) (portRef E (instanceRef r_f_pc_2)) (portRef E (instanceRef r_d_cwp_2)) (portRef E (instanceRef r_d_cwp_1)) (portRef E (instanceRef r_d_cwp_0)) (portRef E (instanceRef r_a_cwp_2)) (portRef E (instanceRef r_a_cwp_1)) (portRef E (instanceRef r_a_cwp_0)) (portRef E (instanceRef r_a_ctrl_pc_31)) (portRef E (instanceRef r_a_ctrl_pc_30)) (portRef E (instanceRef r_a_ctrl_pc_29)) (portRef E (instanceRef r_a_ctrl_pc_28)) (portRef E (instanceRef r_a_ctrl_pc_27)) (portRef E (instanceRef r_a_ctrl_pc_26)) (portRef E (instanceRef r_a_ctrl_pc_25)) (portRef E (instanceRef r_a_ctrl_pc_24)) (portRef E (instanceRef r_a_ctrl_pc_23)) (portRef E (instanceRef r_a_ctrl_pc_22)) (portRef E (instanceRef r_a_ctrl_pc_21)) (portRef E (instanceRef r_a_ctrl_pc_20)) (portRef E (instanceRef r_a_ctrl_pc_19)) (portRef E (instanceRef r_a_ctrl_pc_18)) (portRef E (instanceRef r_a_ctrl_pc_17)) (portRef E (instanceRef r_a_ctrl_pc_16)) (portRef E (instanceRef r_a_ctrl_pc_15)) (portRef E (instanceRef r_a_ctrl_pc_14)) (portRef E (instanceRef r_a_ctrl_pc_13)) (portRef E (instanceRef r_a_ctrl_pc_12)) (portRef E (instanceRef r_a_ctrl_pc_11)) (portRef E (instanceRef r_a_ctrl_pc_10)) (portRef E (instanceRef r_a_ctrl_pc_9)) (portRef E (instanceRef r_a_ctrl_pc_8)) (portRef E (instanceRef r_a_ctrl_pc_7)) (portRef E (instanceRef r_a_ctrl_pc_6)) (portRef E (instanceRef r_a_ctrl_pc_5)) (portRef E (instanceRef r_a_ctrl_pc_4)) (portRef E (instanceRef r_a_ctrl_pc_3)) (portRef E (instanceRef r_a_ctrl_pc_2)) (portRef E (instanceRef r_e_op1_31)) (portRef E (instanceRef r_e_op1_30)) (portRef E (instanceRef r_e_op1_29)) (portRef E (instanceRef r_e_op1_28)) (portRef E (instanceRef r_e_op1_27)) (portRef E (instanceRef r_e_op1_26)) (portRef E (instanceRef r_e_op1_25)) (portRef E (instanceRef r_e_op1_24)) (portRef E (instanceRef r_e_op1_23)) (portRef E (instanceRef r_e_op1_22)) (portRef E (instanceRef r_e_op1_21)) (portRef E (instanceRef r_e_op1_20)) (portRef E (instanceRef r_e_op1_19)) (portRef E (instanceRef r_e_op1_18)) (portRef E (instanceRef r_e_op1_17)) (portRef E (instanceRef r_e_op1_16)) (portRef E (instanceRef r_e_op1_15)) (portRef E (instanceRef r_e_op1_14)) (portRef E (instanceRef r_e_op1_13)) (portRef E (instanceRef r_e_op1_12)) (portRef E (instanceRef r_e_op1_11)) (portRef E (instanceRef r_e_op1_10)) (portRef E (instanceRef r_e_op1_9)) (portRef E (instanceRef r_e_op1_8)) (portRef E (instanceRef r_e_op1_7)) (portRef E (instanceRef r_e_op1_6)) (portRef E (instanceRef r_e_op1_5)) (portRef E (instanceRef r_e_op1_4)) (portRef E (instanceRef r_e_op1_3)) (portRef E (instanceRef r_e_op1_2)) (portRef E (instanceRef r_e_op1_1)) (portRef E (instanceRef r_e_op1_0)) (portRef E (instanceRef r_e_op2_31)) (portRef E (instanceRef r_e_op2_30)) (portRef E (instanceRef r_e_op2_29)) (portRef E (instanceRef r_e_op2_28)) (portRef E (instanceRef r_e_op2_27)) (portRef E (instanceRef r_e_op2_26)) (portRef E (instanceRef r_e_op2_25)) (portRef E (instanceRef r_e_op2_24)) (portRef E (instanceRef r_e_op2_23)) (portRef E (instanceRef r_e_op2_22)) (portRef E (instanceRef r_e_op2_21)) (portRef E (instanceRef r_e_op2_20)) (portRef E (instanceRef r_e_op2_19)) (portRef E (instanceRef r_e_op2_18)) (portRef E (instanceRef r_e_op2_17)) (portRef E (instanceRef r_e_op2_16)) (portRef E (instanceRef r_e_op2_15)) (portRef E (instanceRef r_e_op2_14)) (portRef E (instanceRef r_e_op2_13)) (portRef E (instanceRef r_e_op2_12)) (portRef E (instanceRef r_e_op2_11)) (portRef E (instanceRef r_e_op2_10)) (portRef E (instanceRef r_e_op2_9)) (portRef E (instanceRef r_e_op2_8)) (portRef E (instanceRef r_e_op2_7)) (portRef E (instanceRef r_e_op2_6)) (portRef E (instanceRef r_e_op2_5)) (portRef E (instanceRef r_e_op2_4)) (portRef E (instanceRef r_e_op2_3)) (portRef E (instanceRef r_e_op2_2)) (portRef E (instanceRef r_e_op2_1)) (portRef E (instanceRef r_e_op2_0)) (portRef E (instanceRef r_w_s_icc_3)) (portRef E (instanceRef r_w_s_icc_2)) (portRef E (instanceRef r_w_s_icc_1)) (portRef E (instanceRef r_w_s_icc_0)) (portRef E (instanceRef r_e_shcnt_4)) (portRef E (instanceRef r_e_shcnt_3)) (portRef E (instanceRef r_e_shcnt_2)) (portRef E (instanceRef r_e_shcnt_1)) (portRef E (instanceRef r_e_shcnt_0)) (portRef E (instanceRef r_w_s_wim_6)) (portRef E (instanceRef r_w_s_wim_5)) (portRef E (instanceRef r_w_s_wim_4)) (portRef E (instanceRef r_w_s_wim_3)) (portRef E (instanceRef r_w_s_wim_2)) (portRef E (instanceRef r_w_s_wim_1)) (portRef E (instanceRef r_w_s_wim_0)) (portRef E (instanceRef r_w_s_tba_19)) (portRef E (instanceRef r_w_s_tba_18)) (portRef E (instanceRef r_w_s_tba_17)) (portRef E (instanceRef r_w_s_tba_16)) (portRef E (instanceRef r_w_s_tba_15)) (portRef E (instanceRef r_w_s_tba_14)) (portRef E (instanceRef r_w_s_tba_13)) (portRef E (instanceRef r_w_s_tba_12)) (portRef E (instanceRef r_w_s_tba_11)) (portRef E (instanceRef r_w_s_tba_10)) (portRef E (instanceRef r_w_s_tba_9)) (portRef E (instanceRef r_w_s_tba_8)) (portRef E (instanceRef r_w_s_tba_7)) (portRef E (instanceRef r_w_s_tba_6)) (portRef E (instanceRef r_w_s_tba_5)) (portRef E (instanceRef r_w_s_tba_4)) (portRef E (instanceRef r_w_s_tba_3)) (portRef E (instanceRef r_w_s_tba_2)) (portRef E (instanceRef r_w_s_tba_1)) (portRef E (instanceRef r_w_s_tba_0)) (portRef E (instanceRef r_w_s_y_31)) (portRef E (instanceRef r_w_s_y_30)) (portRef E (instanceRef r_w_s_y_29)) (portRef E (instanceRef r_w_s_y_28)) (portRef E (instanceRef r_w_s_y_27)) (portRef E (instanceRef r_w_s_y_26)) (portRef E (instanceRef r_w_s_y_25)) (portRef E (instanceRef r_w_s_y_24)) (portRef E (instanceRef r_w_s_y_23)) (portRef E (instanceRef r_w_s_y_22)) (portRef E (instanceRef r_w_s_y_21)) (portRef E (instanceRef r_w_s_y_20)) (portRef E (instanceRef r_w_s_y_19)) (portRef E (instanceRef r_w_s_y_18)) (portRef E (instanceRef r_w_s_y_17)) (portRef E (instanceRef r_w_s_y_16)) (portRef E (instanceRef r_w_s_y_15)) (portRef E (instanceRef r_w_s_y_14)) (portRef E (instanceRef r_w_s_y_13)) (portRef E (instanceRef r_w_s_y_12)) (portRef E (instanceRef r_w_s_y_11)) (portRef E (instanceRef r_w_s_y_10)) (portRef E (instanceRef r_w_s_y_9)) (portRef E (instanceRef r_w_s_y_8)) (portRef E (instanceRef r_w_s_y_7)) (portRef E (instanceRef r_w_s_y_6)) (portRef E (instanceRef r_w_s_y_5)) (portRef E (instanceRef r_w_s_y_4)) (portRef E (instanceRef r_w_s_y_3)) (portRef E (instanceRef r_w_s_y_2)) (portRef E (instanceRef r_w_s_y_1)) (portRef E (instanceRef r_w_s_y_0)) (portRef E (instanceRef r_m_icc_3)) (portRef E (instanceRef r_m_icc_2)) (portRef E (instanceRef r_m_icc_1)) (portRef E (instanceRef r_m_icc_0)) (portRef E (instanceRef r_x_icc_3)) (portRef E (instanceRef r_x_icc_2)) (portRef E (instanceRef r_x_icc_1)) (portRef E (instanceRef r_x_icc_0)) (portRef E (instanceRef r_a_ctrl_rd_6)) (portRef E (instanceRef r_a_ctrl_rd_5)) (portRef E (instanceRef r_a_ctrl_rd_4)) (portRef E (instanceRef r_a_ctrl_rd_3)) (portRef E (instanceRef r_a_ctrl_rd_2)) (portRef E (instanceRef r_a_ctrl_rd_1)) (portRef E (instanceRef r_a_ctrl_rd_0)) (portRef E (instanceRef r_m_result_31)) (portRef E (instanceRef r_m_result_30)) (portRef E (instanceRef r_m_result_29)) (portRef E (instanceRef r_m_result_28)) (portRef E (instanceRef r_m_result_27)) (portRef E (instanceRef r_m_result_26)) (portRef E (instanceRef r_m_result_25)) (portRef E (instanceRef r_m_result_24)) (portRef E (instanceRef r_m_result_23)) (portRef E (instanceRef r_m_result_22)) (portRef E (instanceRef r_m_result_21)) (portRef E (instanceRef r_m_result_20)) (portRef E (instanceRef r_m_result_19)) (portRef E (instanceRef r_m_result_18)) (portRef E (instanceRef r_m_result_17)) (portRef E (instanceRef r_m_result_16)) (portRef E (instanceRef r_m_result_15)) (portRef E (instanceRef r_m_result_14)) (portRef E (instanceRef r_m_result_13)) (portRef E (instanceRef r_m_result_12)) (portRef E (instanceRef r_m_result_11)) (portRef E (instanceRef r_m_result_10)) (portRef E (instanceRef r_m_result_9)) (portRef E (instanceRef r_m_result_8)) (portRef E (instanceRef r_m_result_7)) (portRef E (instanceRef r_m_result_6)) (portRef E (instanceRef r_m_result_5)) (portRef E (instanceRef r_m_result_4)) (portRef E (instanceRef r_m_result_3)) (portRef E (instanceRef r_m_result_2)) (portRef E (instanceRef r_m_result_1)) (portRef E (instanceRef r_m_result_0)) (portRef E (instanceRef r_e_ctrl_rd_6)) (portRef E (instanceRef r_e_ctrl_rd_5)) (portRef E (instanceRef r_e_ctrl_rd_4)) (portRef E (instanceRef r_e_ctrl_rd_3)) (portRef E (instanceRef r_e_ctrl_rd_2)) (portRef E (instanceRef r_e_ctrl_rd_1)) (portRef E (instanceRef r_e_ctrl_rd_0)) (portRef E (instanceRef r_x_laddr_1)) (portRef E (instanceRef r_x_laddr_0)) (portRef E (instanceRef r_x_result_31)) (portRef E (instanceRef r_x_result_30)) (portRef E (instanceRef r_x_result_29)) (portRef E (instanceRef r_x_result_28)) (portRef E (instanceRef r_x_result_27)) (portRef E (instanceRef r_x_result_26)) (portRef E (instanceRef r_x_result_25)) (portRef E (instanceRef r_x_result_24)) (portRef E (instanceRef r_x_result_23)) (portRef E (instanceRef r_x_result_22)) (portRef E (instanceRef r_x_result_21)) (portRef E (instanceRef r_x_result_20)) (portRef E (instanceRef r_x_result_19)) (portRef E (instanceRef r_x_result_18)) (portRef E (instanceRef r_x_result_17)) (portRef E (instanceRef r_x_result_16)) (portRef E (instanceRef r_x_result_15)) (portRef E (instanceRef r_x_result_14)) (portRef E (instanceRef r_x_result_13)) (portRef E (instanceRef r_x_result_12)) (portRef E (instanceRef r_x_result_11)) (portRef E (instanceRef r_x_result_10)) (portRef E (instanceRef r_x_result_9)) (portRef E (instanceRef r_x_result_8)) (portRef E (instanceRef r_x_result_7)) (portRef E (instanceRef r_x_result_6)) (portRef E (instanceRef r_x_result_5)) (portRef E (instanceRef r_x_result_4)) (portRef E (instanceRef r_x_result_3)) (portRef E (instanceRef r_x_result_2)) (portRef E (instanceRef r_x_result_1)) (portRef E (instanceRef r_x_result_0)) (portRef E (instanceRef r_a_rsel2_2)) (portRef E (instanceRef r_a_rsel2_1)) (portRef E (instanceRef r_a_rsel2_0)) (portRef E (instanceRef r_e_ctrl_tt_5)) (portRef E (instanceRef r_e_ctrl_tt_4)) (portRef E (instanceRef r_e_ctrl_tt_3)) (portRef E (instanceRef r_e_ctrl_tt_2)) (portRef E (instanceRef r_e_ctrl_tt_1)) (portRef E (instanceRef r_e_ctrl_tt_0)) (portRef E (instanceRef ir_addr_31)) (portRef E (instanceRef ir_addr_30)) (portRef E (instanceRef ir_addr_29)) (portRef E (instanceRef ir_addr_28)) (portRef E (instanceRef ir_addr_27)) (portRef E (instanceRef ir_addr_26)) (portRef E (instanceRef ir_addr_25)) (portRef E (instanceRef ir_addr_24)) (portRef E (instanceRef ir_addr_23)) (portRef E (instanceRef ir_addr_22)) (portRef E (instanceRef ir_addr_21)) (portRef E (instanceRef ir_addr_20)) (portRef E (instanceRef ir_addr_19)) (portRef E (instanceRef ir_addr_18)) (portRef E (instanceRef ir_addr_17)) (portRef E (instanceRef ir_addr_16)) (portRef E (instanceRef ir_addr_15)) (portRef E (instanceRef ir_addr_14)) (portRef E (instanceRef ir_addr_13)) (portRef E (instanceRef ir_addr_12)) (portRef E (instanceRef ir_addr_11)) (portRef E (instanceRef ir_addr_10)) (portRef E (instanceRef ir_addr_9)) (portRef E (instanceRef ir_addr_8)) (portRef E (instanceRef ir_addr_7)) (portRef E (instanceRef ir_addr_6)) (portRef E (instanceRef ir_addr_5)) (portRef E (instanceRef ir_addr_4)) (portRef E (instanceRef ir_addr_3)) (portRef E (instanceRef ir_addr_2)) (portRef E (instanceRef r_m_ctrl_tt_5)) (portRef E (instanceRef r_m_ctrl_tt_4)) (portRef E (instanceRef r_m_ctrl_tt_3)) (portRef E (instanceRef r_m_ctrl_tt_2)) (portRef E (instanceRef r_m_ctrl_tt_1)) (portRef E (instanceRef r_m_ctrl_tt_0)) (portRef E (instanceRef r_w_s_pil_3)) (portRef E (instanceRef r_w_s_pil_2)) (portRef E (instanceRef r_w_s_pil_1)) (portRef E (instanceRef r_w_s_pil_0)) (portRef E (instanceRef r_w_s_tt_7)) (portRef E (instanceRef r_w_s_tt_6)) (portRef E (instanceRef r_w_s_tt_5)) (portRef E (instanceRef r_w_s_tt_4)) (portRef E (instanceRef r_w_s_tt_3)) (portRef E (instanceRef r_w_s_tt_2)) (portRef E (instanceRef r_w_s_tt_1)) (portRef E (instanceRef r_w_s_tt_0)) (portRef E (instanceRef r_w_result_31)) (portRef E (instanceRef r_w_result_30)) (portRef E (instanceRef r_w_result_29)) (portRef E (instanceRef r_w_result_28)) (portRef E (instanceRef r_w_result_27)) (portRef E (instanceRef r_w_result_26)) (portRef E (instanceRef r_w_result_25)) (portRef E (instanceRef r_w_result_24)) (portRef E (instanceRef r_w_result_23)) (portRef E (instanceRef r_w_result_22)) (portRef E (instanceRef r_w_result_21)) (portRef E (instanceRef r_w_result_20)) (portRef E (instanceRef r_w_result_19)) (portRef E (instanceRef r_w_result_18)) (portRef E (instanceRef r_w_result_17)) (portRef E (instanceRef r_w_result_16)) (portRef E (instanceRef r_w_result_15)) (portRef E (instanceRef r_w_result_14)) (portRef E (instanceRef r_w_result_13)) (portRef E (instanceRef r_w_result_12)) (portRef E (instanceRef r_w_result_11)) (portRef E (instanceRef r_w_result_10)) (portRef E (instanceRef r_w_result_9)) (portRef E (instanceRef r_w_result_8)) (portRef E (instanceRef r_w_result_7)) (portRef E (instanceRef r_w_result_6)) (portRef E (instanceRef r_w_result_5)) (portRef E (instanceRef r_w_result_4)) (portRef E (instanceRef r_w_result_3)) (portRef E (instanceRef r_w_result_2)) (portRef E (instanceRef r_w_result_1)) (portRef E (instanceRef r_w_result_0)) (portRef E (instanceRef r_e_alusel_1)) (portRef E (instanceRef r_e_alusel_0)) (portRef E (instanceRef r_m_y_31)) (portRef E (instanceRef r_m_y_30)) (portRef E (instanceRef r_m_y_29)) (portRef E (instanceRef r_m_y_28)) (portRef E (instanceRef r_m_y_27)) (portRef E (instanceRef r_m_y_26)) (portRef E (instanceRef r_m_y_25)) (portRef E (instanceRef r_m_y_24)) (portRef E (instanceRef r_m_y_23)) (portRef E (instanceRef r_m_y_22)) (portRef E (instanceRef r_m_y_21)) (portRef E (instanceRef r_m_y_20)) (portRef E (instanceRef r_m_y_19)) (portRef E (instanceRef r_m_y_18)) (portRef E (instanceRef r_m_y_17)) (portRef E (instanceRef r_m_y_16)) (portRef E (instanceRef r_m_y_15)) (portRef E (instanceRef r_m_y_14)) (portRef E (instanceRef r_m_y_13)) (portRef E (instanceRef r_m_y_12)) (portRef E (instanceRef r_m_y_11)) (portRef E (instanceRef r_m_y_10)) (portRef E (instanceRef r_m_y_9)) (portRef E (instanceRef r_m_y_8)) (portRef E (instanceRef r_m_y_7)) (portRef E (instanceRef r_m_y_6)) (portRef E (instanceRef r_m_y_5)) (portRef E (instanceRef r_m_y_4)) (portRef E (instanceRef r_m_y_3)) (portRef E (instanceRef r_m_y_2)) (portRef E (instanceRef r_m_y_1)) (portRef E (instanceRef r_m_y_0)) (portRef E (instanceRef r_x_y_31)) (portRef E (instanceRef r_x_y_30)) (portRef E (instanceRef r_x_y_29)) (portRef E (instanceRef r_x_y_28)) (portRef E (instanceRef r_x_y_27)) (portRef E (instanceRef r_x_y_26)) (portRef E (instanceRef r_x_y_25)) (portRef E (instanceRef r_x_y_24)) (portRef E (instanceRef r_x_y_23)) (portRef E (instanceRef r_x_y_22)) (portRef E (instanceRef r_x_y_21)) (portRef E (instanceRef r_x_y_20)) (portRef E (instanceRef r_x_y_19)) (portRef E (instanceRef r_x_y_18)) (portRef E (instanceRef r_x_y_17)) (portRef E (instanceRef r_x_y_16)) (portRef E (instanceRef r_x_y_15)) (portRef E (instanceRef r_x_y_14)) (portRef E (instanceRef r_x_y_13)) (portRef E (instanceRef r_x_y_12)) (portRef E (instanceRef r_x_y_11)) (portRef E (instanceRef r_x_y_10)) (portRef E (instanceRef r_x_y_9)) (portRef E (instanceRef r_x_y_8)) (portRef E (instanceRef r_x_y_7)) (portRef E (instanceRef r_x_y_6)) (portRef E (instanceRef r_x_y_5)) (portRef E (instanceRef r_x_y_4)) (portRef E (instanceRef r_x_y_3)) (portRef E (instanceRef r_x_y_2)) (portRef E (instanceRef r_x_y_1)) (portRef E (instanceRef r_x_y_0)) (portRef E (instanceRef r_m_dci_size_1)) (portRef E (instanceRef r_m_dci_size_0)) (portRef E (instanceRef r_e_aluop_2)) (portRef E (instanceRef r_e_aluop_1)) (portRef E (instanceRef r_e_aluop_0)) (portRef E (instanceRef r_x_dci_size_1)) (portRef E (instanceRef r_x_dci_size_0)) (portRef E (instanceRef r_a_rsel1_2)) (portRef E (instanceRef r_a_rsel1_1)) (portRef E (instanceRef r_a_rsel1_0)) (portRef E (instanceRef r_m_dci_asi_4)) (portRef E (instanceRef r_m_dci_asi_3)) (portRef E (instanceRef r_m_dci_asi_2)) (portRef E (instanceRef r_m_dci_asi_1)) (portRef E (instanceRef r_m_dci_asi_0)) (portRef E (instanceRef r_a_rfa1_6)) (portRef E (instanceRef r_a_rfa1_5)) (portRef E (instanceRef r_a_rfa1_4)) (portRef E (instanceRef r_a_rfa1_3)) (portRef E (instanceRef r_a_rfa1_2)) (portRef E (instanceRef r_a_rfa1_1)) (portRef E (instanceRef r_a_rfa1_0)) (portRef E (instanceRef r_x_ctrl_inst_31)) (portRef E (instanceRef r_x_ctrl_inst_30)) (portRef E (instanceRef r_x_ctrl_inst_29)) (portRef E (instanceRef r_x_ctrl_inst_28)) (portRef E (instanceRef r_x_ctrl_inst_27)) (portRef E (instanceRef r_x_ctrl_inst_26)) (portRef E (instanceRef r_x_ctrl_inst_25)) (portRef E (instanceRef r_x_ctrl_inst_24)) (portRef E (instanceRef r_x_ctrl_inst_23)) (portRef E (instanceRef r_x_ctrl_inst_22)) (portRef E (instanceRef r_x_ctrl_inst_21)) (portRef E (instanceRef r_x_ctrl_inst_20)) (portRef E (instanceRef r_x_ctrl_inst_19)) (portRef E (instanceRef r_a_ctrl_inst_31)) (portRef E (instanceRef r_a_ctrl_inst_30)) (portRef E (instanceRef r_a_ctrl_inst_29)) (portRef E (instanceRef r_a_ctrl_inst_28)) (portRef E (instanceRef r_a_ctrl_inst_27)) (portRef E (instanceRef r_a_ctrl_inst_26)) (portRef E (instanceRef r_a_ctrl_inst_25)) (portRef E (instanceRef r_a_ctrl_inst_24)) (portRef E (instanceRef r_a_ctrl_inst_23)) (portRef E (instanceRef r_a_ctrl_inst_22)) (portRef E (instanceRef r_a_ctrl_inst_21)) (portRef E (instanceRef r_a_ctrl_inst_20)) (portRef E (instanceRef r_a_ctrl_inst_19)) (portRef E (instanceRef r_a_ctrl_inst_18)) (portRef E (instanceRef r_a_ctrl_inst_17)) (portRef E (instanceRef r_a_ctrl_inst_14)) (portRef E (instanceRef r_a_ctrl_inst_13)) (portRef E (instanceRef r_a_ctrl_inst_12)) (portRef E (instanceRef r_a_ctrl_inst_11)) (portRef E (instanceRef r_a_ctrl_inst_10)) (portRef E (instanceRef r_a_ctrl_inst_9)) (portRef E (instanceRef r_a_ctrl_inst_8)) (portRef E (instanceRef r_a_ctrl_inst_7)) (portRef E (instanceRef r_a_ctrl_inst_6)) (portRef E (instanceRef r_a_ctrl_inst_5)) (portRef E (instanceRef r_e_ctrl_inst_31)) (portRef E (instanceRef r_e_ctrl_inst_30)) (portRef E (instanceRef r_e_ctrl_inst_29)) (portRef E (instanceRef r_e_ctrl_inst_28)) (portRef E (instanceRef r_e_ctrl_inst_27)) (portRef E (instanceRef r_e_ctrl_inst_26)) (portRef E (instanceRef r_e_ctrl_inst_25)) (portRef E (instanceRef r_e_ctrl_inst_24)) (portRef E (instanceRef r_e_ctrl_inst_23)) (portRef E (instanceRef r_e_ctrl_inst_22)) (portRef E (instanceRef r_e_ctrl_inst_21)) (portRef E (instanceRef r_e_ctrl_inst_20)) (portRef E (instanceRef r_e_ctrl_inst_19)) (portRef E (instanceRef r_e_ctrl_inst_18)) (portRef E (instanceRef r_e_ctrl_inst_17)) (portRef E (instanceRef r_e_ctrl_inst_14)) (portRef E (instanceRef r_e_ctrl_inst_9)) (portRef E (instanceRef r_e_ctrl_inst_8)) (portRef E (instanceRef r_e_ctrl_inst_7)) (portRef E (instanceRef r_e_ctrl_inst_6)) (portRef E (instanceRef r_e_ctrl_inst_5)) (portRef E (instanceRef r_a_imm_31)) (portRef E (instanceRef r_a_imm_30)) (portRef E (instanceRef r_a_imm_29)) (portRef E (instanceRef r_a_imm_28)) (portRef E (instanceRef r_a_imm_27)) (portRef E (instanceRef r_a_imm_26)) (portRef E (instanceRef r_a_imm_25)) (portRef E (instanceRef r_a_imm_24)) (portRef E (instanceRef r_a_imm_23)) (portRef E (instanceRef r_a_imm_22)) (portRef E (instanceRef r_a_imm_21)) (portRef E (instanceRef r_a_imm_20)) (portRef E (instanceRef r_a_imm_19)) (portRef E (instanceRef r_a_imm_18)) (portRef E (instanceRef r_a_imm_17)) (portRef E (instanceRef r_a_imm_16)) (portRef E (instanceRef r_a_imm_15)) (portRef E (instanceRef r_a_imm_14)) (portRef E (instanceRef r_a_imm_13)) (portRef E (instanceRef r_a_imm_12)) (portRef E (instanceRef r_a_imm_11)) (portRef E (instanceRef r_a_imm_10)) (portRef E (instanceRef r_a_imm_9)) (portRef E (instanceRef r_a_imm_8)) (portRef E (instanceRef r_a_imm_7)) (portRef E (instanceRef r_a_imm_6)) (portRef E (instanceRef r_a_imm_5)) (portRef E (instanceRef r_a_imm_4)) (portRef E (instanceRef r_a_imm_3)) (portRef E (instanceRef r_a_imm_2)) (portRef E (instanceRef r_a_imm_1)) (portRef E (instanceRef r_a_imm_0)) (portRef E (instanceRef r_m_ctrl_cnt_1)) (portRef E (instanceRef r_m_ctrl_cnt_0)) (portRef E (instanceRef r_x_ctrl_rd_6)) (portRef E (instanceRef r_x_ctrl_rd_5)) (portRef E (instanceRef r_x_ctrl_rd_4)) (portRef E (instanceRef r_x_ctrl_rd_3)) (portRef E (instanceRef r_x_ctrl_rd_2)) (portRef E (instanceRef r_x_ctrl_rd_1)) (portRef E (instanceRef r_x_ctrl_rd_0)) (portRef E (instanceRef r_m_ctrl_pc_31)) (portRef E (instanceRef r_m_ctrl_pc_30)) (portRef E (instanceRef r_m_ctrl_pc_29)) (portRef E (instanceRef r_m_ctrl_pc_28)) (portRef E (instanceRef r_m_ctrl_pc_27)) (portRef E (instanceRef r_m_ctrl_pc_26)) (portRef E (instanceRef r_m_ctrl_pc_25)) (portRef E (instanceRef r_m_ctrl_pc_24)) (portRef E (instanceRef r_m_ctrl_pc_23)) (portRef E (instanceRef r_m_ctrl_pc_22)) (portRef E (instanceRef r_m_ctrl_pc_21)) (portRef E (instanceRef r_m_ctrl_pc_20)) (portRef E (instanceRef r_m_ctrl_pc_19)) (portRef E (instanceRef r_m_ctrl_pc_18)) (portRef E (instanceRef r_m_ctrl_pc_17)) (portRef E (instanceRef r_m_ctrl_pc_16)) (portRef E (instanceRef r_m_ctrl_pc_15)) (portRef E (instanceRef r_m_ctrl_pc_14)) (portRef E (instanceRef r_m_ctrl_pc_13)) (portRef E (instanceRef r_m_ctrl_pc_12)) (portRef E (instanceRef r_m_ctrl_pc_11)) (portRef E (instanceRef r_m_ctrl_pc_10)) (portRef E (instanceRef r_m_ctrl_pc_9)) (portRef E (instanceRef r_m_ctrl_pc_8)) (portRef E (instanceRef r_m_ctrl_pc_7)) (portRef E (instanceRef r_m_ctrl_pc_6)) (portRef E (instanceRef r_m_ctrl_pc_5)) (portRef E (instanceRef r_m_ctrl_pc_4)) (portRef E (instanceRef r_m_ctrl_pc_3)) (portRef E (instanceRef r_m_ctrl_pc_2)) (portRef E (instanceRef r_x_ctrl_pc_31)) (portRef E (instanceRef r_x_ctrl_pc_30)) (portRef E (instanceRef r_x_ctrl_pc_29)) (portRef E (instanceRef r_x_ctrl_pc_28)) (portRef E (instanceRef r_x_ctrl_pc_27)) (portRef E (instanceRef r_x_ctrl_pc_26)) (portRef E (instanceRef r_x_ctrl_pc_25)) (portRef E (instanceRef r_x_ctrl_pc_24)) (portRef E (instanceRef r_x_ctrl_pc_23)) (portRef E (instanceRef r_x_ctrl_pc_22)) (portRef E (instanceRef r_x_ctrl_pc_21)) (portRef E (instanceRef r_x_ctrl_pc_20)) (portRef E (instanceRef r_x_ctrl_pc_19)) (portRef E (instanceRef r_x_ctrl_pc_18)) (portRef E (instanceRef r_x_ctrl_pc_17)) (portRef E (instanceRef r_x_ctrl_pc_16)) (portRef E (instanceRef r_x_ctrl_pc_15)) (portRef E (instanceRef r_x_ctrl_pc_14)) (portRef E (instanceRef r_x_ctrl_pc_13)) (portRef E (instanceRef r_x_ctrl_pc_12)) (portRef E (instanceRef r_x_ctrl_pc_11)) (portRef E (instanceRef r_x_ctrl_pc_10)) (portRef E (instanceRef r_x_ctrl_pc_9)) (portRef E (instanceRef r_x_ctrl_pc_8)) (portRef E (instanceRef r_x_ctrl_pc_7)) (portRef E (instanceRef r_x_ctrl_pc_6)) (portRef E (instanceRef r_x_ctrl_pc_5)) (portRef E (instanceRef r_x_ctrl_pc_4)) (portRef E (instanceRef r_x_ctrl_pc_3)) (portRef E (instanceRef r_x_ctrl_pc_2)) (portRef E (instanceRef r_e_ctrl_cnt_1)) (portRef E (instanceRef r_e_ctrl_cnt_0)) (portRef E (instanceRef r_e_cwp_2)) (portRef E (instanceRef r_e_cwp_1)) (portRef E (instanceRef r_e_cwp_0)) (portRef E (instanceRef r_m_ctrl_rd_6)) (portRef E (instanceRef r_m_ctrl_rd_5)) (portRef E (instanceRef r_m_ctrl_rd_4)) (portRef E (instanceRef r_m_ctrl_rd_3)) (portRef E (instanceRef r_m_ctrl_rd_2)) (portRef E (instanceRef r_m_ctrl_rd_1)) (portRef E (instanceRef r_m_ctrl_rd_0)) (portRef E (instanceRef r_e_ctrl_pc_31)) (portRef E (instanceRef r_e_ctrl_pc_30)) (portRef E (instanceRef r_e_ctrl_pc_29)) (portRef E (instanceRef r_e_ctrl_pc_28)) (portRef E (instanceRef r_e_ctrl_pc_27)) (portRef E (instanceRef r_e_ctrl_pc_26)) (portRef E (instanceRef r_e_ctrl_pc_25)) (portRef E (instanceRef r_e_ctrl_pc_24)) (portRef E (instanceRef r_e_ctrl_pc_23)) (portRef E (instanceRef r_e_ctrl_pc_22)) (portRef E (instanceRef r_e_ctrl_pc_21)) (portRef E (instanceRef r_e_ctrl_pc_20)) (portRef E (instanceRef r_e_ctrl_pc_19)) (portRef E (instanceRef r_e_ctrl_pc_18)) (portRef E (instanceRef r_e_ctrl_pc_17)) (portRef E (instanceRef r_e_ctrl_pc_16)) (portRef E (instanceRef r_e_ctrl_pc_15)) (portRef E (instanceRef r_e_ctrl_pc_14)) (portRef E (instanceRef r_e_ctrl_pc_13)) (portRef E (instanceRef r_e_ctrl_pc_12)) (portRef E (instanceRef r_e_ctrl_pc_11)) (portRef E (instanceRef r_e_ctrl_pc_10)) (portRef E (instanceRef r_e_ctrl_pc_9)) (portRef E (instanceRef r_e_ctrl_pc_8)) (portRef E (instanceRef r_e_ctrl_pc_7)) (portRef E (instanceRef r_e_ctrl_pc_6)) (portRef E (instanceRef r_e_ctrl_pc_5)) (portRef E (instanceRef r_e_ctrl_pc_4)) (portRef E (instanceRef r_e_ctrl_pc_3)) (portRef E (instanceRef r_e_ctrl_pc_2)) (portRef E (instanceRef r_m_ctrl_inst_31)) (portRef E (instanceRef r_m_ctrl_inst_30)) (portRef E (instanceRef r_m_ctrl_inst_29)) (portRef E (instanceRef r_m_ctrl_inst_28)) (portRef E (instanceRef r_m_ctrl_inst_27)) (portRef E (instanceRef r_m_ctrl_inst_26)) (portRef E (instanceRef r_m_ctrl_inst_25)) (portRef E (instanceRef r_m_ctrl_inst_24)) (portRef E (instanceRef r_m_ctrl_inst_23)) (portRef E (instanceRef r_m_ctrl_inst_22)) (portRef E (instanceRef r_m_ctrl_inst_21)) (portRef E (instanceRef r_m_ctrl_inst_20)) (portRef E (instanceRef r_m_ctrl_inst_19)) (portRef E (instanceRef r_x_rstate_1)) (portRef E (instanceRef r_x_rstate_0)) (portRef E (instanceRef r_m_ctrl_pv)) (portRef E (instanceRef r_m_ctrl_wy)) (portRef E (instanceRef r_x_ctrl_pv)) (portRef E (instanceRef r_x_ctrl_wy)) (portRef E (instanceRef r_d_step)) (portRef E (instanceRef r_e_ctrl_rett)) (portRef E (instanceRef r_e_jmpl)) (portRef E (instanceRef r_m_ctrl_rett)) (portRef E (instanceRef r_x_ctrl_rett)) (portRef E (instanceRef r_m_ctrl_ld)) (portRef E (instanceRef r_x_nerror)) (portRef E (instanceRef r_a_step)) (portRef E (instanceRef r_x_ctrl_ld)) (portRef E (instanceRef r_e_mulstep)) (portRef E (instanceRef r_e_shleft)) (portRef E (instanceRef r_x_intack)) (portRef E (instanceRef r_m_casa)) (portRef E (instanceRef r_m_wcwp)) (portRef E (instanceRef r_m_dci_dsuen)) (portRef E (instanceRef r_e_aluadd)) (portRef E (instanceRef r_e_ldbp1)) (portRef E (instanceRef r_m_dci_read)) (portRef E (instanceRef r_a_ctrl_ld)) (portRef E (instanceRef r_a_ticc)) (portRef E (instanceRef r_e_ctrl_ld)) (portRef E (instanceRef r_w_s_svt)) (portRef E (instanceRef r_e_invop2)) (portRef E (instanceRef r_e_bp)) (portRef E (instanceRef r_m_dci_write)) (portRef E (instanceRef r_m_dci_lock)) (portRef E (instanceRef r_m_dci_signed)) (portRef E (instanceRef r_x_dci_signed)) (portRef E (instanceRef r_m_nalign)) (portRef E (instanceRef r_e_ldbp2)) (portRef E (instanceRef r_e_ctrl_trap)) (portRef E (instanceRef r_a_rfe1)) (portRef E (instanceRef r_m_ctrl_trap)) (portRef E (instanceRef r_e_ymsb)) (portRef E (instanceRef r_e_sari)) (portRef E (instanceRef r_x_annul_all)) (portRef E (instanceRef r_e_ctrl_annul)) (portRef E (instanceRef r_m_ctrl_annul)) (portRef E (instanceRef r_x_ctrl_annul)) (portRef E (instanceRef r_m_ctrl_wicc)) (portRef E (instanceRef r_m_ctrl_wreg)) (portRef E (instanceRef r_x_ctrl_wicc)) (portRef E (instanceRef r_w_s_dwt)) (portRef E (instanceRef r_x_ctrl_wreg)) (portRef E (instanceRef r_e_ctrl_wicc)) (portRef E (instanceRef r_e_ctrl_wreg)) (portRef E (instanceRef r_a_ctrl_annul)) (portRef E (instanceRef r_a_su)) (portRef E (instanceRef r_a_ctrl_pv)) (portRef E (instanceRef r_a_jmpl)) (portRef E (instanceRef r_w_s_et)) (portRef E (instanceRef r_e_su)) (portRef E (instanceRef r_e_ctrl_pv)) (portRef E (instanceRef r_a_bp)) (portRef E (instanceRef r_a_ctrl_rett)) (portRef E (instanceRef r_a_ctrl_wicc)) (portRef E (instanceRef r_a_ctrl_wreg)) (portRef E (instanceRef r_a_ctrl_wy)) (portRef E (instanceRef r_a_et)) (portRef E (instanceRef r_a_nobp)) (portRef E (instanceRef r_a_ctrl_trap)) (portRef E (instanceRef r_e_alucin)) (portRef E (instanceRef r_e_ctrl_wy)) (portRef E (instanceRef r_e_et)) (portRef E (instanceRef r_a_wovf)) (portRef E (instanceRef r_a_wunf)) (portRef E (instanceRef r_d_inull)) (portRef E (instanceRef r_f_branch)) (portRef E (instanceRef r_d_annul)) (portRef E (instanceRef r_m_irqen)) (portRef E (instanceRef r_m_irqen2)) (portRef E (instanceRef r_x_ctrl_trap)) (portRef E (instanceRef r_d_pv)) (portRef E (instanceRef r_m_dci_enaddr)) (portRef B (instanceRef r_x_intack_RNI3VGC)) (portRef S (instanceRef r_w_s_ps_RNO_0)) (portRef S (instanceRef r_a_rfa1_RNIOI9U1_0)) (portRef S (instanceRef r_a_rfe2_RNISE0OL1)) (portRef S (instanceRef r_a_rfa2_RNI5PF11_2)) (portRef S (instanceRef r_a_rfa2_RNIETRB4_4)) (portRef S (instanceRef r_a_rfa2_RNI4BVD4_5)) (portRef S (instanceRef r_a_rfa2_RNIRO2G4_6)) (portRef S (instanceRef r_a_rfa2_RNI71G11_3)) (portRef S (instanceRef r_a_rfa2_RNI19F11_0)) (portRef S (instanceRef r_a_rfa2_RNI3HF11_1)) (portRef C (instanceRef r_x_rstate_RNI29M2O_0)) (portRef B (instanceRef r_x_ctrl_wreg_RNIS7EBQ1)) (portRef S (instanceRef r_w_s_s_RNO_0)) (portRef C (instanceRef ir_pwd_RNO_0)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIRU2I22)) (portRef S (instanceRef r_a_rfa1_RNICHT01_3)) (portRef S (instanceRef r_a_rfa1_RNI9DT01_2)) (portRef S (instanceRef r_a_rfa1_RNI69T01_1)) (portRef A (instanceRef r_x_mexc_1_sqmuxa_i)) (portRef S (instanceRef r_a_rfa1_RNI1D3M2_6)) (portRef S (instanceRef r_a_rfa1_RNIKLSH2_5)) (portRef S (instanceRef r_a_rfa1_RNI9ULD2_4)) (portRef C (instanceRef r_d_inst_0_RNIB2G5NH_29)) (portRef B (instanceRef r_w_s_dwt_RNIGN0S)) (portRef C (instanceRef r_x_ctrl_annul_RNIFF19S)) (portRef A (instanceRef r_a_rfe1_RNIHVJL1)) (portRef C (instanceRef r_w_s_dwt_RNIQV06E)) (portRef A (instanceRef vdsu_asi_1_sqmuxa_0)) (portRef C (instanceRef r_x_debug_RNO_5)) (portRef A (instanceRef r_x_rstate_RNI0VPI1_0)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIRU2I22_0)) (portRef A (instanceRef r_x_mexc_1_sqmuxa_i_0)) (portRef E (instanceRef r_x_rstate_0_1)) (portRef E (instanceRef r_e_shleft_0)) (portRef E (instanceRef r_e_shleft_1)) (portRef E (instanceRef r_x_ctrl_ld_0)) (portRef E (instanceRef r_e_invop2_0)) (portRef E (instanceRef r_e_invop2_1)) (portRef E (instanceRef r_e_ldbp2_0)) (portRef E (instanceRef r_e_ldbp2_1)) (portRef E (instanceRef r_e_ldbp2_2)) (portRef E (instanceRef r_a_rsel2_0_1)) (portRef E (instanceRef r_a_rsel2_1_1)) (portRef E (instanceRef r_a_rsel2_0_0)) (portRef E (instanceRef r_e_aluop_0_2)) (portRef E (instanceRef r_e_aluop_0_1)) (portRef E (instanceRef r_e_aluop_1_1)) (portRef E (instanceRef r_e_aluop_2_1)) (portRef E (instanceRef r_e_aluop_0_0)) (portRef E (instanceRef r_a_rsel1_0_2)) (portRef E (instanceRef r_a_rsel1_1_2)) (portRef E (instanceRef r_a_rsel1_2_2)) (portRef E (instanceRef r_a_rsel1_3_2)) (portRef E (instanceRef r_a_rsel1_0_1)) (portRef E (instanceRef r_a_rsel1_1_1)) (portRef E (instanceRef r_a_rsel1_0_0)) (portRef E (instanceRef r_m_dci_dsuen_0)) (portRef E (instanceRef r_a_ctrl_inst_0_21)) )) (net (rename npc_0_0 "npc_0[0]") (joined (portRef Q (instanceRef r_x_npc_0_0)) (portRef B (instanceRef r_x_npc_0_RNIH8B4T_0)) (portRef S (instanceRef r_x_npc_0_RNIRME41_0)) (portRef S (instanceRef r_x_npc_0_RNIFTT61_0)) (portRef S (instanceRef r_x_npc_0_RNIMDU61_0)) (portRef S (instanceRef r_x_npc_0_RNI9TS61_0)) (portRef S (instanceRef r_x_npc_0_RNI1TR61_0)) (portRef S (instanceRef r_x_npc_0_RNILTU61_0)) (portRef S (instanceRef r_x_npc_0_RNIHDU61_0)) (portRef S (instanceRef r_x_npc_0_RNINB6R_0)) (portRef S (instanceRef r_x_npc_0_RNIO6KU_0)) (portRef S (instanceRef r_x_npc_0_RNI5NE41_0)) (portRef S (instanceRef r_x_npc_0_RNIHNE41_0)) (portRef B (instanceRef r_x_npc_0_RNI7APK_0_0)) (portRef A (instanceRef r_x_npc_0_RNI7APK_5_0)) (portRef B (instanceRef r_x_npc_0_RNI7APK_3_0)) (portRef B (instanceRef r_x_npc_0_RNI7APK_0)) (portRef B (instanceRef r_x_npc_0_RNI7APK_2_0)) (portRef A (instanceRef r_x_npc_0_RNI7APK_4_0)) (portRef B (instanceRef r_x_npc_0_RNI7APK_1_0)) )) (net (rename npc_0_RNIH8B4T_0 "npc_0_RNIH8B4T[0]") (joined (portRef Y (instanceRef r_x_npc_0_RNIH8B4T_0)) (portRef D (instanceRef r_x_npc_0)) (portRef D (instanceRef r_x_npc_0_0)) )) (net (rename npc_1_1 "npc_1[1]") (joined (portRef Q (instanceRef r_x_npc_1_1)) (portRef S (instanceRef r_m_ctrl_pc_RNIQHGF_21)) (portRef S (instanceRef r_x_ctrl_pc_RNI9QGF_12)) (portRef S (instanceRef r_m_ctrl_pc_RNIQPGF_12)) (portRef S (instanceRef r_x_ctrl_pc_RNIB2HF_13)) (portRef S (instanceRef r_m_ctrl_pc_RNIS1HF_13)) (portRef S (instanceRef r_m_ctrl_pc_RNI8IIF_19)) (portRef S (instanceRef r_x_ctrl_pc_RNIL7AE_9)) (portRef S (instanceRef r_m_ctrl_pc_RNI67AE_9)) (portRef S (instanceRef r_x_ctrl_pc_RNI7AGF_20)) (portRef S (instanceRef r_m_ctrl_pc_RNIO9GF_20)) (portRef S (instanceRef r_x_ctrl_pc_RNI7IGF_11)) (portRef S (instanceRef r_m_ctrl_pc_RNIOHGF_11)) (portRef S (instanceRef r_x_ctrl_pc_RNINIIF_19)) (portRef S (instanceRef r_x_ctrl_pc_RNIJQHF_26)) (portRef S (instanceRef r_m_ctrl_pc_RNIM9GF_10)) (portRef S (instanceRef r_m_ctrl_pc_RNI4QHF_26)) (portRef S (instanceRef r_m_ctrl_pc_RNIU9HF_14)) (portRef B (instanceRef r_x_npc_1_RNI1ERTS_1)) (portRef S (instanceRef r_m_ctrl_pc_RNIO6AE_2)) (portRef S (instanceRef r_x_ctrl_pc_RNI77AE_2)) (portRef S (instanceRef r_m_ctrl_pc_RNI2QHF_16)) (portRef S (instanceRef r_x_ctrl_pc_RNIHQHF_16)) (portRef S (instanceRef r_m_ctrl_pc_RNI62IF_27)) )) (net (rename npc_1_RNI1ERTS_1 "npc_1_RNI1ERTS[1]") (joined (portRef Y (instanceRef r_x_npc_1_RNI1ERTS_1)) (portRef D (instanceRef r_x_npc_1)) (portRef D (instanceRef r_x_npc_0_1)) (portRef D (instanceRef r_x_npc_1_1)) )) (net (rename npc_0_1 "npc_0[1]") (joined (portRef Q (instanceRef r_x_npc_0_1)) (portRef S (instanceRef r_x_ctrl_pc_RNIK2IF_27)) (portRef S (instanceRef r_m_ctrl_pc_RNIV9HF_24)) (portRef S (instanceRef r_x_ctrl_pc_RNIEAHF_24)) (portRef S (instanceRef r_x_ctrl_pc_RNI8AGF_30)) (portRef S (instanceRef r_x_ctrl_pc_RNI4AGF_10)) (portRef S (instanceRef r_m_ctrl_pc_RNIRPGF_22)) (portRef S (instanceRef r_x_ctrl_pc_RNIAQGF_22)) (portRef S (instanceRef r_m_ctrl_pc_RNI5AIF_18)) (portRef S (instanceRef r_x_ctrl_pc_RNIKAIF_18)) (portRef S (instanceRef r_m_ctrl_pc_RNI32IF_17)) (portRef S (instanceRef r_x_ctrl_pc_RNII2IF_17)) (portRef S (instanceRef r_x_ctrl_pc_RNIG7AE_7)) (portRef S (instanceRef r_m_ctrl_pc_RNIT6AE_5)) (portRef S (instanceRef r_x_ctrl_pc_RNIC7AE_5)) (portRef S (instanceRef r_m_ctrl_pc_RNI37AE_8)) (portRef S (instanceRef r_x_ctrl_pc_RNII7AE_8)) (portRef A (instanceRef r_x_npc_0_RNI7APK_0_0)) (portRef B (instanceRef r_x_npc_0_RNI7APK_5_0)) (portRef A (instanceRef r_x_npc_0_RNI7APK_3_0)) (portRef A (instanceRef r_x_npc_0_RNI7APK_0)) (portRef A (instanceRef r_x_npc_0_RNI7APK_2_0)) (portRef B (instanceRef r_x_npc_0_RNI7APK_4_0)) (portRef A (instanceRef r_x_npc_0_RNI7APK_1_0)) )) (net (rename rsel1_0_0 "rsel1_0[0]") (joined (portRef Q (instanceRef r_a_rsel1_0_0)) (portRef S (instanceRef r_a_rsel1_0_RNI6IJCT1_0)) (portRef S (instanceRef r_a_rsel1_0_RNISG4A26_0)) (portRef S (instanceRef r_a_rsel1_0_RNI4LFG96_0)) (portRef S (instanceRef r_a_rsel1_0_RNIIC1MK2_0)) (portRef S (instanceRef r_a_rsel1_0_RNIP27I22_0)) (portRef S (instanceRef r_a_rsel1_0_RNISEUMN3_0)) (portRef S (instanceRef r_a_rsel1_0_RNI9JMSO4_0)) (portRef S (instanceRef r_a_rsel1_0_RNIVSS185_0)) (portRef S (instanceRef r_a_rsel1_0_RNI6Q3JH6_0)) (portRef S (instanceRef r_a_rsel1_0_RNIIFPU42_0)) (portRef S (instanceRef r_a_rsel1_0_RNISRBP92_0)) (portRef S (instanceRef r_a_rsel1_0_RNIFRC6O2_0)) (portRef S (instanceRef r_a_rsel1_0_RNIJOS303_0)) (portRef S (instanceRef r_a_rsel1_0_RNI9AKT35_0)) (portRef S (instanceRef r_a_rsel1_0_RNIMIAED3_0)) (portRef S (instanceRef r_a_rsel1_0_RNI92FGI5_0)) (portRef C (instanceRef r_e_ldbp1_RNO_0)) )) (net (rename osel_0 "osel[0]") (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIMCLF11_5)) (portRef D (instanceRef r_a_rsel1_0)) (portRef D (instanceRef r_a_rsel1_0_0)) )) (net (rename rsel1_1_1 "rsel1_1[1]") (joined (portRef Q (instanceRef r_a_rsel1_1_1)) (portRef S (instanceRef r_a_rsel1_1_RNI4QN992_1)) (portRef S (instanceRef r_a_rsel1_1_RNIVJ0L2_1)) (portRef S (instanceRef r_a_rsel1_1_RNILDQ743_1)) (portRef S (instanceRef r_a_rsel1_1_RNIAK0L2_1)) (portRef S (instanceRef r_a_rsel1_1_RNIO2MSQ3_1)) (portRef S (instanceRef r_a_rsel1_1_RNICK0L2_1)) (portRef S (instanceRef r_a_rsel1_1_RNI9A9TE4_1)) (portRef S (instanceRef r_a_rsel1_1_RNIM01L2_1)) (portRef S (instanceRef r_a_rsel1_1_RNI7J0C86_1)) (portRef S (instanceRef r_a_rsel1_1_RNIS01L2_1)) (portRef S (instanceRef r_a_rsel1_1_RNI05H6N6_1)) (portRef S (instanceRef r_a_rsel1_1_RNIERMS2_1)) (portRef S (instanceRef r_a_rsel1_1_RNIG01L2_1)) (portRef S (instanceRef r_a_rsel1_1_RNIF69IV5_1)) (portRef S (instanceRef r_a_rsel1_1_RNIJ01L2_1)) (portRef S (instanceRef r_a_rsel1_1_RNIKAKO66_1)) (portRef S (instanceRef r_a_rsel1_1_RNI5SPS2_1)) (portRef S (instanceRef r_a_rsel1_1_RNIG6DMH2_1)) (portRef S (instanceRef r_a_rsel1_1_RNIF7NS2_1)) (portRef S (instanceRef r_a_rsel1_1_RNIDHLIV1_1)) (portRef S (instanceRef r_a_rsel1_1_RNI1K0L2_1)) (portRef S (instanceRef r_a_rsel1_1_RNIHK0L2_1)) )) (net N_4472 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIMCLF11_0_5)) (portRef D (instanceRef r_a_rsel1_1)) (portRef D (instanceRef r_a_rsel1_0_1)) (portRef D (instanceRef r_a_rsel1_1_1)) )) (net (rename rsel1_0_1 "rsel1_0[1]") (joined (portRef Q (instanceRef r_a_rsel1_0_1)) (portRef S (instanceRef r_a_rsel1_0_RNI101L2_1)) (portRef S (instanceRef r_a_rsel1_0_RNIM01L2_1)) (portRef S (instanceRef r_a_rsel1_0_RNIUG3VK3_1)) (portRef S (instanceRef r_a_rsel1_0_RNIRKR4M4_1)) (portRef S (instanceRef r_a_rsel1_0_RNI1J1A55_1)) (portRef S (instanceRef r_a_rsel1_0_RNIJF8RE6_1)) (portRef S (instanceRef r_a_rsel1_0_RNIJVNS2_1)) (portRef S (instanceRef r_a_rsel1_0_RNIMBOS2_1)) (portRef S (instanceRef r_a_rsel1_0_RNI58QS2_1)) (portRef S (instanceRef r_a_rsel1_0_RNIIJ0L2_1)) (portRef S (instanceRef r_a_rsel1_0_RNISV0L2_1)) (portRef S (instanceRef r_a_rsel1_0_RNI267V12_1)) (portRef S (instanceRef r_a_rsel1_0_RNI96PP62_1)) (portRef S (instanceRef r_a_rsel1_0_RNID9O6L2_1)) (portRef S (instanceRef r_a_rsel1_0_RNI4R1CT2_1)) (portRef S (instanceRef r_a_rsel1_0_RNIG0P515_1)) (portRef S (instanceRef r_a_rsel1_0_RNIRJ0L2_1)) (portRef S (instanceRef r_a_rsel1_0_RNIUKFMA3_1)) (portRef S (instanceRef r_a_rsel1_0_RNIRC2DQ1_1)) (portRef S (instanceRef r_a_rsel1_0_RNIAOJOF5_1)) (portRef S (instanceRef r_a_rsel1_0_RNI201L2_1)) (portRef B (instanceRef r_e_ldbp1_RNO_0)) )) (net (rename rsel1_3_2 "rsel1_3[2]") (joined (portRef Q (instanceRef r_a_rsel1_3_2)) (portRef B (instanceRef r_a_rsel1_3_RNIL903R5_2)) (portRef S (instanceRef r_w_result_RNI0DEA_6)) (portRef B (instanceRef r_a_rsel1_3_RNI3DGF2_2)) (portRef B (instanceRef r_a_rsel1_3_RNIUL09D2_2)) (portRef S (instanceRef r_w_result_RNI2LEA_7)) (portRef B (instanceRef r_a_rsel1_3_RNI4HGF2_2)) (portRef B (instanceRef r_a_rsel1_3_RNI3B5QB2_2)) (portRef S (instanceRef r_w_result_RNIGA95_11)) (portRef B (instanceRef r_a_rsel1_3_RNIDVSC2_2)) (portRef B (instanceRef r_a_rsel1_3_RNI9CFG03_2)) (portRef S (instanceRef r_w_result_RNIOCDA_2)) (portRef S (instanceRef r_w_result_RNIU4EA_5)) (portRef B (instanceRef r_a_rsel1_3_RNIVSFF2_2)) (portRef B (instanceRef r_a_rsel1_3_RNI29GF2_2)) (portRef B (instanceRef r_a_rsel1_3_RNI9ETP42_2)) (portRef B (instanceRef r_a_rsel1_3_RNIB09S82_2)) (portRef S (instanceRef r_w_result_RNIIA95_12)) (portRef B (instanceRef r_a_rsel1_3_RNIEVSC2_2)) (portRef B (instanceRef r_a_rsel1_3_RNI0DHN33_2)) (portRef S (instanceRef r_w_result_RNIQA95_16)) )) (net rs1 (joined (portRef Y (instanceRef r_d_inst_0_RNI4F6M3_16)) (portRef D (instanceRef r_a_rsel1_2)) (portRef B (instanceRef r_e_ctrl_rd_RNIMCLF11_0_5)) (portRef B (instanceRef r_e_ctrl_rd_RNIMCLF11_5)) (portRef B (instanceRef r_d_inst_0_RNISCI85_23)) (portRef D (instanceRef r_a_rsel1_0_2)) (portRef D (instanceRef r_a_rsel1_1_2)) (portRef D (instanceRef r_a_rsel1_2_2)) (portRef D (instanceRef r_a_rsel1_3_2)) )) (net (rename rsel1_2_2 "rsel1_2[2]") (joined (portRef Q (instanceRef r_a_rsel1_2_2)) (portRef B (instanceRef r_a_rsel1_2_RNIHVSC2_2)) (portRef B (instanceRef r_a_rsel1_2_RNIR1DCQ3_2)) (portRef S (instanceRef r_w_result_RNIRA95_17)) (portRef B (instanceRef r_a_rsel1_2_RNIIVSC2_2)) (portRef B (instanceRef r_a_rsel1_2_RNIA90DE4_2)) (portRef S (instanceRef r_w_result_RNI1J95_27)) (portRef B (instanceRef r_a_rsel1_2_RNIM3TC2_2)) (portRef B (instanceRef r_a_rsel1_2_RNI2ANR76_2)) (portRef S (instanceRef r_w_result_RNI5J95_29)) (portRef B (instanceRef r_a_rsel1_2_RNIO3TC2_2)) (portRef B (instanceRef r_a_rsel1_2_RNIS5GLM6_2)) (portRef S (instanceRef r_w_result_RNIJSCA_0)) (portRef S (instanceRef r_w_result_RNITI95_25)) (portRef B (instanceRef r_a_rsel1_2_RNISKFF2_2)) (portRef B (instanceRef r_a_rsel1_2_RNIK3TC2_2)) (portRef B (instanceRef r_a_rsel1_2_RNIJ781V5_2)) (portRef S (instanceRef r_w_result_RNIVI95_26)) (portRef B (instanceRef r_a_rsel1_2_RNIL3TC2_2)) (portRef B (instanceRef r_a_rsel1_2_RNIH1B866_2)) (portRef S (instanceRef r_w_result_RNI3TEA_8)) )) (net (rename rsel1_1_2 "rsel1_1[2]") (joined (portRef Q (instanceRef r_a_rsel1_1_2)) (portRef B (instanceRef r_a_rsel1_1_RNI3LGF2_2)) (portRef B (instanceRef r_a_rsel1_1_RNIMU58H2_2)) (portRef S (instanceRef r_w_result_RNIK4DA_1)) (portRef B (instanceRef r_a_rsel1_1_RNISOFF2_2)) (portRef B (instanceRef r_a_rsel1_1_RNI12G4V1_2)) (portRef S (instanceRef r_w_result_RNIKA95_14)) (portRef S (instanceRef r_w_result_RNIUA95_19)) (portRef S (instanceRef r_w_result_RNIKI95_21)) (portRef S (instanceRef r_w_result_RNI2J95_28)) (portRef B (instanceRef r_a_rsel1_1_RNIEVSC2_2)) (portRef B (instanceRef r_a_rsel1_1_RNIKVSC2_2)) (portRef B (instanceRef r_a_rsel1_1_RNIF3TC2_2)) (portRef B (instanceRef r_a_rsel1_1_RNIM3TC2_2)) (portRef B (instanceRef r_a_rsel1_1_RNIBQ2EK3_2)) (portRef B (instanceRef r_a_rsel1_1_RNIPJIKL4_2)) (portRef B (instanceRef r_a_rsel1_1_RNIEK0P45_2)) (portRef B (instanceRef r_a_rsel1_1_RNID6VAE6_2)) (portRef S (instanceRef r_w_result_RNIOKDA_3)) (portRef S (instanceRef r_w_result_RNIQSDA_4)) (portRef S (instanceRef r_w_result_RNI45FA_9)) )) (net (rename rsel1_0_2 "rsel1_0[2]") (joined (portRef Q (instanceRef r_a_rsel1_0_2)) (portRef S (instanceRef r_w_result_RNIBA95_10)) (portRef S (instanceRef r_w_result_RNIHI95_20)) (portRef B (instanceRef r_a_rsel1_0_RNIT0GF2_2)) (portRef B (instanceRef r_a_rsel1_0_RNIU4GF2_2)) (portRef B (instanceRef r_a_rsel1_0_RNI3PGF2_2)) (portRef B (instanceRef r_a_rsel1_0_RNI9VSC2_2)) (portRef B (instanceRef r_a_rsel1_0_RNID3TC2_2)) (portRef B (instanceRef r_a_rsel1_0_RNIJ61H12_2)) (portRef B (instanceRef r_a_rsel1_0_RNIJKAC62_2)) (portRef B (instanceRef r_a_rsel1_0_RNIIPGOK2_2)) (portRef B (instanceRef r_a_rsel1_0_RNIKQORS2_2)) (portRef B (instanceRef r_a_rsel1_0_RNIV1OK05_2)) (portRef S (instanceRef r_w_result_RNIHA95_13)) (portRef B (instanceRef r_a_rsel1_0_RNICVSC2_2)) (portRef B (instanceRef r_a_rsel1_0_RNIDUE5A3_2)) (portRef A (instanceRef r_e_ldbp1_RNO)) (portRef B (instanceRef r_a_rsel1_0_RNII5TUP1_2)) (portRef B (instanceRef r_a_rsel1_0_RNIGFA8F5_2)) (portRef B (instanceRef r_a_rsel1_0_RNIF3TC2_2)) (portRef S (instanceRef r_w_result_RNILI95_22)) )) (net (rename aluop_0_0 "aluop_0[0]") (joined (portRef Q (instanceRef r_e_aluop_0_0)) (portRef S (instanceRef r_e_aluop_0_RNIVNUU2_0)) (portRef S (instanceRef r_e_aluop_0_RNIR3NV3_0)) (portRef S (instanceRef r_e_aluop_0_RNIRL3M1_0)) (portRef C (instanceRef r_e_aluop_2_RNIGAJ5_0_1)) (portRef B (instanceRef r_e_aluop_2_RNIGAJ5_2_1)) (portRef B (instanceRef r_e_aluop_2_RNIGAJ5_1_1)) (portRef B (instanceRef r_e_aluop_2_RNIGAJ5_1)) (portRef S (instanceRef r_e_aluop_0_RNIR5H85_0)) (portRef A (instanceRef r_e_aluop_2_RNILHN3_1)) (portRef S (instanceRef r_e_aluop_0_RNIB2B85_0)) (portRef A (instanceRef r_e_aluop_0_RNIEAJ5_0)) (portRef A (instanceRef r_e_aluop_0_RNI9K76_0)) (portRef B (instanceRef r_m_y_RNO_8_7)) (portRef S (instanceRef r_e_aluop_0_RNIN4E85_0)) (portRef S (instanceRef r_e_aluop_0_RNIANTU2_0)) (portRef S (instanceRef r_e_aluop_0_RNIHMRU2_0)) (portRef B (instanceRef r_e_aluop_1_RNIFAJ5_1)) (portRef A (instanceRef r_e_aluop_1_RNIFAJ5_0_1)) (portRef C (instanceRef r_m_y_RNO_4_7)) (portRef B (instanceRef r_e_aluop_0_RNIKVOK_0)) (portRef A (instanceRef r_e_aluop_0_RNIEAJ5_0_1)) (portRef A (instanceRef r_e_aluop_0_RNIEAJ5_1)) (portRef A (instanceRef r_m_y_RNO_9_7)) )) (net (rename aluop_0 "aluop[0]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIONJ48_30)) (portRef D (instanceRef r_e_aluop_0)) (portRef D (instanceRef r_e_aluop_0_0)) )) (net (rename aluop_2_1 "aluop_2[1]") (joined (portRef Q (instanceRef r_e_aluop_2_1)) (portRef S (instanceRef r_e_aluop_2_RNIUKHJ1_1)) (portRef S (instanceRef r_e_aluop_2_RNI0SAO2_1)) (portRef S (instanceRef r_e_aluop_2_RNIECBO2_1)) (portRef S (instanceRef r_e_aluop_2_RNIMC042_1)) (portRef S (instanceRef r_e_aluop_2_RNI6SV32_1)) (portRef S (instanceRef r_e_aluop_2_RNIMRAO2_1)) (portRef S (instanceRef r_e_aluop_2_RNILP0U1_1)) (portRef S (instanceRef r_e_aluop_2_RNI7JJD1_1)) (portRef S (instanceRef r_e_aluop_2_RNI5PVT1_1)) (portRef S (instanceRef r_e_aluop_2_RNI526P_1)) (portRef A (instanceRef r_e_aluop_2_RNIGAJ5_0_1)) (portRef C (instanceRef r_e_aluop_2_RNIGAJ5_2_1)) (portRef C (instanceRef r_e_aluop_2_RNIGAJ5_1_1)) (portRef C (instanceRef r_e_aluop_2_RNIGAJ5_1)) (portRef S (instanceRef r_e_aluop_2_RNI5QCI2_1)) (portRef B (instanceRef r_e_aluop_2_RNILHN3_1)) (portRef B (instanceRef r_e_aluop_2_RNINHN3_1)) (portRef S (instanceRef r_e_aluop_2_RNIDO9I2_1)) (portRef S (instanceRef r_e_aluop_2_RNIJ9BI2_1)) )) (net (rename aluop_1 "aluop[1]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIUCPB8_20)) (portRef D (instanceRef r_e_aluop_1)) (portRef D (instanceRef r_e_aluop_0_1)) (portRef D (instanceRef r_e_aluop_1_1)) (portRef D (instanceRef r_e_aluop_2_1)) )) (net (rename aluop_1_1 "aluop_1[1]") (joined (portRef Q (instanceRef r_e_aluop_1_1)) (portRef S (instanceRef r_e_aluop_1_RNIS2JD1_1)) (portRef S (instanceRef r_e_aluop_1_RNIE2ID1_1)) (portRef A (instanceRef r_e_aluop_1_RNIFAJ5_1)) (portRef C (instanceRef r_e_aluop_1_RNIFAJ5_0_1)) (portRef B (instanceRef r_e_aluop_1_RNISSOT_1)) (portRef B (instanceRef r_e_aluop_1_RNI2T0V_1)) (portRef B (instanceRef r_e_aluop_1_RNI71PM_1)) (portRef B (instanceRef r_e_aluop_1_RNIA9PM_1)) (portRef B (instanceRef r_e_aluop_1_RNI1D691_1)) (portRef B (instanceRef r_e_aluop_1_RNI2L691_1)) (portRef B (instanceRef r_e_aluop_1_RNIQ9QM_1)) (portRef B (instanceRef r_e_aluop_1_RNI6ALC_1)) (portRef B (instanceRef r_e_aluop_1_RNIUK0V_1)) (portRef B (instanceRef r_e_aluop_1_RNIEL1V_1)) (portRef B (instanceRef r_e_aluop_1_RNIUGOM_1)) (portRef B (instanceRef r_e_aluop_1_RNI9HD31_1)) (portRef B (instanceRef r_e_aluop_1_RNISS591_1)) (portRef B (instanceRef r_e_aluop_1_RNIIL1V_1)) (portRef B (instanceRef r_e_aluop_1_RNIQ52V_1)) )) (net (rename aluop_0_1 "aluop_0[1]") (joined (portRef Q (instanceRef r_e_aluop_0_1)) (portRef B (instanceRef r_e_aluop_0_RNI1POM_1)) (portRef B (instanceRef r_e_aluop_0_RNIIC591_1)) (portRef B (instanceRef r_e_aluop_0_RNIPK591_1)) (portRef B (instanceRef r_e_aluop_0_RNIT40V_1)) (portRef B (instanceRef r_e_aluop_0_RNILSVU_1)) (portRef B (instanceRef r_e_aluop_0_RNIDC591_1)) (portRef B (instanceRef r_e_aluop_0_RNIPC0V_1)) (portRef B (instanceRef r_e_aluop_0_RNIIPPM_1)) (portRef B (instanceRef r_e_aluop_0_RNIHSVU_1)) (portRef B (instanceRef r_e_aluop_0_RNI11JC_1)) (portRef B (instanceRef r_e_aluop_0_RNI1D691_1)) (portRef B (instanceRef r_e_aluop_0_RNIEAJ5_0_1)) (portRef C (instanceRef r_e_aluop_0_RNIEAJ5_1)) (portRef B (instanceRef r_e_aluop_0_RNI5S491_1)) (portRef B (instanceRef r_e_aluop_0_RNIOK591_1)) (portRef B (instanceRef r_e_aluop_0_RNIDHPM_1)) (portRef C (instanceRef r_e_aluop_0_RNIBBHE_1)) (portRef B (instanceRef r_e_aluop_0_RNIRSOT_1)) (portRef B (instanceRef r_e_aluop_0_RNILHN3_1)) )) (net (rename aluop_0_2 "aluop_0[2]") (joined (portRef Q (instanceRef r_e_aluop_0_2)) (portRef B (instanceRef r_e_aluop_0_RNIQC0V_2)) (portRef B (instanceRef r_e_aluop_0_RNIJPPM_2)) (portRef B (instanceRef r_e_aluop_0_RNIISVU_2)) (portRef B (instanceRef r_e_aluop_0_RNI21JC_2)) (portRef B (instanceRef r_e_aluop_2_RNIGAJ5_0_1)) (portRef A (instanceRef r_e_aluop_2_RNIGAJ5_2_1)) (portRef A (instanceRef r_e_aluop_2_RNIGAJ5_1_1)) (portRef A (instanceRef r_e_aluop_2_RNIGAJ5_1)) (portRef B (instanceRef r_e_aluop_0_RNI2D691_2)) (portRef A (instanceRef r_e_aluop_2_RNINHN3_1)) (portRef B (instanceRef r_e_aluop_0_RNI6S491_2)) (portRef B (instanceRef r_e_aluop_0_RNIPK591_2)) (portRef B (instanceRef r_e_aluop_0_RNIEHPM_2)) (portRef B (instanceRef r_e_aluop_0_RNI71PM_2)) (portRef C (instanceRef r_e_aluop_1_RNIFAJ5_1)) (portRef B (instanceRef r_e_aluop_1_RNIFAJ5_0_1)) (portRef C (instanceRef r_e_aluop_0_RNIEAJ5_0_1)) (portRef B (instanceRef r_e_aluop_0_RNIEAJ5_1)) (portRef B (instanceRef r_e_aluop_0_RNIBNOH_2)) (portRef A (instanceRef r_e_aluop_0_RNIBBHE_1)) (portRef A (instanceRef r_e_aluop_0_RNILHN3_1)) (portRef B (instanceRef r_e_aluop_0_RNIBNOH_0_2)) )) (net (rename aluop_2 "aluop[2]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIKJDV5_30)) (portRef D (instanceRef r_e_aluop_2)) (portRef D (instanceRef r_e_aluop_0_2)) )) (net (rename rsel2_0_0 "rsel2_0[0]") (joined (portRef Q (instanceRef r_a_rsel2_0_0)) (portRef S (instanceRef r_e_op2_RNO_0_6)) (portRef S (instanceRef r_e_op2_RNO_0_26)) (portRef S (instanceRef r_e_op2_RNO_0_12)) (portRef S (instanceRef r_e_op2_RNO_0_13)) (portRef S (instanceRef r_e_op2_RNO_0_11)) (portRef S (instanceRef r_e_op2_RNO_0_31)) (portRef S (instanceRef r_e_op2_RNO_0_28)) (portRef S (instanceRef r_e_op2_RNO_0_21)) (portRef S (instanceRef r_e_op2_RNO_0_17)) (portRef S (instanceRef r_e_op2_RNO_0_30)) (portRef S (instanceRef r_e_op2_RNO_0_27)) (portRef S (instanceRef r_e_op2_RNO_0_7)) (portRef S (instanceRef r_e_op2_RNO_0_29)) (portRef S (instanceRef r_e_op2_RNO_0_19)) (portRef S (instanceRef r_e_op2_RNO_0_5)) (portRef S (instanceRef r_e_op2_RNO_0_8)) (portRef C (instanceRef r_m_ctrl_ld_RNI5U3C)) )) (net (rename inst_0_RNIRP5CH1_13 "inst_0_RNIRP5CH1[13]") (joined (portRef Y (instanceRef r_d_inst_0_RNIRP5CH1_13)) (portRef D (instanceRef r_a_rsel2_0)) (portRef D (instanceRef r_a_rsel2_0_0)) )) (net (rename rsel2_1_1 "rsel2_1[1]") (joined (portRef Q (instanceRef r_a_rsel2_1_1)) (portRef S (instanceRef r_e_op2_RNO_2_6)) (portRef S (instanceRef r_e_op2_RNO_1_24)) (portRef B (instanceRef comb_alu_op_aop2_i_o2_RNO_24)) (portRef S (instanceRef r_e_op2_RNO_1_14)) (portRef S (instanceRef r_e_op2_RNO_2_14)) (portRef S (instanceRef r_a_rsel2_1_RNIBME552_1)) (portRef S (instanceRef r_a_rsel2_1_RNI6GBO2_1)) (portRef S (instanceRef r_e_op2_RNO_2_20)) (portRef S (instanceRef r_e_op2_RNO_1_20)) (portRef S (instanceRef r_e_op2_RNO_1_18)) (portRef S (instanceRef r_e_op2_RNO_2_18)) (portRef S (instanceRef r_e_op2_RNO_2_22)) (portRef S (instanceRef r_e_op2_RNO_1_22)) (portRef S (instanceRef r_e_op2_RNO_2_25)) (portRef S (instanceRef r_e_op2_RNO_1_25)) (portRef S (instanceRef r_e_op2_RNO_2_23)) (portRef S (instanceRef r_e_op2_RNO_2_16)) (portRef S (instanceRef r_e_op2_RNO_2_9)) (portRef S (instanceRef r_e_op2_RNO_1_23)) (portRef S (instanceRef r_e_op2_RNO_1_16)) (portRef S (instanceRef r_e_op2_RNO_1_15)) (portRef S (instanceRef r_e_op2_RNO_1_9)) )) (net (rename inst_0_RNIRP5CH1_0_13 "inst_0_RNIRP5CH1_0[13]") (joined (portRef Y (instanceRef r_d_inst_0_RNIRP5CH1_0_13)) (portRef D (instanceRef r_a_rsel2_1)) (portRef D (instanceRef r_a_rsel2_0_1)) (portRef D (instanceRef r_a_rsel2_1_1)) )) (net (rename rsel2_0_1 "rsel2_0[1]") (joined (portRef Q (instanceRef r_a_rsel2_0_1)) (portRef S (instanceRef r_e_op2_RNO_1_6)) (portRef S (instanceRef r_e_op2_RNO_1_26)) (portRef S (instanceRef r_e_op2_RNO_1_12)) (portRef S (instanceRef r_e_op2_RNO_1_13)) (portRef S (instanceRef r_e_op2_RNO_2_27)) (portRef S (instanceRef r_e_op2_RNO_1_11)) (portRef S (instanceRef r_e_op2_RNO_2_31)) (portRef S (instanceRef r_e_op2_RNO_1_31)) (portRef S (instanceRef r_e_op2_RNO_1_28)) (portRef S (instanceRef r_e_op2_RNO_1_21)) (portRef S (instanceRef r_e_op2_RNO_1_17)) (portRef S (instanceRef r_e_op2_RNO_1_30)) (portRef S (instanceRef r_e_op2_RNO_1_27)) (portRef S (instanceRef r_e_op2_RNO_1_10)) (portRef S (instanceRef r_e_op2_RNO_1_7)) (portRef S (instanceRef r_e_op2_RNO_1_29)) (portRef S (instanceRef r_e_op2_RNO_1_19)) (portRef S (instanceRef r_e_op2_RNO_2_5)) (portRef S (instanceRef r_e_op2_RNO_1_5)) (portRef S (instanceRef r_e_op2_RNO_1_8)) (portRef B (instanceRef r_m_ctrl_ld_RNI5U3C)) (portRef B (instanceRef comb_alu_op_aop2_i_a2_1_RNO_24)) )) (net ldbp2_2 (joined (portRef Q (instanceRef r_e_ldbp2_2)) (portRef S (instanceRef r_e_ldbp2_2_RNIPQBLR2)) (portRef S (instanceRef r_e_ldbp2_2_RNIF75U33)) (portRef S (instanceRef r_e_op2_RNIIB0K_28)) (portRef S (instanceRef r_e_op2_RNIMPPA_7)) (portRef S (instanceRef r_e_ldbp2_2_RNIULM691)) (portRef S (instanceRef r_e_op2_RNIARVJ_24)) (portRef S (instanceRef r_e_ldbp2_2_RNIK3Q43)) (portRef S (instanceRef r_e_ldbp2_2_RNIA8R494)) (portRef S (instanceRef r_e_ldbp2_2_RNI78TJG2)) (portRef S (instanceRef r_e_op2_RNIU6OP_22)) (portRef S (instanceRef r_e_ldbp2_2_RNIU7QJR3)) (portRef S (instanceRef r_e_ldbp2_2_RNIMJ7H8)) (portRef S (instanceRef r_e_ldbp2_2_RNILQ5LS2)) (portRef S (instanceRef r_e_ldbp2_2_RNI370VG3)) (portRef S (instanceRef r_e_op2_RNI8VOP_19)) (portRef S (instanceRef r_e_ldbp2_2_RNIBS7IH)) (portRef S (instanceRef r_e_op2_RNI2JOP_16)) (portRef S (instanceRef r_e_ldbp2_2_RNIK2LC92)) (portRef S (instanceRef r_e_ldbp2_2_RNIK23D9)) )) (net N_401 (joined (portRef Y (instanceRef r_m_ctrl_ld_RNIRO6O6)) (portRef D (instanceRef r_e_ldbp2)) (portRef D (instanceRef r_e_ldbp2_0)) (portRef D (instanceRef r_e_ldbp2_1)) (portRef D (instanceRef r_e_ldbp2_2)) )) (net ldbp2_1 (joined (portRef Q (instanceRef r_e_ldbp2_1)) (portRef S (instanceRef r_e_ldbp2_1_RNIUVD9C1)) (portRef S (instanceRef r_e_ldbp2_1_RNIQOUTO)) (portRef S (instanceRef r_e_ldbp2_1_RNIU4KBE)) (portRef S (instanceRef r_e_ldbp2_1_RNIH55181)) (portRef S (instanceRef r_e_ldbp2_1_RNIEINAM3)) (portRef S (instanceRef r_e_ldbp2_1_RNIQIKQL)) (portRef S (instanceRef r_e_ldbp2_1_RNI684O63)) (portRef S (instanceRef r_e_op2_RNIVAOP_23)) (portRef S (instanceRef r_e_op2_RNIQ2OP_21)) (portRef S (instanceRef r_e_ldbp2_1_RNIBMLCI2)) (portRef S (instanceRef r_e_ldbp2_1_RNIE3BT74)) (portRef S (instanceRef r_e_op2_RNIA9IG_6)) (portRef S (instanceRef r_e_shcnt_RNI1V6M_3)) (portRef S (instanceRef r_e_op2_RNI4THG_3)) (portRef S (instanceRef r_e_ldbp2_1_RNIS0C54)) (portRef S (instanceRef r_e_ldbp2_1_RNIFQI45)) (portRef S (instanceRef r_e_ldbp2_1_RNIFABHV1)) (portRef S (instanceRef r_e_ldbp2_1_RNI8M987)) (portRef S (instanceRef r_e_ldbp2_1_RNIQQ4H82)) )) (net ldbp2_0 (joined (portRef Q (instanceRef r_e_ldbp2_0)) (portRef S (instanceRef r_e_ldbp2_0_RNII09B01)) (portRef S (instanceRef r_e_op2_RNI1PHG_2)) (portRef S (instanceRef r_e_shcnt_RNIUQ6M_0_2)) (portRef S (instanceRef r_e_op2_RNIVKHG_1)) (portRef S (instanceRef r_e_shcnt_RNISM6M_0_1)) (portRef S (instanceRef r_e_op2_RNIT6OP_31)) (portRef S (instanceRef r_e_op2_RNI1NOP_17)) (portRef S (instanceRef r_e_op2_RNIN2OP_12)) (portRef S (instanceRef r_e_op2_RNI1JOP_25)) (portRef S (instanceRef r_e_op2_RNI75IG_5)) (portRef S (instanceRef r_e_op2_RNIJQNP_10)) (portRef S (instanceRef r_e_op2_RNIDHIG_8)) (portRef S (instanceRef r_e_op2_RNI51IG_4)) (portRef S (instanceRef r_e_shcnt_RNI237M_0_4)) (portRef S (instanceRef r_e_ldbp2_0_RNIULJIH3)) (portRef S (instanceRef r_e_shcnt_RNI237M_4)) (portRef S (instanceRef r_e_shcnt_RNI0V6M_3)) (portRef S (instanceRef r_e_shcnt_RNIUQ6M_2)) (portRef S (instanceRef r_e_shcnt_RNISM6M_1)) )) (net invop2_1 (joined (portRef Q (instanceRef r_e_invop2_1)) (portRef S (instanceRef r_e_invop2_1_RNIPRFT1)) (portRef S (instanceRef r_e_invop2_1_RNIT0TOJ2)) (portRef S (instanceRef r_e_invop2_1_RNI18MQG1)) (portRef B (instanceRef r_x_data_0_RNICJ9E_22)) (portRef S (instanceRef r_e_invop2_1_RNIFR42C2)) (portRef S (instanceRef r_e_invop2_1_RNILE245)) (portRef S (instanceRef r_e_invop2_1_RNIOP8SJ)) (portRef S (instanceRef r_e_invop2_1_RNIK23KN1)) (portRef S (instanceRef r_e_invop2_1_RNIDLJE52)) (portRef B (instanceRef r_x_data_0_RNIGF9E_19)) (portRef S (instanceRef r_e_invop2_1_RNI4Q9IA)) (portRef B (instanceRef r_x_data_0_RNIDF9E_16)) (portRef S (instanceRef r_e_invop2_1_RNIT39KC1)) (portRef S (instanceRef r_e_invop2_1_RNI8TRO5)) (portRef S (instanceRef r_e_invop2_1_RNIMPACR)) (portRef S (instanceRef r_e_invop2_1_RNIK279F)) (portRef S (instanceRef r_e_invop2_1_RNI8HPN8)) (portRef S (instanceRef r_e_invop2_1_RNIGHD5O)) (portRef S (instanceRef r_e_invop2_1_RNIK4I072)) (portRef S (instanceRef r_e_invop2_1_RNINLHCD)) (portRef S (instanceRef r_e_invop2_1_RNIC4L2V1)) (portRef B (instanceRef r_x_data_0_RNIDJ9E_23)) )) (net invop2 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI8T4Q3_19)) (portRef D (instanceRef r_e_invop2)) (portRef D (instanceRef r_e_invop2_0)) (portRef D (instanceRef r_e_invop2_1)) )) (net invop2_0 (joined (portRef Q (instanceRef r_e_invop2_0)) (portRef B (instanceRef r_x_data_0_RNIAJ9E_21)) (portRef S (instanceRef r_e_invop2_0_RNIQP9II1)) (portRef S (instanceRef r_e_invop2_0_RNIC42HK2)) (portRef B (instanceRef r_x_data_0_RNI7VS8_6)) (portRef S (instanceRef r_e_invop2_0_RNI60MG2)) (portRef B (instanceRef r_x_data_0_RNI4JS8_3)) (portRef S (instanceRef r_e_invop2_0_RNIOI633)) (portRef S (instanceRef r_e_invop2_0_RNIVSIC71)) (portRef S (instanceRef r_e_invop2_0_RNI9OGD4)) (portRef B (instanceRef r_x_data_0_RNI3FS8_2)) (portRef B (instanceRef r_x_data_0_RNI2BS8_1)) (portRef B (instanceRef r_x_data_0_RNIDN9E_31)) (portRef S (instanceRef r_e_invop2_0_RNIB1ONC1)) (portRef B (instanceRef r_x_data_0_RNIDF9E_17)) (portRef B (instanceRef r_x_data_0_RNI8F9E_12)) (portRef B (instanceRef r_x_data_0_RNIEJ9E_25)) (portRef B (instanceRef r_x_data_0_RNI6RS8_5)) (portRef B (instanceRef r_x_data_0_RNI6F9E_10)) (portRef B (instanceRef r_x_data_0_RNI97T8_8)) (portRef B (instanceRef r_x_data_0_RNI5NS8_4)) (portRef S (instanceRef r_e_invop2_0_RNIOHQF42)) (portRef A (instanceRef r_e_invop2_0_RNIQV5F)) )) (net un497_dbgunit_0 (joined (portRef Y (instanceRef comb_diagread_un497_dbgunit_0)) (portRef B (instanceRef r_x_data_0_RNIBDK91_1)) (portRef B (instanceRef r_m_dci_enaddr_RNIU3FB1_0)) (portRef B (instanceRef r_x_data_0_RNI4PKR2_3)) (portRef B (instanceRef r_x_data_0_RNICHK91_2)) (portRef C (instanceRef r_m_dci_enaddr_RNIU3FB1)) (portRef B (instanceRef r_x_data_0_RNIE1MR2_8)) (portRef B (instanceRef r_x_data_0_RNI14FU2_31)) (portRef B (instanceRef r_x_data_0_RNI7SEU2_27)) (portRef B (instanceRef r_x_data_0_RNIMJEU2_12)) (portRef B (instanceRef r_x_data_0_RNIG9MR2_9)) (portRef B (instanceRef r_x_data_0_RNI61LR2_4)) (portRef B (instanceRef r_x_data_0_RNI0KEU2_17)) (portRef B (instanceRef r_x_data_0_RNIV3FU2_30)) (portRef B (instanceRef r_x_data_0_RNIIJEU2_10)) (portRef B (instanceRef r_x_data_0_RNIOJEU2_13)) (portRef B (instanceRef r_x_data_0_RNI89LR2_5)) (portRef B (instanceRef r_x_data_0_RNICPLR2_7)) (portRef A (instanceRef r_x_data_0_RNIRH17_6)) )) (net (rename data_0_sqmuxa_1Z0Z_0 "data_0_sqmuxa_1_0") (joined (portRef Y (instanceRef comb_diagwr_un147_dbgunit_1)) (portRef A (instanceRef addr_1_sqmuxa)) (portRef A (instanceRef r_x_rstate_RNI5V5I1_0)) (portRef A (instanceRef comb_diagread_un497_dbgunit)) (portRef B (instanceRef data_0_sqmuxa)) (portRef B (instanceRef data_0_sqmuxa_0_0)) (portRef A (instanceRef comb_diagread_un497_dbgunit_0)) )) (net mulstep_0 (joined (portRef Y (instanceRef r_e_mulstep_RNIDG4D_1)) (portRef B (instanceRef r_m_y_RNO_5_17)) (portRef B (instanceRef r_m_y_RNI84TF_1)) (portRef B (instanceRef r_m_y_RNO_1_18)) (portRef B (instanceRef r_m_y_RNO_1_20)) (portRef B (instanceRef r_m_y_RNO_4_26)) (portRef B (instanceRef r_m_y_RNO_1_8)) (portRef B (instanceRef comb_logic_op_y_iv_RNO_4_21)) (portRef B (instanceRef r_m_y_RNO_1_9)) (portRef B (instanceRef r_m_y_RNO_5_28)) (portRef B (instanceRef r_m_y_RNO_4_27)) (portRef B (instanceRef r_m_y_RNO_1_4)) (portRef B (instanceRef r_m_y_RNO_4_19)) (portRef B (instanceRef r_m_y_RNO_4_15)) (portRef B (instanceRef r_m_y_RNO_4_5)) (portRef B (instanceRef r_m_y_RNO_4_1)) (portRef B (instanceRef r_m_y_RNO_1_22)) )) (net mulstep (joined (portRef Q (instanceRef r_e_mulstep)) (portRef A (instanceRef r_e_mulstep_RNIDG4D_2)) (portRef B (instanceRef r_e_mulstep_RNIDG4D_0)) (portRef B (instanceRef r_e_mulstep_RNIDG4D)) (portRef A (instanceRef r_e_mulstep_RNIDG4D_1)) )) (net wy (joined (portRef Q (instanceRef r_e_ctrl_wy)) (portRef D (instanceRef r_m_ctrl_wy)) (portRef B (instanceRef r_e_mulstep_RNIDG4D_2)) (portRef A (instanceRef r_e_mulstep_RNIDG4D_0)) (portRef B (instanceRef r_m_ctrl_wy_RNI8E1D)) (portRef C (instanceRef r_m_ctrl_wy_RNIR5IJ)) (portRef C (instanceRef r_m_ctrl_wy_RNIR5IJ_1)) (portRef A (instanceRef r_e_mulstep_RNIDG4D)) (portRef C (instanceRef r_m_ctrl_wy_RNIR5IJ_2)) (portRef C (instanceRef r_m_ctrl_wy_RNIR5IJ_0)) (portRef B (instanceRef r_m_ctrl_wy_RNI8E1D_0)) (portRef B (instanceRef r_e_mulstep_RNIDG4D_1)) )) (net ld_0 (joined (portRef Q (instanceRef r_x_ctrl_ld_0)) (portRef S (instanceRef r_x_result_RNIMMED_12)) (portRef S (instanceRef r_x_result_RNIQFKA_5)) (portRef S (instanceRef r_x_result_RNI6VED_27)) (portRef S (instanceRef r_x_result_RNIO7KA_4)) (portRef S (instanceRef r_x_result_RNI8VED_28)) (portRef S (instanceRef r_x_result_RNI4VED_26)) (portRef S (instanceRef r_x_result_RNI4NED_19)) (portRef S (instanceRef r_x_result_RNIUVKA_7)) (portRef S (instanceRef r_x_result_RNIUMED_16)) (portRef S (instanceRef r_x_result_RNI07FD_31)) (portRef S (instanceRef r_x_result_RNI2NED_18)) (portRef S (instanceRef r_x_result_RNI0VED_24)) (portRef S (instanceRef r_x_result_RNI0NED_17)) (portRef S (instanceRef r_x_result_RNIIMED_10)) (portRef S (instanceRef r_x_result_RNISUED_22)) (portRef S (instanceRef r_x_result_RNIUUED_23)) (portRef C (instanceRef r_e_ctrl_cnt_RNI3ISC_0)) )) (net ld (joined (portRef Q (instanceRef r_m_ctrl_ld)) (portRef D (instanceRef r_x_ctrl_ld)) (portRef B (instanceRef r_m_ctrl_ld_RNIHU4Q)) (portRef A (instanceRef r_e_ldbp1_RNO_0)) (portRef B (instanceRef r_m_ctrl_ld_RNIG6VD)) (portRef A (instanceRef r_m_ctrl_ld_RNI5U3C)) (portRef D (instanceRef r_x_ctrl_ld_0)) )) (net un462_dbgunit_0 (joined (portRef Y (instanceRef comb_diagread_un462_dbgunit_0)) (portRef C (instanceRef ir_addr_RNI1B191_19)) (portRef C (instanceRef ir_addr_RNIQ6091_30)) (portRef B (instanceRef r_w_s_wim_RNIBII81_1)) (portRef C (instanceRef ir_addr_RNISM091_14)) (portRef C (instanceRef ir_addr_RNIQA091_21)) (portRef B (instanceRef data_9_sqmuxa_1)) (portRef B (instanceRef data_4_sqmuxa_1)) (portRef B (instanceRef data_1_sqmuxa_3)) (portRef B (instanceRef data_3_sqmuxa_1)) (portRef B (instanceRef data_0_sqmuxa_2)) (portRef B (instanceRef r_w_s_wim_RNIAII81_0)) (portRef C (instanceRef ir_addr_RNITQ091_15)) (portRef C (instanceRef ir_addr_RNIO6091_10)) (portRef B (instanceRef data_10_sqmuxa)) (portRef C (instanceRef ir_addr_RNIVU091_26)) (portRef C (instanceRef ir_addr_RNIV2191_17)) (portRef C (instanceRef ir_addr_RNISI091_23)) (portRef C (instanceRef ir_addr_RNIRE091_22)) (portRef C (instanceRef ir_addr_RNI03191_27)) (portRef C (instanceRef ir_addr_RNI07191_18)) (portRef C (instanceRef data_8_sqmuxa)) (portRef B (instanceRef data_4_sqmuxa_1_0)) (portRef B (instanceRef data_3_sqmuxa_1_0_0)) (portRef B (instanceRef data_0_sqmuxa_2_0)) )) (net call_hold5_0 (joined (portRef Y (instanceRef r_d_inst_0_RNI5C23_2_31)) (portRef A (instanceRef r_a_imm_RNO_0)) (portRef A (instanceRef r_a_imm_RNO_1)) (portRef B (instanceRef r_a_imm_RNO_3)) (portRef B (instanceRef r_a_imm_RNO_4)) (portRef B (instanceRef r_a_imm_RNO_5)) (portRef B (instanceRef r_a_imm_RNO_6)) (portRef B (instanceRef r_a_imm_RNO_7)) (portRef B (instanceRef r_a_imm_RNO_9)) (portRef B (instanceRef un1_write_reg30_1_3_RNO_4)) (portRef A (instanceRef r_a_imm_RNO_2)) (portRef S (instanceRef r_a_imm_RNO_14)) (portRef B (instanceRef r_d_annul_RNIP2H4)) (portRef S (instanceRef r_a_imm_RNO_23)) (portRef B (instanceRef r_a_imm_RNO_8)) (portRef S (instanceRef r_a_imm_RNO_29)) (portRef S (instanceRef r_a_imm_RNO_18)) (portRef C (instanceRef r_d_annul_RNIEFND)) (portRef B (instanceRef r_d_inst_0_RNI7S342_31)) )) (net (rename inst_0_31 "inst_0[31]") (joined (portRef Q (instanceRef r_d_inst_0_31)) (portRef D (instanceRef r_a_ctrl_inst_31)) (portRef A (instanceRef r_d_inst_0_RNINSV2_0_31)) (portRef B (instanceRef r_d_inst_0_RNO_0_31)) (portRef C (instanceRef r_d_inst_0_RNIBIL7_31)) (portRef A (instanceRef r_d_inst_0_RNI31OU_31)) (portRef A (instanceRef r_d_inst_0_RNI5C23_31)) (portRef A (instanceRef r_d_inst_0_RNI5C23_1_31)) (portRef B (instanceRef r_d_inst_0_RNI5C23_0_31)) (portRef A (instanceRef r_d_inst_0_RNI5C23_3_31)) (portRef B (instanceRef r_a_nobp_RNO_0)) (portRef A (instanceRef r_d_inst_0_RNIR026_31)) (portRef A (instanceRef r_d_inst_0_RNINSV2_31)) (portRef C (instanceRef r_e_bp_RNITK18)) (portRef A (instanceRef r_d_pv_RNO_7)) (portRef A (instanceRef r_d_inst_0_RNI5C23_2_31)) )) (net (rename inst_0_30 "inst_0[30]") (joined (portRef Q (instanceRef r_d_inst_0_30)) (portRef D (instanceRef r_a_ctrl_inst_30)) (portRef B (instanceRef r_d_inst_0_RNO_0_30)) (portRef S (instanceRef r_d_inst_0_RNI6AJ4_25)) (portRef S (instanceRef r_d_inst_0_RNIAAJ4_29)) (portRef A (instanceRef r_d_inst_0_RNIQQ3D_25)) (portRef A (instanceRef r_d_inst_0_RNIBIL7_31)) (portRef S (instanceRef r_d_inst_0_RNI3AJ4_21)) (portRef S (instanceRef r_d_inst_0_RNI5AJ4_24)) (portRef B (instanceRef r_d_cnt_RNI9TF3_0)) (portRef B (instanceRef r_d_cnt_RNIATF3_1)) (portRef B (instanceRef r_d_inst_0_RNI5C23_31)) (portRef B (instanceRef r_d_inst_0_RNI5C23_1_31)) (portRef A (instanceRef r_d_inst_0_RNI5C23_0_31)) (portRef S (instanceRef r_d_inst_0_RNI9AJ4_28)) (portRef S (instanceRef r_d_inst_0_RNI8AJ4_27)) (portRef S (instanceRef r_d_inst_0_RNI7AJ4_26)) (portRef S (instanceRef r_d_inst_0_RNIAO79_23)) (portRef B (instanceRef r_d_inst_0_RNI5C23_3_31)) (portRef A (instanceRef r_d_inst_0_RNI5823_24)) (portRef C (instanceRef r_d_inst_0_RNI3AJ4_23)) (portRef A (instanceRef r_d_inst_0_RNIES9C_23)) (portRef A (instanceRef r_d_inst_0_0_0_RNI8MM7_21)) (portRef A (instanceRef r_d_pv_RNO_9)) (portRef B (instanceRef r_d_inst_0_RNI5C23_2_31)) )) (net y08_0 (joined (portRef Y (instanceRef r_m_ctrl_wy_RNI8E1D_0)) (portRef B (instanceRef r_m_y_RNO_1_15)) (portRef B (instanceRef r_m_y_RNO_1_27)) (portRef B (instanceRef r_m_y_RNO_1_30)) (portRef B (instanceRef r_m_y_RNO_1_11)) (portRef B (instanceRef r_m_y_RNO_3_16)) (portRef B (instanceRef r_m_y_RNO_1_12)) (portRef B (instanceRef r_m_y_RNO_1_25)) (portRef B (instanceRef r_m_y_RNO_1_3)) (portRef B (instanceRef r_m_y_RNO_1_19)) (portRef B (instanceRef r_m_y_RNO_1_28)) (portRef B (instanceRef r_m_y_RNO_1_26)) (portRef B (instanceRef r_m_y_RNIA6NV_0)) (portRef B (instanceRef r_m_y_RNO_3_1)) (portRef B (instanceRef comb_logic_op_y_iv_RNO_2_21)) (portRef B (instanceRef r_m_y_RNO_3_23)) (portRef B (instanceRef r_m_y_RNO_1_31)) )) (net wy_0 (joined (portRef Q (instanceRef r_m_ctrl_wy)) (portRef D (instanceRef r_x_ctrl_wy)) (portRef A (instanceRef r_m_ctrl_wy_RNI8E1D)) (portRef B (instanceRef r_m_ctrl_wy_RNIR5IJ)) (portRef B (instanceRef r_m_ctrl_wy_RNIR5IJ_1)) (portRef B (instanceRef r_m_ctrl_wy_RNIR5IJ_2)) (portRef B (instanceRef r_m_ctrl_wy_RNIR5IJ_0)) (portRef A (instanceRef r_m_ctrl_wy_RNI8E1D_0)) )) (net wy_1_0 (joined (portRef Y (instanceRef r_m_ctrl_wy_RNIR5IJ_0)) (portRef A (instanceRef r_m_y_RNO_5_20)) (portRef A (instanceRef r_m_y_RNO_3_26)) (portRef A (instanceRef r_m_y_RNO_4_8)) (portRef A (instanceRef comb_logic_op_y_iv_RNO_3_21)) (portRef A (instanceRef r_m_y_RNO_4_9)) (portRef A (instanceRef r_m_y_RNO_3_19)) (portRef B (instanceRef r_m_y_RNO_4_4)) (portRef A (instanceRef r_m_y_RNO_3_15)) (portRef B (instanceRef r_m_y_RNO_1_5)) (portRef B (instanceRef r_m_y_RNO_1_1)) (portRef A (instanceRef r_m_y_RNO_4_22)) (portRef A (instanceRef r_m_y_RNO_3_29)) (portRef A (instanceRef r_m_y_RNO_0_13)) (portRef B (instanceRef r_m_y_RNO_3_2)) (portRef B (instanceRef r_x_y_RNIA2QG1_0)) (portRef A (instanceRef r_m_y_RNO_0_31)) )) (net wy_1 (joined (portRef Q (instanceRef r_x_ctrl_wy)) (portRef B (instanceRef r_x_ctrl_wy_RNI522OU)) (portRef A (instanceRef r_m_ctrl_wy_RNIR5IJ)) (portRef A (instanceRef r_m_ctrl_wy_RNIR5IJ_1)) (portRef A (instanceRef r_x_ctrl_wy_RNI1GLB_0)) (portRef A (instanceRef r_x_ctrl_wy_RNI1GLB)) (portRef A (instanceRef r_m_ctrl_wy_RNIR5IJ_2)) (portRef A (instanceRef r_m_ctrl_wy_RNIR5IJ_0)) )) (net wy_1_0_0 (joined (portRef Y (instanceRef r_m_ctrl_wy_RNIR5IJ_2)) (portRef A (instanceRef r_m_y_RNO_0_27)) (portRef A (instanceRef r_m_y_RNO_3_9)) (portRef A (instanceRef r_m_y_RNO_3_8)) (portRef A (instanceRef r_m_y_RNO_0_30)) (portRef A (instanceRef r_m_y_RNO_0_11)) (portRef A (instanceRef r_m_y_RNO_0_12)) (portRef A (instanceRef r_m_y_RNO_3_6)) (portRef A (instanceRef r_m_y_RNO_0_25)) (portRef A (instanceRef r_m_y_RNO_3_24)) (portRef A (instanceRef r_m_y_RNO_3_18)) (portRef A (instanceRef r_m_y_RNO_3_4)) (portRef A (instanceRef r_m_y_RNO_0_3)) (portRef A (instanceRef r_m_y_RNO_0_19)) (portRef A (instanceRef r_m_y_RNO_0_26)) (portRef A (instanceRef r_m_y_RNO_3_22)) (portRef A (instanceRef comb_logic_op_y_iv_RNO_1_21)) )) (net addr_3_sqmuxa_0 (joined (portRef Y (instanceRef r_x_npc_0_RNI7APK_1_0)) (portRef B (instanceRef ir_addr_RNO_5_27)) (portRef B (instanceRef ir_addr_RNO_5_18)) (portRef B (instanceRef ir_addr_RNO_5_16)) (portRef B (instanceRef ir_addr_RNO_5_3)) (portRef B (instanceRef ir_addr_RNO_5_15)) (portRef B (instanceRef ir_addr_RNO_5_30)) (portRef B (instanceRef ir_addr_RNO_5_10)) (portRef B (instanceRef ir_addr_RNO_5_23)) (portRef B (instanceRef ir_addr_RNO_5_26)) (portRef B (instanceRef ir_addr_RNO_5_20)) (portRef B (instanceRef ir_addr_RNO_5_17)) (portRef B (instanceRef ir_addr_RNO_5_24)) (portRef B (instanceRef ir_addr_RNO_5_5)) (portRef B (instanceRef ir_addr_RNO_5_8)) (portRef B (instanceRef ir_addr_RNO_3_13)) )) (net rstate_7677_d (joined (portRef Y (instanceRef r_x_rstate_0_RNIEO45_0_1)) (portRef B (instanceRef r_x_rstate_0_RNIFVH51_0_1)) (portRef C (instanceRef r_x_rstate_RNIKL40S_0_0)) (portRef C (instanceRef r_x_npc_0_RNI7APK_0_0)) (portRef C (instanceRef r_x_npc_0_RNI7APK_5_0)) (portRef C (instanceRef r_x_npc_0_RNI7APK_3_0)) (portRef C (instanceRef r_x_npc_0_RNI7APK_0)) (portRef A (instanceRef r_x_rstate_0_RNIJKCQ_1)) (portRef A (instanceRef r_w_s_et_RNIKBMG)) (portRef B (instanceRef r_x_debug_RNO_5)) (portRef C (instanceRef r_x_rstate_RNIKL40S_0)) (portRef B (instanceRef r_x_rstate_0_RNIFVH51_1)) (portRef C (instanceRef r_x_npc_0_RNI7APK_2_0)) (portRef C (instanceRef r_x_npc_0_RNI7APK_4_0)) (portRef C (instanceRef r_x_npc_0_RNI7APK_1_0)) )) (net ex_sari_1_1_0_0 (joined (portRef Y (instanceRef r_e_sari_RNIBKJO_0)) (portRef A (instanceRef r_e_shleft_1_RNIIHPD1)) (portRef A (instanceRef r_e_shleft_1_RNI8TOD1)) (portRef A (instanceRef r_e_shleft_1_RNI2LOD1)) (portRef A (instanceRef r_e_shleft_1_RNI0HOD1)) (portRef A (instanceRef r_e_shleft_1_RNIMVI41)) (portRef A (instanceRef r_e_shleft_1_RNIEFI41)) (portRef A (instanceRef r_e_shleft_1_RNIGDPD1)) (portRef A (instanceRef r_e_shleft_0_RNID9PD1)) (portRef A (instanceRef r_e_shleft_0_RNIDDPD1)) (portRef A (instanceRef r_e_shleft_0_RNIB9PD1)) (portRef A (instanceRef r_e_shleft_0_RNI71PD1)) (portRef A (instanceRef r_e_shleft_0_RNI3POD1)) (portRef A (instanceRef r_e_shleft_0_RNITCOD1)) (portRef A (instanceRef r_e_shleft_0_RNI97I41)) (portRef A (instanceRef r_e_shleft_0_RNI5TOD1)) (portRef A (instanceRef r_e_shleft_0_RNIBBI41)) )) (net sari (joined (portRef Q (instanceRef r_e_sari)) (portRef A (instanceRef r_e_sari_RNIBKJO)) (portRef A (instanceRef r_e_sari_RNIBKJO_0)) )) (net ex_sari_1 (joined (portRef Y (instanceRef r_x_data_0_RNI96HK_31)) (portRef B (instanceRef r_e_sari_RNIBKJO)) (portRef B (instanceRef r_e_sari_RNIBKJO_0)) )) (net ldbp1 (joined (portRef Y (instanceRef r_e_ldbp1_RNIMV81)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I13_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I77_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I21_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I21_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I3_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I9_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I15_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I12_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I13_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I10_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I10_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I15_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I17_G0N)) (portRef S (instanceRef r_e_op1_RNIIVB4_8)) (portRef S (instanceRef r_e_op1_RNI65ID_17)) (portRef S (instanceRef r_e_op1_RNIK3C4_9)) (portRef S (instanceRef r_e_op1_RNIEHID_29)) (portRef S (instanceRef r_e_op1_RNI61ID_25)) (portRef S (instanceRef r_e_op1_RNI0PHD_14)) (portRef S (instanceRef r_e_op1_RNI43B4_1)) (portRef S (instanceRef r_e_op1_RNISCHD_20)) (portRef S (instanceRef r_e_op1_RNI0HHD_30)) (portRef S (instanceRef r_e_op1_RNIQCHD_11)) (portRef S (instanceRef r_e_op1_RNISGHD_12)) (portRef S (instanceRef r_e_op1_RNI67B4_2)) (portRef S (instanceRef r_e_op1_RNI2THD_15)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I16_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I16_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_G0N)) (portRef S (instanceRef r_e_op1_RNIA9ID_27)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I28_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I28_P0N)) (portRef S (instanceRef r_e_op1_RNI2LHD_31)) (portRef S (instanceRef r_e_sari_RNIBKJO)) (portRef S (instanceRef r_e_op1_RNI8BB4_3)) (portRef S (instanceRef r_e_op1_RNIAFB4_4)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I5_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I5_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I4_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_G0N)) (portRef S (instanceRef r_e_op1_RNI2VA4_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I2_P0N)) (portRef S (instanceRef r_e_op1_RNIENB4_6)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I7_G0N)) (portRef S (instanceRef r_e_op1_RNIUGHD_21)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I22_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I22_G0N)) (portRef S (instanceRef r_e_op1_RNICDID_28)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I29_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I27_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I27_P0N)) (portRef S (instanceRef r_e_op1_RNI41ID_16)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I17_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I17_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I26_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I8_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I8_G0N)) (portRef S (instanceRef r_e_op1_RNIGRB4_7)) (portRef S (instanceRef r_e_op1_RNI2PHD_23)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I20_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I20_P0N)) (portRef S (instanceRef r_e_op1_RNIADID_19)) (portRef S (instanceRef r_e_op1_RNI89ID_18)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I19_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I19_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I19_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I25_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I25_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I22_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I22_P0N)) (portRef S (instanceRef r_e_op1_RNI4THD_24)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I21_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I21_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I25_G0N)) (portRef S (instanceRef r_e_op1_RNIUKHD_13)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I14_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I14_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I14_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I14_G0N)) (portRef S (instanceRef r_e_op1_RNI85ID_26)) (portRef S (instanceRef r_e_op1_RNIO8HD_10)) (portRef S (instanceRef r_e_op1_RNI0LHD_22)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I23_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I23_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I23_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I18_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I6_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I20_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I20_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I24_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I24_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I24_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I24_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I11_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I6_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I6_G0N)) (portRef S (instanceRef r_e_op1_RNICJB4_5)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I18_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I18_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I18_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I129_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I12_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I8_P0N_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I4_P0N_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I12_P0N_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_P0N_m1_e)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_0_m4)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_m4)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_m4)) (portRef B (instanceRef r_x_data_0_RNIV8B2_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_a1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_a2)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I76_Y_m3_i)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I62_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I82_Y_m3_i)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I52_Y_m3_i)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I60_Y_m3_i)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1_m3_i)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I19_P0N_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a3_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_un1_Ytt_m2_0_a2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a2)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_P0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_m5_0_a3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_m5_0_a3_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I122_Ytt_m2_0_a2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I9_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I1_P0N_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I74_Y_m3_i)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I70_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I122_Y_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I2_P0N_m1_e)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_a0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I1_P0N_a0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I1_G0N_a0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I4_P0N_m1_e)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I3_P0N_m1_e)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I8_P0N_m1_e)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I85_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I2_G0N_m1_e)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_G0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_0_0_tz)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I67_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I130_Y_0)) (portRef S (instanceRef r_e_sari_RNIBKJO_0)) )) (net (rename data_0_sqmuxa_0Z0Z_0 "data_0_sqmuxa_0_0") (joined (portRef Y (instanceRef data_0_sqmuxa_0_0)) (portRef B (instanceRef rfo_m_21)) (portRef B (instanceRef rfo_m_30)) (portRef B (instanceRef rfo_m_19)) (portRef B (instanceRef rfo_m_0)) (portRef B (instanceRef rfo_m_15)) (portRef B (instanceRef rfo_m_22)) (portRef B (instanceRef rfo_m_10)) (portRef B (instanceRef rfo_m_18)) (portRef B (instanceRef rfo_m_14)) (portRef B (instanceRef rfo_m_12)) (portRef B (instanceRef rfo_m_27)) (portRef B (instanceRef r_x_data_0_RNI9SEU2_28)) (portRef B (instanceRef r_x_data_0_RNI3SEU2_25)) (portRef B (instanceRef r_x_data_0_RNI1SEU2_24)) (portRef B (instanceRef r_x_data_0_RNIBSEU2_29)) (portRef A (instanceRef rfo_m_6)) )) (net (rename data_0_sqmuxaZ0Z_0 "data_0_sqmuxa_0") (joined (portRef Y (instanceRef data_0_sqmuxa_0)) (portRef A (instanceRef data_0_sqmuxa)) (portRef A (instanceRef data_0_sqmuxa_0_0)) )) (net y14_0 (joined (portRef Y (instanceRef r_e_mulstep_RNIDG4D)) (portRef A (instanceRef r_m_y_RNO_23)) (portRef A (instanceRef r_m_y_RNO_24)) (portRef A (instanceRef r_m_y_RNO_10)) (portRef A (instanceRef r_m_y_RNO_2)) (portRef A (instanceRef r_m_y_RNO_6)) (portRef A (instanceRef r_m_y_RNO_16)) (portRef A (instanceRef r_m_y_RNO_20)) (portRef A (instanceRef r_m_y_RNO_8)) (portRef A (instanceRef r_m_y_RNO_9)) (portRef A (instanceRef r_m_y_RNO_4)) (portRef A (instanceRef r_m_y_RNO_5)) (portRef A (instanceRef r_m_y_RNO_1)) (portRef A (instanceRef r_m_y_RNO_29)) (portRef C (instanceRef r_m_y_RNO_1_7)) (portRef A (instanceRef r_m_y_RNO_22)) (portRef A (instanceRef r_m_y_RNO_14)) (portRef C (instanceRef r_m_y_RNO_9_7)) (portRef B (instanceRef comb_logic_op_y_iv_RNO_21)) )) (net aluresult_0_sqmuxa_0 (joined (portRef Y (instanceRef r_e_jmpl_RNIRC5C_1)) (portRef A (instanceRef r_e_ldbp2_2_RNIFF8P9)) (portRef A (instanceRef r_e_ldbp2_1_RNIL7AT82)) (portRef A (instanceRef r_e_jmpl_RNI9GG984)) (portRef A (instanceRef r_e_ldbp2_2_RNIP2SI91)) (portRef A (instanceRef r_e_ldbp2_2_RNI69DUH)) (portRef A (instanceRef r_e_ldbp2_1_RNILVP6M)) (portRef A (instanceRef r_e_ldbp2_1_RNI33FK7)) (portRef A (instanceRef r_e_ldbp2_1_RNI1L9473)) (portRef A (instanceRef r_e_ldbp2_1_RNIANGTV1)) (portRef A (instanceRef r_e_ldbp2_1_RNIPHPNE)) (portRef A (instanceRef r_e_ldbp2_1_RNINDHH4)) (portRef A (instanceRef r_e_ldbp2_1_RNI9K3SK3)) (portRef A (instanceRef r_e_ldbp2_2_RNIRG9C46)) (portRef A (instanceRef r_e_ldbp2_1_RNI55HRA6)) (portRef A (instanceRef r_e_ldbp2_RNI40IM4)) (portRef A (instanceRef r_e_ldbp2_RNIHVUGA)) )) (net jmpl (joined (portRef Q (instanceRef r_e_jmpl)) (portRef A (instanceRef r_e_jmpl_RNIRC5C_0)) (portRef C (instanceRef r_e_alusel_RNIRC5C_0)) (portRef C (instanceRef r_e_jmpl_RNI9NOH)) (portRef A (instanceRef r_e_jmpl_RNIRC5C)) (portRef A (instanceRef r_d_inull_RNO_6)) (portRef A (instanceRef r_e_jmpl_RNITN6O_1)) (portRef B (instanceRef r_e_jmpl_RNITN6O)) (portRef C (instanceRef r_e_jmpl_RNI9NOH_0)) (portRef B (instanceRef r_e_jmpl_RNITN6O_0)) (portRef A (instanceRef r_e_jmpl_RNITN6O_2)) (portRef C (instanceRef r_e_alusel_RNIRC5C_0_0)) (portRef A (instanceRef r_e_jmpl_RNIRC5C_1)) )) (net aluresult12 (joined (portRef Y (instanceRef r_e_alusel_RNIJDA9_1_0)) (portRef B (instanceRef r_e_jmpl_RNIRC5C_0)) (portRef C (instanceRef r_e_aluadd_RNI2UTF94)) (portRef S (instanceRef r_m_icc_RNO_3_2)) (portRef C (instanceRef r_e_alusel_RNI2FJL4_0)) (portRef B (instanceRef r_e_jmpl_RNIRC5C_1)) )) (net aluresult_3_sqmuxa_0 (joined (portRef Y (instanceRef r_e_alusel_RNIRC5C_0_0)) (portRef A (instanceRef r_e_alusel_RNIPQBF2_0)) (portRef A (instanceRef r_e_alusel_RNINGGN2_0)) (portRef B (instanceRef r_e_aluop_0_RNIM2922_0)) (portRef B (instanceRef r_e_aluop_0_RNI6FGK5_0)) (portRef B (instanceRef r_e_aluop_RNI2RN66_0)) (portRef B (instanceRef r_e_aluop_RNIUQM66_0)) (portRef B (instanceRef r_e_aluop_RNIIS3U4_0)) (portRef B (instanceRef r_e_aluop_RNIIFIK2_0)) (portRef B (instanceRef r_e_aluop_RNI2E6T3_0)) (portRef B (instanceRef r_e_aluop_0_RNIC31B3_0)) (portRef B (instanceRef r_m_y_RNIOEJ65_1)) (portRef B (instanceRef r_m_y_RNI8UMP5_13)) (portRef A (instanceRef r_w_s_tt_RNIM1QO5_3)) (portRef B (instanceRef r_m_y_RNIDIKJ5_11)) (portRef B (instanceRef r_m_y_RNITCP56_15)) (portRef B (instanceRef r_m_y_RNI1PB87_31)) )) (net (rename alusel_1 "alusel[1]") (joined (portRef Q (instanceRef r_e_alusel_1)) (portRef A (instanceRef r_e_alusel_RNIRC5C_0)) (portRef A (instanceRef r_e_alusel_RNIJDA9_1_0)) (portRef A (instanceRef r_e_alusel_RNIJDA9_0)) (portRef B (instanceRef r_e_alusel_RNIJDA9_0_0)) (portRef A (instanceRef r_m_icc_RNO_20_2)) (portRef A (instanceRef r_e_alusel_RNIRC5C_0_0)) )) (net (rename alusel_0 "alusel[0]") (joined (portRef Q (instanceRef r_e_alusel_0)) (portRef B (instanceRef r_e_alusel_RNIRC5C_0)) (portRef B (instanceRef r_e_alusel_RNIJDA9_1_0)) (portRef B (instanceRef r_e_alusel_RNIJDA9_0)) (portRef A (instanceRef r_e_alusel_RNIJDA9_0_0)) (portRef B (instanceRef r_m_icc_RNO_20_2)) (portRef B (instanceRef r_e_alusel_RNIRC5C_0_0)) )) (net addr_1_sqmuxa_0 (joined (portRef Y (instanceRef r_x_npc_0_RNI7APK_4_0)) (portRef B (instanceRef ir_addr_RNO_4_17)) (portRef B (instanceRef ir_addr_RNO_4_29)) (portRef B (instanceRef ir_addr_RNO_4_7)) (portRef B (instanceRef ir_addr_RNO_4_11)) (portRef B (instanceRef ir_addr_RNO_4_19)) (portRef B (instanceRef ir_addr_RNO_4_26)) (portRef B (instanceRef ir_addr_RNO_4_27)) (portRef B (instanceRef ir_addr_RNO_4_18)) (portRef B (instanceRef ir_addr_RNO_4_16)) (portRef B (instanceRef ir_addr_RNO_4_3)) (portRef B (instanceRef ir_addr_RNO_4_15)) (portRef B (instanceRef ir_addr_RNO_4_30)) (portRef B (instanceRef ir_addr_RNO_4_10)) (portRef B (instanceRef ir_addr_RNO_4_23)) (portRef B (instanceRef ir_addr_RNO_4_20)) )) (net addr_2_sqmuxa_0 (joined (portRef Y (instanceRef r_x_npc_0_RNI7APK_2_0)) (portRef B (instanceRef ir_addr_RNO_6_7)) (portRef B (instanceRef ir_addr_RNO_6_11)) (portRef B (instanceRef ir_addr_RNO_6_19)) (portRef B (instanceRef ir_addr_RNO_6_26)) (portRef B (instanceRef ir_addr_RNO_6_27)) (portRef B (instanceRef ir_addr_RNO_6_18)) (portRef B (instanceRef ir_addr_RNO_6_16)) (portRef B (instanceRef ir_addr_RNO_6_3)) (portRef B (instanceRef ir_addr_RNO_6_15)) (portRef B (instanceRef ir_addr_RNO_6_30)) (portRef B (instanceRef ir_addr_RNO_6_10)) (portRef B (instanceRef ir_addr_RNO_6_23)) (portRef B (instanceRef ir_addr_RNO_6_20)) (portRef B (instanceRef ir_addr_RNO_6_17)) (portRef B (instanceRef ir_addr_RNO_6_24)) )) (net aluresult_7_sqmuxa_0 (joined (portRef Y (instanceRef r_e_aluop_0_RNIBNOH_0_2)) (portRef B (instanceRef r_e_op2_RNITDBQ1_7)) (portRef B (instanceRef r_e_op2_RNIU1VL1_9)) (portRef B (instanceRef r_e_op2_RNII75V1_18)) (portRef B (instanceRef r_e_op2_RNI3B4V1_11)) (portRef B (instanceRef r_e_op2_RNIEJA92_19)) (portRef B (instanceRef r_e_op2_RNIH35V1_26)) (portRef B (instanceRef r_e_ctrl_pc_RNI5M512_25)) (portRef B (instanceRef r_e_op2_RNI5V992_23)) (portRef B (instanceRef r_e_op2_RNIJ5402_8)) (portRef B (instanceRef r_e_op2_RNITM992_12)) (portRef B (instanceRef r_e_op2_RNIBR4V1_15)) (portRef B (instanceRef r_e_op2_RNIJ75V1_27)) (portRef B (instanceRef r_e_ctrl_pc_RNIDQCR1_24)) (portRef B (instanceRef r_e_ctrl_pc_RNI3AVM1_30)) (portRef B (instanceRef r_e_ctrl_pc_RNIPQDR1_28)) (portRef B (instanceRef r_e_ctrl_pc_RNIUP412_31)) )) (net aluresult_9_sqmuxa_1 (joined (portRef Y (instanceRef r_e_jmpl_RNIRC5C)) (portRef B (instanceRef r_e_aluop_0_RNI9NOH_1)) (portRef B (instanceRef r_e_aluop_1_RNIANOH_0_1)) (portRef B (instanceRef r_e_aluop_1_RNIANOH_1)) (portRef C (instanceRef r_e_aluop_1_RNISSOT_1)) (portRef B (instanceRef r_e_aluop_0_RNIBGR61_0)) (portRef A (instanceRef r_e_aluop_0_RNIBNOH_2)) (portRef A (instanceRef r_e_aluop_0_RNIBGR61_1_0)) (portRef B (instanceRef r_e_ctrl_inst_RNI28QA1_19)) (portRef B (instanceRef r_e_ctrl_inst_RNI4T5J_23)) (portRef C (instanceRef r_w_s_wim_RNIUV4P_5)) (portRef C (instanceRef r_e_aluop_0_RNIRSOT_1)) (portRef B (instanceRef r_e_aluop_0_RNIBGR61_0_0)) (portRef A (instanceRef r_e_aluop_0_RNIBNOH_0_2)) )) (net logicout21_1 (joined (portRef Y (instanceRef r_e_aluop_2_RNILHN3_1)) (portRef C (instanceRef r_e_aluop_0_RNIBNOH_2)) (portRef A (instanceRef r_e_aluop_0_RNI3SA9_1)) (portRef C (instanceRef r_e_aluop_0_RNIBNOH_0_2)) )) (net aluresult_10_sqmuxa_0 (joined (portRef Y (instanceRef r_e_aluop_0_RNIBGR61_0_0)) (portRef B (instanceRef r_m_y_RNIP9O71_13)) (portRef B (instanceRef r_m_y_RNIVTO71_28)) (portRef B (instanceRef r_m_y_RNIOTN71_30)) (portRef B (instanceRef r_m_y_RNIC4K91_7)) (portRef B (instanceRef r_m_y_RNIQDO71_14)) (portRef B (instanceRef r_m_y_RNIQ9O71_23)) (portRef B (instanceRef r_m_y_RNI02P71_29)) (portRef B (instanceRef r_m_y_RNI74K91_2)) (portRef B (instanceRef r_m_y_RNIP1O71_31)) (portRef B (instanceRef r_m_y_RNIA4K91_5)) (portRef B (instanceRef r_m_y_RNI84K91_3)) (portRef B (instanceRef r_m_y_RNIR6TB3_0)) (portRef B (instanceRef r_m_y_RNIBGM65_4)) (portRef B (instanceRef r_m_y_RNI0K6U3_9)) (portRef B (instanceRef r_m_y_RNIMI9V3_19)) (portRef B (instanceRef r_m_y_RNIMG4N3_26)) )) (net miscout69 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI2P2L_14)) (portRef A (instanceRef r_e_aluop_0_RNIBGR61_0)) (portRef B (instanceRef r_e_aluop_0_RNIBGR61_1_0)) (portRef A (instanceRef r_e_aluop_0_RNIBGR61_0_0)) )) (net logicout20 (joined (portRef Y (instanceRef r_e_aluop_0_RNIEAJ5_0)) (portRef C (instanceRef r_e_aluop_0_RNIBGR61_0)) (portRef C (instanceRef r_e_aluop_0_RNIBGR61_1_0)) (portRef C (instanceRef r_e_aluop_0_RNIBGR61_0_0)) )) (net bpdata6_0_0 (joined (portRef Y (instanceRef r_e_ctrl_cnt_RNIANRH2_0_0)) (portRef S (instanceRef r_x_result_RNIJGJD3_11)) (portRef S (instanceRef r_x_result_RNIORSC3_19)) (portRef S (instanceRef r_x_result_RNI3OI13_3)) (portRef S (instanceRef r_x_result_RNITSJD3_21)) (portRef S (instanceRef r_x_result_RNIVBI13_2)) (portRef S (instanceRef r_x_result_RNINKK13_8)) (portRef S (instanceRef r_x_result_RNIOIS03_7)) (portRef S (instanceRef r_x_result_RNISURC3_12)) (portRef S (instanceRef r_x_result_RNIMRSC3_26)) (portRef S (instanceRef r_x_result_RNIVSJD3_14)) (portRef S (instanceRef r_x_result_RNIEJSC3_24)) (portRef S (instanceRef r_x_result_RNIGJSC3_17)) (portRef S (instanceRef r_x_result_RNI6BSC3_22)) (portRef S (instanceRef r_x_result_RNIKNSC3_18)) (portRef S (instanceRef r_x_result_RNICJSC3_31)) (portRef S (instanceRef r_x_result_RNIGQR03_5)) )) (net bpdata6_7 (joined (portRef Y (instanceRef r_e_ctrl_cnt_RNI3ISC_0)) (portRef A (instanceRef r_e_ctrl_cnt_RNIANRH2_0)) (portRef A (instanceRef r_e_ctrl_cnt_RNIANRH2_0_0)) )) (net bpdata6_6 (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIGU7Q_3)) (portRef B (instanceRef r_e_ctrl_cnt_RNIANRH2_0)) (portRef B (instanceRef r_e_ctrl_cnt_RNIANRH2_0_0)) )) (net bpdata6_8 (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIN6NA1_0)) (portRef C (instanceRef r_e_ctrl_cnt_RNIANRH2_0)) (portRef C (instanceRef r_e_ctrl_cnt_RNIANRH2_0_0)) )) (net shleft_1 (joined (portRef Q (instanceRef r_e_shleft_1)) (portRef S (instanceRef r_e_shleft_1_RNI6POD1)) (portRef B (instanceRef r_e_shleft_1_RNINS4L)) (portRef S (instanceRef r_e_shleft_1_RNI2HOD1)) (portRef S (instanceRef r_e_shleft_1_RNIINI41)) (portRef S (instanceRef r_e_shleft_1_RNI4HOD1)) (portRef B (instanceRef r_e_shleft_1_RNI3L5L)) (portRef S (instanceRef r_e_shleft_1_RNIGJI41)) (portRef S (instanceRef r_e_shleft_1_RNI6LOD1)) (portRef S (instanceRef r_e_shleft_1_RNIIHPD1)) (portRef S (instanceRef r_e_shleft_1_RNI8TOD1)) (portRef S (instanceRef r_e_shleft_1_RNI2LOD1)) (portRef S (instanceRef r_e_shleft_1_RNI0HOD1)) (portRef S (instanceRef r_e_shleft_1_RNIMVI41)) (portRef S (instanceRef r_e_shleft_1_RNIEFI41)) (portRef B (instanceRef r_e_shleft_1_RNILO4L)) (portRef B (instanceRef r_e_shleft_1_RNILS4L)) (portRef B (instanceRef r_e_shleft_1_RNIJO4L)) (portRef B (instanceRef r_e_shleft_1_RNI97VB)) (portRef B (instanceRef r_e_shleft_1_RNI3RUB)) (portRef B (instanceRef r_e_shleft_1_RNI1NUB)) (portRef S (instanceRef r_e_shleft_1_RNIGDPD1)) )) (net shcnt_1_sqmuxa (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNIII2I1_21)) (portRef D (instanceRef r_e_shleft)) (portRef A (instanceRef r_e_shcnt_RNO_2)) (portRef A (instanceRef r_e_shcnt_RNO_4)) (portRef A (instanceRef r_e_shcnt_RNO_3)) (portRef A (instanceRef r_e_shcnt_RNO_1)) (portRef A (instanceRef r_e_shcnt_RNO_0)) (portRef D (instanceRef r_e_shleft_0)) (portRef D (instanceRef r_e_shleft_1)) )) (net shleft_0 (joined (portRef Q (instanceRef r_e_shleft_0)) (portRef B (instanceRef r_e_shleft_0_RNI6T5L)) (portRef B (instanceRef r_e_shleft_0_RNIUG5L)) (portRef B (instanceRef r_e_shleft_0_RNIM05L)) (portRef B (instanceRef r_e_shleft_0_RNICFVB)) (portRef B (instanceRef r_e_shleft_0_RNI4VUB)) (portRef B (instanceRef r_e_shleft_0_RNISEUB)) (portRef S (instanceRef r_e_shleft_0_RNID9PD1)) (portRef S (instanceRef r_e_shleft_0_RNIDDPD1)) (portRef S (instanceRef r_e_shleft_0_RNIB9PD1)) (portRef S (instanceRef r_e_shleft_0_RNI71PD1)) (portRef S (instanceRef r_e_shleft_0_RNI3POD1)) (portRef S (instanceRef r_e_shleft_0_RNITCOD1)) (portRef S (instanceRef r_e_shleft_0_RNI97I41)) (portRef S (instanceRef r_e_shleft_0_RNISVFP)) (portRef B (instanceRef r_e_shleft_0_RNIOS4L)) (portRef B (instanceRef r_e_shleft_0_RNIO45L)) (portRef B (instanceRef r_e_shleft_0_RNI63VB)) (portRef B (instanceRef r_e_shleft_0_RNIUIUB)) (portRef S (instanceRef r_e_shleft_0_RNI5TOD1)) (portRef S (instanceRef r_e_shleft_0_RNIBBI41)) (portRef B (instanceRef r_e_shleft_0_RNIQ85L)) )) (net edata_3_sqmuxa_0 (joined (portRef Y (instanceRef r_e_aluop_RNI4QSA1_0_1)) (portRef A (instanceRef r_e_op1_RNIOT8F1_9)) (portRef A (instanceRef r_e_op1_RNI8NEO1_24)) (portRef A (instanceRef r_e_op1_RNIS2EO1_10)) (portRef A (instanceRef r_e_op1_RNIGD8F1_5)) (portRef A (instanceRef r_e_op1_RNI6JEO1_23)) (portRef A (instanceRef r_e_op1_RNI2FEO1_13)) (portRef A (instanceRef r_e_op1_RNI4FEO1_22)) (portRef A (instanceRef r_e_op1_RNI07EO1_20)) (portRef A (instanceRef r_e_op1_RNIC3FO1_18)) (portRef A (instanceRef r_e_op1_RNI8REO1_16)) (portRef A (instanceRef r_e_op1_RNIC58F1_3)) (portRef A (instanceRef r_e_op1_RNIE98F1_4)) (portRef B (instanceRef r_e_op1_RNILR9P5_11)) (portRef A (instanceRef r_e_op1_RNI1QIO5_12)) (portRef B (instanceRef r_e_op1_RNI3J6R1_6)) (portRef A (instanceRef r_e_op1_RNIKU5R1_1)) )) (net un1_logicout21_0 (joined (portRef Y (instanceRef r_e_aluop_0_RNI3SA9_1)) (portRef A (instanceRef r_e_aluop_RNI4QSA1_1)) (portRef A (instanceRef r_e_aluop_RNI4QSA1_0_1)) )) (net miscout140 (joined (portRef Y (instanceRef r_e_aluop_RNIPIJN_1)) (portRef B (instanceRef r_e_aluop_RNI4QSA1_1)) (portRef C (instanceRef r_e_ctrl_inst_RNI28QA1_19)) (portRef B (instanceRef r_e_aluop_RNIA5SD2_1)) (portRef B (instanceRef r_e_aluop_RNI05KH3_1)) (portRef B (instanceRef r_e_aluop_RNI4QSA1_0_1)) )) (net fpcwr_6_sqmuxa_0 (joined (portRef Y (instanceRef r_x_rstate_RNICIRC2_1_0)) (portRef S (instanceRef r_w_s_tba_RNO_16)) (portRef S (instanceRef r_w_s_tt_RNO_0)) (portRef S (instanceRef r_w_s_tt_RNO_6)) (portRef S (instanceRef r_w_s_tba_RNO_0)) (portRef S (instanceRef r_w_s_tba_RNO_19)) (portRef S (instanceRef r_w_s_tba_RNO_4)) (portRef S (instanceRef r_w_s_tba_RNO_5)) (portRef S (instanceRef r_w_s_tt_RNO_1)) (portRef S (instanceRef r_w_s_tba_RNO_18)) (portRef S (instanceRef r_w_s_tba_RNO_14)) (portRef S (instanceRef r_w_s_tt_RNO_2)) (portRef S (instanceRef r_w_s_tba_RNO_10)) (portRef S (instanceRef r_w_s_tba_RNO_2)) (portRef S (instanceRef r_w_s_tba_RNO_11)) )) (net (rename data_3_sqmuxaZ0 "data_3_sqmuxa") (joined (portRef Y (instanceRef data_3_sqmuxa)) (portRef A (instanceRef data_3_sqmuxa_1)) (portRef A (instanceRef r_x_rstate_RNICIRC2_2_0)) (portRef A (instanceRef data_3_sqmuxa_1_0_0)) (portRef A (instanceRef r_x_rstate_RNICIRC2_1_0)) )) (net un152_dbgunit (joined (portRef Y (instanceRef comb_diagwr_un152_dbgunit)) (portRef B (instanceRef fpcwr_5_sqmuxa)) (portRef B (instanceRef fpcwr_1_sqmuxa)) (portRef A (instanceRef r_x_rstate_RNICIRC2_3_0)) (portRef A (instanceRef s_y_1_sqmuxa_1)) (portRef B (instanceRef r_x_rstate_RNICIRC2_2_0)) (portRef A (instanceRef r_x_rstate_RNICIRC2_5_0)) (portRef A (instanceRef pc_1_sqmuxa)) (portRef B (instanceRef r_x_rstate_RNIU02E1_0)) (portRef B (instanceRef vdsu_asi_1_sqmuxa_0)) (portRef A (instanceRef pc_1_sqmuxa_0)) (portRef A (instanceRef r_x_rstate_RNICIRC2_4_0)) (portRef B (instanceRef r_x_rstate_RNICIRC2_1_0)) )) (net fpcwr_0_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNIHI881_0_0)) (portRef C (instanceRef r_x_rstate_RNI5V5I1_0)) (portRef B (instanceRef r_x_rstate_RNICIRC2_7_0)) (portRef C (instanceRef r_x_rstate_RNICIRC2_3_0)) (portRef B (instanceRef r_x_rstate_RNICIRC2_6_0)) (portRef C (instanceRef r_x_rstate_RNIRUCN2_0)) (portRef C (instanceRef r_x_rstate_RNICIRC2_2_0)) (portRef C (instanceRef r_x_rstate_RNICIRC2_5_0)) (portRef B (instanceRef r_x_rstate_RNICIRC2_8_0)) (portRef C (instanceRef r_x_rstate_RNICIRC2_4_0)) (portRef C (instanceRef r_x_rstate_RNICIRC2_1_0)) )) (net (rename data_0_sqmuxa_2Z0Z_0 "data_0_sqmuxa_2_0") (joined (portRef Y (instanceRef data_0_sqmuxa_2_0)) (portRef B (instanceRef r_w_s_y_RNIDIG91_9)) (portRef B (instanceRef r_w_s_y_RNI8IG91_4)) (portRef B (instanceRef r_w_s_y_RNIVFG61_29)) (portRef B (instanceRef r_w_s_y_RNIUFG61_19)) (portRef B (instanceRef r_w_s_y_RNIS7G61_17)) (portRef B (instanceRef r_w_s_y_RNICIG91_8)) (portRef B (instanceRef r_w_s_y_RNINBF61_30)) (portRef B (instanceRef r_w_s_y_RNI5IG91_1)) (portRef B (instanceRef r_w_s_y_RNIPRF61_14)) (portRef B (instanceRef r_w_s_y_RNINFF61_21)) (portRef B (instanceRef r_w_s_y_RNIQVF61_15)) (portRef B (instanceRef r_w_s_y_RNI4IG91_0)) (portRef B (instanceRef r_w_s_y_RNITBG61_18)) (portRef B (instanceRef r_w_s_y_RNIOJF61_22)) (portRef B (instanceRef r_w_s_y_RNIEAVA5_10)) (portRef B (instanceRef r_w_s_y_RNIIEVA5_11)) )) (net (rename data_0_sqmuxaZ0Z_1 "data_0_sqmuxa_1") (joined (portRef Y (instanceRef data_0_sqmuxa_1)) (portRef A (instanceRef data_0_sqmuxa_2)) (portRef B (instanceRef r_x_rstate_RNICIRC2_3_0)) (portRef B (instanceRef s_y_1_sqmuxa_1)) (portRef B (instanceRef r_x_rstate_RNICIRC2_4_0)) (portRef A (instanceRef data_0_sqmuxa_2_0)) )) (net (rename data_3_sqmuxa_1Z0Z_0 "data_3_sqmuxa_1_0") (joined (portRef Y (instanceRef data_3_sqmuxa_1_0_0)) (portRef B (instanceRef r_w_s_tba_RNIUUFH2_4)) (portRef B (instanceRef r_w_s_tba_RNIEGAH2_13)) (portRef B (instanceRef r_w_s_tba_RNIC8AH2_12)) (portRef B (instanceRef r_w_s_tt_RNIQKKB2_5)) (portRef B (instanceRef r_w_s_tba_RNI03GH2_5)) (portRef B (instanceRef r_w_s_tba_RNIFGAH2_18)) (portRef B (instanceRef r_w_s_tba_RNIVAFH2_9)) (portRef B (instanceRef r_w_s_tba_RNIQMFH2_2)) (portRef B (instanceRef r_w_s_tba_RNI4BGH2_7)) (portRef B (instanceRef r_w_s_tt_RNI3USF2_6)) (portRef B (instanceRef r_w_s_tt_RNI56TF2_7)) (portRef B (instanceRef r_w_s_tba_RNIMGBH2_17)) (portRef B (instanceRef r_w_s_tba_RNIOIFH2_1)) (portRef B (instanceRef r_w_s_tba_RNISQFH2_3)) )) (net (rename data_4_sqmuxa_1Z0Z_0 "data_4_sqmuxa_1_0") (joined (portRef Y (instanceRef data_4_sqmuxa_1_0)) (portRef A (instanceRef r_f_pc_RNII7741_11)) (portRef A (instanceRef r_f_pc_RNIM7741_15)) (portRef A (instanceRef r_f_pc_RNIH7741_10)) (portRef A (instanceRef r_f_pc_RNIP7741_18)) (portRef A (instanceRef r_f_pc_RNISG7C2_8)) (portRef A (instanceRef r_f_pc_RNIEVMA2_22)) (portRef A (instanceRef r_f_pc_RNIANMA2_20)) (portRef A (instanceRef r_f_pc_RNIG3NA2_23)) (portRef A (instanceRef r_f_pc_RNI28M24_27)) (portRef A (instanceRef r_f_pc_RNIARMA2_12)) (portRef A (instanceRef r_f_pc_RNIKBNA2_25)) (portRef A (instanceRef r_f_pc_RNIUK7C2_9)) (portRef A (instanceRef r_f_pc_RNICRMA2_21)) (portRef A (instanceRef r_f_pc_RNIE3NA2_14)) (portRef A (instanceRef r_f_pc_RNISRNA2_29)) )) (net (rename data_4_sqmuxaZ0 "data_4_sqmuxa") (joined (portRef Y (instanceRef data_4_sqmuxa)) (portRef A (instanceRef data_4_sqmuxa_1)) (portRef B (instanceRef pc_1_sqmuxa)) (portRef B (instanceRef pc_1_sqmuxa_0)) (portRef A (instanceRef data_4_sqmuxa_1_0)) )) (net mresult2_1_sqmuxa_0_0 (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI9GSU1_0)) (portRef A (instanceRef r_m_dci_enaddr_RNI5VE32)) (portRef A (instanceRef r_m_dci_enaddr_RNI87F32)) (portRef A (instanceRef r_m_dci_enaddr_RNIBJF32)) (portRef A (instanceRef r_m_dci_enaddr_RNI63F32)) (portRef A (instanceRef r_m_dci_enaddr_RNICNF32)) (portRef A (instanceRef r_m_dci_enaddr_RNI5NE32)) (portRef A (instanceRef r_m_dci_enaddr_RNI73F32)) (portRef A (instanceRef r_m_dci_enaddr_RNIDRF32)) (portRef A (instanceRef r_m_dci_enaddr_RNIAFF32)) (portRef A (instanceRef r_m_dci_enaddr_RNIK9212)) (portRef A (instanceRef r_m_dci_enaddr_RNII9212)) (portRef A (instanceRef r_m_dci_enaddr_RNIP9212)) (portRef A (instanceRef r_e_op1_RNI2OLG4_5)) (portRef A (instanceRef r_e_op1_RNIVJLG4_4)) (portRef A (instanceRef r_e_op1_RNI5SLG4_6)) (portRef A (instanceRef r_e_op1_RNI8M1K4_10)) )) (net mresult2_1_sqmuxa_0 (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIU3FB1)) (portRef A (instanceRef r_m_dci_enaddr_RNI9GSU1)) (portRef A (instanceRef r_m_dci_enaddr_RNI9GSU1_0)) )) (net mresult2_1_sqmuxa_2 (joined (portRef Y (instanceRef r_m_casa_RNIBCDJ)) (portRef A (instanceRef r_m_dci_enaddr_RNIUOL32)) (portRef A (instanceRef r_m_dci_enaddr_RNIVSL32)) (portRef A (instanceRef r_m_dci_enaddr_RNIFSR02)) (portRef A (instanceRef r_m_dci_enaddr_RNIGSR02)) (portRef A (instanceRef r_m_dci_enaddr_RNISGL32)) (portRef A (instanceRef r_m_dci_enaddr_RNIP4L32)) (portRef A (instanceRef r_m_dci_enaddr_RNI9SR02)) (portRef A (instanceRef r_m_dci_enaddr_RNIASR02)) (portRef A (instanceRef r_m_dci_enaddr_RNIBSR02)) (portRef A (instanceRef r_m_dci_enaddr_RNIDSR02)) (portRef A (instanceRef r_m_dci_enaddr_RNIESR02)) (portRef A (instanceRef r_m_dci_enaddr_RNIHSR02)) (portRef A (instanceRef r_m_dci_enaddr_RNIISR02)) (portRef A (instanceRef r_m_dci_enaddr_RNITKL32)) (portRef A (instanceRef r_m_dci_enaddr_RNIQ8L32)) (portRef A (instanceRef r_m_dci_enaddr_RNIO0L32)) (portRef A (instanceRef r_m_dci_enaddr_RNICSR02)) (portRef A (instanceRef r_m_dci_enaddr_RNIRCL32)) (portRef B (instanceRef r_m_dci_enaddr_RNI9GSU1)) (portRef B (instanceRef r_m_dci_enaddr_RNI9GSU1_0)) )) (net rstate_3_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNIFVH51_1)) (portRef A (instanceRef r_f_pc_RNO_15_13)) (portRef A (instanceRef ir_addr_RNI4HM71_3)) (portRef A (instanceRef r_f_pc_RNO_12_24)) (portRef A (instanceRef r_f_pc_RNO_12_15)) (portRef A (instanceRef ir_addr_RNI3HM71_2)) (portRef A (instanceRef r_f_pc_RNO_12_23)) (portRef A (instanceRef r_f_pc_RNO_12_30)) (portRef A (instanceRef r_f_pc_RNO_12_29)) (portRef A (instanceRef r_f_pc_RNO_12_31)) (portRef A (instanceRef r_f_pc_RNO_12_20)) (portRef A (instanceRef r_f_pc_RNO_12_26)) (portRef A (instanceRef r_f_pc_RNO_13_17)) (portRef A (instanceRef r_f_pc_RNO_12_14)) (portRef A (instanceRef ir_addr_RNIS1CC2_7)) (portRef B (instanceRef r_f_pc_RNO_15_28)) )) (net pwd_0_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNI17D01_0)) (portRef A (instanceRef r_x_rstate_0_RNIFVH51_0_1)) (portRef B (instanceRef ir_pwd_RNO)) (portRef B (instanceRef ir_pwd_RNO_1)) (portRef A (instanceRef r_x_rstate_0_RNIFVH51_1)) )) (net mresult2_2_sqmuxa_1_0 (joined (portRef Y (instanceRef r_m_casa_RNINSBP_0)) (portRef A (instanceRef r_e_op1_RNI6AERK3_15)) (portRef A (instanceRef r_e_op1_RNIP9OHL4_19)) (portRef A (instanceRef r_e_op1_RNI11BNB2_7)) (portRef A (instanceRef r_e_op1_RNIKAG962_4)) (portRef A (instanceRef r_e_op1_RNIRRLIM6_29)) (portRef A (instanceRef r_e_op1_RNI24BLF5_23)) (portRef A (instanceRef r_e_op1_RNIDS48E6_28)) (portRef A (instanceRef r_e_op1_RNI10TO76_27)) (portRef A (instanceRef r_e_op1_RNIJV50R5_24)) (portRef A (instanceRef r_e_op1_RNI1OL1V1_1)) (portRef A (instanceRef r_e_op1_RNIEA6M45_21)) (portRef A (instanceRef r_e_op1_RNIH5G5F5_22)) (portRef A (instanceRef r_e_op1_RNIGNG566_26)) (portRef A (instanceRef r_e_op1_RNI743N42_2)) (portRef A (instanceRef r_e_op1_RNIEKK2A3_13)) (portRef A (instanceRef r_e_op1_RNISB66D2_6)) )) (net dsuen_0_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNIFHDF_0)) (portRef S (instanceRef r_m_dci_write_RNO)) (portRef C (instanceRef r_e_ctrl_annul_RNI5LDBF1)) (portRef S (instanceRef r_e_ctrl_inst_RNIJ56L1_21)) (portRef A (instanceRef r_m_casa_RNINSBP)) (portRef S (instanceRef r_m_dci_read_RNO)) (portRef C (instanceRef dsur_crdy_RNIEAJK1_2)) (portRef C (instanceRef r_m_dci_size_RNO_0)) (portRef C (instanceRef r_m_dci_size_RNO_1)) (portRef A (instanceRef r_e_ctrl_annul_RNI8PG01)) (portRef A (instanceRef r_m_casa_RNINSBP_0)) )) (net aluresult_1_sqmuxa_0 (joined (portRef Y (instanceRef r_e_jmpl_RNITN6O_2)) (portRef B (instanceRef r_e_jmpl_RNI6HR0U)) (portRef B (instanceRef r_e_jmpl_RNI0D43O)) (portRef B (instanceRef r_e_jmpl_RNIPAIKO)) (portRef B (instanceRef r_e_jmpl_RNIBIURN)) (portRef B (instanceRef r_e_jmpl_RNID5ORO)) (portRef B (instanceRef r_e_jmpl_RNIQTBKP)) (portRef B (instanceRef r_e_jmpl_RNIJRP5Q)) (portRef B (instanceRef r_e_jmpl_RNIF3SVM)) (portRef B (instanceRef r_e_jmpl_RNIJH6CM)) (portRef B (instanceRef r_e_jmpl_RNIUT8CJ1)) (portRef B (instanceRef r_e_jmpl_RNIPN9TJ1)) (portRef B (instanceRef r_e_jmpl_RNI5UICO1)) (portRef B (instanceRef r_e_jmpl_RNI1OKVM1)) (portRef B (instanceRef r_e_jmpl_RNI7E24M1)) (portRef B (instanceRef r_e_jmpl_RNIPOVGQ1)) (portRef A (instanceRef r_e_jmpl_RNIJKGAN)) )) (net aluresult_1_sqmuxa_0_0 (joined (portRef Y (instanceRef r_e_alusel_RNIJDA9_0_0)) (portRef B (instanceRef r_e_jmpl_RNITN6O_1)) (portRef C (instanceRef r_e_jmpl_RNITN6O)) (portRef C (instanceRef r_e_jmpl_RNITN6O_0)) (portRef B (instanceRef r_e_jmpl_RNITN6O_2)) )) (net (rename ex_shcnt_1_0 "ex_shcnt_1[0]") (joined (portRef Y (instanceRef r_e_shcnt_RNI2B1C_0)) (portRef C (instanceRef r_e_jmpl_RNITN6O_1)) (portRef A (instanceRef r_e_jmpl_RNITN6O)) (portRef A (instanceRef r_e_jmpl_RNITN6O_0)) (portRef C (instanceRef r_e_jmpl_RNITN6O_2)) )) (net fpcwr_3_sqmuxa_0 (joined (portRef Y (instanceRef r_x_rstate_RNICIRC2_4_0)) (portRef A (instanceRef r_w_s_y_RNO_2_19)) (portRef A (instanceRef r_w_s_y_RNO_2_8)) (portRef A (instanceRef r_w_s_y_RNO_2_15)) (portRef A (instanceRef r_w_s_y_RNO_2_29)) (portRef A (instanceRef r_w_s_y_RNO_2_21)) (portRef A (instanceRef r_w_s_y_RNO_2_13)) (portRef A (instanceRef r_w_s_y_RNO_2_7)) (portRef A (instanceRef r_w_s_y_RNO_2_28)) (portRef A (instanceRef r_w_s_y_RNO_2_17)) (portRef A (instanceRef r_w_s_y_RNO_2_1)) (portRef A (instanceRef r_w_s_y_RNO_2_5)) (portRef A (instanceRef r_w_s_y_RNO_2_22)) (portRef A (instanceRef r_w_s_y_RNO_2_18)) (portRef A (instanceRef r_w_s_y_RNO_2_20)) (portRef A (instanceRef r_w_s_y_RNO_0_23)) (portRef A (instanceRef r_w_s_y_RNO_0_2)) )) (net fpcwr_8_sqmuxa_0 (joined (portRef Y (instanceRef r_x_rstate_RNICIRC2_8_0)) (portRef A (instanceRef ir_addr_RNO_1_28)) (portRef A (instanceRef ir_addr_RNO_1_5)) (portRef A (instanceRef ir_addr_RNO_1_24)) (portRef A (instanceRef ir_addr_RNO_1_17)) (portRef A (instanceRef ir_addr_RNO_1_20)) (portRef A (instanceRef ir_addr_RNO_1_23)) (portRef A (instanceRef ir_addr_RNO_1_10)) (portRef A (instanceRef ir_addr_RNO_1_3)) (portRef A (instanceRef ir_addr_RNO_1_27)) (portRef A (instanceRef ir_addr_RNO_1_29)) (portRef A (instanceRef ir_addr_RNO_1_31)) (portRef A (instanceRef ir_addr_RNO_1_22)) (portRef A (instanceRef ir_addr_RNO_1_2)) (portRef A (instanceRef ir_addr_RNO_1_14)) (portRef A (instanceRef ir_addr_RNO_1_21)) )) (net (rename fpcwr_5_sqmuxaZ0 "fpcwr_5_sqmuxa") (joined (portRef Y (instanceRef fpcwr_5_sqmuxa)) (portRef B (instanceRef r_x_rstate_RNICIRC2_0_0)) (portRef A (instanceRef r_x_rstate_RNICIRC2_7_0)) (portRef A (instanceRef r_x_rstate_RNICIRC2_8_0)) )) (net aluresult_2_sqmuxa_0 (joined (portRef Y (instanceRef r_e_jmpl_RNITN6O_0)) (portRef B (instanceRef r_e_jmpl_RNIUI18K_0)) (portRef B (instanceRef r_e_jmpl_RNIHT1IV_0)) (portRef B (instanceRef r_e_jmpl_RNINLT9S)) (portRef B (instanceRef r_e_jmpl_RNIJ9FPU)) (portRef B (instanceRef r_e_jmpl_RNILK72T_0)) (portRef B (instanceRef r_e_jmpl_RNI0KDUQ_0)) (portRef B (instanceRef r_e_jmpl_RNIPAIKO_0)) (portRef B (instanceRef r_e_jmpl_RNIJH6CM_0)) (portRef B (instanceRef r_e_jmpl_RNIJKGAN_0)) (portRef B (instanceRef r_e_jmpl_RNI636DP_0)) (portRef B (instanceRef r_e_jmpl_RNIBIURN_0)) (portRef B (instanceRef r_e_jmpl_RNIJRP5Q_0)) (portRef B (instanceRef r_e_jmpl_RNIF3SVM_0)) (portRef B (instanceRef r_e_jmpl_RNI6I9O21)) (portRef B (instanceRef r_e_jmpl_RNIS5K4K2)) (portRef A (instanceRef r_e_jmpl_RNID5ORO_0)) )) (net un1_aop2_1_sqmuxa_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNIJQ3D3_21)) (portRef B (instanceRef r_e_op2_RNO_2)) (portRef B (instanceRef r_e_op2_RNO_6)) (portRef B (instanceRef r_e_op2_RNO_26)) (portRef B (instanceRef r_e_op2_RNO_12)) (portRef B (instanceRef r_e_op2_RNO_13)) (portRef B (instanceRef r_e_op2_RNO_11)) (portRef B (instanceRef r_e_op2_RNO_30)) (portRef B (instanceRef r_e_op2_RNO_27)) (portRef B (instanceRef r_e_op2_RNO_7)) (portRef B (instanceRef r_e_op2_RNO_4)) (portRef B (instanceRef r_e_op2_RNO_3)) (portRef B (instanceRef r_e_op2_RNO_0)) (portRef B (instanceRef r_e_op2_RNO_29)) (portRef B (instanceRef r_e_op2_RNO_19)) (portRef B (instanceRef r_e_op2_RNO_1)) (portRef B (instanceRef r_e_op2_RNO_5)) (portRef B (instanceRef r_e_op2_RNO_8)) )) (net N_487 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI053A1_19)) (portRef A (instanceRef r_a_ctrl_inst_RNI8T4Q3_19)) (portRef A (instanceRef r_a_ctrl_inst_RNI6P4J3_21)) (portRef B (instanceRef r_a_ctrl_inst_RNI5H3O1_19)) (portRef A (instanceRef r_a_ctrl_inst_0_RNIJQ3D3_21)) )) (net N_209 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI3C122_30)) (portRef C (instanceRef r_a_ctrl_inst_RNI8T4Q3_19)) (portRef C (instanceRef r_a_ctrl_inst_RNI6P4J3_21)) (portRef C (instanceRef r_a_ctrl_inst_0_RNIJQ3D3_21)) )) (net xc_trap_address_2_sqmuxa_0 (joined (portRef Y (instanceRef r_x_rstate_RNIAOP33_0)) (portRef A (instanceRef r_f_pc_RNO_14_24)) (portRef A (instanceRef r_f_pc_RNO_14_15)) (portRef A (instanceRef r_x_rstate_RNILHV53_0)) (portRef A (instanceRef r_f_pc_RNO_14_23)) (portRef A (instanceRef r_f_pc_RNO_14_30)) (portRef A (instanceRef r_f_pc_RNO_14_29)) (portRef A (instanceRef r_f_pc_RNO_14_31)) (portRef A (instanceRef r_f_pc_RNO_14_20)) (portRef A (instanceRef r_f_pc_RNO_14_26)) (portRef A (instanceRef r_f_pc_RNO_15_17)) (portRef A (instanceRef r_f_pc_RNO_14_14)) (portRef A (instanceRef r_f_pc_RNO_8_19)) (portRef A (instanceRef r_f_pc_RNO_12_28)) (portRef B (instanceRef r_f_pc_RNO_13_13)) (portRef B (instanceRef r_f_pc_RNO_12_27)) )) (net (rename pc_1_sqmuxaZ0Z_0 "pc_1_sqmuxa_0") (joined (portRef Y (instanceRef pc_1_sqmuxa_0)) (portRef C (instanceRef r_f_pc_RNI6GD53_10)) (portRef C (instanceRef r_f_pc_RNO_11_25)) (portRef C (instanceRef r_f_pc_RNO_11_13)) (portRef C (instanceRef r_f_pc_RNIAJQ43_3)) (portRef C (instanceRef r_f_pc_RNO_9_24)) (portRef C (instanceRef r_f_pc_RNO_9_15)) (portRef C (instanceRef r_f_pc_RNI9FQ43_2)) (portRef C (instanceRef r_f_pc_RNO_9_23)) (portRef C (instanceRef r_f_pc_RNO_9_30)) (portRef C (instanceRef r_f_pc_RNO_9_29)) (portRef C (instanceRef r_f_pc_RNO_9_31)) (portRef C (instanceRef r_f_pc_RNO_9_20)) (portRef C (instanceRef r_f_pc_RNO_9_26)) (portRef C (instanceRef r_f_pc_RNO_11_17)) (portRef C (instanceRef r_f_pc_RNO_9_14)) (portRef A (instanceRef r_x_rstate_RNIAOP33_0_0)) (portRef A (instanceRef r_x_rstate_RNIAOP33_0)) )) (net N_4708_0 (joined (portRef Y (instanceRef r_x_rstate_RNI17D01_0_0)) (portRef A (instanceRef r_f_pc_RNO_11_25)) (portRef A (instanceRef r_f_pc_RNO_11_13)) (portRef A (instanceRef r_f_pc_RNIAJQ43_3)) (portRef A (instanceRef r_f_pc_RNO_9_24)) (portRef A (instanceRef r_f_pc_RNO_9_15)) (portRef A (instanceRef r_f_pc_RNI9FQ43_2)) (portRef A (instanceRef r_f_pc_RNO_9_23)) (portRef A (instanceRef r_f_pc_RNO_9_30)) (portRef A (instanceRef r_f_pc_RNO_9_29)) (portRef A (instanceRef r_f_pc_RNO_9_31)) (portRef A (instanceRef r_f_pc_RNO_9_20)) (portRef A (instanceRef r_f_pc_RNO_9_26)) (portRef A (instanceRef r_f_pc_RNO_11_17)) (portRef A (instanceRef r_f_pc_RNO_9_14)) (portRef B (instanceRef r_x_rstate_RNIAOP33_0_0)) (portRef C (instanceRef r_x_rstate_0_RNIQK206_1)) (portRef B (instanceRef r_x_rstate_RNIAOP33_0)) )) (net ex_bpmiss_1_0 (joined (portRef Y (instanceRef r_e_bp_RNIQ1ES3)) (portRef A (instanceRef r_a_bp_RNIKFN48)) (portRef S (instanceRef r_f_pc_RNIJTEBL_6)) (portRef S (instanceRef r_f_pc_RNIKK7MH_4)) (portRef S (instanceRef r_a_ctrl_pc_RNI9USDF_2)) (portRef S (instanceRef r_f_pc_RNILAV3N_8)) (portRef S (instanceRef r_f_pc_RNO_6_23)) (portRef S (instanceRef r_f_pc_RNO_6_30)) (portRef S (instanceRef r_f_pc_RNO_6_15)) (portRef S (instanceRef r_f_pc_RNO_3_28)) (portRef S (instanceRef r_f_pc_RNO_6_16)) (portRef S (instanceRef r_f_pc_RNO_6_19)) (portRef S (instanceRef r_f_pc_RNO_6_22)) (portRef S (instanceRef r_f_pc_RNO_6_31)) (portRef B (instanceRef r_e_bp_RNIBND8I1)) (portRef B (instanceRef r_e_bp_RNIN82133_0)) (portRef B (instanceRef r_e_ctrl_annul_RNI2EP3C)) (portRef A (instanceRef r_a_bp_RNIKFN48_1)) (portRef B (instanceRef r_d_pv_RNI25P24_0)) (portRef B (instanceRef r_d_pv_RNO_3)) (portRef B (instanceRef r_d_pv_RNO_8)) (portRef B (instanceRef r_e_bp_RNIN82133)) )) (net ex_bpmiss_0 (joined (portRef Y (instanceRef r_e_bp_RNIP2B6)) (portRef A (instanceRef r_e_bp_RNIQ1ES3_0)) (portRef A (instanceRef r_e_bp_RNIQ1ES3)) )) (net branch (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI1V2M3_25)) (portRef B (instanceRef r_e_bp_RNIQ1ES3_0)) (portRef B (instanceRef r_e_bp_RNIQ1ES3)) )) (net ra_bpmiss_1_0 (joined (portRef Y (instanceRef r_a_bp_RNIQD984)) (portRef A (instanceRef r_a_bp_RNIKFN48_0)) (portRef B (instanceRef r_a_bp_RNIKFN48)) (portRef S (instanceRef r_f_pc_RNIS1PUG_6)) (portRef S (instanceRef r_f_pc_RNIIM1GD_4)) (portRef S (instanceRef r_d_pc_RNIOT6EB_2)) (portRef S (instanceRef r_f_pc_RNI5HPGI_8)) (portRef S (instanceRef r_f_pc_RNO_11_23)) (portRef S (instanceRef r_f_pc_RNO_11_30)) (portRef B (instanceRef r_e_bp_RNO)) (portRef S (instanceRef r_f_pc_RNO_11_15)) (portRef S (instanceRef r_f_pc_RNO_5_28)) (portRef S (instanceRef r_f_pc_RNO_11_16)) (portRef S (instanceRef r_f_pc_RNO_11_19)) (portRef S (instanceRef r_f_pc_RNO_11_22)) (portRef S (instanceRef r_f_pc_RNO_11_31)) (portRef B (instanceRef r_d_annul_RNIRK1K4)) (portRef C (instanceRef r_e_ctrl_annul_RNI2EP3C)) (portRef B (instanceRef r_d_pv_RNIGC0D4)) (portRef B (instanceRef r_a_bp_RNIKFN48_1)) )) (net ra_bpmiss_1_1 (joined (portRef Y (instanceRef r_a_bp_RNIHG6I)) (portRef A (instanceRef r_a_bp_RNIQD984_0)) (portRef A (instanceRef r_a_bp_RNIQD984)) )) (net branch_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI9T2M3_25)) (portRef B (instanceRef r_a_bp_RNIQD984_0)) (portRef B (instanceRef r_a_bp_RNIQD984)) )) (net jump_0 (joined (portRef Y (instanceRef r_e_ctrl_annul_RNIEU1V3)) (portRef S (instanceRef r_f_pc_RNIONC8B_5)) (portRef S (instanceRef r_f_pc_RNI7PAHC_6)) (portRef S (instanceRef r_f_pc_RNIUNL49_4)) (portRef S (instanceRef r_f_pc_RNI1PS47_2)) (portRef S (instanceRef r_f_pc_RNIBE81E_8)) (portRef S (instanceRef r_f_pc_RNO_15_23)) (portRef S (instanceRef r_f_pc_RNO_15_30)) (portRef S (instanceRef r_f_pc_RNO_15_15)) (portRef S (instanceRef r_f_pc_RNO_15_29)) (portRef S (instanceRef r_f_pc_RNO_9_17)) (portRef S (instanceRef r_f_pc_RNO_15_20)) (portRef S (instanceRef r_f_pc_RNO_15_14)) (portRef S (instanceRef r_f_pc_RNO_15_26)) (portRef S (instanceRef r_f_pc_RNO_15_31)) (portRef A (instanceRef r_e_ctrl_annul_RNI2EP3C)) (portRef A (instanceRef r_e_ctrl_annul_RNIDOP2A)) )) (net jump_1_sqmuxa_1_i_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIIHVT1_0_20)) (portRef A (instanceRef r_e_ctrl_annul_RNIEU1V3_0)) (portRef A (instanceRef r_e_ctrl_annul_RNIEU1V3)) )) (net jump_0_sqmuxa_1 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIIHVT1_20)) (portRef B (instanceRef r_e_ctrl_annul_RNIEU1V3_0)) (portRef B (instanceRef r_e_ctrl_annul_RNIEU1V3)) )) (net annul (joined (portRef Q (instanceRef r_e_ctrl_annul)) (portRef B (instanceRef r_m_ctrl_rett_RNO)) (portRef A (instanceRef r_m_ctrl_annul_RNO)) (portRef A (instanceRef r_m_wcwp_RNO)) (portRef B (instanceRef r_d_inull_RNO_6)) (portRef C (instanceRef r_e_ctrl_annul_RNIEU1V3_0)) (portRef C (instanceRef r_m_dci_write_RNO_0)) (portRef C (instanceRef r_m_casa_RNO)) (portRef C (instanceRef r_m_dci_read_RNO_1)) (portRef A (instanceRef r_e_ctrl_annul_RNIH33A)) (portRef B (instanceRef r_e_bp_RNIP2B6)) (portRef C (instanceRef r_e_ctrl_annul_RNI8PG01)) (portRef A (instanceRef r_e_ctrl_annul_RNIP73H)) (portRef C (instanceRef r_e_ctrl_annul_RNIEU1V3)) )) (net addr_0_sqmuxa_0 (joined (portRef Y (instanceRef r_x_npc_0_RNITUN8S_0)) (portRef B (instanceRef ir_addr_RNO_0_29)) (portRef B (instanceRef ir_addr_RNO_3_7)) (portRef B (instanceRef ir_addr_RNO_3_11)) (portRef B (instanceRef ir_addr_RNO_3_19)) (portRef B (instanceRef ir_addr_RNO_0_27)) (portRef B (instanceRef ir_addr_RNO_3_26)) (portRef B (instanceRef ir_addr_RNO_3_18)) (portRef B (instanceRef ir_addr_RNO_3_16)) (portRef B (instanceRef ir_addr_RNO_0_3)) (portRef B (instanceRef ir_addr_RNO_3_15)) (portRef B (instanceRef ir_addr_RNO_0_23)) (portRef B (instanceRef ir_addr_RNO_3_30)) (portRef B (instanceRef ir_addr_RNO_0_10)) (portRef B (instanceRef ir_addr_RNO_0_20)) (portRef B (instanceRef ir_addr_RNO_0_24)) )) (net addr_0_sqmuxa_1 (joined (portRef Y (instanceRef rp_pwd_RNIMKUJR_0)) (portRef A (instanceRef r_x_npc_0_RNITUN8S_0_0)) (portRef A (instanceRef r_x_npc_0_RNITUN8S_0)) )) (net addr_0_sqmuxa (joined (portRef Y (instanceRef r_x_npc_0_RNI7APK_0)) (portRef B (instanceRef r_x_npc_0_RNITUN8S_0_0)) (portRef B (instanceRef r_x_npc_0_RNITUN8S_0)) )) (net rstate_6_0 (joined (portRef Y (instanceRef r_x_rstate_RNI589AU_0_0)) (portRef A (instanceRef ir_addr_RNO_2_17)) (portRef A (instanceRef ir_addr_RNO_2_24)) (portRef A (instanceRef ir_addr_RNO_9)) (portRef A (instanceRef ir_addr_RNO_6)) (portRef A (instanceRef ir_addr_RNO_4)) (portRef A (instanceRef ir_addr_RNO_12)) (portRef A (instanceRef ir_addr_RNO_25)) (portRef A (instanceRef ir_addr_RNO_7)) (portRef A (instanceRef ir_addr_RNO_11)) (portRef A (instanceRef ir_addr_RNO_19)) (portRef A (instanceRef ir_addr_RNO_18)) (portRef A (instanceRef ir_addr_RNO_16)) (portRef A (instanceRef ir_addr_RNO_15)) (portRef A (instanceRef ir_addr_RNO_30)) (portRef A (instanceRef ir_addr_RNO_26)) )) (net (rename rstate_d_2 "rstate_d[2]") (joined (portRef Y (instanceRef r_x_rstate_RNI31F9_2_0)) (portRef B (instanceRef r_w_s_cwp_RNITMCFS_0)) (portRef B (instanceRef r_w_s_cwp_RNIUMCFS_1)) (portRef B (instanceRef r_w_s_cwp_RNIVMCFS_2)) (portRef A (instanceRef r_w_s_et_RNI6JHB)) (portRef B (instanceRef r_w_s_et_RNI6JHB_0)) (portRef B (instanceRef r_x_rstate_RNIB7JM_0)) (portRef C (instanceRef r_x_intack_RNO)) (portRef B (instanceRef r_x_ctrl_rd_RNICAR3U_1)) (portRef A (instanceRef r_x_rstate_RNI589AU_0)) (portRef B (instanceRef r_x_npc_0_RNI8JIP1_0)) (portRef B (instanceRef r_x_npc_0_RNIPIIP1_0)) (portRef B (instanceRef r_x_npc_0_RNIE2OJ1_0)) (portRef B (instanceRef r_x_npc_0_RNIQOMI1_0)) (portRef B (instanceRef r_x_npc_0_RNIOIFU1_0)) (portRef B (instanceRef r_x_npc_0_RNIT6GU1_0)) (portRef B (instanceRef r_x_npc_0_RNI4ECU1_0)) (portRef B (instanceRef r_x_npc_0_RNIEMDU1_0)) (portRef B (instanceRef r_x_npc_0_RNIUIFU1_0)) (portRef B (instanceRef r_x_npc_0_RNICIIP1_0)) (portRef A (instanceRef r_x_rstate_RNI589AU_0_0)) )) (net npc_0_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNICIRC2_0_0)) (portRef B (instanceRef r_x_rstate_RNI589AU_0)) (portRef B (instanceRef r_x_rstate_RNI589AU_0_0)) )) (net N_7694_4 (joined (portRef Y (instanceRef rp_pwd_RNIMKUJR)) (portRef C (instanceRef r_x_rstate_RNO_0_0)) (portRef C (instanceRef r_x_rstate_RNI589AU_0)) (portRef C (instanceRef r_x_rstate_RNI589AU_0_0)) )) (net s_3_sqmuxa_0 (joined (portRef Y (instanceRef r_x_rstate_RNIOFNVR_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIOSI3S_13)) (portRef S (instanceRef r_w_s_tt_RNO_0_0)) (portRef S (instanceRef r_w_s_tt_RNO_0_6)) (portRef B (instanceRef r_x_ctrl_pc_RNISCJ3S_17)) (portRef S (instanceRef r_w_s_tt_RNO_0_1)) (portRef S (instanceRef r_w_s_tt_RNO_0_2)) (portRef B (instanceRef r_x_ctrl_pc_RNIDFV2S_9)) (portRef B (instanceRef r_x_ctrl_pc_RNIMGI3S_20)) (portRef B (instanceRef r_x_ctrl_pc_RNIMKI3S_11)) (portRef B (instanceRef r_x_ctrl_pc_RNIUKJ3S_19)) (portRef B (instanceRef r_x_ctrl_pc_RNILGI3S_10)) (portRef B (instanceRef r_x_ctrl_pc_RNIS8J3S_26)) (portRef B (instanceRef r_x_ctrl_pc_RNIP0J3S_14)) (portRef B (instanceRef r_x_ctrl_pc_RNI6FV2S_2)) (portRef B (instanceRef r_x_ctrl_pc_RNITCJ3S_27)) (portRef B (instanceRef r_x_ctrl_pc_RNIQ0J3S_24)) (portRef B (instanceRef r_x_ctrl_pc_RNIOOI3S_22)) (portRef B (instanceRef r_x_ctrl_pc_RNITGJ3S_18)) (portRef B (instanceRef r_x_ctrl_pc_RNIBFV2S_7)) (portRef B (instanceRef r_x_ctrl_pc_RNI9FV2S_5)) (portRef B (instanceRef r_w_s_s_RNIMLAJU)) )) (net annul_all3 (joined (portRef Y (instanceRef r_x_ctrl_trap_RNILE8MR_0)) (portRef B (instanceRef r_x_ctrl_inst_RNIFTNEQ1_30)) (portRef A (instanceRef r_x_ctrl_trap_RNIATGCN1)) (portRef A (instanceRef r_x_rstate_RNIKL40S_0_0)) (portRef A (instanceRef r_x_rstate_RNIOFNVR_0_0)) (portRef B (instanceRef r_w_s_et_RNI6PFTR)) (portRef A (instanceRef r_x_rstate_RNIKL40S_0)) (portRef A (instanceRef r_x_rstate_RNIOFNVR_0)) )) (net N_7697_1 (joined (portRef Y (instanceRef r_x_rstate_RNI31F9_3_0)) (portRef C (instanceRef r_x_rstate_RNITFU1V_0)) (portRef C (instanceRef r_x_rstate_RNIV3C4L2_0)) (portRef B (instanceRef r_x_debug_RNO_2)) (portRef A (instanceRef r_x_rstate_RNI29M2O_0)) (portRef C (instanceRef r_x_ctrl_wicc_RNIAUOTT)) (portRef B (instanceRef r_x_rstate_RNIUG25T1_0)) (portRef B (instanceRef r_x_ctrl_trap_RNI5S7L)) (portRef B (instanceRef rp_pwd_RNI6P854)) (portRef B (instanceRef r_x_rstate_RNIOFNVR_0_0)) (portRef B (instanceRef r_x_rstate_RNIOFNVR_1_0)) (portRef C (instanceRef rp_pwd_RNIMKUJR)) (portRef C (instanceRef rp_pwd_RNIMKUJR_0)) (portRef B (instanceRef r_x_rstate_RNIBEJ231_0)) (portRef B (instanceRef r_x_rstate_RNI864D_0)) (portRef B (instanceRef r_x_rstate_RNIOFNVR_0)) )) (net y_1_sqmuxa_0_0 (joined (portRef Y (instanceRef r_x_ctrl_wy_RNIMUT1S_0)) (portRef A (instanceRef r_w_s_y_RNO_0_20)) (portRef A (instanceRef r_w_s_y_RNO_0_22)) (portRef B (instanceRef r_w_s_y_RNO_1_5)) (portRef A (instanceRef r_w_s_y_RNO_1_29)) (portRef B (instanceRef r_w_s_y_RNO_0_1)) (portRef A (instanceRef r_w_s_y_RNO_0_17)) (portRef A (instanceRef r_w_s_y_RNO_0_28)) (portRef B (instanceRef r_w_s_y_RNO_0_7)) (portRef A (instanceRef r_w_s_y_RNO_0_13)) (portRef A (instanceRef r_w_s_y_RNO_0_16)) (portRef A (instanceRef r_w_s_y_RNO_0_11)) (portRef A (instanceRef r_w_s_y_RNO_0_26)) (portRef A (instanceRef r_w_s_y_RNO_0_12)) (portRef B (instanceRef r_w_s_y_RNO_0_6)) (portRef B (instanceRef r_w_s_y_RNO_0_3)) (portRef A (instanceRef r_w_s_y_RNO_0_27)) )) (net y_1_sqmuxa_0 (joined (portRef Y (instanceRef r_x_ctrl_wy_RNI1GLB)) (portRef A (instanceRef r_x_ctrl_wy_RNIMUT1S)) (portRef A (instanceRef r_x_ctrl_wy_RNIMUT1S_0)) )) (net annul_all2 (joined (portRef Y (instanceRef r_x_ctrl_trap_RNILE8MR)) (portRef A (instanceRef r_x_rstate_RNITFU1V_0)) (portRef S (instanceRef r_x_ctrl_inst_RNIFTNEQ1_30)) (portRef B (instanceRef r_x_ctrl_inst_RNII5CET_30)) (portRef A (instanceRef r_x_ctrl_wicc_RNIAUOTT)) (portRef A (instanceRef r_x_ctrl_inst_RNIAS84S_30)) (portRef A (instanceRef r_x_ctrl_inst_RNIKCO2U_20)) (portRef B (instanceRef r_x_ctrl_trap_RNIATGCN1)) (portRef A (instanceRef r_x_rstate_RNIOFNVR_1_0)) (portRef C (instanceRef r_x_ctrl_wy_RNI522OU)) (portRef C (instanceRef r_x_ctrl_wicc_RNIF3E1U)) (portRef C (instanceRef r_x_ctrl_wicc_RNIICA9S)) (portRef B (instanceRef r_x_ctrl_wy_RNIMUT1S)) (portRef C (instanceRef r_x_ctrl_inst_RNI8FIRV_30)) (portRef C (instanceRef r_x_ctrl_wy_RNIJQ6TU)) (portRef B (instanceRef r_x_ctrl_annul_RNIFF19S)) (portRef C (instanceRef r_x_rstate_0_RNI03MMU_1)) (portRef C (instanceRef r_x_ctrl_wy_RNIJQ6TU_0)) (portRef B (instanceRef r_x_ctrl_wy_RNIMUT1S_0)) )) (net y_2_sqmuxa_0_0 (joined (portRef Y (instanceRef r_x_ctrl_wy_RNIJQ6TU_0)) (portRef A (instanceRef r_w_s_y_RNO_1_20)) (portRef A (instanceRef r_w_s_y_RNO_1_22)) (portRef A (instanceRef r_w_s_y_RNO_0_5)) (portRef A (instanceRef r_w_s_y_RNO_0_29)) (portRef A (instanceRef r_w_s_y_RNO_1_1)) (portRef A (instanceRef r_w_s_y_RNO_1_17)) (portRef A (instanceRef r_w_s_y_RNO_1_28)) (portRef A (instanceRef r_w_s_y_RNO_1_7)) (portRef A (instanceRef r_w_s_y_RNO_1_13)) (portRef A (instanceRef r_w_s_y_RNO_1_16)) (portRef A (instanceRef r_w_s_y_RNO_1_11)) (portRef A (instanceRef r_w_s_y_RNO_1_26)) (portRef A (instanceRef r_w_s_y_RNO_1_12)) (portRef A (instanceRef r_w_s_y_RNO_1_6)) (portRef A (instanceRef r_w_s_y_RNO_1_3)) (portRef A (instanceRef r_w_s_y_RNO_1_27)) )) (net y_2_sqmuxa_0 (joined (portRef Y (instanceRef r_x_ctrl_wy_RNI1GLB_0)) (portRef A (instanceRef r_x_ctrl_wy_RNIJQ6TU)) (portRef A (instanceRef r_x_ctrl_wy_RNIJQ6TU_0)) )) (net y_0_sqmuxa (joined (portRef Y (instanceRef r_x_ctrl_inst_RNITR8R2_25)) (portRef A (instanceRef r_x_ctrl_wy_RNI522OU)) (portRef B (instanceRef r_x_ctrl_wy_RNIJQ6TU)) (portRef B (instanceRef r_x_ctrl_wy_RNIJQ6TU_0)) )) (net rstate_8_0 (joined (portRef Y (instanceRef r_x_rstate_RNIKL40S_0)) (portRef B (instanceRef r_x_result_RNI72BES_13)) (portRef B (instanceRef r_x_result_RNIACJDS_12)) (portRef B (instanceRef r_x_result_RNIICJDS_16)) (portRef B (instanceRef r_x_result_RNI9ABES_21)) (portRef B (instanceRef r_x_result_RNIKCJDS_17)) (portRef B (instanceRef r_x_result_RNIHRHBS_9)) (portRef B (instanceRef r_x_result_RNIOCJDS_19)) (portRef B (instanceRef r_x_result_RNI7ABES_20)) (portRef B (instanceRef r_x_result_RNI32BES_11)) (portRef B (instanceRef r_x_result_RNI6CJDS_10)) (portRef B (instanceRef r_x_result_RNIOKJDS_26)) (portRef B (instanceRef r_x_result_RNI92BES_14)) (portRef B (instanceRef r_x_result_RNI33GBS_2)) (portRef B (instanceRef r_x_ctrl_rd_RNIKLD3S_1)) (portRef B (instanceRef r_x_result_RNIQKJDS_27)) (portRef B (instanceRef r_x_result_RNIKKJDS_24)) (portRef B (instanceRef r_x_result_RNIGKJDS_22)) (portRef B (instanceRef r_x_result_RNIMCJDS_18)) (portRef B (instanceRef r_x_result_RNIKSJDS_31)) (portRef B (instanceRef r_x_result_RNIE5PAS_5)) )) (net (rename rstate_0 "rstate[0]") (joined (portRef Q (instanceRef r_x_rstate_0)) (portRef B (instanceRef r_x_rstate_RNIKL40S_0_0)) (portRef B (instanceRef r_x_rstate_RNICIRC2_0)) (portRef A (instanceRef r_x_rstate_RNIFKLR_0)) (portRef B (instanceRef r_x_rstate_RNI31F9_3_0)) (portRef A (instanceRef r_x_rstate_RNI31F9_2_0)) (portRef B (instanceRef r_x_rstate_RNI31F9_1_0)) (portRef B (instanceRef r_x_rstate_0_RNIEO45_0_1)) (portRef B (instanceRef r_m_ctrl_trap_RNI6EJA)) (portRef B (instanceRef rp_pwd_RNIA1RB)) (portRef C (instanceRef r_x_rstate_RNIUS912_0)) (portRef B (instanceRef r_m_ctrl_annul_RNI0K78)) (portRef B (instanceRef r_x_rstate_RNIJTNO_0)) (portRef C (instanceRef r_x_debug_RNO_7)) (portRef C (instanceRef r_x_rstate_0_RNIGMRD1_1)) (portRef B (instanceRef r_x_rstate_0_RNIN9H82_1)) (portRef B (instanceRef r_x_rstate_0_RNIEO45_1_1)) (portRef A (instanceRef r_x_rstate_0_RNIEO45_1)) (portRef B (instanceRef r_x_rstate_RNI31F9_0)) (portRef B (instanceRef r_x_rstate_RNI31F9_0_0)) (portRef B (instanceRef r_x_rstate_RNIKL40S_0)) )) (net rstate_10_0_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNIA4O511_0_1)) (portRef A (instanceRef r_w_s_y_RNO_7)) (portRef A (instanceRef r_w_s_y_RNO_28)) (portRef A (instanceRef r_w_s_y_RNO_17)) (portRef A (instanceRef r_w_s_y_RNO_1)) (portRef A (instanceRef r_w_s_y_RNO_29)) (portRef A (instanceRef r_w_s_y_RNO_15)) (portRef A (instanceRef r_w_s_y_RNO_8)) (portRef A (instanceRef r_w_s_y_RNO_19)) (portRef A (instanceRef r_w_s_y_RNO_5)) (portRef A (instanceRef r_w_s_y_RNO_22)) (portRef A (instanceRef r_w_s_y_RNO_18)) (portRef A (instanceRef r_w_s_y_RNO_20)) (portRef A (instanceRef r_w_s_y_RNO_25)) (portRef A (instanceRef r_w_s_y_RNO_10)) (portRef A (instanceRef r_w_s_y_RNO_9)) (portRef A (instanceRef r_w_s_y_RNO_14)) )) (net N_7697_1_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNIEO45_1_1)) (portRef C (instanceRef r_x_rstate_0_RNIKQF9_1)) (portRef C (instanceRef r_x_rstate_0_RNI0UGJT_0_1)) (portRef C (instanceRef r_x_rstate_0_RNI0UGJT_1)) (portRef C (instanceRef r_w_s_cwp_RNI5BQQ1_2)) (portRef A (instanceRef r_x_debug_RNO_4)) (portRef A (instanceRef r_x_rstate_0_RNI8OHCQ1_1)) (portRef A (instanceRef r_x_rstate_0_RNIA4O511_1)) (portRef B (instanceRef r_x_rstate_0_RNI03MMU_1)) (portRef B (instanceRef r_w_s_et_RNIHA77)) (portRef B (instanceRef r_x_ctrl_annul_RNIBK78)) (portRef C (instanceRef r_x_ctrl_inst_RNIQOQ82_20)) (portRef C (instanceRef r_w_s_ps_RNI3KAH1)) (portRef B (instanceRef r_x_ctrl_wy_RNI1GLB_0)) (portRef B (instanceRef r_x_ctrl_wy_RNI1GLB)) (portRef A (instanceRef r_x_rstate_0_RNIA4O511_0_1)) )) (net annul_all2_5 (joined (portRef Y (instanceRef r_x_ctrl_wy_RNI522OU)) (portRef B (instanceRef r_x_rstate_0_RNIA4O511_1)) (portRef B (instanceRef r_x_rstate_0_RNIA4O511_0_1)) )) (net rstate_10_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNIN9H82_1)) (portRef C (instanceRef r_x_rstate_0_RNIA4O511_1)) (portRef C (instanceRef r_x_rstate_0_RNIA4O511_0_1)) )) (net un6_xc_exception_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNILB7SN_0_1)) (portRef C (instanceRef r_f_pc_RNO_7_25)) (portRef C (instanceRef r_f_pc_RNO_4_26)) (portRef C (instanceRef r_f_pc_RNO_4_27)) (portRef C (instanceRef r_f_pc_RNO_4_29)) (portRef C (instanceRef r_f_pc_RNO_4_24)) (portRef B (instanceRef r_f_pc_RNIMTNEV_2)) (portRef B (instanceRef r_f_pc_RNIUAEJ01_8)) (portRef C (instanceRef r_f_pc_RNO_4_23)) (portRef C (instanceRef r_f_pc_RNO_4_30)) (portRef C (instanceRef r_f_pc_RNO_4_15)) (portRef C (instanceRef r_f_pc_RNO_4_31)) (portRef C (instanceRef r_f_pc_RNO_10_28)) (portRef C (instanceRef r_f_pc_RNO_4_22)) (portRef C (instanceRef r_f_pc_RNO_4_19)) (portRef C (instanceRef r_f_pc_RNO_4_16)) )) (net xc_exception_1 (joined (portRef Y (instanceRef r_x_rstate_0_RNIG6ION_1)) (portRef S (instanceRef r_f_pc_RNIVTLAI1_4)) (portRef S (instanceRef r_f_pc_RNIPBMIK1_5)) (portRef S (instanceRef r_f_pc_RNIAVTVL1_6)) (portRef S (instanceRef r_f_pc_RNID830N1_7)) (portRef S (instanceRef r_f_pc_RNI7B87S1_9)) (portRef S (instanceRef r_f_pc_RNI1UBOV1_10)) (portRef S (instanceRef r_f_pc_RNI1MG2G1_3)) (portRef S (instanceRef r_f_pc_RNI2ND242_11)) (portRef S (instanceRef r_f_pc_RNIUILTE1_2)) (portRef B (instanceRef r_x_rstate_0_RNILB7SN_1)) (portRef B (instanceRef r_x_rstate_0_RNIIKBS31_1)) (portRef B (instanceRef r_x_rstate_0_RNISO30Q2_1)) (portRef S (instanceRef r_f_pc_RNIO4FON1_8)) (portRef B (instanceRef r_x_rstate_0_RNIF76821_1)) (portRef C (instanceRef r_x_rstate_0_RNIHE30A1_1)) (portRef C (instanceRef r_x_rstate_0_RNINP0041_1)) (portRef B (instanceRef r_x_rstate_0_RNIT7HJG3_1)) (portRef B (instanceRef r_x_rstate_0_RNIT7HJG3_0_1)) (portRef B (instanceRef r_x_rstate_0_RNISO30Q2_0_1)) (portRef B (instanceRef r_x_rstate_0_RNILB7SN_0_1)) )) (net un2_de_hold_pc_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNISO30Q2_0_1)) (portRef A (instanceRef r_f_pc_RNO_1_24)) (portRef A (instanceRef r_f_pc_RNO_1_8)) (portRef A (instanceRef r_f_pc_RNO_1_6)) (portRef A (instanceRef r_f_pc_RNO_1_23)) (portRef A (instanceRef r_f_pc_RNO_1_30)) (portRef A (instanceRef r_f_pc_RNO_1_15)) (portRef A (instanceRef r_f_pc_RNO_1_31)) (portRef A (instanceRef r_f_pc_RNO_1_22)) (portRef A (instanceRef r_f_pc_RNO_1_19)) (portRef A (instanceRef r_f_pc_RNO_1_16)) (portRef A (instanceRef r_f_pc_RNO_2_28)) (portRef A (instanceRef r_f_pc_RNO_2_13)) (portRef A (instanceRef r_f_pc_RNO_2_17)) (portRef A (instanceRef r_f_pc_RNO_2_21)) (portRef A (instanceRef r_f_pc_RNO_2_25)) )) (net mexc_1_sqmuxa_1_0 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIEGD942_0)) (portRef S (instanceRef r_d_inst_0_RNO_0_18)) (portRef S (instanceRef r_d_inst_0_RNO_0_19)) (portRef S (instanceRef r_d_inst_0_RNO_0_20)) (portRef S (instanceRef r_d_inst_0_RNIA8DP52_21)) (portRef S (instanceRef r_d_inst_0_RNO_0_22)) (portRef S (instanceRef r_d_inst_0_RNO_0_24)) (portRef S (instanceRef r_d_inst_0_RNO_0_25)) (portRef S (instanceRef r_d_inst_0_RNO_0_26)) (portRef S (instanceRef r_d_inst_0_RNO_0_28)) (portRef S (instanceRef r_d_inst_0_RNO_0_30)) (portRef S (instanceRef r_d_inst_0_RNO_0_31)) (portRef S (instanceRef r_d_inst_0_RNO_0_2)) (portRef S (instanceRef r_d_inst_0_RNO_0_23)) (portRef S (instanceRef r_d_inst_0_RNO_0_29)) (portRef S (instanceRef r_d_inst_0_RNO_0_27)) (portRef S (instanceRef r_d_inst_0_RNO_0_17)) (portRef S (instanceRef r_d_inst_0_RNO_0_8)) )) (net N_8228_i_0 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIRU2I22_0)) (portRef E (instanceRef r_d_pc_15)) (portRef E (instanceRef r_d_pc_13)) (portRef E (instanceRef r_d_pc_12)) (portRef S (instanceRef r_d_pc_RNO_3)) (portRef S (instanceRef r_d_pc_RNO_4)) (portRef S (instanceRef r_d_pc_RNO_5)) (portRef S (instanceRef r_d_pc_RNO_6)) (portRef S (instanceRef r_d_pc_RNO_8)) (portRef S (instanceRef r_d_pc_RNO_10)) (portRef S (instanceRef r_d_pc_RNO_11)) (portRef S (instanceRef r_d_pc_RNO_14)) (portRef S (instanceRef r_d_pc_RNO_17)) (portRef S (instanceRef r_d_pc_RNO_20)) (portRef S (instanceRef r_d_pc_RNO_23)) (portRef S (instanceRef r_d_pc_RNO_26)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIEGD942)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIEGD942_0)) )) (net un6_fe_npcsel_2_s3_0 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIHMB973_0)) (portRef A (instanceRef r_f_pc_RNO_4_4)) (portRef A (instanceRef r_f_pc_RNO_4_9)) (portRef A (instanceRef r_f_pc_RNO_4_10)) (portRef A (instanceRef r_f_pc_RNO_4_11)) (portRef A (instanceRef r_f_pc_RNO_5_12)) (portRef A (instanceRef r_f_pc_RNO_8_13)) (portRef A (instanceRef r_f_pc_RNO_5_14)) (portRef A (instanceRef r_f_pc_RNO_8_17)) (portRef A (instanceRef r_f_pc_RNO_5_18)) (portRef A (instanceRef r_f_pc_RNO_5_20)) (portRef A (instanceRef r_f_pc_RNO_8_21)) (portRef A (instanceRef r_f_pc_RNO_8_25)) (portRef A (instanceRef r_f_pc_RNO_5_26)) (portRef A (instanceRef r_f_pc_RNO_5_27)) (portRef A (instanceRef r_f_pc_RNO_5_29)) )) (net un6_fe_npcsel_2 (joined (portRef Y (instanceRef r_a_bp_RNIKFN48_0)) (portRef B (instanceRef r_x_rstate_0_RNI0NOIM1_1)) (portRef B (instanceRef r_x_rstate_0_RNIVLPJM1_1)) (portRef B (instanceRef r_x_rstate_0_RNIVOQKM1_1)) (portRef B (instanceRef r_x_rstate_0_RNI00SLM1_1)) (portRef B (instanceRef r_x_rstate_0_RNI2BTMM1_1)) (portRef B (instanceRef r_x_rstate_0_RNI9D0PM1_1)) (portRef B (instanceRef r_x_rstate_0_RNI35KQM1_1)) (portRef B (instanceRef r_x_rstate_0_RNIUS7SM1_1)) (portRef B (instanceRef r_d_pc_RNI2SNHM1_2)) (portRef B (instanceRef r_x_rstate_0_RNI5QUNM1_1)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIHMB973)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIHMB973_0)) )) (net un2_rstn_6 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIT6K4V2)) (portRef A (instanceRef r_a_bp_RNIHMB973)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIHMB973)) (portRef A (instanceRef r_e_bp_RNIN82133_0)) (portRef A (instanceRef r_e_bp_RNIN82133)) (portRef A (instanceRef r_a_bp_RNIHMB973_0)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIHMB973_0)) )) (net un6_fe_npcsel_0_s1_0 (joined (portRef Y (instanceRef r_a_bp_RNIHMB973_0)) (portRef A (instanceRef r_f_pc_RNO_3_24)) (portRef A (instanceRef r_f_pc_RNO_3_8)) (portRef A (instanceRef r_f_pc_RNO_3_23)) (portRef A (instanceRef r_f_pc_RNO_3_30)) (portRef A (instanceRef r_f_pc_RNO_3_15)) (portRef A (instanceRef r_f_pc_RNO_3_31)) (portRef A (instanceRef r_f_pc_RNO_11_28)) (portRef A (instanceRef r_f_pc_RNO_3_22)) (portRef A (instanceRef r_f_pc_RNO_3_19)) (portRef A (instanceRef r_f_pc_RNO_3_16)) (portRef A (instanceRef r_f_pc_RNO_5_5)) (portRef A (instanceRef r_f_pc_RNO_5_6)) (portRef A (instanceRef r_f_pc_RNO_5_2)) (portRef A (instanceRef r_f_pc_RNO_5_3)) (portRef A (instanceRef r_f_pc_RNO_5_7)) )) (net bpmiss_1 (joined (portRef Y (instanceRef r_a_bp_RNIKFN48)) (portRef B (instanceRef r_d_annul_RNIQRUBA)) (portRef B (instanceRef r_a_bp_RNIHMB973)) (portRef B (instanceRef r_a_bp_RNI55NGM1)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNICHM573)) (portRef B (instanceRef r_a_bp_RNIHMB973_0)) )) (net un1_de_branch_1_0_1 (joined (portRef Y (instanceRef r_x_rstate_0_RNIT7HJG3_0_1)) (portRef C (instanceRef r_f_pc_RNO_7_22)) (portRef C (instanceRef r_f_pc_RNO_7_16)) (portRef A (instanceRef r_f_pc_RNO_2_19)) (portRef A (instanceRef r_f_pc_RNO_2_5)) (portRef A (instanceRef r_f_pc_RNO_2_15)) (portRef A (instanceRef r_f_pc_RNO_2_30)) (portRef A (instanceRef r_f_pc_RNO_2_24)) (portRef A (instanceRef r_f_pc_RNO_2_2)) (portRef A (instanceRef r_f_pc_RNO_2_3)) (portRef A (instanceRef r_f_pc_RNO_2_9)) (portRef A (instanceRef r_f_pc_RNO_2_11)) (portRef A (instanceRef r_f_pc_RNO_2_12)) (portRef A (instanceRef r_f_pc_RNO_2_20)) (portRef A (instanceRef r_f_pc_RNO_2_26)) (portRef A (instanceRef r_f_pc_RNO_2_27)) )) (net un1_de_branch_1_0_0 (joined (portRef Y (instanceRef r_e_ctrl_annul_RNI1FDJM)) (portRef A (instanceRef r_x_rstate_0_RNIT7HJG3_1)) (portRef A (instanceRef r_x_rstate_0_RNIT7HJG3_0_1)) )) (net de_hold_pc_1 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNICIH722)) (portRef S (instanceRef r_f_pc_RNIQPRPKC_5)) (portRef S (instanceRef r_f_pc_RNI1CO8OC_6)) (portRef S (instanceRef r_f_pc_RNIFJ957D_9)) (portRef S (instanceRef r_f_pc_RNIEP9EED_10)) (portRef S (instanceRef r_f_pc_RNITK1A9C_3)) (portRef S (instanceRef r_f_pc_RNIDUSMPD_11)) (portRef S (instanceRef r_d_pc_RNIVNVB6C_2)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIRU2I22)) (portRef A (instanceRef r_x_rstate_0_RNISO30Q2_1)) (portRef S (instanceRef r_f_pc_RNICI7NTC_8)) (portRef B (instanceRef r_d_pv_RNISUHK62)) (portRef B (instanceRef r_x_rstate_0_RNI3CI763_1)) (portRef C (instanceRef r_x_rstate_0_RNIT7HJG3_1)) (portRef B (instanceRef r_d_pv_RNO_4)) (portRef A (instanceRef r_d_pv_RNO_5)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIRU2I22_0)) (portRef B (instanceRef r_x_rstate_0_RNI3CI763_0_1)) (portRef C (instanceRef r_x_rstate_0_RNIT7HJG3_0_1)) (portRef A (instanceRef r_x_rstate_0_RNISO30Q2_0_1)) (portRef de_hold_pc_1) )) (net un1_de_hold_pc_2_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNI3CI763_0_1)) (portRef A (instanceRef r_f_pc_RNO_7_30)) (portRef A (instanceRef r_f_pc_RNO_7_15)) (portRef A (instanceRef r_f_pc_RNO_7_19)) (portRef A (instanceRef r_f_pc_RNO_2_31)) (portRef A (instanceRef r_f_pc_RNO_2_22)) (portRef A (instanceRef r_f_pc_RNO_2_16)) (portRef A (instanceRef r_f_pc_RNO_2_23)) (portRef A (instanceRef r_f_pc_RNO_2_8)) (portRef A (instanceRef r_f_pc_RNO_2_6)) (portRef A (instanceRef r_f_pc_RNO_2_4)) (portRef A (instanceRef r_f_pc_RNO_2_7)) (portRef A (instanceRef r_f_pc_RNO_2_10)) (portRef A (instanceRef r_f_pc_RNO_2_14)) (portRef A (instanceRef r_f_pc_RNO_2_18)) (portRef A (instanceRef r_f_pc_RNO_2_29)) )) (net un1_de_hold_pc_2_1 (joined (portRef Y (instanceRef r_x_rstate_0_RNINP0041_1)) (portRef A (instanceRef r_e_ldbp2_1_RNIJQC581)) (portRef A (instanceRef r_e_ldbp2_1_RNIVFA8B1)) (portRef A (instanceRef r_e_ldbp2_2_RNIDD8HC1)) (portRef A (instanceRef r_e_ldbp2_2_RNIBS3DD1)) (portRef A (instanceRef r_e_ldbp2_2_RNI2M8IL1)) (portRef A (instanceRef r_e_ldbp2_1_RNIHCLQP1)) (portRef A (instanceRef r_e_ldbp2_RNIFQ51E1)) (portRef A (instanceRef r_x_rstate_0_RNI3CI763_1)) (portRef A (instanceRef r_f_pc_RNIFPG971_2)) (portRef A (instanceRef r_f_pc_RNI0NBGI1_9)) (portRef A (instanceRef r_e_ldbp2_1_RNI6KJ491)) (portRef A (instanceRef r_x_rstate_0_RNI3CI763_0_1)) )) (net N_5510_0 (joined (portRef Y (instanceRef r_e_bp_RNIN82133)) (portRef B (instanceRef r_f_pc_RNO_10_26)) (portRef B (instanceRef r_f_pc_RNO_10_27)) (portRef B (instanceRef r_f_pc_RNO_10_29)) (portRef B (instanceRef r_f_pc_RNO_10_24)) (portRef B (instanceRef r_f_pc_RNO_6_8)) (portRef B (instanceRef r_f_pc_RNO_3_6)) (portRef B (instanceRef r_f_pc_RNO_10_23)) (portRef B (instanceRef r_f_pc_RNO_10_30)) (portRef B (instanceRef r_f_pc_RNO_10_15)) (portRef B (instanceRef r_f_pc_RNO_10_31)) (portRef B (instanceRef r_f_pc_RNO_6_28)) (portRef B (instanceRef r_f_pc_RNO_10_22)) (portRef B (instanceRef r_f_pc_RNO_10_19)) (portRef B (instanceRef r_f_pc_RNO_10_16)) (portRef B (instanceRef r_f_pc_RNO_3_5)) )) (net (rename rstate_li_1_0 "rstate_li_1[0]") (joined (portRef Y (instanceRef r_x_rstate_RNI31F9_0_0)) (portRef A (instanceRef r_m_ctrl_ld_RNO)) (portRef C (instanceRef rp_error_RNO_1)) (portRef B (instanceRef r_x_rstate_RNILQKB_0)) (portRef B (instanceRef r_x_rstate_RNIU71E_0)) (portRef B (instanceRef r_x_rstate_RNIUB1E_0)) (portRef B (instanceRef r_x_rstate_RNI6C2E_0)) (portRef B (instanceRef r_x_rstate_RNIT71E_0)) (portRef B (instanceRef r_x_rstate_RNI402E_0)) (portRef S (instanceRef r_m_dci_asi_RNO_0_1)) (portRef S (instanceRef r_m_dci_asi_RNO_0_2)) (portRef S (instanceRef r_m_dci_asi_RNO_1_0)) (portRef B (instanceRef r_m_casa_RNIBCDJ)) (portRef B (instanceRef r_x_rstate_RNI1O1E_0)) (portRef B (instanceRef r_x_rstate_RNIEQKB_0)) (portRef A (instanceRef r_x_rstate_RNI17D01_0)) (portRef A (instanceRef r_e_ctrl_inst_RNICHFG_23)) (portRef B (instanceRef r_x_rstate_RNIFHDF_0)) (portRef B (instanceRef r_x_rstate_RNI542E_0)) (portRef B (instanceRef r_x_rstate_RNI2O1E_0)) (portRef B (instanceRef r_x_rstate_RNI0G1E_0)) (portRef B (instanceRef r_x_rstate_RNI582E_0)) )) (net (rename rstate_1 "rstate[1]") (joined (portRef Q (instanceRef r_x_rstate_1)) (portRef B (instanceRef r_f_pc_RNO_13_22)) (portRef B (instanceRef r_f_pc_RNO_13_18)) (portRef B (instanceRef r_f_pc_RNO_13_16)) (portRef B (instanceRef r_f_pc_RNO_13_12)) (portRef B (instanceRef r_f_pc_RNO_14_21)) (portRef B (instanceRef r_f_pc_RNO_16_27)) (portRef B (instanceRef r_f_pc_RNO_14_25)) (portRef B (instanceRef r_f_pc_RNO_16_13)) (portRef B (instanceRef r_f_pc_RNO_13_24)) (portRef B (instanceRef r_f_pc_RNO_13_15)) (portRef B (instanceRef r_f_pc_RNO_13_23)) (portRef B (instanceRef r_f_pc_RNO_13_30)) (portRef C (instanceRef r_x_rstate_RNICIRC2_0)) (portRef B (instanceRef r_x_rstate_RNINNC8_1)) (portRef A (instanceRef r_x_rstate_RNI31F9_3_0)) (portRef B (instanceRef r_x_rstate_RNI31F9_2_0)) (portRef A (instanceRef r_x_rstate_RNI31F9_1_0)) (portRef B (instanceRef r_f_pc_RNO_13_29)) (portRef B (instanceRef r_f_pc_RNO_13_31)) (portRef A (instanceRef r_x_rstate_RNI31F9_0)) (portRef A (instanceRef r_x_rstate_RNI31F9_0_0)) )) (net (rename rstate_0_1 "rstate_0[1]") (joined (portRef Q (instanceRef r_x_rstate_0_1)) (portRef B (instanceRef r_f_pc_RNO_13_20)) (portRef B (instanceRef r_f_pc_RNO_13_26)) (portRef B (instanceRef r_f_pc_RNO_14_17)) (portRef B (instanceRef r_f_pc_RNO_13_14)) (portRef A (instanceRef r_x_rstate_0_RNIEO45_0_1)) (portRef A (instanceRef r_x_rstate_0_RNIRFBN_1)) (portRef A (instanceRef r_x_rstate_0_RNI3O0B_1)) (portRef A (instanceRef r_w_s_svt_RNI4KR6)) (portRef A (instanceRef r_m_ctrl_trap_RNIGF7T)) (portRef B (instanceRef r_x_rstate_0_RNIG6ION_1)) (portRef B (instanceRef r_w_s_et_RNI0SF2)) (portRef A (instanceRef r_m_ctrl_annul_RNI0K78)) (portRef B (instanceRef r_f_pc_RNO_12_19)) (portRef B (instanceRef r_x_debug_RNO_7)) (portRef B (instanceRef r_x_rstate_0_RNIGMRD1_1)) (portRef C (instanceRef r_x_rstate_0_RNIN9H82_1)) (portRef B (instanceRef r_f_pc_RNO_16_28)) (portRef A (instanceRef r_x_rstate_0_RNIEO45_1_1)) (portRef B (instanceRef r_x_rstate_0_RNIEO45_1)) )) (net N_7732 (joined (portRef Y (instanceRef r_x_rstate_0_RNI8OHCQ1_1)) (portRef D (instanceRef r_x_rstate_1)) (portRef D (instanceRef r_x_rstate_0_1)) )) (net (rename rstate_d_0_2 "rstate_d_0[2]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIEO45_1)) (portRef B (instanceRef r_x_npc_RNIBCEE1_0)) (portRef B (instanceRef r_x_npc_RNICC6K1_0)) (portRef B (instanceRef r_x_npc_RNIG5AK1_0)) (portRef B (instanceRef r_x_npc_RNIQ1CK1_0)) (portRef B (instanceRef r_x_npc_RNII07K1_0)) (portRef B (instanceRef r_x_npc_RNIIC6K1_0)) (portRef B (instanceRef r_x_npc_RNI5QDF1_0)) (portRef B (instanceRef r_x_npc_RNIS88K1_0)) (portRef B (instanceRef r_x_npc_0_RNI0M4Q1_0)) (portRef B (instanceRef r_x_npc_RNINK7K1_0)) (portRef B (instanceRef r_x_npc_RNI1GCE1_0)) (portRef B (instanceRef r_x_npc_RNIRNO31_0)) (portRef B (instanceRef r_x_npc_RNIECM81_0)) (portRef B (instanceRef r_x_npc_RNIVFK81_0)) (portRef B (instanceRef r_x_npc_RNIL7J81_0)) (portRef B (instanceRef r_x_npc_RNIAOO31_0)) (portRef B (instanceRef r_x_npc_RNI0OO31_0)) (portRef B (instanceRef r_x_npc_RNI0SBE1_0)) (portRef B (instanceRef r_x_npc_RNIQFK81_0)) (portRef B (instanceRef r_x_npc_RNIJ0N81_0)) )) (net N_8139_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI5H3O1_1_21)) (portRef S (instanceRef r_e_op1_RNO_9)) (portRef S (instanceRef r_e_op1_RNO_10)) (portRef S (instanceRef r_e_op1_RNO_11)) (portRef S (instanceRef r_e_op1_RNO_12)) (portRef S (instanceRef r_e_op1_RNO_13)) (portRef S (instanceRef r_e_op1_RNO_14)) (portRef S (instanceRef r_e_op1_RNO_15)) (portRef S (instanceRef r_e_op1_RNO_16)) (portRef S (instanceRef r_e_op1_RNO_17)) (portRef S (instanceRef r_e_op1_RNO_18)) (portRef S (instanceRef r_e_op1_RNO_19)) (portRef S (instanceRef r_e_op1_RNO_20)) (portRef S (instanceRef r_e_op1_RNO_23)) (portRef S (instanceRef r_e_op1_RNO_24)) (portRef S (instanceRef r_e_op1_RNO_31)) (portRef S (instanceRef r_e_op1_RNO_5)) (portRef A (instanceRef r_m_y_RNI10TB6_0_0)) (portRef A (instanceRef r_m_y_RNI10TB6_0)) )) (net (rename inst_RNIFK1L_21 "inst_RNIFK1L[21]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIFK1L_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIOH5D2_21)) (portRef B (instanceRef r_a_ctrl_inst_RNIJ42S_13)) (portRef B (instanceRef r_a_ctrl_inst_RNIK42S_23)) (portRef A (instanceRef r_a_ctrl_inst_RNI3C122_30)) (portRef A (instanceRef r_a_ctrl_inst_RNI5H3O1_0_21)) (portRef A (instanceRef r_e_alucin_RNO_2)) (portRef C (instanceRef r_e_alucin_RNO_7)) (portRef A (instanceRef r_a_ctrl_inst_RNI5H3O1_1_21)) )) (net N_213 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIMS131_23)) (portRef B (instanceRef r_a_ctrl_inst_RNI5H3O1_21)) (portRef B (instanceRef r_a_ctrl_inst_RNI5H3O1_0_21)) (portRef B (instanceRef r_a_ctrl_inst_RNI053A1_19)) (portRef B (instanceRef r_a_ctrl_inst_0_RNIII2I1_21)) (portRef A (instanceRef r_e_alusel_RNO_0_1)) (portRef B (instanceRef r_a_ctrl_inst_RNI5H3O1_1_21)) )) (net N_8140_0 (joined (portRef Y (instanceRef r_x_mexc_1_sqmuxa_i_0)) (portRef E (instanceRef r_x_data_0_22)) (portRef E (instanceRef r_x_data_0_21)) (portRef E (instanceRef r_x_data_0_20)) (portRef E (instanceRef r_x_data_0_19)) (portRef E (instanceRef r_x_data_0_18)) (portRef E (instanceRef r_x_data_0_17)) (portRef E (instanceRef r_x_data_0_16)) (portRef E (instanceRef r_x_data_0_15)) (portRef E (instanceRef r_x_data_0_14)) (portRef E (instanceRef r_x_data_0_13)) (portRef E (instanceRef r_x_data_0_12)) (portRef E (instanceRef r_x_data_0_11)) (portRef E (instanceRef r_x_data_0_10)) (portRef E (instanceRef r_x_data_0_1)) (portRef E (instanceRef r_x_data_0_0)) (portRef A (instanceRef r_x_mexc_RNO_1)) (portRef A (instanceRef r_x_mexc_RNO_4)) )) (net N_246_0 (joined (portRef Y (instanceRef r_e_jmpl_RNI9NOH_0)) (portRef B (instanceRef r_e_ctrl_pc_RNI9M0L_7)) (portRef B (instanceRef r_e_ctrl_pc_RNI7M0L_5)) (portRef B (instanceRef r_e_ctrl_pc_RNIPFKL_16)) (portRef B (instanceRef r_e_ctrl_pc_RNIQJKL_17)) (portRef B (instanceRef r_e_ctrl_pc_RNIRNKL_18)) (portRef B (instanceRef r_e_ctrl_pc_RNI5M0L_3)) (portRef B (instanceRef r_e_ctrl_pc_RNIURV85_6)) (portRef B (instanceRef r_e_ctrl_pc_RNID6TU2_10)) (portRef B (instanceRef r_e_ctrl_pc_RNI9OVA2_9)) (portRef B (instanceRef r_e_ctrl_pc_RNIN6OK2_11)) (portRef B (instanceRef r_e_ctrl_pc_RNIAFVU2_19)) (portRef B (instanceRef r_e_ctrl_pc_RNIQQTU2_22)) (portRef B (instanceRef r_e_ctrl_pc_RNIS2UU2_23)) (portRef B (instanceRef r_e_ctrl_pc_RNITR4L2_8)) (portRef B (instanceRef r_e_ctrl_pc_RNIP2OK2_20)) )) (net aluop (joined (portRef Y (instanceRef r_e_aluop_0_RNIEAJ5_1)) (portRef A (instanceRef r_e_jmpl_RNI9NOH)) (portRef B (instanceRef r_e_aluop_0_RNI3SA9_1)) (portRef A (instanceRef r_e_jmpl_RNI9NOH_0)) )) (net (rename aluselZ0Z_1 "alusel_1") (joined (portRef Y (instanceRef r_e_alusel_RNIJDA9_0)) (portRef B (instanceRef r_e_jmpl_RNI9NOH)) (portRef B (instanceRef r_e_jmpl_RNIRC5C)) (portRef B (instanceRef r_e_jmpl_RNI9NOH_0)) )) (net N_311_0 (joined (portRef Y (instanceRef r_m_ctrl_ld_RNIHTKJ3_0)) (portRef B (instanceRef r_x_laddr_RNIDN857_1)) (portRef A (instanceRef r_x_laddr_RNIDN857_1_1)) (portRef B (instanceRef r_x_data_0_RNO_3_13)) (portRef B (instanceRef r_x_data_0_RNO_2_11)) (portRef B (instanceRef r_x_data_0_RNO_2_14)) (portRef B (instanceRef r_x_data_0_RNO_2_10)) (portRef B (instanceRef r_x_data_0_RNO_2_8)) (portRef B (instanceRef r_x_data_0_RNO_1_22)) (portRef B (instanceRef r_x_laddr_RNIDN857_0_1)) (portRef B (instanceRef r_x_data_0_RNO_3_7)) (portRef B (instanceRef r_x_data_0_RNO_1_5)) (portRef B (instanceRef r_x_data_0_RNO_0_28)) (portRef B (instanceRef r_x_data_0_RNO_0_29)) (portRef B (instanceRef r_x_data_0_RNO_0_26)) (portRef B (instanceRef r_x_data_0_RNO_0_17)) (portRef B (instanceRef r_x_data_0_RNO_0_24)) (portRef B (instanceRef r_x_data_0_RNO_0_30)) (portRef A (instanceRef r_x_data_0_RNO_3_20)) )) (net ld_RNIHU4Q (joined (portRef Y (instanceRef r_m_ctrl_ld_RNIHU4Q)) (portRef A (instanceRef r_m_ctrl_ld_RNIHTKJ3)) (portRef A (instanceRef r_m_ctrl_ld_RNIHTKJ3_0)) )) (net ld_RNI2PAO1 (joined (portRef Y (instanceRef r_m_ctrl_ld_RNI2PAO1)) (portRef S (instanceRef r_m_ctrl_ld_RNIHTKJ3)) (portRef S (instanceRef r_m_ctrl_ld_RNIHTKJ3_0)) )) (net un139_dbgunit (joined (portRef Y (instanceRef comb_diagwr_un139_dbgunit)) (portRef C (instanceRef addr_1_sqmuxa)) (portRef A (instanceRef r_x_rstate_RNICIRC2_0_0)) (portRef C (instanceRef s_y_1_sqmuxa_1)) (portRef B (instanceRef r_x_rstate_RNIHI881_0_0)) (portRef C (instanceRef r_x_rstate_RNIHNGG2_0)) (portRef B (instanceRef s_et_1_sqmuxa)) (portRef C (instanceRef pc_1_sqmuxa)) (portRef A (instanceRef r_w_s_svt_RNO_0)) (portRef B (instanceRef r_w_s_dwt_RNO_3)) (portRef C (instanceRef pc_1_sqmuxa_0)) )) (net (rename data_5_sqmuxa_0Z0Z_0 "data_5_sqmuxa_0_0") (joined (portRef Y (instanceRef data_5_sqmuxa_0_0)) (portRef A (instanceRef ir_addr_RNITM091_24)) (portRef A (instanceRef ir_addr_RNIG1O41_9)) (portRef A (instanceRef ir_addr_RNI2B191_29)) (portRef A (instanceRef ir_addr_RNIB1O41_4)) (portRef A (instanceRef ir_addr_RNI1B191_19)) (portRef A (instanceRef ir_addr_RNIQ6091_30)) (portRef A (instanceRef ir_addr_RNISM091_14)) (portRef A (instanceRef ir_addr_RNIQA091_21)) (portRef A (instanceRef ir_addr_RNITQ091_15)) (portRef A (instanceRef ir_addr_RNIO6091_10)) (portRef A (instanceRef ir_addr_RNIVU091_26)) (portRef A (instanceRef ir_addr_RNIV2191_17)) (portRef A (instanceRef ir_addr_RNISI091_23)) (portRef A (instanceRef ir_addr_RNIRE091_22)) (portRef A (instanceRef ir_addr_RNI03191_27)) (portRef A (instanceRef ir_addr_RNI07191_18)) )) (net (rename data_5_sqmuxa_1Z0Z_0 "data_5_sqmuxa_1_0") (joined (portRef Y (instanceRef data_5_sqmuxa_1_0)) (portRef A (instanceRef data_5_sqmuxa)) (portRef A (instanceRef data_5_sqmuxa_0_0)) )) (net (rename data_9_sqmuxa_1Z0Z_0 "data_9_sqmuxa_1_0") (joined (portRef Y (instanceRef data_3_sqmuxa_1_0)) (portRef A (instanceRef data_9_sqmuxa)) (portRef B (instanceRef data_3_sqmuxa)) (portRef B (instanceRef data_5_sqmuxa)) (portRef B (instanceRef data_5_sqmuxa_0_0)) )) (net N_7_0_0 (joined (portRef Y (instanceRef r_e_aluop_0_RNILHN3_1)) (portRef S (instanceRef r_e_aluop_0_RNIVH6K2_1)) (portRef S (instanceRef r_e_aluop_0_RNID27K2_1)) (portRef S (instanceRef r_e_aluop_0_RNIL2SV1_1)) (portRef S (instanceRef r_e_aluop_0_RNI5IRV1_1)) (portRef S (instanceRef r_e_aluop_0_RNILH6K2_1)) (portRef S (instanceRef r_e_aluop_0_RNIDISV1_1)) (portRef S (instanceRef r_e_aluop_0_RNIVBFF1_1)) (portRef S (instanceRef r_e_aluop_0_RNITHRV1_1)) (portRef S (instanceRef r_e_aluop_0_RNITQ1R_1)) (portRef S (instanceRef r_e_aluop_0_RNITI8K2_1)) (portRef S (instanceRef r_e_aluop_0_RNI5H5K2_1)) (portRef B (instanceRef r_e_aluop_0_RNIEAJ5_0)) (portRef S (instanceRef r_e_aluop_0_RNIB27K2_1)) (portRef S (instanceRef r_e_aluop_0_RNILREF1_1)) (portRef A (instanceRef r_m_y_RNO_3_7)) )) (net un335_dbgunit (joined (portRef Y (instanceRef rp_pwd_RNIU5UM)) (portRef B (instanceRef rp_error_RNO_1)) (portRef B (instanceRef r_x_rstate_RNI17D01_0)) (portRef B (instanceRef r_x_rstate_RNIFKLR_0)) (portRef B (instanceRef r_x_rstate_0_RNIRFBN_1)) (portRef A (instanceRef r_x_rstate_RNI17D01_1_0)) (portRef B (instanceRef r_m_ctrl_trap_RNIGF7T)) (portRef A (instanceRef r_x_debug_RNO_6)) (portRef A (instanceRef r_x_debug_RNO_8)) (portRef A (instanceRef r_x_rstate_RNI17D01_0_0)) )) (net (rename rstate_li_0_0 "rstate_li_0[0]") (joined (portRef Y (instanceRef r_x_rstate_RNI31F9_0)) (portRef C (instanceRef rp_pwd_RNO_1)) (portRef A (instanceRef r_x_rstate_RNIHI881_0_0)) (portRef B (instanceRef r_x_rstate_RNI442E_0)) (portRef B (instanceRef r_x_rstate_RNI0C1E_0)) (portRef B (instanceRef r_x_rstate_RNIHQKB_0)) (portRef B (instanceRef r_x_rstate_RNIKQKB_0)) (portRef B (instanceRef r_x_rstate_RNI17D01_1_0)) (portRef A (instanceRef r_x_rstate_RNI0UHT2_0)) (portRef B (instanceRef r_m_werr_RNO)) (portRef C (instanceRef r_x_rstate_RNIB7JM_0)) (portRef C (instanceRef r_x_debug_RNO_6)) (portRef B (instanceRef r_x_rstate_RNIHI881_0)) (portRef C (instanceRef r_x_rstate_RNIU02E1_0)) (portRef C (instanceRef r_m_ctrl_pv_RNIL8R31)) (portRef B (instanceRef r_x_rstate_RNI17D01_0_0)) (portRef (member rstate_li_0 0)) )) (net (rename ex_shcnt_1_i_0_1 "ex_shcnt_1_i_0[1]") (joined (portRef Y (instanceRef r_e_shcnt_RNISM6M_1)) (portRef S (instanceRef r_e_shcnt_RNIM3JDP_1)) (portRef S (instanceRef r_e_shcnt_RNIGDH3O_1)) (portRef S (instanceRef r_e_shcnt_RNI9BVKO_1)) (portRef S (instanceRef r_e_shcnt_RNI3LTAN_1)) (portRef S (instanceRef r_e_shcnt_RNISIBSN_1)) (portRef S (instanceRef r_e_shcnt_RNIMS9IM_1)) (portRef S (instanceRef r_e_shcnt_RNIEQN3N_1)) (portRef S (instanceRef r_e_shcnt_RNIMPVJL_1)) (portRef S (instanceRef r_e_shcnt_RNIIBL7M_1)) (portRef S (instanceRef r_e_shcnt_RNI91CRK_1)) (portRef S (instanceRef r_e_shcnt_RNI4J1FL_1)) (portRef S (instanceRef r_e_shcnt_RNIFJE8K_1)) (portRef S (instanceRef r_e_shcnt_RNIMQDMK_1)) (portRef S (instanceRef r_e_shcnt_RNI1RQFJ_1)) (portRef S (instanceRef r_e_shcnt_RNI92QTJ_1)) (portRef S (instanceRef r_e_shcnt_RNIK27NI_1)) (portRef S (instanceRef r_e_shcnt_RNIS965J_1)) )) (net (rename shcnt_1 "shcnt[1]") (joined (portRef Q (instanceRef r_e_shcnt_1)) (portRef A (instanceRef r_e_shcnt_RNISM6M_0_1)) (portRef A (instanceRef r_e_shcnt_RNISM6M_1)) )) (net N_3947 (joined (portRef Y (instanceRef r_x_data_0_RNI2BS8_1)) (portRef B (instanceRef r_e_op2_RNIVKHG_1)) (portRef B (instanceRef r_e_shcnt_RNISM6M_0_1)) (portRef B (instanceRef r_e_shcnt_RNISM6M_1)) )) (net (rename ex_shcnt_1_i_0_2 "ex_shcnt_1_i_0[2]") (joined (portRef Y (instanceRef r_e_shcnt_RNIUQ6M_2)) (portRef S (instanceRef r_e_shcnt_RNIGMVT9_2)) (portRef S (instanceRef r_e_shcnt_RNIVQ04A_2)) (portRef S (instanceRef r_e_shcnt_RNI2UB59_2)) (portRef S (instanceRef r_e_shcnt_RNII2DB9_2)) (portRef S (instanceRef r_e_shcnt_RNIBO1PE_2)) (portRef S (instanceRef r_e_shcnt_RNIBLNQD_2)) (portRef S (instanceRef r_e_shcnt_RNIAIDSC_2)) (portRef S (instanceRef r_e_shcnt_RNIV042D_2)) (portRef S (instanceRef r_e_shcnt_RNIAF3UB_2)) (portRef S (instanceRef r_e_shcnt_RNII8G9C_2)) (portRef S (instanceRef r_e_shcnt_RNITMF5B_2)) (portRef S (instanceRef r_e_shcnt_RNI5GSGB_2)) (portRef S (instanceRef r_e_shcnt_RNITJ57A_2)) (portRef S (instanceRef r_e_shcnt_RNI91QKA_2)) (portRef S (instanceRef r_e_shcnt_RNI368K9_2)) (portRef S (instanceRef r_e_shcnt_RNIR86S9_2)) (portRef S (instanceRef r_e_shcnt_RNIMDKR8_2)) (portRef S (instanceRef r_e_shcnt_RNIEGI39_2)) )) (net (rename shcnt_2 "shcnt[2]") (joined (portRef Q (instanceRef r_e_shcnt_2)) (portRef A (instanceRef r_e_shcnt_RNIUQ6M_0_2)) (portRef A (instanceRef r_e_shcnt_RNIUQ6M_2)) )) (net N_3948 (joined (portRef Y (instanceRef r_x_data_0_RNI3FS8_2)) (portRef B (instanceRef r_e_op2_RNI1PHG_2)) (portRef B (instanceRef r_e_shcnt_RNIUQ6M_0_2)) (portRef B (instanceRef r_e_shcnt_RNIUQ6M_2)) )) (net (rename ex_shcnt_1_i_0_3 "ex_shcnt_1_i_0[3]") (joined (portRef Y (instanceRef r_e_shcnt_RNI0V6M_3)) (portRef S (instanceRef r_e_shcnt_RNING115_3)) (portRef S (instanceRef r_e_shcnt_RNIAFOC4_3)) (portRef S (instanceRef r_e_shcnt_RNI24D84_3)) (portRef S (instanceRef r_e_shcnt_RNIAOD84_3)) (portRef S (instanceRef r_e_shcnt_RNIBMHC6_3)) (portRef S (instanceRef r_e_shcnt_RNIMFBV5_3)) (portRef S (instanceRef r_e_shcnt_RNIUTTJ5_3)) (portRef S (instanceRef r_e_shcnt_RNI9NN65_3)) (portRef S (instanceRef r_e_shcnt_RNI2FRN4_3)) (portRef S (instanceRef r_e_shcnt_RNIRU3E4_3)) (portRef S (instanceRef r_e_shcnt_RNILM7V3_3)) (portRef S (instanceRef r_e_shcnt_RNI6KIG7_3)) (portRef S (instanceRef r_e_shcnt_RNI798I6_3)) (portRef S (instanceRef r_e_shcnt_RNI6H8I6_3)) (portRef S (instanceRef r_e_shcnt_RNI66UJ5_3)) (portRef S (instanceRef r_e_shcnt_RNI6EUJ5_3)) (portRef S (instanceRef r_e_shcnt_RNIPDAR4_3)) (portRef S (instanceRef r_e_shcnt_RNI6BKL4_3)) (portRef S (instanceRef r_e_shcnt_RNIVVC84_3)) (portRef S (instanceRef r_e_shcnt_RNIPI0T3_3)) )) (net (rename shcnt_3 "shcnt[3]") (joined (portRef Q (instanceRef r_e_shcnt_3)) (portRef A (instanceRef r_e_shcnt_RNI1V6M_3)) (portRef A (instanceRef r_e_shcnt_RNI0V6M_3)) )) (net N_3949 (joined (portRef Y (instanceRef r_x_data_0_RNI4JS8_3)) (portRef B (instanceRef r_e_shcnt_RNI1V6M_3)) (portRef B (instanceRef r_e_op2_RNI4THG_3)) (portRef B (instanceRef r_e_shcnt_RNI0V6M_3)) )) (net (rename ex_shcnt_1_i_0_4 "ex_shcnt_1_i_0[4]") (joined (portRef Y (instanceRef r_e_shcnt_RNI237M_4)) (portRef S (instanceRef r_e_shleft_RNIV09A2)) (portRef S (instanceRef r_e_shleft_0_RNIOBS42)) (portRef S (instanceRef r_e_shleft_1_RNI8ERQ1)) (portRef S (instanceRef r_e_shleft_1_RNIOGH02)) (portRef S (instanceRef r_e_shleft_1_RNII4LH1)) (portRef S (instanceRef r_e_shleft_1_RNIQMAN1)) (portRef S (instanceRef r_e_shleft_1_RNII8LH1)) (portRef S (instanceRef r_e_shleft_0_RNICPOH3)) (portRef S (instanceRef r_e_shleft_0_RNIMJI83)) (portRef S (instanceRef r_e_shleft_0_RNIBP5P2)) (portRef S (instanceRef r_e_shleft_RNICMEJ2)) (portRef S (instanceRef r_e_shleft_RNIL3OD2)) (portRef S (instanceRef r_e_shleft_0_RNI9RUF2)) (portRef S (instanceRef r_e_shleft_0_RNIU0I02)) (portRef S (instanceRef r_e_shleft_0_RNIPKLH1)) (portRef S (instanceRef r_e_shleft_0_RNITUAN1)) (portRef S (instanceRef r_e_shleft_0_RNIS2BN1)) (portRef S (instanceRef r_e_shleft_0_RNIQRI83)) (portRef S (instanceRef r_e_shleft_0_RNIVS4P2)) (portRef S (instanceRef r_e_shleft_RNI7AI42)) (portRef S (instanceRef r_e_shleft_RNIQO8A2)) (portRef S (instanceRef r_e_shleft_0_RNII4H02)) (portRef S (instanceRef r_e_shleft_0_RNIDSKH1)) (portRef S (instanceRef r_e_shleft_0_RNID0LH1)) )) (net (rename shcnt_4 "shcnt[4]") (joined (portRef Q (instanceRef r_e_shcnt_4)) (portRef A (instanceRef r_e_shcnt_RNI237M_0_4)) (portRef A (instanceRef r_e_shcnt_RNI237M_4)) )) (net N_3950 (joined (portRef Y (instanceRef r_x_data_0_RNI5NS8_4)) (portRef B (instanceRef r_e_op2_RNI51IG_4)) (portRef B (instanceRef r_e_shcnt_RNI237M_0_4)) (portRef B (instanceRef r_e_shcnt_RNI237M_4)) )) (net N_8145_i_0_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIA5SD2_0_23)) (portRef B (instanceRef r_w_s_tba_RNI6FIJ2_14)) (portRef B (instanceRef r_w_s_tba_RNIB3JJ2_19)) (portRef A (instanceRef r_w_s_tt_RNIT05I2_3)) (portRef B (instanceRef r_w_s_tt_RNI6RT48_6)) (portRef B (instanceRef r_w_s_tba_RNIKUACD_6)) (portRef B (instanceRef r_w_s_tt_RNIIVOQ7_7)) (portRef B (instanceRef r_w_s_tba_RNINIQL6_2)) (portRef B (instanceRef r_w_s_tba_RNIQUGMD_7)) (portRef B (instanceRef r_w_s_tba_RNIVDFMD_5)) (portRef B (instanceRef r_w_s_tba_RNIMS1I9_9)) (portRef B (instanceRef r_w_s_tba_RNIP5279_15)) (portRef B (instanceRef r_w_s_tba_RNIF1NLB_17)) (portRef B (instanceRef r_w_s_tba_RNI08KLB_18)) (portRef B (instanceRef r_w_s_tba_RNIMLEMD_4)) )) (net aluresult_12_sqmuxa_5 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI28QA1_19)) (portRef A (instanceRef r_e_ctrl_inst_RNIA5SD2_1_23)) (portRef A (instanceRef r_e_ctrl_inst_RNIA5SD2_23)) (portRef B (instanceRef r_w_s_tt_RNIRO4I2_1)) (portRef A (instanceRef aluresult_11_sqmuxa_7)) (portRef A (instanceRef r_e_ctrl_inst_RNIA5SD2_0_23)) )) (net aluresult_12_sqmuxa_7_1 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIVC1S_20)) (portRef B (instanceRef r_e_ctrl_inst_RNIA5SD2_1_23)) (portRef C (instanceRef r_w_s_tt_RNIRO4I2_1)) (portRef B (instanceRef r_e_ctrl_inst_RNIA5SD2_0_23)) )) (net (rename inst_23 "inst[23]") (joined (portRef Q (instanceRef r_e_ctrl_inst_23)) (portRef D (instanceRef r_m_ctrl_inst_23)) (portRef C (instanceRef r_e_ctrl_inst_RNIA5SD2_1_23)) (portRef C (instanceRef r_e_ctrl_inst_RNIA5SD2_23)) (portRef A (instanceRef r_e_ctrl_inst_RNIJ41E_24)) (portRef B (instanceRef r_e_ctrl_inst_RNICHFG_23)) (portRef C (instanceRef r_e_ctrl_inst_RNIO41L_22)) (portRef A (instanceRef r_e_ctrl_inst_RNINO1E_23)) (portRef A (instanceRef r_e_ctrl_inst_RNI4T5J_23)) (portRef B (instanceRef r_e_ctrl_inst_RNIHS0E_22)) (portRef B (instanceRef r_w_s_wim_RNIUV4P_5)) (portRef B (instanceRef r_w_s_tt_RNIQ39B_1)) (portRef B (instanceRef comb_alu_select_aluresult_1_iv_9_0_a2_0_RNO_5)) (portRef C (instanceRef r_e_ctrl_inst_RNIA5SD2_0_23)) )) (net aluresult_8_sqmuxa_i_0 (joined (portRef Y (instanceRef r_e_aluop_0_RNIRSOT_1)) (portRef C (instanceRef r_e_op2_RNI3B4V1_11)) (portRef B (instanceRef r_e_ctrl_pc_RNI0VOK2_14)) (portRef C (instanceRef r_e_op2_RNIEJA92_19)) (portRef B (instanceRef r_e_ctrl_pc_RNI1VUU2_17)) (portRef A (instanceRef r_e_aluop_0_RNI4R992_1)) (portRef B (instanceRef r_e_ctrl_pc_RNILITU2_21)) (portRef C (instanceRef r_e_op2_RNIH35V1_26)) (portRef C (instanceRef r_e_op2_RNI5V992_23)) (portRef C (instanceRef r_e_op2_RNIJ5402_8)) (portRef C (instanceRef r_e_op2_RNITM992_12)) (portRef C (instanceRef r_e_op2_RNIBR4V1_15)) (portRef A (instanceRef r_e_aluop_0_RNI5B4V1_1)) (portRef C (instanceRef r_e_op2_RNIJ75V1_27)) (portRef B (instanceRef r_e_ctrl_pc_RNI1NUU2_16)) )) (net N_26 (joined (portRef Y (instanceRef r_e_aluop_RNI6NNF_2)) (portRef B (instanceRef r_e_aluop_RNIPIJN_1)) (portRef A (instanceRef r_e_aluop_1_RNISSOT_1)) (portRef A (instanceRef r_e_aluop_0_RNIRSOT_1)) )) (net N_136_i_i_0_0 (joined (portRef Y (instanceRef r_m_y_RNI10TB6_0)) (portRef C (instanceRef r_e_op2_RNO_6)) (portRef C (instanceRef r_e_op2_RNO_26)) (portRef C (instanceRef r_e_op2_RNO_12)) (portRef C (instanceRef r_e_op2_RNO_13)) (portRef C (instanceRef r_e_op2_RNO_11)) (portRef C (instanceRef r_e_op2_RNO_30)) (portRef C (instanceRef r_e_op2_RNO_27)) (portRef C (instanceRef r_e_op2_RNO_7)) (portRef C (instanceRef r_e_op2_RNO_4)) (portRef C (instanceRef r_e_op2_RNO_3)) (portRef C (instanceRef r_e_op2_RNO_0)) (portRef C (instanceRef r_e_op2_RNO_29)) (portRef C (instanceRef r_e_op2_RNO_19)) (portRef C (instanceRef r_e_op2_RNO_1)) (portRef C (instanceRef r_e_op2_RNO_5)) (portRef C (instanceRef r_e_op2_RNO_8)) (portRef C (instanceRef r_m_ctrl_ld_RNIRO6O6)) )) (net (rename y_0 "y[0]") (joined (portRef Y (instanceRef r_m_y_RNISEPJ4_0)) (portRef D (instanceRef r_m_y_0)) (portRef B (instanceRef r_m_y_RNI10TB6_0_0)) (portRef B (instanceRef r_m_y_RNI10TB6_0)) )) (net (rename rsel2_2 "rsel2[2]") (joined (portRef Y (instanceRef r_a_rsel2_RNILQ5_2)) (portRef S (instanceRef r_a_imm_RNIN0OD2_0)) (portRef S (instanceRef r_w_result_RNI7DO7_0)) (portRef B (instanceRef r_a_rsel2_RNI8M8SP1_2)) (portRef B (instanceRef r_e_op2_RNO_5_8)) (portRef S (instanceRef r_a_imm_RNIVGOD2_4)) (portRef S (instanceRef r_w_result_RNIFDP7_4)) (portRef B (instanceRef r_a_rsel2_RNI95M962_2)) (portRef S (instanceRef r_a_imm_RNIP4OD2_1)) (portRef S (instanceRef r_w_result_RNI9LO7_1)) (portRef B (instanceRef r_a_rsel2_RNIMIR1V1_2)) (portRef B (instanceRef r_e_op2_RNO_5_19)) (portRef B (instanceRef r_e_op2_RNO_5_29)) (portRef S (instanceRef r_a_imm_RNITCOD2_3)) (portRef S (instanceRef r_w_result_RNID5P7_3)) (portRef B (instanceRef r_a_rsel2_RNI9NCE12_2)) (portRef B (instanceRef r_e_op2_RNO_5_7)) (portRef B (instanceRef r_e_op2_RNO_5_30)) (portRef B (instanceRef r_e_op2_RNO_5_17)) (portRef B (instanceRef r_e_op2_RNO_5_21)) (portRef B (instanceRef r_e_op2_RNO_5_28)) (portRef B (instanceRef r_e_op2_RNO_5_15)) (portRef S (instanceRef r_e_op2_RNO_3_20)) (portRef S (instanceRef r_e_op2_RNO_4_20)) (portRef B (instanceRef r_e_op2_RNO_5_11)) (portRef B (instanceRef r_e_op2_RNO_5_10)) (portRef B (instanceRef r_e_op2_RNO_5_13)) (portRef B (instanceRef r_e_op2_RNO_5_12)) (portRef B (instanceRef r_e_op2_RNO_5_26)) (portRef B (instanceRef r_e_op2_RNO_5_6)) (portRef S (instanceRef r_w_result_RNIBTO7_2)) (portRef S (instanceRef r_e_op2_RNO_3_24)) (portRef S (instanceRef r_e_op2_RNO_2_24)) (portRef S (instanceRef r_e_op2_RNO_4_14)) (portRef S (instanceRef r_e_op2_RNO_3_14)) (portRef B (instanceRef r_e_op2_RNO_5_14)) (portRef B (instanceRef r_a_rsel2_RNISU8N42_2)) (portRef S (instanceRef r_a_imm_RNIR8OD2_2)) (portRef B (instanceRef r_e_op2_RNO_5_20)) (portRef S (instanceRef r_e_op2_RNO_3_18)) (portRef S (instanceRef r_e_op2_RNO_4_18)) (portRef B (instanceRef r_e_op2_RNO_5_22)) (portRef S (instanceRef r_e_op2_RNO_3_22)) (portRef S (instanceRef r_e_op2_RNO_4_22)) (portRef S (instanceRef r_e_op2_RNO_4_17)) (portRef B (instanceRef r_e_op2_RNO_5_25)) (portRef S (instanceRef r_e_op2_RNO_4_25)) (portRef S (instanceRef r_e_op2_RNO_3_25)) (portRef B (instanceRef r_e_op2_RNO_5_23)) (portRef B (instanceRef r_e_op2_RNO_5_18)) (portRef B (instanceRef r_e_op2_RNO_5_16)) (portRef B (instanceRef r_e_op2_RNO_5_9)) (portRef S (instanceRef r_e_op2_RNO_4_23)) (portRef S (instanceRef r_e_op2_RNO_4_16)) (portRef S (instanceRef r_e_op2_RNO_4_9)) (portRef S (instanceRef r_e_op2_RNO_3_23)) (portRef S (instanceRef r_e_op2_RNO_3_16)) (portRef S (instanceRef r_e_op2_RNO_3_15)) (portRef S (instanceRef r_e_op2_RNO_3_9)) (portRef S (instanceRef r_e_op2_RNO_4_6)) (portRef S (instanceRef r_e_op2_RNO_3_6)) (portRef S (instanceRef r_e_op2_RNO_4_26)) (portRef S (instanceRef r_e_op2_RNO_3_26)) (portRef S (instanceRef r_e_op2_RNO_4_12)) (portRef S (instanceRef r_e_op2_RNO_3_12)) (portRef S (instanceRef r_e_op2_RNO_4_13)) (portRef S (instanceRef r_e_op2_RNO_4_10)) (portRef B (instanceRef r_e_op2_RNO_5_27)) (portRef S (instanceRef r_e_op2_RNO_4_11)) (portRef S (instanceRef r_e_op2_RNO_3_11)) (portRef B (instanceRef r_e_op2_RNO_5_31)) (portRef S (instanceRef r_e_op2_RNO_4_31)) (portRef S (instanceRef r_e_op2_RNO_3_31)) (portRef S (instanceRef r_e_op2_RNO_4_15)) (portRef S (instanceRef r_e_op2_RNO_4_28)) (portRef S (instanceRef r_e_op2_RNO_3_28)) (portRef S (instanceRef r_e_op2_RNO_4_21)) (portRef S (instanceRef r_e_op2_RNO_3_21)) (portRef S (instanceRef r_e_op2_RNO_3_17)) (portRef S (instanceRef r_e_op2_RNO_4_30)) (portRef S (instanceRef r_e_op2_RNO_4_27)) (portRef S (instanceRef r_e_op2_RNO_4_7)) (portRef S (instanceRef r_e_op2_RNO_3_30)) (portRef S (instanceRef r_e_op2_RNO_3_27)) (portRef S (instanceRef r_e_op2_RNO_3_10)) (portRef S (instanceRef r_e_op2_RNO_3_7)) (portRef S (instanceRef r_e_op2_RNO_4_29)) (portRef S (instanceRef r_e_op2_RNO_4_19)) (portRef S (instanceRef r_e_op2_RNO_3_29)) (portRef S (instanceRef r_e_op2_RNO_3_19)) (portRef S (instanceRef r_e_op2_RNO_3_13)) (portRef B (instanceRef r_e_op2_RNO_5_5)) (portRef S (instanceRef r_e_op2_RNO_4_5)) (portRef S (instanceRef r_e_op2_RNO_3_5)) (portRef S (instanceRef r_e_op2_RNO_4_8)) (portRef S (instanceRef r_e_op2_RNO_3_8)) (portRef B (instanceRef r_m_ctrl_ld_RNIRO6O6)) (portRef A (instanceRef comb_alu_op_aop2_i_a2_1_RNO_24)) )) (net (rename rsel2_0_2 "rsel2_0[2]") (joined (portRef Q (instanceRef r_a_rsel2_2)) (portRef A (instanceRef r_a_rsel2_RNILQ5_2)) )) (net ldbp1_0 (joined (portRef Q (instanceRef r_e_ldbp1)) (portRef A (instanceRef r_e_ldbp1_RNIMV81)) )) (net (rename DWACT_ADD_CI_0_g_array_1_0 "DWACT_ADD_CI_0_g_array_1[0]") (joined (portRef Y (instanceRef un68_ra_I_15)) (portRef B (instanceRef un68_ra_I_14)) )) (net (rename DWACT_ADD_CI_0_TMP_0 "DWACT_ADD_CI_0_TMP[0]") (joined (portRef Y (instanceRef un68_ra_I_1)) (portRef B (instanceRef un68_ra_I_13)) (portRef A (instanceRef un68_ra_I_15)) )) (net (rename ncwp_1 "ncwp[1]") (joined (portRef Y (instanceRef r_d_cwp_RNINK7L2_1)) (portRef A (instanceRef un68_ra_I_13)) (portRef A (instanceRef r_d_cwp_RNO_0_1)) (portRef B (instanceRef un68_ra_I_15)) )) (net (rename DWACT_ADD_CI_0_g_array_1_0_0 "DWACT_ADD_CI_0_g_array_1_0[0]") (joined (portRef Y (instanceRef un46_ra_I_15)) (portRef B (instanceRef un46_ra_I_14)) )) (net (rename DWACT_ADD_CI_0_TMP_0_0 "DWACT_ADD_CI_0_TMP_0[0]") (joined (portRef Y (instanceRef un46_ra_I_1)) (portRef B (instanceRef un46_ra_I_13)) (portRef A (instanceRef un46_ra_I_15)) )) (net (rename cwp_1 "cwp[1]") (joined (portRef Q (instanceRef r_d_cwp_1)) (portRef A (instanceRef un1_r_d_cwp_1_I_5)) (portRef A (instanceRef un1_r_d_cwp_1_I_7)) (portRef A (instanceRef un1_r_d_cwp_1_I_10)) (portRef A (instanceRef un46_ra_I_13)) (portRef A (instanceRef un9_ra_I_13)) (portRef A (instanceRef un28_ra_I_13)) (portRef D (instanceRef r_a_cwp_1)) (portRef A (instanceRef r_d_cwp_RNO_1_1)) (portRef B (instanceRef r_d_cwp_RNINK7L2_1)) (portRef A (instanceRef r_d_cwp_RNIVS96_2)) (portRef C (instanceRef r_d_cwp_RNIVS96_0_2)) (portRef B (instanceRef un28_ra_I_15)) (portRef B (instanceRef un9_ra_I_15)) (portRef B (instanceRef un46_ra_I_15)) )) (net (rename DWACT_ADD_CI_0_g_array_1_1_0 "DWACT_ADD_CI_0_g_array_1_1[0]") (joined (portRef Y (instanceRef un9_ra_I_15)) (portRef B (instanceRef un9_ra_I_14)) )) (net (rename DWACT_ADD_CI_0_TMP_1_0 "DWACT_ADD_CI_0_TMP_1[0]") (joined (portRef Y (instanceRef un9_ra_I_1)) (portRef B (instanceRef un9_ra_I_13)) (portRef A (instanceRef un9_ra_I_15)) )) (net (rename DWACT_ADD_CI_0_g_array_1_2_0 "DWACT_ADD_CI_0_g_array_1_2[0]") (joined (portRef Y (instanceRef un28_ra_I_15)) (portRef B (instanceRef un28_ra_I_14)) )) (net (rename DWACT_ADD_CI_0_TMP_2_0 "DWACT_ADD_CI_0_TMP_2[0]") (joined (portRef Y (instanceRef un28_ra_I_1)) (portRef B (instanceRef un28_ra_I_13)) (portRef A (instanceRef un28_ra_I_15)) )) (net N_147 (joined (portRef Y (instanceRef un6_fe_npc_2_I_8)) (portRef A (instanceRef un6_fe_npc_2_I_9)) )) (net (rename dpc_3 "dpc[3]") (joined (portRef Q (instanceRef r_d_pc_3)) (portRef B (instanceRef un6_fe_npc_2_I_5)) (portRef B (instanceRef un6_fe_npc_2_I_12)) (portRef B (instanceRef un6_fe_npc_2_I_16)) (portRef B (instanceRef un6_fe_npc_2_I_149)) (portRef D (instanceRef r_a_ctrl_pc_3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I1_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I1_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I84_Y)) (portRef B (instanceRef r_d_pc_RNO_3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I261_Y_0)) (portRef A (instanceRef un6_fe_npc_2_I_8)) )) (net (rename dpc_2 "dpc[2]") (joined (portRef Q (instanceRef r_d_pc_2)) (portRef A (instanceRef un6_fe_npc_2_I_5)) (portRef A (instanceRef un6_fe_npc_2_I_12)) (portRef A (instanceRef un6_fe_npc_2_I_16)) (portRef A (instanceRef un6_fe_npc_2_I_149)) (portRef D (instanceRef r_a_ctrl_pc_2)) (portRef B (instanceRef r_f_pc_RNO_4_2)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I0_CO1)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I0_S_0)) (portRef C (instanceRef r_d_pc_RNI2SNHM1_2)) (portRef B (instanceRef r_d_pc_RNIOT6EB_2)) (portRef B (instanceRef un6_fe_npc_2_I_8)) )) (net N_139 (joined (portRef Y (instanceRef un6_fe_npc_2_I_19)) (portRef A (instanceRef un6_fe_npc_2_I_20)) )) (net (rename dpc_5 "dpc[5]") (joined (portRef Q (instanceRef r_d_pc_5)) (portRef B (instanceRef un6_fe_npc_2_I_13)) (portRef B (instanceRef un6_fe_npc_2_I_23)) (portRef A (instanceRef un6_fe_npc_2_I_27)) (portRef A (instanceRef un6_fe_npc_2_I_34)) (portRef D (instanceRef r_a_ctrl_pc_5)) (portRef B (instanceRef r_d_pc_RNO_5)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I80_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I3_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I3_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I263_Y_0_0)) (portRef A (instanceRef un6_fe_npc_2_I_19)) )) (net (rename DWACT_FINC_E_0 "DWACT_FINC_E[0]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_16)) (portRef A (instanceRef un6_fe_npc_2_I_23)) (portRef A (instanceRef un6_fe_npc_2_I_30)) (portRef A (instanceRef un6_fe_npc_2_I_37)) (portRef A (instanceRef un6_fe_npc_2_I_44)) (portRef A (instanceRef un6_fe_npc_2_I_48)) (portRef A (instanceRef un6_fe_npc_2_I_62)) (portRef A (instanceRef un6_fe_npc_2_I_111)) (portRef B (instanceRef un6_fe_npc_2_I_19)) )) (net N_116 (joined (portRef Y (instanceRef un6_fe_npc_2_I_51)) (portRef A (instanceRef un6_fe_npc_2_I_52)) )) (net (rename dpc_10 "dpc[10]") (joined (portRef Q (instanceRef r_d_pc_10)) (portRef B (instanceRef un6_fe_npc_2_I_45)) (portRef B (instanceRef un6_fe_npc_2_I_55)) (portRef C (instanceRef un6_fe_npc_2_I_59)) (portRef D (instanceRef r_a_ctrl_pc_10)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I8_P0N)) (portRef B (instanceRef r_d_pc_RNO_10)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I8_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I268_Y_0_0)) (portRef A (instanceRef un6_fe_npc_2_I_51)) )) (net (rename DWACT_FINC_E_4 "DWACT_FINC_E[4]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_48)) (portRef A (instanceRef un6_fe_npc_2_I_55)) (portRef B (instanceRef un6_fe_npc_2_I_51)) )) (net N_101 (joined (portRef Y (instanceRef un6_fe_npc_2_I_72)) (portRef A (instanceRef un6_fe_npc_2_I_73)) )) (net (rename DWACT_FINC_E_7 "DWACT_FINC_E[7]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_69)) (portRef B (instanceRef un6_fe_npc_2_I_76)) (portRef B (instanceRef un6_fe_npc_2_I_83)) (portRef B (instanceRef un6_fe_npc_2_I_90)) (portRef A (instanceRef un6_fe_npc_2_I_94)) (portRef A (instanceRef un6_fe_npc_2_I_118)) (portRef A (instanceRef un6_fe_npc_2_I_182)) (portRef A (instanceRef un6_fe_npc_2_I_72)) )) (net (rename DWACT_FINC_E_6 "DWACT_FINC_E[6]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_62)) (portRef A (instanceRef un6_fe_npc_2_I_65)) (portRef A (instanceRef un6_fe_npc_2_I_76)) (portRef A (instanceRef un6_fe_npc_2_I_83)) (portRef A (instanceRef un6_fe_npc_2_I_90)) (portRef A (instanceRef un6_fe_npc_2_I_97)) (portRef A (instanceRef un6_fe_npc_2_I_104)) (portRef B (instanceRef un6_fe_npc_2_I_72)) )) (net N_147_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_8)) (portRef A (instanceRef un6_fe_npc_0_I_9)) )) (net N_139_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_19)) (portRef A (instanceRef un6_fe_npc_0_I_20)) )) (net (rename DWACT_FINC_E_0_0 "DWACT_FINC_E_0[0]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_16)) (portRef A (instanceRef un6_fe_npc_0_I_23)) (portRef A (instanceRef un6_fe_npc_0_I_30)) (portRef A (instanceRef un6_fe_npc_0_I_37)) (portRef A (instanceRef un6_fe_npc_0_I_44)) (portRef A (instanceRef un6_fe_npc_0_I_48)) (portRef A (instanceRef un6_fe_npc_0_I_62)) (portRef A (instanceRef un6_fe_npc_0_I_111)) (portRef B (instanceRef un6_fe_npc_0_I_19)) )) (net N_116_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_51)) (portRef A (instanceRef un6_fe_npc_0_I_52)) )) (net (rename DWACT_FINC_E_0_4 "DWACT_FINC_E_0[4]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_48)) (portRef A (instanceRef un6_fe_npc_0_I_55)) (portRef B (instanceRef un6_fe_npc_0_I_51)) )) (net N_101_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_72)) (portRef A (instanceRef un6_fe_npc_0_I_73)) )) (net (rename DWACT_FINC_E_0_7 "DWACT_FINC_E_0[7]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_69)) (portRef B (instanceRef un6_fe_npc_0_I_76)) (portRef B (instanceRef un6_fe_npc_0_I_83)) (portRef B (instanceRef un6_fe_npc_0_I_90)) (portRef A (instanceRef un6_fe_npc_0_I_94)) (portRef A (instanceRef un6_fe_npc_0_I_118)) (portRef A (instanceRef un6_fe_npc_0_I_182)) (portRef A (instanceRef un6_fe_npc_0_I_72)) )) (net (rename DWACT_FINC_E_0_6 "DWACT_FINC_E_0[6]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_62)) (portRef A (instanceRef un6_fe_npc_0_I_65)) (portRef A (instanceRef un6_fe_npc_0_I_76)) (portRef A (instanceRef un6_fe_npc_0_I_83)) (portRef A (instanceRef un6_fe_npc_0_I_90)) (portRef A (instanceRef un6_fe_npc_0_I_97)) (portRef A (instanceRef un6_fe_npc_0_I_104)) (portRef B (instanceRef un6_fe_npc_0_I_72)) )) (net N_147_1 (joined (portRef Y (instanceRef un6_fe_npc_1_I_8)) (portRef A (instanceRef un6_fe_npc_1_I_9)) )) (net (rename pc_3 "pc[3]") (joined (portRef Q (instanceRef r_a_ctrl_pc_3)) (portRef B (instanceRef un6_fe_npc_1_I_5)) (portRef B (instanceRef un6_fe_npc_1_I_12)) (portRef B (instanceRef un6_fe_npc_1_I_16)) (portRef B (instanceRef un6_fe_npc_1_I_149)) (portRef D (instanceRef r_e_ctrl_pc_3)) (portRef B (instanceRef r_m_ctrl_pc_RNI4MF8_3)) (portRef A (instanceRef ir_addr_RNO_5_3)) (portRef A (instanceRef un6_fe_npc_1_I_8)) )) (net (rename pc_2 "pc[2]") (joined (portRef Q (instanceRef r_a_ctrl_pc_2)) (portRef A (instanceRef un6_fe_npc_1_I_5)) (portRef A (instanceRef un6_fe_npc_1_I_12)) (portRef A (instanceRef un6_fe_npc_1_I_16)) (portRef A (instanceRef un6_fe_npc_1_I_149)) (portRef D (instanceRef r_e_ctrl_pc_2)) (portRef B (instanceRef r_f_pc_RNO_3_2)) (portRef A (instanceRef ir_addr_RNO_5_2)) (portRef B (instanceRef r_m_ctrl_pc_RNIO6AE_2)) (portRef A (instanceRef r_a_ctrl_pc_RNI9USDF_2)) (portRef B (instanceRef r_a_ctrl_pc_RNI2MLBI1_2)) (portRef B (instanceRef un6_fe_npc_1_I_8)) )) (net N_139_1 (joined (portRef Y (instanceRef un6_fe_npc_1_I_19)) (portRef A (instanceRef un6_fe_npc_1_I_20)) )) (net (rename pc_5 "pc[5]") (joined (portRef Q (instanceRef r_a_ctrl_pc_5)) (portRef B (instanceRef un6_fe_npc_1_I_13)) (portRef B (instanceRef un6_fe_npc_1_I_23)) (portRef A (instanceRef un6_fe_npc_1_I_27)) (portRef A (instanceRef un6_fe_npc_1_I_34)) (portRef D (instanceRef r_e_ctrl_pc_5)) (portRef A (instanceRef ir_addr_RNO_5_5)) (portRef B (instanceRef r_m_ctrl_pc_RNIT6AE_5)) (portRef A (instanceRef un6_fe_npc_1_I_19)) )) (net (rename DWACT_FINC_E_1_0 "DWACT_FINC_E_1[0]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_16)) (portRef A (instanceRef un6_fe_npc_1_I_23)) (portRef A (instanceRef un6_fe_npc_1_I_30)) (portRef A (instanceRef un6_fe_npc_1_I_37)) (portRef A (instanceRef un6_fe_npc_1_I_44)) (portRef A (instanceRef un6_fe_npc_1_I_48)) (portRef A (instanceRef un6_fe_npc_1_I_62)) (portRef A (instanceRef un6_fe_npc_1_I_111)) (portRef B (instanceRef un6_fe_npc_1_I_19)) )) (net N_116_1 (joined (portRef Y (instanceRef un6_fe_npc_1_I_51)) (portRef A (instanceRef un6_fe_npc_1_I_52)) )) (net (rename pc_10 "pc[10]") (joined (portRef Q (instanceRef r_a_ctrl_pc_10)) (portRef B (instanceRef un6_fe_npc_1_I_45)) (portRef B (instanceRef un6_fe_npc_1_I_55)) (portRef C (instanceRef un6_fe_npc_1_I_59)) (portRef D (instanceRef r_e_ctrl_pc_10)) (portRef B (instanceRef r_m_ctrl_pc_RNIM9GF_10)) (portRef A (instanceRef ir_addr_RNO_5_10)) (portRef A (instanceRef un6_fe_npc_1_I_51)) )) (net (rename DWACT_FINC_E_1_4 "DWACT_FINC_E_1[4]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_48)) (portRef A (instanceRef un6_fe_npc_1_I_55)) (portRef B (instanceRef un6_fe_npc_1_I_51)) )) (net N_101_1 (joined (portRef Y (instanceRef un6_fe_npc_1_I_72)) (portRef A (instanceRef un6_fe_npc_1_I_73)) )) (net (rename DWACT_FINC_E_1_7 "DWACT_FINC_E_1[7]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_69)) (portRef B (instanceRef un6_fe_npc_1_I_76)) (portRef B (instanceRef un6_fe_npc_1_I_83)) (portRef B (instanceRef un6_fe_npc_1_I_90)) (portRef A (instanceRef un6_fe_npc_1_I_94)) (portRef A (instanceRef un6_fe_npc_1_I_118)) (portRef A (instanceRef un6_fe_npc_1_I_182)) (portRef A (instanceRef un6_fe_npc_1_I_72)) )) (net (rename DWACT_FINC_E_1_6 "DWACT_FINC_E_1[6]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_62)) (portRef A (instanceRef un6_fe_npc_1_I_65)) (portRef A (instanceRef un6_fe_npc_1_I_76)) (portRef A (instanceRef un6_fe_npc_1_I_83)) (portRef A (instanceRef un6_fe_npc_1_I_90)) (portRef A (instanceRef un6_fe_npc_1_I_97)) (portRef A (instanceRef un6_fe_npc_1_I_104)) (portRef B (instanceRef un6_fe_npc_1_I_72)) )) (net ren2_i (joined (portRef Y (instanceRef r_a_rfe2_RNO)) (portRef D (instanceRef r_a_rfe2)) )) (net wren_i (joined (portRef Y (instanceRef r_x_ctrl_wreg_RNIS7EBQ1_0)) (portRef wren_i) )) (net (rename dbgi_i_2_i_23 "dbgi_i_2_i[23]") (joined (portRef Y (instanceRef r_x_nerror_RNIC6T1)) (portRef (member dbgi_i_2_i 0)) )) (net renable_i_1_i (joined (portRef Y (instanceRef r_a_rfe1_RNIV8FA61_0)) (portRef renable_i_1_i) )) (net N_5382_m_i (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI6KJ491)) (portRef A (instanceRef r_f_pc_RNICO9991_4)) )) (net (rename pc_m_1_i_4 "pc_m_1_i[4]") (joined (portRef Y (instanceRef r_f_pc_RNI64M4_4)) (portRef B (instanceRef r_f_pc_RNICO9991_4)) )) (net rst (joined (portRef rst) (portRef B (instanceRef r_f_pc_RNI50M4_3)) (portRef B (instanceRef r_f_pc_RNIBOM4_9)) (portRef A (instanceRef dsur_tt_RNO_4)) (portRef A (instanceRef r_d_inst_0_RNO_18)) (portRef A (instanceRef r_d_inst_0_RNO_19)) (portRef A (instanceRef r_d_inst_0_RNO_10)) (portRef A (instanceRef r_d_inst_0_RNO_14)) (portRef A (instanceRef r_x_result_RNIAP9VT_1)) (portRef A (instanceRef r_x_result_RNIBT9VT_2)) (portRef S (instanceRef r_f_pc_RNIR2SR8_4)) (portRef S (instanceRef r_f_pc_RNI1FSR8_5)) (portRef S (instanceRef r_f_pc_RNI7RSR8_6)) (portRef S (instanceRef r_f_pc_RNID7TR8_7)) (portRef S (instanceRef r_f_pc_RNIPVTR8_9)) (portRef A (instanceRef r_x_result_RNIE9AVT_5)) (portRef S (instanceRef r_f_pc_RNIGUC09_10)) (portRef S (instanceRef r_x_debug_RNO_0)) (portRef A (instanceRef r_x_nerror_RNO)) (portRef S (instanceRef r_f_pc_RNI9M6N7_3)) (portRef C (instanceRef r_w_s_cwp_RNILU2151_2)) (portRef A (instanceRef r_x_result_RNI9L9VT_0)) (portRef A (instanceRef r_d_inst_0_RNO_11)) (portRef A (instanceRef r_d_inst_0_RNO_13)) (portRef A (instanceRef r_d_inst_0_RNO_15)) (portRef A (instanceRef r_d_inst_0_RNO_16)) (portRef A (instanceRef r_d_inst_0_RNO_25)) (portRef A (instanceRef dsur_tt_RNO_5)) (portRef A (instanceRef r_d_inst_0_RNO_26)) (portRef A (instanceRef dsur_tt_RNO_0)) (portRef A (instanceRef dsur_tt_RNO_1)) (portRef A (instanceRef dsur_tt_RNO_2)) (portRef A (instanceRef r_d_inst_0_RNIQLUF62_12)) (portRef A (instanceRef r_d_inst_0_RNO_28)) (portRef A (instanceRef rp_error_RNO)) (portRef A (instanceRef dsur_err_RNO)) (portRef A (instanceRef dsur_asi_RNO_0)) (portRef A (instanceRef dsur_asi_RNO_3)) (portRef A (instanceRef dsur_asi_RNO_4)) (portRef A (instanceRef dsur_asi_RNO_5)) (portRef A (instanceRef dsur_asi_RNO_6)) (portRef A (instanceRef r_d_inst_0_RNO_20)) (portRef A (instanceRef r_d_inst_0_RNO_30)) (portRef A (instanceRef r_d_inst_0_RNO_31)) (portRef A (instanceRef r_d_inst_0_RNIFD2T52_21)) (portRef A (instanceRef r_d_inst_0_RNO_22)) (portRef A (instanceRef r_d_inst_0_RNO_24)) (portRef A (instanceRef r_w_s_ps_RNO)) (portRef A (instanceRef r_d_inst_0_RNO_5)) (portRef A (instanceRef r_d_inst_0_RNO_6)) (portRef A (instanceRef r_d_inst_0_RNO_7)) (portRef A (instanceRef dsur_tt_RNO_3)) (portRef A (instanceRef dsur_tt_RNO_7)) (portRef A (instanceRef r_d_inst_0_RNO_0)) (portRef A (instanceRef r_d_inst_0_RNO_1)) (portRef A (instanceRef r_d_inst_0_RNO_3)) (portRef A (instanceRef r_d_inst_0_RNO_4)) (portRef A (instanceRef r_d_inst_0_RNO_9)) (portRef S (instanceRef r_f_pc_RNIV4JS8_11)) (portRef A (instanceRef r_x_rstate_RNIHNGG2_0_0)) (portRef A (instanceRef r_d_inst_0_RNO_2)) (portRef A (instanceRef dsur_asi_RNO_1)) (portRef C (instanceRef r_x_rstate_RNIRL9E01_0)) (portRef C (instanceRef r_x_ctrl_inst_RNIKCO2U_20)) (portRef A (instanceRef r_d_inst_0_RNO_23)) (portRef A (instanceRef r_d_inst_0_RNO_29)) (portRef A (instanceRef r_d_annul_RNIV0KFA)) (portRef A (instanceRef r_w_s_s_RNO)) (portRef A (instanceRef dsur_asi_RNO_7)) (portRef A (instanceRef ir_pwd_RNO)) (portRef S (instanceRef r_f_pc_RNI5E6N7_2)) (portRef B (instanceRef r_f_pc_RNI4SL4_2)) (portRef A (instanceRef r_d_inst_0_RNO_27)) (portRef C (instanceRef dsur_crdy_RNO_2)) (portRef A (instanceRef dsur_asi_RNO_2)) (portRef A (instanceRef r_d_annul_RNIV0KFA_0)) (portRef A (instanceRef r_x_rstate_0_RNILB7SN_1)) (portRef S (instanceRef r_f_pc_RNIJJTR8_8)) (portRef A (instanceRef r_d_cwp_RNO_1_0)) (portRef A (instanceRef r_d_inst_0_RNO_17)) (portRef A (instanceRef dsur_tt_RNO_6)) (portRef A (instanceRef r_d_inst_0_RNO_8)) (portRef A (instanceRef r_x_rstate_RNINNC8_1)) (portRef A (instanceRef rp_pwd_RNO)) (portRef B (instanceRef r_x_rstate_RNIHNGG2_0)) (portRef A (instanceRef r_x_rstate_0_RNIVPN36_1)) (portRef S (instanceRef r_w_s_et_RNI6UQ6)) (portRef B (instanceRef r_m_ctrl_trap_RNIQ4H9)) (portRef C (instanceRef r_x_mexc_RNO_1)) (portRef A (instanceRef r_x_rstate_RNI864D_0)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNICHM573)) (portRef A (instanceRef r_f_branch_RNI574NO3)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNI8SAIN6)) (portRef A (instanceRef r_x_rstate_RNIB7JM_0)) (portRef B (instanceRef r_x_rstate_0_RNIKQF9_1)) (portRef A (instanceRef r_w_s_cwp_RNI9HKPU_2)) (portRef B (instanceRef r_x_rstate_0_RNINP0041_1)) (portRef A (instanceRef r_x_rstate_RNO_0_0)) (portRef A (instanceRef r_w_s_dwt_RNO_2)) (portRef B (instanceRef r_x_rstate_0_RNINV802_1)) (portRef C (instanceRef dsur_crdy_RNO_0_1)) (portRef B (instanceRef r_w_s_svt_RNO_1)) (portRef B (instanceRef r_x_debug_RNO_3)) (portRef B (instanceRef r_m_werr_RNO_0)) (portRef A (instanceRef r_x_debug_RNO_5)) (portRef A (instanceRef ir_pwd_RNO_1)) (portRef B (instanceRef r_w_s_dwt_RNO_4)) (portRef A (instanceRef r_w_s_et_RNI8NN5)) (portRef B (instanceRef r_f_pc_RNIPES5E1_8)) (portRef B (instanceRef r_f_pc_RNI6O0DB1_5)) (portRef B (instanceRef r_f_pc_RNILPULC1_6)) (portRef B (instanceRef r_f_pc_RNIKCQHD1_7)) (portRef B (instanceRef r_f_pc_RNI3JHNL1_10)) (portRef B (instanceRef r_f_pc_RNIJ9UVP1_11)) (portRef A (instanceRef r_w_s_et_RNIA9T13)) (portRef C (instanceRef r_x_mexc_RNO_5)) (portRef B (instanceRef r_f_pc_RNI64M4_4)) (portRef A (instanceRef r_x_rstate_0_RNILB7SN_0_1)) )) (net (rename data_0_m_i_20 "data_0_m_i[20]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_20)) (portRef A (instanceRef r_x_data_0_RNO_1_20)) )) (net (rename data_0_20 "data_0[20]") (joined (portRef Q (instanceRef r_x_data_0_20)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I21_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I21_P0N)) (portRef B (instanceRef r_e_op1_RNISCHD_20)) (portRef B (instanceRef r_x_result_RNIJK6E_20)) (portRef A (instanceRef r_x_data_0_RNIIVG8_20)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I53_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I21_P0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I21_G0N)) (portRef A (instanceRef r_x_data_0_RNIT5EC_20)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I53_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I52_Y_m2_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I311_Y_0_1)) (portRef B (instanceRef r_x_data_0_RNO_3_20)) )) (net N817_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I250_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I299_Y_0)) )) (net N418 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I8_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I77_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I250_Y_0_o3)) )) (net ADD_33x33_fast_I250_Y_0_a3_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I250_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I250_Y_0_o3)) )) (net N473 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I26_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I44_Y)) )) (net (rename op1_RNI61ID_25 "op1_RNI61ID[25]") (joined (portRef Y (instanceRef r_e_op1_RNI61ID_25)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I41_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I42_Y)) (portRef A (instanceRef r_x_result_RNIDDKD3_25)) (portRef A (instanceRef r_e_op2_RNI7KA71_0_25)) (portRef B (instanceRef r_e_op2_RNI7KA71_25)) (portRef C (instanceRef r_e_aluop_0_RNI2D691_2)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I43_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I42_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I41_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I41_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I43_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I43_Y)) (portRef B (instanceRef r_e_shleft_RNIMM281)) (portRef A (instanceRef r_e_shleft_RNIB2FF)) (portRef B (instanceRef r_e_op1_RNIAREO1_25)) (portRef C (instanceRef r_e_aluop_0_RNI1D691_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I316_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I26_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I316_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I26_P0N)) )) (net (rename data_0_25 "data_0[25]") (joined (portRef Q (instanceRef r_x_data_0_25)) (portRef B (instanceRef r_x_result_RNITK6E_25)) (portRef B (instanceRef r_e_op1_RNI61ID_25)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I42_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I41_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I41_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I43_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I26_P0N)) (portRef A (instanceRef r_x_data_0_RNO_1_25)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I43_Y)) (portRef A (instanceRef r_x_data_0_RNI26EC_25)) (portRef A (instanceRef r_x_data_0_RNIEJ9E_25)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I316_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I26_P0N)) )) (net N461 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I22_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I50_Y)) )) (net (rename op1_RNIUGHD_21 "op1_RNIUGHD[21]") (joined (portRef Y (instanceRef r_e_op1_RNIUGHD_21)) (portRef A (instanceRef r_x_result_RNITSJD3_21)) (portRef A (instanceRef r_e_op2_RNIOJ971_0_21)) (portRef B (instanceRef r_e_op2_RNIOJ971_21)) (portRef C (instanceRef r_e_aluop_RNICF5F1_2)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I52_Y)) (portRef B (instanceRef r_e_op1_RNI2BEO1_21)) (portRef A (instanceRef r_e_shleft_1_RNINS4L)) (portRef B (instanceRef r_e_shleft_1_RNI2HOD1)) (portRef A (instanceRef r_e_aluop_0_RNIIC591_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I113_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I113_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I113_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I312_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I22_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I312_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I22_P0N)) )) (net (rename op2_21 "op2[21]") (joined (portRef Q (instanceRef r_e_op2_21)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I52_Y)) (portRef A (instanceRef r_e_op2_RNIQ2OP_21)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I113_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I312_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I22_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I22_P0N)) )) (net N485_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I30_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I34_Y)) )) (net (rename op1_RNIEHID_29 "op1_RNIEHID[29]") (joined (portRef Y (instanceRef r_e_op1_RNIEHID_29)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I30_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I30_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I36_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I30_G0N)) (portRef A (instanceRef r_x_result_RNITTKD3_29)) (portRef A (instanceRef r_e_op2_RNIVC6T_0_29)) (portRef B (instanceRef r_e_op2_RNIVC6T_29)) (portRef C (instanceRef r_e_aluop_RNIJ8251_2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I36_Y)) (portRef B (instanceRef r_e_op1_RNIIBFO1_29)) (portRef B (instanceRef r_e_shleft_1_RNIIHPD1)) (portRef A (instanceRef r_e_shleft_0_RNI6T5L)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I34_Y)) (portRef A (instanceRef r_e_aluop_1_RNIQ52V_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I320_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I320_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I30_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I30_P0N)) )) (net (rename op2_29 "op2[29]") (joined (portRef Q (instanceRef r_e_op2_29)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I36_Y)) (portRef A (instanceRef r_e_op2_RNIHRJF_29)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I320_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I30_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I30_P0N)) )) (net N484 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I30_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I33_un1_Y)) )) (net N430 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I12_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I71_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I246_Y_0_o3)) )) (net (rename op1_RNIQCHD_11 "op1_RNIQCHD[11]") (joined (portRef Y (instanceRef r_e_op1_RNIQCHD_11)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I12_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I12_P0N)) (portRef A (instanceRef r_x_result_RNIJGJD3_11)) (portRef A (instanceRef r_e_op2_RNIN34T_0_11)) (portRef B (instanceRef r_e_op2_RNIN34T_11)) (portRef C (instanceRef r_e_aluop_0_RNIISVU_2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I302_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I302_Y_0)) (portRef A (instanceRef r_e_shleft_1_RNIJO4L)) (portRef B (instanceRef r_e_shleft_0_RNITCOD1)) (portRef A (instanceRef r_e_aluop_0_RNIHSVU_1)) (portRef A (instanceRef r_e_op1_RNILR9P5_11)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I302_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I12_G0N)) )) (net (rename data_0_11 "data_0[11]") (joined (portRef Q (instanceRef r_x_data_0_11)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I12_G0N)) (portRef A (instanceRef r_x_data_0_RNIGRG8_11)) (portRef B (instanceRef r_x_result_RNIFC6E_11)) (portRef B (instanceRef r_e_op1_RNIQCHD_11)) (portRef A (instanceRef r_x_data_0_RNIR1EC_11)) (portRef A (instanceRef r_x_data_0_RNO_2_11)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I302_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I302_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I12_P0Ntt_m1_0_a2)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I12_P0N_m1_e)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I12_P0N_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a1_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a0_1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I12_G0N)) )) (net I126_un1_Y_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I126_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I126_Y)) )) (net N488 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I71_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I127_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I123_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I126_un1_Y)) )) (net N491 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I74_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I130_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I126_un1_Y)) )) (net N455 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I38_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I90_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I140_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_Y_0)) )) (net ADD_30x30_fast_I38_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I38_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I38_Y)) )) (net N430_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I24_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I38_Y)) )) (net N427 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I23_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I38_un1_Y)) )) (net N431 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I24_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I38_un1_Y)) )) (net (rename dpc_26 "dpc[26]") (joined (portRef Q (instanceRef r_d_pc_26)) (portRef B (instanceRef un6_fe_npc_2_I_166)) (portRef C (instanceRef un6_fe_npc_2_I_169)) (portRef A (instanceRef un6_fe_npc_2_I_176)) (portRef A (instanceRef un6_fe_npc_2_I_189)) (portRef D (instanceRef r_a_ctrl_pc_26)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I36_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I37_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I39_Y)) (portRef B (instanceRef r_d_pc_RNO_26)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I284_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I24_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I24_P0N)) )) (net (rename inst_0_RNI5AJ4_24 "inst_0_RNI5AJ4[24]") (joined (portRef Y (instanceRef r_d_inst_0_RNI5AJ4_24)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I36_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I37_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I39_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I284_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I24_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I24_P0N)) )) (net (rename dpc_25 "dpc[25]") (joined (portRef Q (instanceRef r_d_pc_25)) (portRef B (instanceRef un6_fe_npc_2_I_156)) (portRef C (instanceRef un6_fe_npc_2_I_159)) (portRef D (instanceRef r_a_ctrl_pc_25)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I23_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I40_Y_0_o3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I283_Y_0_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I23_G0N)) )) (net N_8060_i (joined (portRef Y (instanceRef r_d_inst_0_RNIAO79_23)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I23_P0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I40_Y_0_o3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I283_Y_0_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I23_G0N)) )) (net N484_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I67_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I122_un1_Y)) )) (net N386 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I9_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I69_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I119_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I123_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I67_Y)) )) (net N389 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I10_P0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I66_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I119_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I123_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I117_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I67_Y)) )) (net I122_un1_Y_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I122_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I122_Y)) )) (net N487 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I70_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I126_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I122_un1_Y)) )) (net I86_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I86_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y_1)) )) (net N448 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I31_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I87_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I86_un1_Y)) )) (net N451 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I34_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_Y_1)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I86_un1_Y)) )) (net N738 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I222_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I270_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_un1_Y)) )) (net I222_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I222_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I222_Y)) )) (net N604 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I178_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I212_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I222_Y)) )) (net I70_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I70_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I70_Y)) )) (net N382 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I8_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I124_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I70_Y)) )) (net N546 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I126_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I172_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I223_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I214_un1_Y)) )) (net (rename op1_i_m_11 "op1_i_m[11]") (joined (portRef Y (instanceRef r_e_op1_RNIT6NF_0_11)) (portRef B (instanceRef r_e_op1_RNINKR04_11)) )) (net un16_casaen_0_0 (joined (portRef Y (instanceRef r_m_casa_RNI8BU9_0)) (portRef B (instanceRef r_e_op1_RNI326J2_21)) (portRef B (instanceRef r_e_op1_RNIDA7J2_26)) (portRef B (instanceRef r_e_op1_RNIB27J2_25)) (portRef B (instanceRef r_e_op1_RNI7I6J2_23)) (portRef B (instanceRef r_e_op1_RNI1Q5J2_20)) (portRef B (instanceRef r_e_op1_RNIFI7J2_27)) (portRef B (instanceRef r_e_op1_RNIJ28J2_29)) (portRef B (instanceRef r_e_op1_RNI9Q6J2_24)) (portRef B (instanceRef r_e_op1_RNI3Q5J2_30)) (portRef B (instanceRef r_e_ldbp2_1_RNIME9784)) (portRef B (instanceRef r_e_op1_RNIHQ7J2_28)) (portRef A (instanceRef r_e_op1_RNIUANF_0_12)) (portRef A (instanceRef r_e_op1_RNIVENF_0_13)) (portRef A (instanceRef r_e_op1_RNI1NNF_0_15)) (portRef A (instanceRef r_e_op1_RNI0JNF_0_14)) (portRef A (instanceRef r_e_op1_RNIT6NF_0_11)) (portRef un16_casaen_0_0) )) (net (rename op1_11 "op1[11]") (joined (portRef Q (instanceRef r_e_op1_11)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I12_G0N)) (portRef A (instanceRef r_e_op1_RNIT6NF_11)) (portRef A (instanceRef r_e_op1_RNIQCHD_11)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I12_P0Ntt_m1_0_a2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I12_P0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I12_P0N_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a1_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a0_1)) (portRef B (instanceRef r_e_op1_RNIT6NF_0_11)) )) (net (rename cpi_m_419 "cpi_m[419]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_27)) (portRef A (instanceRef r_f_pc_RNO_8_27)) )) (net (rename tba_m_16 "tba_m[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_16_28)) (portRef B (instanceRef r_f_pc_RNO_14_28)) )) (net (rename tba_16 "tba[16]") (joined (portRef Q (instanceRef r_w_s_tba_16)) (portRef A (instanceRef r_w_s_tba_RNI8NIJ2_16)) (portRef A (instanceRef r_w_s_tba_RNO_0_16)) (portRef A (instanceRef r_w_s_tba_RNIK8BH2_16)) (portRef A (instanceRef r_f_pc_RNO_16_28)) )) (net (rename op1_i_m_14 "op1_i_m[14]") (joined (portRef Y (instanceRef r_e_op1_RNI0JNF_0_14)) (portRef B (instanceRef r_e_op1_RNI65T04_14)) )) (net (rename op1_14 "op1[14]") (joined (portRef Q (instanceRef r_e_op1_14)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I15_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I15_G0N)) (portRef A (instanceRef r_e_op1_RNI0JNF_14)) (portRef A (instanceRef r_e_op1_RNI0PHD_14)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I122_Y_0_a0_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_m5_0_a3_0)) (portRef B (instanceRef r_e_op1_RNI0JNF_0_14)) )) (net (rename addr_m_28 "addr_m[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_15_28)) (portRef A (instanceRef r_f_pc_RNO_14_28)) )) (net (rename addr_28 "addr[28]") (joined (portRef Q (instanceRef ir_addr_28)) (portRef B (instanceRef ir_addr_RNI17191_28)) (portRef B (instanceRef ir_addr_RNO_2_28)) (portRef A (instanceRef r_f_pc_RNO_15_28)) )) (net (rename op1_i_m_15 "op1_i_m[15]") (joined (portRef Y (instanceRef r_e_op1_RNI1NNF_0_15)) (portRef B (instanceRef r_e_op1_RNIGV504_15)) )) (net (rename op1_15 "op1[15]") (joined (portRef Q (instanceRef r_e_op1_15)) (portRef A (instanceRef r_e_op1_RNI1NNF_15)) (portRef A (instanceRef r_e_op1_RNI2THD_15)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I16_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I16_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_P0Ntt_m1_0_a2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I122_Ytt_m2_0_a2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I62_Y_m4_i_0)) (portRef B (instanceRef r_e_op1_RNI1NNF_0_15)) )) (net rd_1_3_0 (joined (portRef Y (instanceRef comb_op_find_un1_r_e_ctrl_rd_1_3_0)) (portRef B (instanceRef comb_op_find_un1_r_e_ctrl_rd_1_3_0_RNIABJ91)) )) (net (rename rd_3 "rd[3]") (joined (portRef Q (instanceRef r_e_ctrl_rd_3)) (portRef D (instanceRef r_m_ctrl_rd_3)) (portRef B (instanceRef r_x_ctrl_rd_RNIHVH6_3)) (portRef A (instanceRef r_e_ctrl_rd_RNIKC1L_3)) (portRef A (instanceRef comb_op_find_un1_r_e_ctrl_rd_1_3_0)) )) (net (rename cpi_i_42 "cpi_i[42]") (joined (portRef Y (instanceRef r_d_inst_0_RNITCAI_3)) (portRef D (instanceRef r_a_rfa2_3)) (portRef A (instanceRef r_a_rfa2_RNI71G11_3)) (portRef A (instanceRef r_a_imm_RNO_13)) (portRef A (instanceRef r_a_imm_RNO_3)) (portRef B (instanceRef r_d_inst_0_RNIRTK41_4)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I80_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I3_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I3_G0N)) (portRef C (instanceRef r_d_inst_0_RNICCJR2_3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I263_Y_0_0)) (portRef A (instanceRef r_a_ctrl_rd_RNIEK6B1_3)) (portRef A (instanceRef r_m_ctrl_rd_RNIRC4H1_3)) (portRef B (instanceRef comb_op_find_un1_r_e_ctrl_rd_1_3_0)) )) (net (rename shiftin_17_m_0_16 "shiftin_17_m_0[16]") (joined (portRef Y (instanceRef r_e_jmpl_RNID5ORO_0)) (portRef B (instanceRef r_e_jmpl_RNIG7G682)) )) (net (rename shiftin_17_16 "shiftin_17[16]") (joined (portRef Y (instanceRef r_e_shcnt_RNIGDH3O_1)) (portRef A (instanceRef r_e_jmpl_RNID5ORO)) (portRef B (instanceRef r_e_jmpl_RNID5ORO_0)) )) (net (rename bpdata_i_m_2_5 "bpdata_i_m_2[5]") (joined (portRef Y (instanceRef r_x_result_RNI7GDG3_5)) (portRef A (instanceRef r_e_op1_RNI6V404_13)) (portRef A (instanceRef r_x_result_RNIOUID7_13)) )) (net edata_0_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_1_RNINLHF_0_1)) (portRef A (instanceRef r_x_result_RNIE93H3_0)) (portRef A (instanceRef r_x_result_RNIIL3H3_1)) (portRef A (instanceRef r_x_result_RNIM14H3_2)) (portRef B (instanceRef r_e_aluop_1_RNIDB3V_1)) (portRef A (instanceRef r_x_result_RNI34DG3_4)) (portRef A (instanceRef r_x_result_RNIQD4H3_3)) (portRef A (instanceRef r_x_result_RNIF8EG3_7)) (portRef A (instanceRef r_x_result_RNI6I5H3_6)) (portRef A (instanceRef r_x_result_RNI7GDG3_5)) )) (net (rename bpdata_5 "bpdata[5]") (joined (portRef Y (instanceRef r_x_result_RNIGQR03_5)) (portRef A (instanceRef r_x_result_RNIQHKI3_5)) (portRef B (instanceRef r_x_result_RNIT5VV3_5)) (portRef A (instanceRef r_x_result_RNIKRGF4_5)) (portRef A (instanceRef r_m_y_RNIN4QV5_5)) (portRef A (instanceRef r_m_icc_RNIGI2K6_1)) (portRef B (instanceRef r_x_result_RNI7GDG3_5)) )) (net (rename op1_i_m_13 "op1_i_m[13]") (joined (portRef Y (instanceRef r_e_op1_RNIVENF_0_13)) (portRef B (instanceRef r_e_op1_RNI6V404_13)) )) (net (rename op1_13 "op1[13]") (joined (portRef Q (instanceRef r_e_op1_13)) (portRef A (instanceRef r_e_op1_RNIVENF_13)) (portRef A (instanceRef r_e_op1_RNIUKHD_13)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I14_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I14_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I14_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I14_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_m5_0_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I68_Y_m2_e)) (portRef B (instanceRef r_e_op1_RNIVENF_0_13)) )) (net (rename op1_i_m_12 "op1_i_m[12]") (joined (portRef Y (instanceRef r_e_op1_RNIUANF_0_12)) (portRef B (instanceRef r_e_op1_RNI1F404_12)) )) (net (rename op1_12 "op1[12]") (joined (portRef Q (instanceRef r_e_op1_12)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I13_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I13_P0N)) (portRef A (instanceRef r_e_op1_RNIUANF_12)) (portRef A (instanceRef r_e_op1_RNISGHD_12)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_un1_Ytt_m2_0_a2)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I70_Y_0_tz)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_1_tz)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I67_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I68_Ytt_m1_0_a2)) (portRef B (instanceRef r_e_op1_RNIUANF_0_12)) )) (net (rename cpi_m_0_405 "cpi_m_0[405]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_13)) (portRef A (instanceRef r_f_pc_RNO_10_13)) )) (net (rename logicout_m_21 "logicout_m[21]") (joined (portRef Y (instanceRef comb_logic_op_y_iv_RNO_21)) (portRef A (instanceRef comb_logic_op_y_iv_21)) )) (net (rename logicout_21 "logicout[21]") (joined (portRef Y (instanceRef r_e_aluop_RNIH9DK5_0)) (portRef A (instanceRef r_e_aluop_RNICMI06_0)) (portRef B (instanceRef r_m_icc_RNO_10_2)) (portRef A (instanceRef r_e_aluop_RNIOA42C_0)) (portRef A (instanceRef comb_logic_op_y_iv_RNO_21)) )) (net (rename data_0_m_0_6 "data_0_m_0[6]") (joined (portRef Y (instanceRef r_x_data_0_RNIRH17_6)) (portRef B (instanceRef r_x_data_0_RNIAHLR2_6)) )) (net (rename data_0_6 "data_0[6]") (joined (portRef Q (instanceRef r_x_data_0_6)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I79_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I81_un1_Y)) (portRef B (instanceRef r_x_result_RNINDCB_6)) (portRef B (instanceRef r_e_op1_RNIENB4_6)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I7_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I81_Y)) (portRef A (instanceRef r_x_data_0_RNO_3_6)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I297_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I297_Y_0)) (portRef A (instanceRef r_x_data_0_RNI7VS8_6)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I82_Y_m2_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I7_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I7_P0N)) (portRef B (instanceRef r_x_data_0_RNIRH17_6)) )) (net (rename rfo_mZ0Z_6 "rfo_m[6]") (joined (portRef Y (instanceRef rfo_m_6)) (portRef A (instanceRef r_x_data_0_RNIAHLR2_6)) )) (net (rename result_RNI4ODJ3_9 "result_RNI4ODJ3[9]") (joined (portRef Y (instanceRef r_x_result_RNI4ODJ3_9)) (portRef B (instanceRef r_x_result_RNI9FO67_9)) )) (net aluresult_5_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_0_RNI9NOH_1)) (portRef B (instanceRef r_e_aluop_0_RNIJEH31_1)) (portRef A (instanceRef r_e_aluop_0_RNIJEH31_0_1)) (portRef A (instanceRef r_x_result_RNICOCV3_15)) (portRef A (instanceRef r_x_result_RNI6HVH7_10)) (portRef B (instanceRef r_x_result_RNI9NNI7_11)) (portRef A (instanceRef r_x_result_RNIU11I7_13)) (portRef A (instanceRef r_x_result_RNI1NN67_8)) (portRef A (instanceRef r_x_result_RNI18PI7_14)) (portRef A (instanceRef r_x_result_RNIRR8H7_12)) (portRef A (instanceRef r_x_result_RNI4ODJ3_9)) )) (net (rename bpdata_9 "bpdata[9]") (joined (portRef Y (instanceRef r_x_result_RNIR0L13_9)) (portRef A (instanceRef r_x_result_RNI8CO04_9)) (portRef B (instanceRef r_x_result_RNI3CA27_9)) (portRef B (instanceRef r_x_result_RNIEF654_9)) (portRef B (instanceRef r_x_result_RNI4ODJ3_9)) )) (net (rename un6_ex_add_res_s2_1_26 "un6_ex_add_res_s2_1[26]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I316_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I316_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I316_Y_0)) )) (net N_105 (joined (portRef Y (instanceRef comb_alu_op_aop2_i_o2_24)) (portRef B (instanceRef r_e_op2_RNO_0_24)) )) (net N_181 (joined (portRef Y (instanceRef comb_alu_op_aop2_i_a2_1_24)) (portRef A (instanceRef comb_alu_op_aop2_i_o2_24)) )) (net N_182 (joined (portRef Y (instanceRef comb_alu_op_aop2_i_o2_RNO_24)) (portRef B (instanceRef comb_alu_op_aop2_i_o2_24)) )) (net (rename un6_ex_add_res_s2_1_22 "un6_ex_add_res_s2_1[22]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I312_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I312_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I312_Y_0)) )) (net (rename data_0_21 "data_0[21]") (joined (portRef Q (instanceRef r_x_data_0_21)) (portRef A (instanceRef r_x_data_0_RNO_1_21)) (portRef B (instanceRef r_x_result_RNILK6E_21)) (portRef B (instanceRef r_e_op1_RNIUGHD_21)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I22_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I22_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I22_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I22_P0N)) (portRef A (instanceRef r_x_data_0_RNIAJ9E_21)) (portRef A (instanceRef r_x_data_0_RNIU5EC_21)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I52_Ytt_m1_0_a2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I113_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I113_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I312_Y_0_1)) )) (net N817_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I250_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I299_Y_0)) )) (net N418_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I8_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I77_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I79_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I250_Y_0_o3)) )) (net ADD_33x33_fast_I250_Y_0_a3 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I250_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I250_Y_0_o3)) )) (net N416 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I7_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I138_Y)) )) (net (rename op1_RNIENB4_6 "op1_RNIENB4[6]") (joined (portRef Y (instanceRef r_e_op1_RNIENB4_6)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I79_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I81_un1_Y)) (portRef A (instanceRef r_x_result_RNIFSJ13_6)) (portRef A (instanceRef r_e_op2_RNIO0UK_0_6)) (portRef B (instanceRef r_e_op2_RNIO0UK_6)) (portRef C (instanceRef r_e_aluop_0_RNIJPPM_2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I81_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I297_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I297_Y_0)) (portRef B (instanceRef r_e_shleft_1_RNIINI41)) (portRef A (instanceRef r_e_shleft_0_RNI63VB)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I82_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I81_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I7_G0N)) (portRef C (instanceRef r_e_aluop_0_RNIIPPM_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I297_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I138_Y_0)) (portRef A (instanceRef r_e_op1_RNI3J6R1_6)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I7_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I7_P0N)) )) (net N416_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I7_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I138_Y)) )) (net N817_i_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I250_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I299_Y_0)) )) (net N418_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I8_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I137_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I250_Y_0_o3)) )) (net ADD_33x33_fast_I250_Y_0_a3_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I250_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I250_Y_0_o3)) )) (net (rename un6_ex_add_res_s2_1_5 "un6_ex_add_res_s2_1[5]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I295_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I295_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I295_Y_0)) )) (net (rename data_0_4 "data_0[4]") (joined (portRef Q (instanceRef r_x_data_0_4)) (portRef A (instanceRef r_x_data_0_RNO_4_4)) (portRef B (instanceRef r_x_result_RNIO7KA_4)) (portRef B (instanceRef r_e_op1_RNIAFB4_4)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I5_P0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I5_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_G0N)) (portRef A (instanceRef r_x_data_0_RNI5NS8_4)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_a1)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_P0Ntt_m1_0_a2)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_P0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_0_0)) (portRef A (instanceRef r_x_data_0_RNI61LR2_4)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I295_Y_0_1)) )) (net (rename op1_RNIAFB4_4 "op1_RNIAFB4[4]") (joined (portRef Y (instanceRef r_e_op1_RNIAFB4_4)) (portRef A (instanceRef r_e_op2_RNIFGTK_0_4)) (portRef B (instanceRef r_e_op2_RNIFGTK_4)) (portRef C (instanceRef r_e_aluop_RNI3CPS_2)) (portRef A (instanceRef r_x_result_RNICER03_4)) (portRef B (instanceRef r_e_shleft_1_RNIEFI41)) (portRef A (instanceRef r_e_shleft_1_RNI3RUB)) (portRef B (instanceRef r_e_op1_RNIE98F1_4)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I85_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I5_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I5_G0N)) (portRef A (instanceRef r_e_aluop_1_RNIA9PM_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I295_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I295_Y_0_1)) )) (net (rename trap_0_sqmuxaZ0Z_4 "trap_0_sqmuxa_4") (joined (portRef Y (instanceRef trap_0_sqmuxa_4)) (portRef A (instanceRef trap_0_sqmuxa_4_RNIJB4S3)) )) (net trap54 (joined (portRef Y (instanceRef comb_mem_trap_trap54)) (portRef A (instanceRef trap_0_sqmuxa_4)) )) (net result_1 (joined (portRef Y (instanceRef comb_mem_trap_un1_r_m_result_0)) (portRef B (instanceRef trap_0_sqmuxa_4)) )) (net (rename trap_0_sqmuxaZ0 "trap_0_sqmuxa") (joined (portRef Y (instanceRef trap_0_sqmuxa)) (portRef B (instanceRef trap_0_sqmuxa_RNI0MIV2)) )) (net tmp (joined (portRef Y (instanceRef comb_mem_trap_orv_tmp)) (portRef A (instanceRef trap_0_sqmuxa)) )) (net trap27_i (joined (portRef Y (instanceRef comb_mem_trap_trap27)) (portRef B (instanceRef trap_0_sqmuxa)) )) (net (rename bpdata_m_2_1 "bpdata_m_2[1]") (joined (portRef Y (instanceRef r_x_result_RNI5NAJ3_1)) (portRef A (instanceRef r_x_result_RNIJ6HO7_9)) (portRef A (instanceRef r_x_result_RNI9FO67_9)) )) (net aluresult_4_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_1_RNIANOH_0_1)) (portRef B (instanceRef r_e_aluop_0_RNIJEH31_0_1)) (portRef B (instanceRef r_x_result_RNIQHKI3_5)) (portRef B (instanceRef r_x_result_RNIM5KI3_4)) (portRef B (instanceRef r_x_result_RNIPJCJ3_6)) (portRef B (instanceRef r_x_result_RNI1BAJ3_0)) (portRef B (instanceRef r_x_result_RNIDFBJ3_3)) (portRef B (instanceRef r_x_result_RNI93BJ3_2)) (portRef A (instanceRef r_w_s_tba_RNIMVC66_3)) (portRef A (instanceRef r_x_result_RNIE22I7_7)) (portRef A (instanceRef r_x_result_RNI5NAJ3_1)) )) (net (rename bpdata_1 "bpdata[1]") (joined (portRef Y (instanceRef r_x_result_RNIRVH13_1)) (portRef A (instanceRef r_x_result_RNIO5SM4_1)) (portRef B (instanceRef r_x_result_RNI8BL04_1)) (portRef B (instanceRef r_x_result_RNIIL3H3_1)) (portRef B (instanceRef r_e_op1_RNIJVCB6_1)) (portRef A (instanceRef r_x_result_RNI9ON2B_1)) (portRef B (instanceRef r_x_result_RNI5NAJ3_1)) )) (net (rename bpdata_i_m_0_14 "bpdata_i_m_0[14]") (joined (portRef Y (instanceRef r_x_result_RNILI5T3_14)) (portRef B (instanceRef r_x_result_RNIR4BE7_14)) )) (net (rename bpdata_14 "bpdata[14]") (joined (portRef Y (instanceRef r_x_result_RNIVSJD3_14)) (portRef A (instanceRef r_x_result_RNIC8NC4_14)) (portRef B (instanceRef r_x_result_RNIBVH48_14)) (portRef B (instanceRef r_x_result_RNI18PI7_14)) (portRef A (instanceRef r_x_result_RNILI5T3_14)) )) (net edata_1_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_0_RNIMLHF_1)) (portRef B (instanceRef r_e_aluop_0_RNIDB3V_1)) (portRef A (instanceRef r_e_aluop_1_RNIDB3V_1)) (portRef A (instanceRef r_x_result_RNIRJ927_8)) (portRef A (instanceRef r_x_result_RNI0EHD7_10)) (portRef A (instanceRef r_x_result_RNI3CA27_9)) (portRef A (instanceRef r_x_result_RNI965T3_11)) (portRef B (instanceRef r_x_result_RNIIKDS3_12)) (portRef B (instanceRef r_x_result_RNIHE5T3_13)) (portRef B (instanceRef r_x_result_RNIPM5T3_15)) (portRef B (instanceRef r_x_result_RNILI5T3_14)) )) (net (rename bpdata_i_m_2_6 "bpdata_i_m_2[6]") (joined (portRef Y (instanceRef r_x_result_RNI6I5H3_6)) (portRef A (instanceRef r_e_op1_RNI65T04_14)) (portRef A (instanceRef r_x_result_RNIR4BE7_14)) )) (net (rename bpdata_6 "bpdata[6]") (joined (portRef Y (instanceRef r_x_result_RNIFSJ13_6)) (portRef A (instanceRef r_x_result_RNIPJCJ3_6)) (portRef B (instanceRef r_x_result_RNIS7N04_6)) (portRef B (instanceRef r_e_op1_RNIMGFB6_6)) (portRef A (instanceRef r_m_y_RNIN6I06_6)) (portRef A (instanceRef r_m_icc_RNIGKQK6_2)) (portRef B (instanceRef r_x_result_RNI6I5H3_6)) )) (net rd_0_0 (joined (portRef Y (instanceRef comb_op_find_un1_r_e_ctrl_rd_0_0)) (portRef B (instanceRef comb_op_find_un1_r_e_ctrl_rd_0_0_RNIR3R11)) )) (net (rename rs1_iv_0 "rs1_iv[0]") (joined (portRef Y (instanceRef r_d_inst_0_RNIKQ4F1_14)) (portRef D (instanceRef r_a_rfa1_0)) (portRef A (instanceRef r_a_rfa1_RNIOI9U1_0)) (portRef C (instanceRef r_d_inst_0_RNISKLI2_18)) (portRef B (instanceRef r_a_ctrl_rd_RNIC6F72_0)) (portRef B (instanceRef r_m_ctrl_rd_RNIN6DD2_0)) (portRef A (instanceRef comb_op_find_un1_r_e_ctrl_rd_0_0)) )) (net (rename rd_0 "rd[0]") (joined (portRef Q (instanceRef r_e_ctrl_rd_0)) (portRef D (instanceRef r_m_ctrl_rd_0)) (portRef A (instanceRef r_e_ctrl_rd_RNI60JL_0)) (portRef A (instanceRef r_x_ctrl_rd_RNICRIF_0)) (portRef B (instanceRef comb_op_find_un1_r_e_ctrl_rd_0_0)) )) (net (rename un6_ex_add_res_s2_1_10 "un6_ex_add_res_s2_1[10]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I300_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I300_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I300_Y_0)) )) (net (rename data_0_9 "data_0[9]") (joined (portRef Q (instanceRef r_x_data_0_9)) (portRef A (instanceRef r_x_data_0_RNO_2_9)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I10_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I10_P0N)) (portRef A (instanceRef r_x_data_0_RNIJN43_9)) (portRef B (instanceRef r_e_op1_RNIK3C4_9)) (portRef B (instanceRef r_x_result_RNIT5DB_9)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_m1_e)) (portRef A (instanceRef r_x_data_0_RNI3N62_8)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I74_Y_m2_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_un1_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I137_Y_0)) (portRef A (instanceRef r_x_data_0_RNIG9MR2_9)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_a4)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I300_Y_0_1)) )) (net (rename op1_RNIK3C4_9 "op1_RNIK3C4[9]") (joined (portRef Y (instanceRef r_e_op1_RNIK3C4_9)) (portRef A (instanceRef r_e_op2_RNIBHPA_0_9)) (portRef B (instanceRef r_e_op2_RNIBHPA_9)) (portRef C (instanceRef r_e_aluop_RNIVCLI_2)) (portRef A (instanceRef r_x_result_RNIR0L13_9)) (portRef B (instanceRef r_e_shleft_RNI4PSU)) (portRef B (instanceRef r_e_op1_RNIOT8F1_9)) (portRef A (instanceRef r_e_shleft_0_RNICFVB)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I10_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I10_G0N)) (portRef C (instanceRef r_e_aluop_1_RNI6ALC_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I300_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I137_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I300_Y_0_1)) )) (net un1_write_reg30_i (joined (portRef Y (instanceRef un1_write_reg30)) (portRef S (instanceRef r_a_ctrl_wreg_RNO_0)) )) (net un19_rd_i_0 (joined (portRef Y (instanceRef comb_rd_gen_un19_rd)) (portRef A (instanceRef un1_write_reg30_1_3)) (portRef A (instanceRef un1_write_reg30)) )) (net (rename ld_1_sqmuxaZ0 "ld_1_sqmuxa") (joined (portRef Y (instanceRef ld_1_sqmuxa)) (portRef B (instanceRef un1_write_reg30)) )) (net I43_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I43_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I43_Y)) )) (net N469 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I25_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I43_un1_Y)) )) (net N473_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I26_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I44_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I43_un1_Y)) )) (net N503 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I44_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I105_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I106_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0_a3_0_0)) )) (net N470 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I25_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I44_Y)) )) (net (rename un6_ex_add_res_s2_1_31 "un6_ex_add_res_s2_1[31]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I321_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I321_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I321_Y_0)) )) (net (rename data_0_30 "data_0[30]") (joined (portRef Q (instanceRef r_x_data_0_30)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I31_P0N)) (portRef B (instanceRef r_x_result_RNIPS6E_30)) (portRef B (instanceRef r_e_op1_RNI0HHD_30)) (portRef A (instanceRef r_x_data_0_RNIL3H8_30)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I31_P0N)) (portRef A (instanceRef r_x_data_0_RNIV3FU2_30)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_0)) (portRef A (instanceRef r_x_data_0_RNO_0_30)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I321_Y_0_1)) )) (net (rename op1_RNI0HHD_30 "op1_RNI0HHD[30]") (joined (portRef Y (instanceRef r_e_op1_RNI0HHD_30)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I31_P0N)) (portRef A (instanceRef r_x_result_RNI35KD3_30)) (portRef A (instanceRef r_e_op2_RNI3C4T_0_30)) (portRef B (instanceRef r_e_op2_RNI3C4T_30)) (portRef C (instanceRef r_e_aluop_RNIN7051_2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I31_P0N)) (portRef B (instanceRef r_e_op1_RNI4BEO1_30)) (portRef B (instanceRef r_e_shleft_1_RNI4HOD1)) (portRef A (instanceRef r_e_shleft_0_RNIOS4L)) (portRef A (instanceRef r_e_aluop_0_RNIT40V_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I321_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I31_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I321_Y_0_1)) )) (net (rename un6_ex_add_res_s2_1_15 "un6_ex_add_res_s2_1[15]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I305_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I305_Y_0)) )) (net (rename data_0_14 "data_0[14]") (joined (portRef Q (instanceRef r_x_data_0_14)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I15_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I15_G0N)) (portRef B (instanceRef r_x_result_RNILC6E_14)) (portRef A (instanceRef r_x_data_0_RNIJRG8_14)) (portRef B (instanceRef r_e_op1_RNI0PHD_14)) (portRef A (instanceRef r_x_data_0_RNO_2_14)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I66_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I65_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I305_Y_0)) (portRef A (instanceRef r_x_data_0_RNIU1EC_14)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I122_Y_0_a0_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_m5_0_a3_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I305_Y_0_1)) )) (net (rename op1_RNI0PHD_14 "op1_RNI0PHD[14]") (joined (portRef Y (instanceRef r_e_op1_RNI0PHD_14)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I65_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I66_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I63_Y_0_a3)) (portRef A (instanceRef r_e_op2_RNI3S4T_0_14)) (portRef B (instanceRef r_e_op2_RNI3S4T_14)) (portRef C (instanceRef r_e_aluop_RNINN051_2)) (portRef A (instanceRef r_x_result_RNIVSJD3_14)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I66_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I65_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I305_Y_0)) (portRef B (instanceRef r_e_op1_RNI4JEO1_14)) (portRef B (instanceRef r_e_shleft_0_RNI3POD1)) (portRef A (instanceRef r_e_shleft_0_RNIO45L)) (portRef A (instanceRef r_e_aluop_1_RNIUK0V_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I122_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I305_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I305_Y_0_1)) )) (net N481 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I29_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I35_un1_Y)) )) (net (rename op1_RNICDID_28 "op1_RNICDID[28]") (joined (portRef Y (instanceRef r_e_op1_RNICDID_28)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I37_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I38_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I36_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I29_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I29_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I37_Y)) (portRef A (instanceRef r_x_result_RNIU3TC3_28)) (portRef S (instanceRef r_e_op1_RNIGE0C2_28)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I319_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I29_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I37_Y)) (portRef A (instanceRef r_e_shleft_RNIHEFF)) (portRef B (instanceRef r_e_op1_RNIG7FO1_28)) (portRef B (instanceRef r_e_shleft_1_RNIGDPD1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I319_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I29_G0N)) )) (net (rename data_0_28 "data_0[28]") (joined (portRef Q (instanceRef r_x_data_0_28)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I37_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I38_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I36_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I319_Y_0_1)) (portRef A (instanceRef r_x_data_0_RNIQVG8_28)) (portRef B (instanceRef r_e_op1_RNICDID_28)) (portRef B (instanceRef r_x_result_RNI8VED_28)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I29_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I29_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I37_Y)) (portRef A (instanceRef r_x_data_0_RNI56EC_28)) (portRef A (instanceRef r_x_data_0_RNO_0_28)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I29_G0N)) )) (net (rename op2_25 "op2[25]") (joined (portRef Q (instanceRef r_e_op2_25)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I41_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I42_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I43_Y)) (portRef A (instanceRef r_e_op2_RNI1JOP_25)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I316_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I26_P0N)) )) (net N786 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I270_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I311_Y_0)) )) (net N_71_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I270_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I270_Y_0_o3)) )) (net N514 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I55_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I113_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I270_Y_0_o3)) )) (net N786_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I270_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I311_Y_0)) )) (net N_71_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I270_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I270_Y_0_o3)) )) (net N514_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I55_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I113_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I270_Y_0_o3)) )) (net (rename un6_ex_add_res_s2_1_21 "un6_ex_add_res_s2_1[21]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I311_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I311_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I311_Y_0)) )) (net (rename op1_RNISCHD_20 "op1_RNISCHD[20]") (joined (portRef Y (instanceRef r_e_op1_RNISCHD_20)) (portRef A (instanceRef r_x_result_RNIPOJD3_20)) (portRef A (instanceRef r_e_op2_RNIR34T_0_20)) (portRef B (instanceRef r_e_op2_RNIR34T_20)) (portRef C (instanceRef r_e_aluop_RNIFVV41_2)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I53_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I21_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I21_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I53_Y)) (portRef B (instanceRef r_e_shleft_RNIC2281)) (portRef A (instanceRef r_e_shleft_1_RNILO4L)) (portRef B (instanceRef r_e_op1_RNI07EO1_20)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I53_Y)) (portRef A (instanceRef r_e_aluop_0_RNILSVU_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I311_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I311_Y_0_1)) )) (net I35_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I35_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_0)) )) (net N485 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I30_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I36_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I96_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I95_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I35_un1_Y)) )) (net (rename un6_ex_add_res_s0_31 "un6_ex_add_res_s0[31]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I321_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIT0TOJ2)) )) (net N766 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I321_Y_0)) )) (net (rename un6_ex_add_res_s2_31 "un6_ex_add_res_s2[31]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I321_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIT0TOJ2)) )) (net N766_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I321_Y_0)) )) (net (rename un6_ex_add_res_s2_1_30 "un6_ex_add_res_s2_1[30]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I320_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I320_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I320_Y_0)) )) (net (rename data_0_29 "data_0[29]") (joined (portRef Q (instanceRef r_x_data_0_29)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I30_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I30_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I30_G0N)) (portRef B (instanceRef r_e_op1_RNIEHID_29)) (portRef B (instanceRef r_x_result_RNI5L6E_29)) (portRef A (instanceRef r_x_data_0_RNI66EC_29)) (portRef A (instanceRef r_x_data_0_RNIRVG8_29)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I36_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I34_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_0)) (portRef A (instanceRef r_x_data_0_RNO_0_29)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I320_Y_0_1)) )) (net N470_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I25_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I44_Y)) )) (net (rename op1_RNI4THD_24 "op1_RNI4THD[24]") (joined (portRef Y (instanceRef r_e_op1_RNI4THD_24)) (portRef C (instanceRef r_e_aluop_RNI2KD91_2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I45_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I315_Y_0_1)) (portRef A (instanceRef r_x_result_RNIEJSC3_24)) (portRef B (instanceRef r_e_op2_RNIEOH11_24)) (portRef A (instanceRef r_e_op2_RNIEOH11_0_24)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I46_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I45_Y)) (portRef A (instanceRef r_e_shleft_RNI9UEF)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I45_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I46_Y)) (portRef B (instanceRef r_e_op1_RNI8NEO1_24)) (portRef B (instanceRef r_e_shleft_1_RNI8TOD1)) (portRef A (instanceRef r_e_aluop_1_RNI9HD31_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I315_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I25_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I25_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I25_P0N)) )) (net (rename data_0_24 "data_0[24]") (joined (portRef Q (instanceRef r_x_data_0_24)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I45_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I25_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I25_P0N)) (portRef A (instanceRef r_x_data_0_RNIMVG8_24)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I315_Y_0_1)) (portRef B (instanceRef r_x_result_RNI0VED_24)) (portRef B (instanceRef r_e_op1_RNI4THD_24)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I46_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I45_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I25_G0N)) (portRef A (instanceRef r_x_data_0_RNI16EC_24)) (portRef A (instanceRef r_x_data_0_RNO_0_24)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I25_P0N)) )) (net N503_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I44_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I106_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I105_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_a3_0_0)) )) (net (rename op2_24 "op2[24]") (joined (portRef Q (instanceRef r_e_op2_24)) (portRef A (instanceRef r_e_op2_RNIARVJ_24)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I45_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I46_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I315_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I25_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I25_P0N)) )) (net N672 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I203_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I267_un1_Y)) )) (net I203_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I203_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I250_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I243_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I298_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I203_Y)) )) (net N606 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I143_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I250_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I243_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I195_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I298_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I203_Y)) )) (net (rename un6_ex_add_res_s2_1_19 "un6_ex_add_res_s2_1[19]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I309_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I309_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I309_Y_0)) )) (net (rename data_0_18 "data_0[18]") (joined (portRef Q (instanceRef r_x_data_0_18)) (portRef A (instanceRef r_x_data_0_RNINRG8_18)) (portRef A (instanceRef r_x_data_0_RNO_1_18)) (portRef B (instanceRef r_e_op1_RNI89ID_18)) (portRef B (instanceRef r_x_result_RNI2NED_18)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I19_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I19_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I19_G0N)) (portRef A (instanceRef r_x_data_0_RNI22EC_18)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I57_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1_m2_e)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I19_P0N_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I309_Y_0_1)) )) (net (rename op1_RNI89ID_18 "op1_RNI89ID[18]") (joined (portRef Y (instanceRef r_e_op1_RNI89ID_18)) (portRef A (instanceRef r_e_op2_RNIJS5T_0_18)) (portRef B (instanceRef r_e_op2_RNIJS5T_18)) (portRef C (instanceRef r_e_aluop_RNI7O151_2)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I57_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I19_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I19_G0N)) (portRef A (instanceRef r_x_result_RNIKNSC3_18)) (portRef A (instanceRef r_e_shleft_RNIDAFF)) (portRef B (instanceRef r_e_shleft_0_RNIB9PD1)) (portRef B (instanceRef r_e_op1_RNIC3FO1_18)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I57_Y)) (portRef A (instanceRef r_e_aluop_1_RNIEL1V_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I309_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I309_Y_0_1)) )) (net (rename un6_fe_npc3_m_i_0 "un6_fe_npc3_m_i[0]") (joined (portRef Y (instanceRef r_a_ctrl_pc_RNI2MLBI1_2)) (portRef B (instanceRef r_a_ctrl_pc_RNIFQ8385_2)) )) (net bp_RNIBND8I1 (joined (portRef Y (instanceRef r_e_bp_RNIBND8I1)) (portRef B (instanceRef r_e_bp_RNIQKTEI1)) (portRef B (instanceRef r_e_bp_RNIJJ5II1)) (portRef B (instanceRef r_e_bp_RNIDIDLI1)) (portRef B (instanceRef r_e_bp_RNI8HLOI1)) (portRef B (instanceRef r_e_bp_RNI4GTRI1)) (portRef B (instanceRef r_e_bp_RNI5E86J1)) (portRef B (instanceRef r_e_bp_RNICI3AJ1)) (portRef B (instanceRef r_f_pc_RNIG697M5_8)) (portRef B (instanceRef r_f_pc_RNIQQE4R5_9)) (portRef A (instanceRef r_a_ctrl_pc_RNI2MLBI1_2)) )) (net N509 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I50_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I164_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I172_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I111_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I171_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I167_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I168_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I160_Y)) )) (net N464 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I23_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I49_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I50_Y)) )) (net (rename un6_ex_add_res_s0_30 "un6_ex_add_res_s0[30]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I320_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIFR42C2)) )) (net N768 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I320_Y_0)) )) (net (rename un6_ex_add_res_s2_30 "un6_ex_add_res_s2[30]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I320_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIFR42C2)) )) (net N768_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I320_Y_0)) )) (net N463 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I23_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I49_Y)) )) (net (rename op2_22 "op2[22]") (joined (portRef Q (instanceRef r_e_op2_22)) (portRef A (instanceRef r_e_op2_RNIU6OP_22)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I47_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I48_Y_i)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I313_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I23_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I23_G0N)) )) (net (rename op1_RNI0LHD_22 "op1_RNI0LHD[22]") (joined (portRef Y (instanceRef r_e_op1_RNI0LHD_22)) (portRef C (instanceRef r_e_aluop_0_RNIPK591_2)) (portRef B (instanceRef r_e_op2_RNIUR971_22)) (portRef A (instanceRef r_e_op2_RNIUR971_0_22)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I49_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I49_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I266_Y_0_a3_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I50_Y)) (portRef A (instanceRef r_x_result_RNI6BSC3_22)) (portRef A (instanceRef r_e_shleft_RNI5MEF)) (portRef B (instanceRef r_e_shleft_RNIGA281)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I313_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I313_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I47_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I48_Y_i)) (portRef B (instanceRef r_e_op1_RNI4FEO1_22)) (portRef C (instanceRef r_e_aluop_0_RNIOK591_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I313_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I23_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I23_G0N)) )) (net N786_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I311_Y_0)) )) (net N_71_i_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_o3)) )) (net N514_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I55_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I113_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_o3)) )) (net (rename un6_ex_add_res_s0_21 "un6_ex_add_res_s0[21]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I311_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNI18MQG1)) )) (net (rename un6_ex_add_res_s2_21 "un6_ex_add_res_s2[21]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I311_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNI18MQG1)) )) (net (rename un6_ex_add_res_s0_10 "un6_ex_add_res_s0[10]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I300_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNI8HPN8)) )) (net N814 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I249_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I300_Y_0)) )) (net (rename un6_ex_add_res_s2_10 "un6_ex_add_res_s2[10]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I300_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNI8HPN8)) )) (net N814_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I249_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I300_Y_0)) )) (net (rename bpdata_i_m_2_7 "bpdata_i_m_2[7]") (joined (portRef Y (instanceRef r_x_result_RNIF8EG3_7)) (portRef A (instanceRef r_e_op1_RNIGV504_15)) (portRef A (instanceRef r_x_result_RNI8VJD7_15)) )) (net N_281 (joined (portRef Y (instanceRef r_x_result_RNIOIS03_7)) (portRef B (instanceRef r_x_result_RNILO6M4_7)) (portRef B (instanceRef r_x_result_RNISJHF4_7)) (portRef B (instanceRef r_x_result_RNI5UVV3_7)) (portRef B (instanceRef r_m_icc_RNIQA3K6_3)) (portRef B (instanceRef r_w_s_tba_RNIMVC66_3)) (portRef B (instanceRef r_x_result_RNIE22I7_7)) (portRef B (instanceRef r_x_result_RNIF8EG3_7)) )) (net (rename bpdata_i_m_0_15 "bpdata_i_m_0[15]") (joined (portRef Y (instanceRef r_x_result_RNIPM5T3_15)) (portRef B (instanceRef r_x_result_RNI8VJD7_15)) )) (net (rename bpdata_15 "bpdata[15]") (joined (portRef Y (instanceRef r_x_result_RNI31KD3_15)) (portRef B (instanceRef r_x_result_RNICOCV3_15)) (portRef A (instanceRef r_x_result_RNIGCNC4_15)) (portRef B (instanceRef r_x_result_RNIMF5H4_15)) (portRef A (instanceRef r_x_result_RNIPM5T3_15)) )) (net (rename bpdata_i_m_2_3 "bpdata_i_m_2[3]") (joined (portRef Y (instanceRef r_x_result_RNIQD4H3_3)) (portRef A (instanceRef r_e_op1_RNINKR04_11)) (portRef B (instanceRef r_x_result_RNI3K9E7_11)) )) (net (rename bpdata_3 "bpdata[3]") (joined (portRef Y (instanceRef r_x_result_RNI3OI13_3)) (portRef A (instanceRef r_x_result_RNIDFBJ3_3)) (portRef B (instanceRef r_x_result_RNIG3M04_3)) (portRef A (instanceRef r_x_result_RNI7P7G4_3)) (portRef A (instanceRef r_x_result_RNI0USM4_3)) (portRef A (instanceRef r_e_ctrl_pc_RNI29P2B_19)) (portRef B (instanceRef r_x_result_RNIQD4H3_3)) )) (net (rename bpdata_i_m_2_4 "bpdata_i_m_2[4]") (joined (portRef Y (instanceRef r_x_result_RNI34DG3_4)) (portRef A (instanceRef r_e_op1_RNI1F404_12)) (portRef A (instanceRef r_x_result_RNILOQC7_12)) )) (net (rename bpdata_4 "bpdata[4]") (joined (portRef Y (instanceRef r_x_result_RNICER03_4)) (portRef A (instanceRef r_x_result_RNI9K5M4_4)) (portRef A (instanceRef r_x_result_RNIM5KI3_4)) (portRef B (instanceRef r_x_result_RNIPPUV3_4)) (portRef A (instanceRef r_x_result_RNIGFGF4_4)) (portRef A (instanceRef r_m_icc_RNIB62K6_0)) (portRef B (instanceRef r_x_result_RNI34DG3_4)) )) (net N805 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I246_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I303_Y_0)) )) (net ADD_33x33_fast_I246_Y_0_a3_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I246_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I246_Y_0_o3)) )) (net ADD_33x33_fast_I12_P0N_m1_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I12_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I71_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I246_Y_0_a3)) )) (net N808 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I247_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I302_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I246_Y_0_a3)) )) (net (rename un6_ex_add_res_s0_19 "un6_ex_add_res_s0[19]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I309_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIT39KC1)) )) (net N790 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I272_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I309_Y_0)) )) (net (rename un6_ex_add_res_s2_19 "un6_ex_add_res_s2[19]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I309_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIT39KC1)) )) (net N790_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I272_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I309_Y_0)) )) (net (rename un6_ex_add_res_s0_11 "un6_ex_add_res_s0[11]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I301_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNI4Q9IA)) )) (net N811 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I248_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I301_Y_0)) )) (net (rename un6_ex_add_res_s2_1_11 "un6_ex_add_res_s2_1[11]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I301_Y_0_1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I301_Y_0)) )) (net (rename data_0_10 "data_0[10]") (joined (portRef Q (instanceRef r_x_data_0_10)) (portRef B (instanceRef r_e_op1_RNIO8HD_10)) (portRef B (instanceRef r_x_result_RNIIMED_10)) (portRef A (instanceRef r_x_data_0_RNO_2_10)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_P0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I11_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I301_Y_0)) (portRef A (instanceRef r_x_data_0_RNI6F9E_10)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_un1_Y_a0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_m4)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_G0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_G0N_m1_e_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I71_Y_0_a3_0)) (portRef A (instanceRef r_x_data_0_RNIIJEU2_10)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I301_Y_0_1)) )) (net (rename op1_RNIO8HD_10 "op1_RNIO8HD[10]") (joined (portRef Y (instanceRef r_e_op1_RNIO8HD_10)) (portRef A (instanceRef r_x_result_RNIKMRC3_10)) (portRef C (instanceRef r_e_aluop_0_RNI6S491_2)) (portRef B (instanceRef r_e_op2_RNIB3971_10)) (portRef A (instanceRef r_e_op2_RNIB3971_0_10)) (portRef B (instanceRef r_e_shleft_RNI8U181)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I301_Y_0)) (portRef A (instanceRef r_e_shleft_RNIT9EF)) (portRef B (instanceRef r_e_op1_RNIS2EO1_10)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I71_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I71_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I74_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I73_Y)) (portRef C (instanceRef r_e_aluop_0_RNI5S491_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I130_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I301_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I301_Y_0_1)) )) (net I248_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I248_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I248_Y)) )) (net N666 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I197_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I237_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I248_Y)) )) (net I249_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I249_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I249_Y)) )) (net N668 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I199_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I239_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I249_Y)) )) (net (rename un6_ex_add_res_s0_5 "un6_ex_add_res_s0[5]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I295_Y_0)) (portRef B (instanceRef r_e_invop2_0_RNIOI633)) )) (net N678 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I295_Y_0)) )) (net (rename un6_ex_add_res_s2_5 "un6_ex_add_res_s2[5]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I295_Y_0)) (portRef A (instanceRef r_e_invop2_0_RNIOI633)) )) (net N678_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I295_Y_0)) )) (net N406 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I4_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3)) )) (net N_59 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3)) )) (net N678_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I295_Y_0)) )) (net N406_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I4_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I87_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I85_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3)) )) (net N_59_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3)) )) (net N406_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I4_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3)) )) (net N_59_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3)) )) (net (rename un6_ex_add_res_s0_22 "un6_ex_add_res_s0[22]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I312_Y_0)) (portRef B (instanceRef r_e_invop2_0_RNIQP9II1)) )) (net N784 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I312_Y_0)) )) (net (rename un6_ex_add_res_s2_22 "un6_ex_add_res_s2[22]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I312_Y_0)) (portRef A (instanceRef r_e_invop2_0_RNIQP9II1)) )) (net N784_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I312_Y_0)) )) (net N802 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I245_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_un1_Y)) )) (net I245_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I245_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I304_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I245_Y)) )) (net N660 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I191_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I304_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I231_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I245_Y)) )) (net (rename un6_ex_add_res_s0_26 "un6_ex_add_res_s0[26]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I316_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIC4L2V1)) )) (net N776 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I316_Y_0)) )) (net (rename un6_ex_add_res_s2_26 "un6_ex_add_res_s2[26]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I316_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIC4L2V1)) )) (net N776_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I316_Y_0)) )) (net (rename un6_ex_add_res_s2_15 "un6_ex_add_res_s2[15]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I305_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIGHD5O)) )) (net N799 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I244_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I305_Y_0)) )) (net I244_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I244_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I244_Y)) )) (net N658 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I189_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I244_Y)) )) (net N508 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I49_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I111_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I107_un1_Y)) )) (net I49_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I49_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I49_Y)) )) (net N460 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I22_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I49_un1_Y)) )) (net (rename bpdata_i_m_0_13 "bpdata_i_m_0[13]") (joined (portRef Y (instanceRef r_x_result_RNIHE5T3_13)) (portRef B (instanceRef r_x_result_RNIOUID7_13)) )) (net (rename bpdata_13 "bpdata[13]") (joined (portRef Y (instanceRef r_x_result_RNIROJD3_13)) (portRef A (instanceRef r_x_result_RNI84NC4_13)) (portRef B (instanceRef r_e_ctrl_pc_RNICUT57_13)) (portRef B (instanceRef r_x_result_RNIU11I7_13)) (portRef A (instanceRef r_x_result_RNIHE5T3_13)) )) (net (rename shiftin_17_m_12 "shiftin_17_m[12]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJKGAN)) (portRef A (instanceRef r_e_jmpl_RNI5LETC1)) )) (net (rename shiftin_17_12 "shiftin_17[12]") (joined (portRef Y (instanceRef r_e_shcnt_RNIMS9IM_1)) (portRef A (instanceRef r_e_jmpl_RNIJKGAN_0)) (portRef B (instanceRef r_e_jmpl_RNIJKGAN)) )) (net (rename bpdata_i_m_0_12 "bpdata_i_m_0[12]") (joined (portRef Y (instanceRef r_x_result_RNIIKDS3_12)) (portRef B (instanceRef r_x_result_RNILOQC7_12)) )) (net (rename bpdata_12 "bpdata[12]") (joined (portRef Y (instanceRef r_x_result_RNISURC3_12)) (portRef A (instanceRef r_e_op1_RNIA4I4A_12)) (portRef B (instanceRef r_e_ctrl_pc_RNI14BF7_12)) (portRef B (instanceRef r_x_result_RNIRR8H7_12)) (portRef A (instanceRef r_x_result_RNIIKDS3_12)) )) (net (rename result_RNI965T3_11 "result_RNI965T3[11]") (joined (portRef Y (instanceRef r_x_result_RNI965T3_11)) (portRef A (instanceRef r_x_result_RNI3K9E7_11)) )) (net (rename bpdata_11 "bpdata[11]") (joined (portRef Y (instanceRef r_x_result_RNIJGJD3_11)) (portRef A (instanceRef r_x_result_RNI6V4H4_11)) (portRef B (instanceRef r_e_op1_RNILN06A_11)) (portRef A (instanceRef r_x_result_RNI9NNI7_11)) (portRef B (instanceRef r_x_result_RNI965T3_11)) )) (net I249_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I249_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I249_Y)) )) (net N668_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I199_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I273_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I249_Y)) )) (net ADD_33x33_fast_I76_Y_N_9 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_a4)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_0)) )) (net (rename op1_9 "op1[9]") (joined (portRef Q (instanceRef r_e_op1_9)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I10_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I10_P0N)) (portRef A (instanceRef r_e_op1_RNIK1UB_9)) (portRef A (instanceRef r_e_op1_RNIK3C4_9)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I74_Y_m2_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_un1_Y_0_1)) (portRef A (instanceRef r_e_op1_RNI6N1T3_9)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_a4)) )) (net call_hold7_i (joined (portRef Y (instanceRef r_d_inst_0_RNI5C23_31)) (portRef A (instanceRef r_a_ctrl_wreg_RNILGCE)) (portRef B (instanceRef r_d_cnt_RNIRCME_0)) (portRef B (instanceRef r_e_ctrl_wreg_RNIIPDC)) (portRef C (instanceRef r_d_cnt_RNIDT4K_0)) (portRef C (instanceRef r_d_inst_0_RNI31OU_31)) (portRef C (instanceRef r_a_ctrl_ld_RNO)) (portRef C (instanceRef r_d_inst_0_RNI703B_22)) (portRef C (instanceRef r_d_inst_0_RNIOSIB_21)) (portRef C (instanceRef r_d_cnt_RNIU26G_0)) (portRef B (instanceRef r_d_cnt_RNIRCME_0_0)) (portRef C (instanceRef r_d_cnt_RNIH5K9_1)) (portRef B (instanceRef r_d_annul_RNIP2H4_1)) (portRef B (instanceRef comb_lock_gen_ldchkra)) (portRef A (instanceRef ld_1_sqmuxa)) )) (net ld_1_sqmuxa_tz (joined (portRef Y (instanceRef r_d_cnt_RNI2R7J_0)) (portRef B (instanceRef r_a_ctrl_ld_RNO)) (portRef B (instanceRef ld_1_sqmuxa)) )) (net N_55 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I71_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I71_Y_0)) )) (net N427_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_G0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I71_Y_0_a3)) )) (net N530 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I71_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I129_un1_Y)) )) (net N802_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I245_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I304_Y_0)) )) (net I245_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I245_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I245_Y)) )) (net N660_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I191_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I245_Y)) )) (net N488_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I31_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I34_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I33_un1_Y)) )) (net (rename op2_30 "op2[30]") (joined (portRef Q (instanceRef r_e_op2_30)) (portRef A (instanceRef r_e_op2_RNI3RIF_30)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I321_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I31_P0N)) )) (net I204_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I204_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I204_Y)) )) (net N609 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I146_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I204_un1_Y)) )) (net N616 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I204_un1_Y)) )) (net N811_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I248_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I301_Y_0)) )) (net I248_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I248_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I248_Y)) )) (net N666_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I197_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I237_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I248_Y)) )) (net N808_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I247_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I302_Y_0)) )) (net I247_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I247_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I246_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I247_Y)) )) (net N664 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I195_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I246_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I235_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I247_Y)) )) (net I33_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I33_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_0)) )) (net N493 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I34_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I96_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_1)) )) (net (rename result_RNIEF654_9 "result_RNIEF654[9]") (joined (portRef Y (instanceRef r_x_result_RNIEF654_9)) (portRef B (instanceRef r_x_result_RNIJ6HO7_9)) )) (net (rename aluop_0_RNIJEH31_1 "aluop_0_RNIJEH31[1]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIJEH31_1)) (portRef A (instanceRef r_x_result_RNIMF5H4_15)) (portRef B (instanceRef r_x_result_RNI6V4H4_11)) (portRef A (instanceRef r_x_result_RNIG8O38_10)) (portRef A (instanceRef r_e_ctrl_pc_RNICUT57_13)) (portRef A (instanceRef r_x_result_RNIBVH48_14)) (portRef A (instanceRef r_x_result_RNIBEGO7_8)) (portRef A (instanceRef r_e_ctrl_pc_RNI14BF7_12)) (portRef A (instanceRef r_x_result_RNIEF654_9)) )) (net (rename y_21 "y[21]") (joined (portRef Y (instanceRef comb_logic_op_y_iv_21)) (portRef D (instanceRef r_m_y_21)) )) (net (rename y_iv_2_21 "y_iv_2[21]") (joined (portRef Y (instanceRef comb_logic_op_y_iv_RNO_0_21)) (portRef B (instanceRef comb_logic_op_y_iv_21)) )) (net ldchkra (joined (portRef Y (instanceRef comb_lock_gen_ldchkra)) (portRef A (instanceRef comb_lock_gen_ldlock2_1)) )) (net ldchkra_0 (joined (portRef Y (instanceRef r_d_annul_RNI35C5)) (portRef B (instanceRef r_a_ctrl_wreg_RNILGCE)) (portRef A (instanceRef comb_lock_gen_ldchkra)) )) (net (rename eres2_24 "eres2[24]") (joined (portRef Y (instanceRef r_e_op1_RNIJV50R5_24)) (portRef D (instanceRef r_m_result_24)) (portRef A (instanceRef r_a_rsel1_3_RNIL903R5_2)) (portRef A (instanceRef comb_alu_op_aop2_i_a2_1_24)) )) (net (rename aop2_i_a2_1_0_24 "aop2_i_a2_1_0[24]") (joined (portRef Y (instanceRef comb_alu_op_aop2_i_a2_1_RNO_24)) (portRef B (instanceRef comb_alu_op_aop2_i_a2_1_24)) )) (net I235_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y)) )) (net ADD_30x30_fast_I235_un1_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_un1_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_un1_Y)) )) (net N601 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I138_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I244_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I198_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I197_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_un1_Y_0)) )) (net ADD_33x33_fast_I138_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I138_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I138_Y)) )) (net N_57 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I248_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I293_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3_1)) )) (net ADD_33x33_fast_I206_Y_0_o3_1_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3_1_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I248_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I293_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3_1)) )) (net ldlock2_1 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNIJJ6OU)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIAPJ54)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNI01TEH)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIJLFTO)) (portRef A (instanceRef un1_rabpmisstt_b0_i_a3)) )) (net ldlock2_1_0 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNO)) (portRef B (instanceRef comb_lock_gen_ldlock2_1)) )) (net un525_dbgunit (joined (portRef Y (instanceRef comb_diagrdy_un525_dbgunit)) (portRef B (instanceRef dsur_crdy_RNO_2_2)) )) (net un540_dbgunit_0 (joined (portRef Y (instanceRef dsur_asi_RNIH083_0_3)) (portRef B (instanceRef dsur_asi_RNINMR4_1)) (portRef A (instanceRef dsur_crdy_RNO_4_2)) (portRef A (instanceRef comb_diagrdy_un525_dbgunit)) )) (net (rename asiZ0Z_1 "asi[1]") (joined (portRef Q (instanceRef dsur_asi_1)) (portRef A (instanceRef dsur_asi_RNIR5741_1)) (portRef A (instanceRef r_m_dci_asi_RNO_0_1)) (portRef A (instanceRef dsur_asi_RNO_0_1)) (portRef A (instanceRef dsur_asi_RNINMR4_1)) (portRef B (instanceRef dsur_crdy_RNO_4_2)) (portRef B (instanceRef dsur_asi_RNII2R4_0)) (portRef B (instanceRef comb_diagrdy_un525_dbgunit)) )) (net un1_rabpmisstt_N_8 (joined (portRef Y (instanceRef un1_rabpmisstt_b0_i_a3)) (portRef A (instanceRef un1_rabpmisstt_b0_i_a3_RNI7GBQ4)) )) (net un1_ldcheck1 (joined (portRef Y (instanceRef r_a_ctrl_rd_RNI5NULB_5)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIJJ6OU)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNI01TEH)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIJLFTO)) (portRef B (instanceRef un1_rabpmisstt_b0_i_a3)) )) (net ADD_33x33_fast_I68_Y_N_6 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I68_Y_m3_i_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I129_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I130_Y_0)) )) (net ADD_33x33_fast_I70_Y_a0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I68_Ytt_m1_0_a2)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I68_Y_m3_i_a3)) )) (net ADD_33x33_fast_I68_Y_m2_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I68_Y_m2_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I68_Y_m3_i_a3)) )) (net (rename data_0_13 "data_0[13]") (joined (portRef Q (instanceRef r_x_data_0_13)) (portRef A (instanceRef r_x_data_0_RNIIRG8_13)) (portRef B (instanceRef r_x_result_RNIJC6E_13)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I304_Y_0_1)) (portRef B (instanceRef r_e_op1_RNIUKHD_13)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I14_P0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I14_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I14_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I14_G0N)) (portRef A (instanceRef r_x_data_0_RNO_3_13)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_m5_0_a3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I129_Y_0)) (portRef A (instanceRef r_x_data_0_RNIOJEU2_13)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I68_Y_m2_e)) )) (net (rename data_0_12 "data_0[12]") (joined (portRef Q (instanceRef r_x_data_0_12)) (portRef A (instanceRef r_x_data_0_RNO_2_12)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I13_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I13_P0N)) (portRef B (instanceRef r_e_op1_RNISGHD_12)) (portRef B (instanceRef r_x_result_RNIMMED_12)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I303_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I303_Y_0)) (portRef A (instanceRef r_x_data_0_RNI8F9E_12)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_un1_Ytt_m2_0_a2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I70_Y_0_tz)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I67_un1_Y_0)) (portRef A (instanceRef r_x_data_0_RNIMJEU2_12)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I68_Ytt_m1_0_a2)) )) (net ADD_33x33_fast_I76_Y_N_10 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_a4_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_0)) )) (net (rename data_0_8 "data_0[8]") (joined (portRef Q (instanceRef r_x_data_0_8)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I9_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I77_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I78_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I75_un1_Y)) (portRef B (instanceRef r_e_op1_RNIIVB4_8)) (portRef B (instanceRef r_x_result_RNIRTCB_8)) (portRef A (instanceRef r_x_data_0_RNO_2_8)) (portRef A (instanceRef r_x_data_0_RNI97T8_8)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I76_Y_m2_e)) (portRef B (instanceRef r_x_data_0_RNI3N62_8)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I9_P0N_m1_e)) (portRef A (instanceRef r_x_data_0_RNIE1MR2_8)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I299_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_a4_0)) )) (net (rename op1_8 "op1[8]") (joined (portRef Q (instanceRef r_e_op1_8)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I9_G0N)) (portRef A (instanceRef r_e_op1_RNIJ1UB_8)) (portRef A (instanceRef r_e_op1_RNIIVB4_8)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I76_Y_m2_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I9_P0N_m1_e)) (portRef A (instanceRef r_e_op1_RNI1B1T3_8)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_a4_0)) )) (net (rename tmp_24 "tmp[24]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I282_Y_0)) (portRef B (instanceRef r_f_pc_RNO_2_24)) )) (net N708 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I282_Y_0)) )) (net ADD_30x30_fast_I282_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I282_Y_0_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I282_Y_0)) )) (net (rename un6_ex_add_res_s0_9 "un6_ex_add_res_s0[9]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I299_Y_0)) (portRef B (instanceRef r_e_invop2_RNIM7J46)) )) (net ADD_33x33_fast_I299_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I299_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I299_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I299_Y_0)) )) (net (rename un6_ex_add_res_s1_i_9 "un6_ex_add_res_s1_i[9]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I299_Y_0)) (portRef A (instanceRef r_e_ldbp2_RNIO051A)) )) (net ADD_33x33_fast_I299_Y_0_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I299_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I299_Y_0)) )) (net (rename un6_ex_add_res_s2_9 "un6_ex_add_res_s2[9]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I299_Y_0)) (portRef A (instanceRef r_e_invop2_RNIM7J46)) )) (net (rename tmp_12 "tmp[12]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I270_Y_0)) (portRef B (instanceRef r_f_pc_RNO_2_12)) )) (net ADD_30x30_fast_I270_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I270_Y_0_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I270_Y_0)) )) (net un82_dbgm (joined (portRef Y (instanceRef comb_dbgexc_un82_dbgm)) (portRef A (instanceRef dsur_err_RNO_1)) (portRef A (instanceRef comb_dbgexc_un82_dbgm_RNIF5JE7)) )) (net un82_dbgm_2 (joined (portRef Y (instanceRef comb_dbgexc_un82_dbgm_RNO)) (portRef A (instanceRef comb_dbgexc_un82_dbgm)) )) (net un82_dbgm_3_0 (joined (portRef Y (instanceRef comb_dbgexc_un82_dbgm_RNO_0)) (portRef B (instanceRef comb_dbgexc_un82_dbgm)) )) (net I261_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y)) )) (net ADD_33x33_fast_I261_Y_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y_2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y)) )) (net (rename un6_ex_add_res_s1_i_21 "un6_ex_add_res_s1_i[21]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I311_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNI78TJG2)) )) (net ADD_33x33_fast_I311_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I311_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I311_Y_0)) )) (net N766_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I321_Y_0)) )) (net I260_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y)) )) (net ADD_33x33_fast_I260_Y_3 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y)) )) (net (rename un6_ex_add_res_s1_i_31 "un6_ex_add_res_s1_i[31]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I321_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIA8R494)) )) (net ADD_33x33_fast_I321_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I321_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I321_Y_0)) )) (net I260_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y)) )) (net ADD_33x33_fast_I260_Y_3_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y)) )) (net I260_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y)) )) (net ADD_33x33_fast_I260_Y_3_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y)) )) (net icc_check6_i (joined (portRef Y (instanceRef comb_lock_gen_icc_check6)) (portRef A (instanceRef comb_lock_gen_icc_check6_RNIFP3Q)) )) (net N_4099_1 (joined (portRef Y (instanceRef r_d_inst_0_RNI8IM7_23)) (portRef A (instanceRef r_d_inst_0_RNIRE4Q_19)) (portRef A (instanceRef comb_lock_gen_icc_check6)) )) (net icc_check6_1 (joined (portRef Y (instanceRef comb_lock_gen_icc_check6_RNO)) (portRef B (instanceRef comb_lock_gen_icc_check6)) )) (net trap27_1 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI6E2S_23)) (portRef B (instanceRef r_m_irqen_RNO_0)) (portRef A (instanceRef comb_mem_trap_trap27)) )) (net trap_0_sqmuxa_1_2 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI0P0E_20)) (portRef C (instanceRef r_m_nalign_RNI0UR41)) (portRef A (instanceRef r_m_irqen_RNO_0)) (portRef B (instanceRef comb_mem_trap_trap27)) )) (net inst_2 (joined (portRef Y (instanceRef comb_mem_trap_trap54_RNO)) (portRef A (instanceRef comb_mem_trap_trap54)) )) (net trap54_0 (joined (portRef Y (instanceRef comb_mem_trap_trap54_RNO_0)) (portRef B (instanceRef comb_mem_trap_trap54)) )) (net (rename un1_write_reg30_1Z0Z_3 "un1_write_reg30_1_3") (joined (portRef Y (instanceRef un1_write_reg30_1_3)) (portRef A (instanceRef r_a_ctrl_wreg_RNO_0)) )) (net un1_write_reg30_1_3_1 (joined (portRef Y (instanceRef un1_write_reg30_1_3_RNO)) (portRef B (instanceRef un1_write_reg30_1_3)) )) (net un19_rd_2 (joined (portRef Y (instanceRef comb_rd_gen_un19_rd_RNO)) (portRef A (instanceRef comb_rd_gen_un19_rd)) )) (net (rename rd_0Z0Z_0 "rd_0[0]") (joined (portRef Y (instanceRef r_d_inst_0_RNIV66G_25)) (portRef D (instanceRef r_a_ctrl_rd_0)) (portRef B (instanceRef comb_rd_gen_un19_rd)) )) (net I267_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I267_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I314_Y_0)) )) (net ADD_33x33_fast_I267_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I267_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I267_un1_Y)) )) (net I265_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y)) )) (net ADD_33x33_fast_I265_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y)) )) (net N776_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I316_Y_0)) )) (net I265_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y)) )) (net ADD_33x33_fast_I265_Y_1_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y)) )) (net I265_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_Y)) )) (net ADD_33x33_fast_I265_Y_1_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_Y_1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_Y)) )) (net (rename un6_ex_add_res_s1_i_12 "un6_ex_add_res_s1_i[12]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I302_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIQIKQL)) )) (net ADD_33x33_fast_I302_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I302_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I302_Y_0)) )) (net (rename un6_ex_add_res_s1_i_19 "un6_ex_add_res_s1_i[19]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I309_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIK2LC92)) )) (net N790_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I309_Y_0)) )) (net ADD_33x33_fast_I309_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I309_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I309_Y_0)) )) (net (rename un6_ex_add_res_s1_i_11 "un6_ex_add_res_s1_i[11]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I301_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIBS7IH)) )) (net ADD_33x33_fast_I301_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I301_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I301_Y_0)) )) (net I237_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I237_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I272_Y)) )) (net ADD_33x33_fast_I272_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I272_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I272_Y)) )) (net (rename aluresult_11_sqmuxaZ0Z_7 "aluresult_11_sqmuxa_7") (joined (portRef Y (instanceRef aluresult_11_sqmuxa_7)) (portRef A (instanceRef comb_alu_select_aluresult_1_iv_9_0_a2_0_5)) )) (net aluresult_11_sqmuxa_7_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIVC1S_0_20)) (portRef B (instanceRef r_e_ctrl_inst_RNIA5SD2_23)) (portRef B (instanceRef aluresult_11_sqmuxa_7)) )) (net I261_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y)) )) (net ADD_33x33_fast_I261_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_un1_Y)) )) (net (rename un6_ex_add_res_s1_i_30 "un6_ex_add_res_s1_i[30]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I320_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIU7QJR3)) )) (net N768_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I320_Y_0)) )) (net ADD_33x33_fast_I320_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I320_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I320_Y_0)) )) (net ADD_33x33_fast_I261_Y_2_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y_2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y)) )) (net I261_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y)) )) (net ADD_33x33_fast_I261_Y_2_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y_2)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y)) )) (net N_385 (joined (portRef Y (instanceRef comb_alu_select_aluresult_1_iv_9_0_a2_0_5)) (portRef A (instanceRef comb_alu_select_aluresult_1_iv_9_0_a2_0_RNIP4B96_5)) )) (net (rename aluresult_1_iv_9_0_a2_0_0_5 "aluresult_1_iv_9_0_a2_0_0[5]") (joined (portRef Y (instanceRef comb_alu_select_aluresult_1_iv_9_0_a2_0_RNO_5)) (portRef B (instanceRef comb_alu_select_aluresult_1_iv_9_0_a2_0_5)) )) (net (rename tmp_31 "tmp[31]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I289_Y_0)) (portRef B (instanceRef r_f_pc_RNO_7_31)) )) (net N694 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I289_Y_0)) )) (net ADD_30x30_fast_I289_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I289_Y_0_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I289_Y_0)) )) (net (rename un6_ex_add_res_s1_i_14 "un6_ex_add_res_s1_i[14]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I304_Y_0)) (portRef A (instanceRef r_e_ldbp2_0_RNII09B01)) )) (net ADD_33x33_fast_I304_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I304_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I304_Y_0)) )) (net I239_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I237_Y_0_a3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_Y)) )) (net ADD_30x30_fast_I239_Y_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_Y_1)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I237_Y_0_a3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_Y)) )) (net (rename un6_ex_add_res_s1_i_5 "un6_ex_add_res_s1_i[5]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I295_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIFQI45)) )) (net ADD_33x33_fast_I295_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I295_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I295_Y_0)) )) (net N601_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I138_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I197_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I198_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I190_Y)) )) (net ADD_33x33_fast_I138_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I138_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I138_Y)) )) (net (rename un6_ex_add_res_s1_i_22 "un6_ex_add_res_s1_i[22]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I312_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIBMLCI2)) )) (net N784_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I312_Y_0)) )) (net ADD_33x33_fast_I312_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I312_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I312_Y_0)) )) (net I231_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I231_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_Y)) )) (net ADD_33x33_fast_I269_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_Y)) )) (net I269_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_Y)) )) (net ADD_33x33_fast_I269_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_Y)) )) (net I231_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I231_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_Y)) )) (net ADD_33x33_fast_I269_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_Y)) )) (net (rename un6_ex_add_res_s1_i_26 "un6_ex_add_res_s1_i[26]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I316_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNI684O63)) )) (net ADD_33x33_fast_I316_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I316_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I316_Y_0)) )) (net icc_check6_0 (joined (portRef Y (instanceRef comb_lock_gen_icc_check6_RNO_0)) (portRef B (instanceRef comb_lock_gen_icc_check6_RNO)) )) (net (rename inst_0_22 "inst_0[22]") (joined (portRef Q (instanceRef r_d_inst_0_22)) (portRef D (instanceRef r_a_ctrl_inst_22)) (portRef B (instanceRef r_d_inst_0_RNO_0_22)) (portRef A (instanceRef r_a_ctrl_wicc_RNO_2)) (portRef C (instanceRef r_d_inst_0_RNI66J4_0_23)) (portRef B (instanceRef r_d_inst_0_RNI66J4_1_23)) (portRef A (instanceRef r_d_inst_0_RNI66J4_22)) (portRef B (instanceRef r_d_inst_0_RNI3AJ4_21)) (portRef A (instanceRef r_d_inst_0_RNI1423_21)) (portRef C (instanceRef r_d_inst_0_RNI66J4_23)) (portRef A (instanceRef r_d_inst_0_RNI0423_20)) (portRef B (instanceRef r_a_ctrl_wicc_RNO_3)) (portRef A (instanceRef un1_write_reg30_1_3_RNO_5)) (portRef A (instanceRef r_d_inst_0_RNI4423_24)) (portRef C (instanceRef r_d_inst_0_RNIAK79_0_24)) (portRef B (instanceRef r_d_inst_0_0_0_RNI9MOA_21)) (portRef A (instanceRef r_d_inst_0_RNIAK79_24)) (portRef C (instanceRef r_d_inst_0_0_0_RNIM0KB_21)) (portRef B (instanceRef r_d_inst_0_RNI66J4_2_23)) (portRef B (instanceRef r_d_inst_0_0_0_RNI7IM7_21)) (portRef A (instanceRef r_d_inst_0_RNI8446_19)) (portRef A (instanceRef r_d_inst_0_RNITFU4_22)) (portRef A (instanceRef r_d_annul_RNILOV2)) (portRef A (instanceRef comb_lock_gen_icc_check6_RNO_0)) )) (net (rename inst_0_19 "inst_0[19]") (joined (portRef Q (instanceRef r_d_inst_0_19)) (portRef D (instanceRef r_a_ctrl_inst_19)) (portRef B (instanceRef r_d_inst_0_RNO_0_19)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I19_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I19_P0N)) (portRef B (instanceRef r_d_inst_0_RNI4023_19)) (portRef A (instanceRef r_d_inst_0_RNI5023_0_19)) (portRef B (instanceRef r_d_inst_0_RNI5023_19)) (portRef B (instanceRef r_d_inst_0_0_0_RNIBEM7_21)) (portRef A (instanceRef r_a_imm_RNO_29)) (portRef B (instanceRef r_a_ctrl_wicc_RNO_0)) (portRef C (instanceRef r_a_ctrl_wy_RNO_0)) (portRef A (instanceRef r_d_inst_0_RNI62J4_23)) (portRef C (instanceRef r_d_inst_0_RNI62J4_0_23)) (portRef B (instanceRef r_d_inst_0_RNI8446_19)) (portRef B (instanceRef r_d_cnt_RNIDLF3_1)) (portRef A (instanceRef r_d_inst_0_RNI42J4_19)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I279_Y_0_0)) (portRef B (instanceRef comb_lock_gen_icc_check6_RNO_0)) )) (net ADD_33x33_fast_I76_Y_m4_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_m4_i_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_0)) )) (net I264_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I317_Y_0)) )) (net ADD_33x33_fast_I264_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_un1_Y)) )) (net N543 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I84_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I198_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I145_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I146_Y)) )) (net ADD_33x33_fast_I146_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I146_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I198_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I146_Y)) )) (net I264_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I317_Y_0)) )) (net ADD_33x33_fast_I264_un1_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_un1_Y)) )) (net I237_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I237_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I270_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_Y)) )) (net ADD_33x33_fast_I272_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I270_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_Y)) )) (net ADD_33x33_fast_I272_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I272_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I270_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I272_Y)) )) (net I237_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I237_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I270_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I272_Y)) )) (net I232_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y)) )) (net ADD_30x30_fast_I232_Y_3 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y_3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y)) )) (net un82_dbgm_1 (joined (portRef Y (instanceRef comb_dbgexc_un82_dbgm_RNO_1)) (portRef A (instanceRef comb_dbgexc_un82_dbgm_RNO_0)) )) (net un82_dbgm_0 (joined (portRef Y (instanceRef comb_dbgexc_un82_dbgm_RNO_2)) (portRef B (instanceRef comb_dbgexc_un82_dbgm_RNO_0)) )) (net un82_dbgm_3 (joined (portRef Y (instanceRef r_x_mexc_RNIM4JR1)) (portRef C (instanceRef r_x_mexc_RNIKP6N3)) (portRef A (instanceRef comb_dbgexc_un82_dbgm_RNO)) )) (net (rename xc_vectt_1_2 "xc_vectt_1[2]") (joined (portRef Y (instanceRef r_x_mexc_RNIEOPT)) (portRef B (instanceRef dsur_tt_RNO_0_2)) (portRef A (instanceRef r_w_s_tt_RNO_0_2)) (portRef A (instanceRef r_x_mexc_RNIICL41)) (portRef A (instanceRef r_x_mexc_RNIA9DP2)) (portRef B (instanceRef r_x_mexc_RNIKP6N3)) (portRef A (instanceRef r_x_mexc_RNIO8JR1)) (portRef B (instanceRef r_x_mexc_RNISCJE4)) (portRef B (instanceRef comb_dbgexc_un82_dbgm_RNO)) )) (net write_reg_2_sqmuxa (joined (portRef Y (instanceRef un1_write_reg30_1_3_RNO_0)) (portRef A (instanceRef un1_write_reg30_1_3_RNO)) )) (net un1_write_reg30_1_3_0 (joined (portRef Y (instanceRef un1_write_reg30_1_3_RNO_1)) (portRef B (instanceRef un1_write_reg30_1_3_RNO)) )) (net N419 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I8_P0N_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I78_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I250_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I138_Y_0)) )) (net N535 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I137_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I138_Y_0)) )) (net ADD_33x33_fast_I8_P0N_m1_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I8_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I78_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I79_Y_0_a3)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I250_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I138_Y_0)) )) (net N535_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I76_Y_m3_i)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I137_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I138_Y_0)) )) (net et (joined (portRef Q (instanceRef r_e_et)) (portRef A (instanceRef comb_alu_select_aluresult_1_iv_9_0_a2_0_RNO_5)) )) (net (rename crdy_4_m_1_2 "crdy_4_m_1[2]") (joined (portRef Y (instanceRef dsur_crdy_RNO_2_2)) (portRef A (instanceRef dsur_crdy_RNO_0_2)) )) (net (rename crdy_4_m_0_2 "crdy_4_m_0[2]") (joined (portRef Y (instanceRef dsur_crdy_RNO_5_2)) (portRef A (instanceRef dsur_crdy_RNO_2_2)) )) (net dsuen_0 (joined (portRef Q (instanceRef r_m_dci_dsuen_0)) (portRef B (instanceRef dsur_crdy_RNO_6_2)) (portRef B (instanceRef dsur_crdy_RNO_0_1)) (portRef A (instanceRef dsur_crdy_RNO_5_2)) (portRef dsuen_0) )) (net wreg (joined (portRef Q (instanceRef r_a_ctrl_wreg)) (portRef C (instanceRef r_a_ctrl_wreg_RNILGCE)) (portRef B (instanceRef r_e_ctrl_wreg_RNO)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNO)) )) (net ld_1 (joined (portRef Q (instanceRef r_a_ctrl_ld)) (portRef D (instanceRef r_e_ctrl_ld)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNO)) )) (net N_4096_1 (joined (portRef Y (instanceRef r_d_inst_0_RNI2423_24)) (portRef C (instanceRef r_d_inst_0_RNI8446_19)) (portRef A (instanceRef comb_lock_gen_icc_check6_RNO)) )) (net N641 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I172_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I267_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I267_un1_Y_0)) )) (net N657 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I188_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I243_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I267_un1_Y_0)) )) (net N635 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I166_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I221_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_un1_Y_0)) )) (net N651 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I182_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I237_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I272_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_un1_Y_0)) )) (net (rename edata2_iv_1_27 "edata2_iv_1[27]") (joined (portRef Y (instanceRef r_x_result_RNI3K9E7_11)) (portRef C (instanceRef r_e_op1_RNI6CVID_27)) )) (net (rename edata2_iv_1_30 "edata2_iv_1[30]") (joined (portRef Y (instanceRef r_x_result_RNIR4BE7_14)) (portRef B (instanceRef r_e_op1_RNINDMJD_30)) )) (net (rename edata2_iv_1_29 "edata2_iv_1[29]") (joined (portRef Y (instanceRef r_x_result_RNIOUID7_13)) (portRef B (instanceRef r_e_op1_RNI451JD_29)) )) (net (rename edata2_iv_1_31 "edata2_iv_1[31]") (joined (portRef Y (instanceRef r_x_result_RNI8VJD7_15)) (portRef C (instanceRef r_e_op1_RNIGU7ID_31)) )) (net (rename edata2_iv_1_28 "edata2_iv_1[28]") (joined (portRef Y (instanceRef r_x_result_RNILOQC7_12)) (portRef C (instanceRef r_e_op1_RNIVSGHD_28)) )) (net (rename data_0_iv_0_6 "data_0_iv_0[6]") (joined (portRef Y (instanceRef r_x_data_0_RNIAHLR2_6)) (portRef B (instanceRef r_w_s_ps_RNIVA7J7)) )) (net N651_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I182_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I237_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_un1_Y_0)) )) (net N635_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I166_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_Y_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_un1_Y_0)) )) (net (rename xc_trap_address_iv_1_27 "xc_trap_address_iv_1[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_27)) (portRef A (instanceRef r_f_pc_RNO_4_27)) )) (net (rename xc_trap_address_iv_0_27 "xc_trap_address_iv_0[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_27)) (portRef B (instanceRef r_f_pc_RNO_8_27)) )) (net (rename addr_m_27 "addr_m[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_15_27)) (portRef A (instanceRef r_f_pc_RNO_13_27)) )) (net (rename tba_m_15 "tba_m[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_16_27)) (portRef B (instanceRef r_f_pc_RNO_13_27)) )) (net (rename xc_trap_address_iv_1_13 "xc_trap_address_iv_1[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_13)) (portRef A (instanceRef r_f_pc_RNO_7_13)) )) (net (rename xc_trap_address_iv_0_13 "xc_trap_address_iv_0[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_13)) (portRef B (instanceRef r_f_pc_RNO_10_13)) )) (net (rename addr_m_13 "addr_m[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_15_13)) (portRef A (instanceRef r_f_pc_RNO_14_13)) )) (net (rename tba_m_1 "tba_m[1]") (joined (portRef Y (instanceRef r_f_pc_RNO_16_13)) (portRef B (instanceRef r_f_pc_RNO_14_13)) )) (net (rename xc_trap_address_iv_0_28 "xc_trap_address_iv_0[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_28)) (portRef C (instanceRef r_f_pc_RNO_12_28)) )) (net N629 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I160_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I215_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_un1_Y_0)) )) (net N645 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I176_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I231_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_un1_Y_0)) )) (net (rename edata2_0_iv_0_13 "edata2_0_iv_0[13]") (joined (portRef Y (instanceRef r_e_op1_RNI6V404_13)) (portRef A (instanceRef r_e_op1_RNIGIA5A_13)) )) (net (rename edata2_0_iv_0_14 "edata2_0_iv_0[14]") (joined (portRef Y (instanceRef r_e_op1_RNI65T04_14)) (portRef A (instanceRef r_e_op1_RNIM036A_14)) )) (net (rename edata2_0_iv_0_12 "edata2_0_iv_0[12]") (joined (portRef Y (instanceRef r_e_op1_RNI1F404_12)) (portRef C (instanceRef r_e_op1_RNI1QIO5_12)) )) (net (rename edata2_0_iv_0_11 "edata2_0_iv_0[11]") (joined (portRef Y (instanceRef r_e_op1_RNINKR04_11)) (portRef C (instanceRef r_e_op1_RNILR9P5_11)) )) (net (rename edata2_0_iv_0_15 "edata2_0_iv_0[15]") (joined (portRef Y (instanceRef r_e_op1_RNIGV504_15)) (portRef A (instanceRef r_e_op1_RNI63C5A_15)) )) (net (rename op2_4 "op2[4]") (joined (portRef Q (instanceRef r_e_op2_4)) (portRef A (instanceRef r_e_op2_RNI51IG_4)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I85_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I5_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I5_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I295_Y_0_0)) )) (net (rename y_iv_1_21 "y_iv_1[21]") (joined (portRef Y (instanceRef comb_logic_op_y_iv_RNO_1_21)) (portRef A (instanceRef comb_logic_op_y_iv_RNO_0_21)) )) (net (rename y_iv_0_21 "y_iv_0[21]") (joined (portRef Y (instanceRef comb_logic_op_y_iv_RNO_2_21)) (portRef B (instanceRef comb_logic_op_y_iv_RNO_0_21)) )) (net (rename op2_18 "op2[18]") (joined (portRef Q (instanceRef r_e_op2_18)) (portRef A (instanceRef r_e_op2_RNIBJJF_18)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I57_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I19_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I19_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I309_Y_0_0)) )) (net (rename op2_11 "op2[11]") (joined (portRef Q (instanceRef r_e_op2_11)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I12_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I12_P0N)) (portRef A (instanceRef r_e_op2_RNITMIF_11)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I302_Y_0_0)) )) (net ADD_33x33_fast_I260_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_1)) )) (net N484_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I30_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_0)) )) (net (rename op1_RNIUKHD_13 "op1_RNIUKHD[13]") (joined (portRef Y (instanceRef r_e_op1_RNIUKHD_13)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I14_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I14_P0N)) (portRef A (instanceRef r_x_result_RNIROJD3_13)) (portRef A (instanceRef r_e_op2_RNIVJ4T_0_13)) (portRef B (instanceRef r_e_op2_RNIVJ4T_13)) (portRef C (instanceRef r_e_aluop_0_RNIQC0V_2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I304_Y_0_1)) (portRef B (instanceRef r_e_shleft_1_RNI2LOD1)) (portRef A (instanceRef r_e_shleft_0_RNIM05L)) (portRef B (instanceRef r_e_op1_RNI2FEO1_13)) (portRef C (instanceRef r_e_aluop_0_RNIPC0V_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I129_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I304_Y_0_0)) )) (net (rename op2_13 "op2[13]") (joined (portRef Q (instanceRef r_e_op2_13)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I14_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I14_P0N)) (portRef A (instanceRef r_e_op2_RNI1VIF_13)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I304_Y_0_0)) )) (net (rename op1_RNIIVB4_8 "op1_RNIIVB4[8]") (joined (portRef Y (instanceRef r_e_op1_RNIIVB4_8)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I77_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I78_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I75_un1_Y)) (portRef A (instanceRef r_e_op2_RNIVGUK_0_8)) (portRef B (instanceRef r_e_op2_RNIVGUK_8)) (portRef C (instanceRef r_e_aluop_RNIJCQS_2)) (portRef A (instanceRef r_x_result_RNINKK13_8)) (portRef A (instanceRef r_e_shleft_RNIN096)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I77_Y)) (portRef B (instanceRef r_e_op1_RNIMP8F1_8)) (portRef B (instanceRef r_e_shleft_1_RNIMVI41)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I9_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I9_G0N)) (portRef C (instanceRef r_e_aluop_1_RNIQ9QM_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I299_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I299_Y_0_0)) )) (net wreg_1_2 (joined (portRef Y (instanceRef comb_op_find_un1_r_e_ctrl_rd_0_0_RNIR3R11)) (portRef A (instanceRef comb_op_find_un1_r_e_ctrl_rd_0_0_RNIHIND4)) )) (net wreg_1_0 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNI06F11_1)) (portRef A (instanceRef comb_op_find_un1_r_e_ctrl_rd_0_0_RNIR3R11)) )) (net (rename rd_RNIEC1L_1 "rd_RNIEC1L[1]") (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIEC1L_1)) (portRef A (instanceRef r_e_ctrl_rd_RNI06F11_1)) )) (net N_30_i_0 (joined (portRef Y (instanceRef r_e_ctrl_wreg_RNIIPDC)) (portRef B (instanceRef r_e_ctrl_rd_RNIOP021_0)) (portRef B (instanceRef r_e_ctrl_rd_RNI06F11_1)) )) (net (rename op2_10 "op2[10]") (joined (portRef Q (instanceRef r_e_op2_10)) (portRef A (instanceRef r_e_op2_RNIJQNP_10)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I71_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I74_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I73_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I130_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I301_Y_0_0)) )) (net ADD_30x30_fast_I232_Y_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y_1)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y_3)) )) (net ADD_30x30_fast_I232_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y_1)) )) (net ADD_30x30_fast_I30_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I30_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y_0)) )) (net N442 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I28_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y_0)) )) (net (rename dpc_12 "dpc[12]") (joined (portRef Q (instanceRef r_d_pc_12)) (portRef B (instanceRef un6_fe_npc_2_I_56)) (portRef C (instanceRef un6_fe_npc_2_I_65)) (portRef B (instanceRef un6_fe_npc_2_I_69)) (portRef D (instanceRef r_a_ctrl_pc_12)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I10_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I10_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I270_Y_0_0)) )) (net (rename inst_0_10 "inst_0[10]") (joined (portRef Q (instanceRef r_d_inst_0_10)) (portRef D (instanceRef r_a_ctrl_inst_10)) (portRef B (instanceRef r_d_inst_0_RNO_0_10)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I10_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I10_P0N)) (portRef B (instanceRef r_a_imm_RNO_10)) (portRef A (instanceRef r_a_imm_RNO_20)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I270_Y_0_0)) )) (net N573 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I147_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I196_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_un1_Y_0)) )) (net N589 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I163_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I212_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I243_un1_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_un1_Y_0)) )) (net (rename op2_20 "op2[20]") (joined (portRef Q (instanceRef r_e_op2_20)) (portRef A (instanceRef r_e_op2_RNIVMIF_20)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I53_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I21_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I21_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I311_Y_0_0)) )) (net (rename op2_8 "op2[8]") (joined (portRef Q (instanceRef r_e_op2_8)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I77_Y)) (portRef A (instanceRef r_e_op2_RNIDHIG_8)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I9_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I9_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I299_Y_0_0)) )) (net (rename data_0_1_1_iv_1_20 "data_0_1_1_iv_1[20]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_20)) (portRef A (instanceRef r_x_data_0_RNO_20)) )) (net (rename data_0_1_1_iv_0_20 "data_0_1_1_iv_0[20]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_20)) (portRef A (instanceRef r_x_data_0_RNO_0_20)) )) (net (rename dco_m_0_i_116 "dco_m_0_i[116]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_20)) (portRef B (instanceRef r_x_data_0_RNO_0_20)) )) (net (rename rdata_17_m_8 "rdata_17_m[8]") (joined (portRef Y (instanceRef r_x_dci_signed_RNIDCC371)) (portRef C (instanceRef r_x_dci_signed_RNIR9TNF2)) (portRef A (instanceRef r_x_dci_signed_RNIM3EID2)) (portRef B (instanceRef r_x_data_0_RNO_1_20)) )) (net (rename aluresult_1_iv_8_16 "aluresult_1_iv_8[16]") (joined (portRef Y (instanceRef r_e_jmpl_RNIG7G682)) (portRef C (instanceRef r_e_ldbp2_1_RNI9K3SK3)) )) (net (rename aluresult_1_iv_7_16 "aluresult_1_iv_7[16]") (joined (portRef Y (instanceRef r_m_y_RNI32OAF1_16)) (portRef A (instanceRef r_e_jmpl_RNIG7G682)) )) (net (rename shiftin_17_m_17 "shiftin_17_m[17]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJRP5Q)) (portRef A (instanceRef r_m_y_RNI32OAF1_16)) )) (net (rename aluresult_1_iv_6_16 "aluresult_1_iv_6[16]") (joined (portRef Y (instanceRef r_m_y_RNIG6U4L_16)) (portRef B (instanceRef r_m_y_RNI32OAF1_16)) )) (net wreg_2_2 (joined (portRef Y (instanceRef comb_op_find_un1_r_e_ctrl_rd_1_3_0_RNIABJ91)) (portRef A (instanceRef r_e_ctrl_rd_RNIFSOK6_1)) )) (net wreg_2_0 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIOP021_0)) (portRef A (instanceRef comb_op_find_un1_r_e_ctrl_rd_1_3_0_RNIABJ91)) )) (net (rename rd_RNI60JL_0 "rd_RNI60JL[0]") (joined (portRef Y (instanceRef r_e_ctrl_rd_RNI60JL_0)) (portRef A (instanceRef r_e_ctrl_rd_RNIOP021_0)) )) (net (rename aluresult_1_iv_3_25 "aluresult_1_iv_3[25]") (joined (portRef Y (instanceRef r_x_result_RNI9FO67_9)) (portRef A (instanceRef r_e_aluop_0_RNIV1FRC_0)) )) (net N_156 (joined (portRef Y (instanceRef r_d_inst_0_RNIAAJ4_29)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I289_Y_0_0)) )) (net (rename dpc_31 "dpc[31]") (joined (portRef Q (instanceRef r_d_pc_31)) (portRef B (instanceRef un6_fe_npc_2_I_210)) (portRef D (instanceRef r_a_ctrl_pc_31)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I289_Y_0_0)) )) (net (rename aluresult_1_iv_8_11 "aluresult_1_iv_8[11]") (joined (portRef Y (instanceRef r_e_jmpl_RNI5LETC1)) (portRef A (instanceRef r_e_jmpl_RNI5770R2)) )) (net (rename aluresult_1_iv_7_11 "aluresult_1_iv_7[11]") (joined (portRef Y (instanceRef r_m_y_RNII0UIL_11)) (portRef B (instanceRef r_e_jmpl_RNI5LETC1)) )) (net (rename aluresult_1_iv_6_11 "aluresult_1_iv_6[11]") (joined (portRef Y (instanceRef r_m_y_RNIDIKJ5_11)) (portRef A (instanceRef r_m_y_RNII0UIL_11)) )) (net (rename aluresult_1_iv_5_11 "aluresult_1_iv_5[11]") (joined (portRef Y (instanceRef r_w_s_tt_RNI5E9VF_7)) (portRef B (instanceRef r_m_y_RNII0UIL_11)) )) (net (rename inst_0_RNI3AJ4_21 "inst_0_RNI3AJ4[21]") (joined (portRef Y (instanceRef r_d_inst_0_RNI3AJ4_21)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I42_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I22_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I22_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I282_Y_0_0)) )) (net (rename dpc_24 "dpc[24]") (joined (portRef Q (instanceRef r_d_pc_24)) (portRef B (instanceRef un6_fe_npc_2_I_143)) (portRef C (instanceRef un6_fe_npc_2_I_146)) (portRef B (instanceRef un6_fe_npc_2_I_159)) (portRef D (instanceRef r_a_ctrl_pc_24)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I42_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I22_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I22_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I282_Y_0_0)) )) (net (rename aluresult_1_iv_3_9 "aluresult_1_iv_3[9]") (joined (portRef Y (instanceRef r_x_result_RNIJ6HO7_9)) (portRef C (instanceRef r_w_s_tt_RNIJFH9F_5)) )) (net un1_rabpmiss_m6_i_a4_8 (joined (portRef Y (instanceRef un1_rabpmisstt_b0_i_a3_RNI7GBQ4)) (portRef A (instanceRef un1_rabpmisstt_b0_i_a3_RNILCJSN)) )) (net un1_rabpmiss_m6_i_a4_7 (joined (portRef Y (instanceRef r_d_annul_RNIRQ8J4)) (portRef B (instanceRef un1_rabpmisstt_b0_i_a3_RNI7GBQ4)) )) (net un1_rabpmiss_m6_i_a4_6 (joined (portRef Y (instanceRef r_d_annul_RNILAQ33)) (portRef A (instanceRef r_d_annul_RNIRQ8J4)) )) (net ldlock_2_0 (joined (portRef Y (instanceRef r_d_annul_RNI6GEF1)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIP3L701)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNI5HL821)) (portRef C (instanceRef r_d_annul_RNIHOPH5)) (portRef B (instanceRef r_d_annul_RNI4DC0D)) (portRef B (instanceRef r_d_inull_RNO_7)) (portRef B (instanceRef r_d_annul_RNIRQ8J4)) )) (net (rename npc_0_0_iv_0_4 "npc_0_0_iv_0[4]") (joined (portRef Y (instanceRef r_f_pc_RNICO9991_4)) (portRef B (instanceRef r_f_pc_RNIINN2Q3_4)) )) (net (rename npc_0_0_iv_4_2 "npc_0_0_iv_4[2]") (joined (portRef Y (instanceRef r_a_ctrl_pc_RNIFQ8385_2)) (portRef C (instanceRef r_d_pc_RNILIO6L8_2)) )) (net (rename npc_0_0_iv_2_2 "npc_0_0_iv_2[2]") (joined (portRef Y (instanceRef r_f_pc_RNID4JNL3_2)) (portRef A (instanceRef r_a_ctrl_pc_RNIFQ8385_2)) )) (net (rename npc_0_0_iv_1_2 "npc_0_0_iv_1[2]") (joined (portRef Y (instanceRef r_f_pc_RNIN6R8M2_2)) (portRef A (instanceRef r_f_pc_RNID4JNL3_2)) )) (net (rename xc_trap_address_m_2 "xc_trap_address_m[2]") (joined (portRef Y (instanceRef r_f_pc_RNIMTNEV_2)) (portRef C (instanceRef r_f_pc_RNO_5_2)) (portRef B (instanceRef r_f_pc_RNID4JNL3_2)) )) (net cnt_1356_e_m5_0_a3_0 (joined (portRef Y (instanceRef r_d_inull_RNINL7ND3)) (portRef A (instanceRef r_d_inst_0_RNIB2G5NH_29)) )) (net pv_4 (joined (portRef Y (instanceRef r_d_inull_RNI7AAMA1)) (portRef A (instanceRef r_d_inst_0_RNI1NKMBG_29)) (portRef B (instanceRef r_d_pv_RNO_1)) (portRef A (instanceRef r_d_inull_RNINL7ND3)) )) (net ldlock (joined (portRef Y (instanceRef r_a_nobp_RNIGBT032)) (portRef B (instanceRef r_d_annul_RNI42C232)) (portRef C (instanceRef r_d_pv_RNICD97U7)) (portRef C (instanceRef r_d_pv_RNO_2)) (portRef A (instanceRef r_a_ctrl_pv_RNO)) (portRef B (instanceRef r_d_inull_RNINL7ND3)) )) (net (rename pc_0_iv_3_29 "pc_0_iv_3[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_29)) (portRef C (instanceRef r_f_pc_RNO_29)) )) (net (rename eaddress_29 "eaddress[29]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIU7QJR3)) (portRef B (instanceRef r_e_ldbp2_2_RNIPKVVR3)) (portRef B (instanceRef r_f_pc_RNO_15_29)) (portRef C (instanceRef r_e_ldbp2_1_RNIOERI36)) (portRef B (instanceRef r_f_pc_RNO_2_29)) )) (net (rename tmp_m_0_i_29 "tmp_m_0_i[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_29)) (portRef C (instanceRef r_f_pc_RNO_2_29)) )) (net (rename pc_0_iv_2_29 "pc_0_iv_2[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_29)) (portRef A (instanceRef r_f_pc_RNO_29)) )) (net (rename un6_fe_npc0_m_0_i_27 "un6_fe_npc0_m_0_i[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_29)) (portRef A (instanceRef r_f_pc_RNO_0_29)) )) (net (rename xc_trap_address_m_i_29 "xc_trap_address_m_i[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_29)) (portRef B (instanceRef r_f_pc_RNO_0_29)) )) (net (rename pc_0_iv_1_29 "pc_0_iv_1[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_29)) (portRef C (instanceRef r_f_pc_RNO_0_29)) )) (net (rename un6_fe_npc2_27 "un6_fe_npc2[27]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_196)) (portRef B (instanceRef r_f_pc_RNO_11_29)) (portRef B (instanceRef r_f_pc_RNO_5_29)) )) (net (rename un6_fe_npc3_m_0_i_27 "un6_fe_npc3_m_0_i[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_29)) (portRef C (instanceRef r_f_pc_RNO_5_29)) )) (net (rename pc_0_iv_3_27 "pc_0_iv_3[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_27)) (portRef C (instanceRef r_f_pc_RNO_27)) )) (net (rename tmp_27 "tmp[27]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I285_Y_0)) (portRef B (instanceRef r_f_pc_RNO_2_27)) )) (net N_5405_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_7_27)) (portRef C (instanceRef r_f_pc_RNO_2_27)) )) (net (rename pc_0_iv_2_27 "pc_0_iv_2[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_27)) (portRef A (instanceRef r_f_pc_RNO_27)) )) (net (rename un6_fe_npc0_m_0_i_25 "un6_fe_npc0_m_0_i[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_27)) (portRef A (instanceRef r_f_pc_RNO_0_27)) )) (net (rename xc_trap_address_m_i_27 "xc_trap_address_m_i[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_27)) (portRef B (instanceRef r_f_pc_RNO_0_27)) )) (net (rename pc_0_iv_1_27 "pc_0_iv_1[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_27)) (portRef C (instanceRef r_f_pc_RNO_0_27)) )) (net (rename un6_fe_npc2_25 "un6_fe_npc2[25]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_173)) (portRef B (instanceRef r_f_pc_RNO_11_27)) (portRef B (instanceRef r_f_pc_RNO_5_27)) )) (net (rename un6_fe_npc3_m_0_i_25 "un6_fe_npc3_m_0_i[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_27)) (portRef C (instanceRef r_f_pc_RNO_5_27)) )) (net (rename pc_0_iv_3_26 "pc_0_iv_3[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_26)) (portRef C (instanceRef r_f_pc_RNO_26)) )) (net (rename tmp_26 "tmp[26]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I284_Y_0)) (portRef B (instanceRef r_f_pc_RNO_2_26)) )) (net N_5404_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_7_26)) (portRef C (instanceRef r_f_pc_RNO_2_26)) )) (net (rename pc_0_iv_2_26 "pc_0_iv_2[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_26)) (portRef A (instanceRef r_f_pc_RNO_26)) )) (net (rename un6_fe_npc0_m_0_i_24 "un6_fe_npc0_m_0_i[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_26)) (portRef A (instanceRef r_f_pc_RNO_0_26)) )) (net (rename xc_trap_address_m_i_26 "xc_trap_address_m_i[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_26)) (portRef B (instanceRef r_f_pc_RNO_0_26)) )) (net (rename pc_0_iv_1_26 "pc_0_iv_1[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_26)) (portRef C (instanceRef r_f_pc_RNO_0_26)) )) (net (rename un6_fe_npc2_24 "un6_fe_npc2[24]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_166)) (portRef B (instanceRef r_f_pc_RNO_11_26)) (portRef B (instanceRef r_f_pc_RNO_5_26)) )) (net (rename un6_fe_npc3_m_0_i_24 "un6_fe_npc3_m_0_i[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_26)) (portRef C (instanceRef r_f_pc_RNO_5_26)) )) (net (rename pc_0_iv_4_25 "pc_0_iv_4[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_25)) (portRef C (instanceRef r_f_pc_RNO_25)) )) (net (rename pc_4_25 "pc_4[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_25)) (portRef B (instanceRef r_f_pc_RNO_2_25)) )) (net (rename pc_0_iv_2_25 "pc_0_iv_2[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_25)) (portRef C (instanceRef r_f_pc_RNO_2_25)) )) (net (rename un6_fe_npc0_m_0_i_23 "un6_fe_npc0_m_0_i[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_25)) (portRef A (instanceRef r_f_pc_RNO_4_25)) )) (net (rename xc_trap_address_m_i_25 "xc_trap_address_m_i[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_25)) (portRef B (instanceRef r_f_pc_RNO_4_25)) )) (net (rename pc_0_iv_1_25 "pc_0_iv_1[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_25)) (portRef C (instanceRef r_f_pc_RNO_4_25)) )) (net (rename un6_fe_npc2_23 "un6_fe_npc2[23]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_156)) (portRef B (instanceRef r_f_pc_RNO_5_25)) (portRef B (instanceRef r_f_pc_RNO_8_25)) )) (net (rename un6_fe_npc3_m_0_i_23 "un6_fe_npc3_m_0_i[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_25)) (portRef C (instanceRef r_f_pc_RNO_8_25)) )) (net (rename pc_0_iv_4_21 "pc_0_iv_4[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_21)) (portRef C (instanceRef r_f_pc_RNO_21)) )) (net (rename pc_4_21 "pc_4[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_21)) (portRef B (instanceRef r_f_pc_RNO_2_21)) )) (net (rename pc_0_iv_2_21 "pc_0_iv_2[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_21)) (portRef C (instanceRef r_f_pc_RNO_2_21)) )) (net (rename un6_fe_npc0_m_0_i_19 "un6_fe_npc0_m_0_i[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_21)) (portRef A (instanceRef r_f_pc_RNO_4_21)) )) (net (rename xc_trap_address_m_i_21 "xc_trap_address_m_i[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_21)) (portRef B (instanceRef r_f_pc_RNO_4_21)) )) (net (rename pc_0_iv_1_21 "pc_0_iv_1[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_21)) (portRef C (instanceRef r_f_pc_RNO_4_21)) )) (net (rename un6_fe_npc2_19 "un6_fe_npc2[19]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_122)) (portRef B (instanceRef r_f_pc_RNO_5_21)) (portRef B (instanceRef r_f_pc_RNO_8_21)) )) (net (rename un6_fe_npc3_m_0_i_19 "un6_fe_npc3_m_0_i[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_21)) (portRef C (instanceRef r_f_pc_RNO_8_21)) )) (net (rename pc_0_iv_3_20 "pc_0_iv_3[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_20)) (portRef C (instanceRef r_f_pc_RNO_20)) )) (net (rename tmp_20 "tmp[20]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I278_Y_0)) (portRef B (instanceRef r_f_pc_RNO_2_20)) )) (net N_5398_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_7_20)) (portRef C (instanceRef r_f_pc_RNO_2_20)) )) (net (rename pc_0_iv_2_20 "pc_0_iv_2[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_20)) (portRef A (instanceRef r_f_pc_RNO_20)) )) (net (rename un6_fe_npc0_m_0_i_18 "un6_fe_npc0_m_0_i[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_20)) (portRef A (instanceRef r_f_pc_RNO_0_20)) )) (net (rename xc_trap_address_m_i_20 "xc_trap_address_m_i[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_20)) (portRef B (instanceRef r_f_pc_RNO_0_20)) )) (net (rename pc_0_iv_1_20 "pc_0_iv_1[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_20)) (portRef C (instanceRef r_f_pc_RNO_0_20)) )) (net (rename un6_fe_npc2_18 "un6_fe_npc2[18]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_115)) (portRef B (instanceRef r_f_pc_RNO_11_20)) (portRef B (instanceRef r_f_pc_RNO_5_20)) )) (net (rename un6_fe_npc3_m_0_i_18 "un6_fe_npc3_m_0_i[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_20)) (portRef C (instanceRef r_f_pc_RNO_5_20)) )) (net (rename pc_0_iv_3_18 "pc_0_iv_3[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_18)) (portRef C (instanceRef r_f_pc_RNO_18)) )) (net (rename eaddress_18 "eaddress[18]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIK2LC92)) (portRef B (instanceRef r_f_pc_RNO_15_18)) (portRef B (instanceRef r_e_ldbp2_2_RNIFFQO92)) (portRef A (instanceRef r_e_ldbp2_0_RNICB2GG6)) (portRef B (instanceRef r_f_pc_RNO_2_18)) )) (net (rename tmp_m_0_i_18 "tmp_m_0_i[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_18)) (portRef C (instanceRef r_f_pc_RNO_2_18)) )) (net (rename pc_0_iv_2_18 "pc_0_iv_2[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_18)) (portRef A (instanceRef r_f_pc_RNO_18)) )) (net (rename un6_fe_npc0_m_0_i_16 "un6_fe_npc0_m_0_i[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_18)) (portRef A (instanceRef r_f_pc_RNO_0_18)) )) (net (rename xc_trap_address_m_i_18 "xc_trap_address_m_i[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_18)) (portRef B (instanceRef r_f_pc_RNO_0_18)) )) (net (rename pc_0_iv_1_18 "pc_0_iv_1[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_18)) (portRef C (instanceRef r_f_pc_RNO_0_18)) )) (net (rename un6_fe_npc2_16 "un6_fe_npc2[16]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_98)) (portRef B (instanceRef r_f_pc_RNO_11_18)) (portRef B (instanceRef r_f_pc_RNO_5_18)) )) (net (rename un6_fe_npc3_m_0_i_16 "un6_fe_npc3_m_0_i[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_18)) (portRef C (instanceRef r_f_pc_RNO_5_18)) )) (net (rename pc_0_iv_4_17 "pc_0_iv_4[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_17)) (portRef C (instanceRef r_f_pc_RNO_17)) )) (net (rename pc_4_17 "pc_4[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_17)) (portRef B (instanceRef r_f_pc_RNO_2_17)) )) (net (rename pc_0_iv_2_17 "pc_0_iv_2[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_17)) (portRef C (instanceRef r_f_pc_RNO_2_17)) )) (net (rename un6_fe_npc0_m_0_i_15 "un6_fe_npc0_m_0_i[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_17)) (portRef A (instanceRef r_f_pc_RNO_4_17)) )) (net (rename xc_trap_address_m_i_17 "xc_trap_address_m_i[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_17)) (portRef B (instanceRef r_f_pc_RNO_4_17)) )) (net (rename pc_0_iv_1_17 "pc_0_iv_1[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_17)) (portRef C (instanceRef r_f_pc_RNO_4_17)) )) (net (rename un6_fe_npc2_15 "un6_fe_npc2[15]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_91)) (portRef B (instanceRef r_f_pc_RNO_5_17)) (portRef B (instanceRef r_f_pc_RNO_8_17)) )) (net (rename un6_fe_npc3_m_0_i_15 "un6_fe_npc3_m_0_i[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_17)) (portRef C (instanceRef r_f_pc_RNO_8_17)) )) (net (rename pc_0_iv_3_14 "pc_0_iv_3[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_14)) (portRef C (instanceRef r_f_pc_RNO_14)) )) (net (rename eaddress_14 "eaddress[14]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIH55181)) (portRef B (instanceRef r_e_ldbp2_1_RNICIAD81)) (portRef B (instanceRef r_f_pc_RNO_15_14)) (portRef B (instanceRef r_e_ldbp2_1_RNIOERI36)) (portRef B (instanceRef r_f_pc_RNO_2_14)) )) (net (rename tmp_m_0_i_14 "tmp_m_0_i[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_14)) (portRef C (instanceRef r_f_pc_RNO_2_14)) )) (net (rename pc_0_iv_2_14 "pc_0_iv_2[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_14)) (portRef A (instanceRef r_f_pc_RNO_14)) )) (net (rename un6_fe_npc0_m_0_i_12 "un6_fe_npc0_m_0_i[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_14)) (portRef A (instanceRef r_f_pc_RNO_0_14)) )) (net (rename xc_trap_address_m_i_14 "xc_trap_address_m_i[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_14)) (portRef B (instanceRef r_f_pc_RNO_0_14)) )) (net (rename pc_0_iv_1_14 "pc_0_iv_1[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_14)) (portRef C (instanceRef r_f_pc_RNO_0_14)) )) (net (rename un6_fe_npc2_12 "un6_fe_npc2[12]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_73)) (portRef B (instanceRef r_f_pc_RNO_11_14)) (portRef B (instanceRef r_f_pc_RNO_5_14)) )) (net (rename un6_fe_npc3_m_0_i_12 "un6_fe_npc3_m_0_i[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_14)) (portRef C (instanceRef r_f_pc_RNO_5_14)) )) (net (rename pc_0_iv_4_13 "pc_0_iv_4[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_13)) (portRef C (instanceRef r_f_pc_RNO_13)) )) (net (rename pc_4_13 "pc_4[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_13)) (portRef B (instanceRef r_f_pc_RNO_2_13)) )) (net (rename pc_0_iv_2_13 "pc_0_iv_2[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_13)) (portRef C (instanceRef r_f_pc_RNO_2_13)) )) (net (rename un6_fe_npc0_m_0_i_11 "un6_fe_npc0_m_0_i[11]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_13)) (portRef A (instanceRef r_f_pc_RNO_4_13)) )) (net (rename xc_trap_address_m_i_13 "xc_trap_address_m_i[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_13)) (portRef B (instanceRef r_f_pc_RNO_4_13)) )) (net (rename pc_0_iv_1_13 "pc_0_iv_1[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_13)) (portRef C (instanceRef r_f_pc_RNO_4_13)) )) (net (rename un6_fe_npc2_11 "un6_fe_npc2[11]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_66)) (portRef B (instanceRef r_f_pc_RNO_5_13)) (portRef B (instanceRef r_f_pc_RNO_8_13)) )) (net (rename un6_fe_npc3_m_0_i_11 "un6_fe_npc3_m_0_i[11]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_13)) (portRef C (instanceRef r_f_pc_RNO_8_13)) )) (net (rename pc_0_iv_3_12 "pc_0_iv_3[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_12)) (portRef C (instanceRef r_f_pc_RNO_12)) )) (net N_5390_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_7_12)) (portRef C (instanceRef r_f_pc_RNO_2_12)) )) (net (rename pc_0_iv_2_12 "pc_0_iv_2[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_12)) (portRef A (instanceRef r_f_pc_RNO_12)) )) (net (rename un6_fe_npc0_m_0_i_10 "un6_fe_npc0_m_0_i[10]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_12)) (portRef A (instanceRef r_f_pc_RNO_0_12)) )) (net (rename xc_trap_address_m_i_12 "xc_trap_address_m_i[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_12)) (portRef B (instanceRef r_f_pc_RNO_0_12)) )) (net (rename pc_0_iv_1_12 "pc_0_iv_1[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_12)) (portRef C (instanceRef r_f_pc_RNO_0_12)) )) (net (rename un6_fe_npc2_10 "un6_fe_npc2[10]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_56)) (portRef B (instanceRef r_f_pc_RNO_11_12)) (portRef B (instanceRef r_f_pc_RNO_5_12)) )) (net (rename un6_fe_npc3_m_0_i_10 "un6_fe_npc3_m_0_i[10]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_12)) (portRef C (instanceRef r_f_pc_RNO_5_12)) )) (net (rename pc_0_iv_3_11 "pc_0_iv_3[11]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_11)) (portRef C (instanceRef r_f_pc_RNO_11)) )) (net (rename tmp_11 "tmp[11]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I269_Y_0)) (portRef B (instanceRef r_f_pc_RNIHKOMH3_11)) (portRef B (instanceRef r_f_pc_RNO_2_11)) )) (net N_5389_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_5_11)) (portRef C (instanceRef r_f_pc_RNO_2_11)) )) (net (rename pc_0_iv_2_11 "pc_0_iv_2[11]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_11)) (portRef A (instanceRef r_f_pc_RNO_11)) )) (net (rename un6_fe_npc0_m_0_i_9 "un6_fe_npc0_m_0_i[9]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_11)) (portRef A (instanceRef r_f_pc_RNO_0_11)) )) (net (rename pc_0_iv_1_11 "pc_0_iv_1[11]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_11)) (portRef B (instanceRef r_f_pc_RNO_0_11)) )) (net (rename xc_trap_address_m_11 "xc_trap_address_m[11]") (joined (portRef Y (instanceRef r_f_pc_RNIIJHJ01_11)) (portRef B (instanceRef r_f_pc_RNI38AAI4_11)) (portRef C (instanceRef r_f_pc_RNO_0_11)) )) (net (rename un6_fe_npc2_9 "un6_fe_npc2[9]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_52)) (portRef C (instanceRef r_x_rstate_0_RNIUS7SM1_1)) (portRef B (instanceRef r_f_pc_RNIOE4FU_11)) (portRef B (instanceRef r_f_pc_RNO_4_11)) )) (net (rename un6_fe_npc3_m_0_i_9 "un6_fe_npc3_m_0_i[9]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_11)) (portRef C (instanceRef r_f_pc_RNO_4_11)) )) (net (rename pc_0_iv_3_10 "pc_0_iv_3[10]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_10)) (portRef C (instanceRef r_f_pc_RNO_10)) )) (net (rename tmp_m_0_i_10 "tmp_m_0_i[10]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_10)) (portRef C (instanceRef r_f_pc_RNO_2_10)) )) (net (rename pc_0_iv_2_10 "pc_0_iv_2[10]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_10)) (portRef A (instanceRef r_f_pc_RNO_10)) )) (net (rename un6_fe_npc0_m_0_i_8 "un6_fe_npc0_m_0_i[8]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_10)) (portRef A (instanceRef r_f_pc_RNO_0_10)) )) (net (rename pc_0_iv_1_10 "pc_0_iv_1[10]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_10)) (portRef B (instanceRef r_f_pc_RNO_0_10)) )) (net (rename xc_trap_address_m_10 "xc_trap_address_m[10]") (joined (portRef Y (instanceRef r_f_pc_RNI4DBN01_10)) (portRef C (instanceRef r_f_pc_RNI4GRIB4_10)) (portRef C (instanceRef r_f_pc_RNO_0_10)) )) (net (rename un6_fe_npc2_8 "un6_fe_npc2[8]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_45)) (portRef C (instanceRef r_x_rstate_0_RNI35KQM1_1)) (portRef B (instanceRef r_f_pc_RNID045Q_10)) (portRef B (instanceRef r_f_pc_RNO_4_10)) )) (net (rename un6_fe_npc3_m_0_i_8 "un6_fe_npc3_m_0_i[8]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_10)) (portRef C (instanceRef r_f_pc_RNO_4_10)) )) (net (rename pc_0_iv_3_9 "pc_0_iv_3[9]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_9)) (portRef C (instanceRef r_f_pc_RNO_9)) )) (net (rename tmp_9 "tmp[9]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I267_Y_0)) (portRef B (instanceRef r_x_rstate_0_RNIO27UK1_1)) (portRef B (instanceRef r_f_pc_RNO_2_9)) )) (net N_5387_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_5_9)) (portRef C (instanceRef r_f_pc_RNO_2_9)) )) (net (rename pc_0_iv_2_9 "pc_0_iv_2[9]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_9)) (portRef A (instanceRef r_f_pc_RNO_9)) )) (net (rename un6_fe_npc0_m_0_i_7 "un6_fe_npc0_m_0_i[7]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_9)) (portRef A (instanceRef r_f_pc_RNO_0_9)) )) (net (rename pc_0_iv_1_9 "pc_0_iv_1[9]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_9)) (portRef B (instanceRef r_f_pc_RNO_0_9)) )) (net (rename xc_trap_address_m_9 "xc_trap_address_m[9]") (joined (portRef Y (instanceRef r_f_pc_RNI3JEJ01_9)) (portRef C (instanceRef r_f_pc_RNIRC1284_9)) (portRef C (instanceRef r_f_pc_RNO_0_9)) )) (net (rename un6_fe_npc2_7 "un6_fe_npc2[7]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_38)) (portRef C (instanceRef r_x_rstate_0_RNI9D0PM1_1)) (portRef B (instanceRef r_f_pc_RNIGCASM_9)) (portRef B (instanceRef r_f_pc_RNO_4_9)) )) (net (rename un6_fe_npc3_m_0_i_7 "un6_fe_npc3_m_0_i[7]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_9)) (portRef C (instanceRef r_f_pc_RNO_4_9)) )) (net (rename pc_0_iv_3_7 "pc_0_iv_3[7]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_7)) (portRef C (instanceRef r_f_pc_RNO_7)) )) (net (rename tmp_m_0_i_7 "tmp_m_0_i[7]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_7)) (portRef C (instanceRef r_f_pc_RNO_2_7)) )) (net (rename pc_0_iv_2_7 "pc_0_iv_2[7]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_7)) (portRef A (instanceRef r_f_pc_RNO_7)) )) (net (rename un6_fe_npc3_m_0_i_5 "un6_fe_npc3_m_0_i[5]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_7)) (portRef A (instanceRef r_f_pc_RNO_0_7)) )) (net (rename un6_fe_npc2_m_0_i_5 "un6_fe_npc2_m_0_i[5]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_7)) (portRef B (instanceRef r_f_pc_RNO_0_7)) )) (net (rename pc_0_iv_0_7 "pc_0_iv_0[7]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_7)) (portRef C (instanceRef r_f_pc_RNO_0_7)) )) (net (rename un6_fe_npc0_5 "un6_fe_npc0[5]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_24)) (portRef B (instanceRef r_x_rstate_0_RNIGMQDD3_1)) (portRef B (instanceRef r_f_pc_RNO_5_7)) )) (net (rename xc_trap_address_m_7 "xc_trap_address_m[7]") (joined (portRef Y (instanceRef r_f_pc_RNIP2EJ01_7)) (portRef C (instanceRef r_f_pc_RNIRN9B34_7)) (portRef C (instanceRef r_f_pc_RNO_5_7)) )) (net (rename pc_0_iv_3_4 "pc_0_iv_3[4]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_4)) (portRef C (instanceRef r_f_pc_RNO_4)) )) (net (rename eaddress_4 "eaddress[4]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIFQI45)) (portRef B (instanceRef r_e_ldbp2_1_RNIA7OG5)) (portRef B (instanceRef r_f_pc_RNIUNL49_4)) (portRef B (instanceRef r_e_ldbp2_2_RNIONR6B3)) (portRef B (instanceRef r_f_pc_RNO_2_4)) (portRef B (instanceRef r_e_ldbp2_1_RNI6KJ491)) (portRef eaddress_4) )) (net (rename tmp_m_0_i_4 "tmp_m_0_i[4]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_4)) (portRef C (instanceRef r_f_pc_RNO_2_4)) )) (net (rename pc_0_iv_2_4 "pc_0_iv_2[4]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_4)) (portRef A (instanceRef r_f_pc_RNO_4)) )) (net (rename un6_fe_npc0_m_0_i_2 "un6_fe_npc0_m_0_i[2]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_4)) (portRef A (instanceRef r_f_pc_RNO_0_4)) )) (net (rename pc_0_iv_1_4 "pc_0_iv_1[4]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_4)) (portRef B (instanceRef r_f_pc_RNO_0_4)) )) (net (rename xc_trap_address_m_4 "xc_trap_address_m[4]") (joined (portRef Y (instanceRef r_f_pc_RNIAADJ01_4)) (portRef C (instanceRef r_f_pc_RNIINN2Q3_4)) (portRef C (instanceRef r_f_pc_RNO_0_4)) )) (net (rename un6_fe_npc2_2 "un6_fe_npc2[2]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_9)) (portRef C (instanceRef r_x_rstate_0_RNIVLPJM1_1)) (portRef B (instanceRef r_f_pc_RNIIM1GD_4)) (portRef B (instanceRef r_f_pc_RNO_4_4)) )) (net (rename un6_fe_npc3_m_0_i_2 "un6_fe_npc3_m_0_i[2]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_4)) (portRef C (instanceRef r_f_pc_RNO_4_4)) )) (net (rename pc_0_iv_3_3 "pc_0_iv_3[3]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_3)) (portRef C (instanceRef r_f_pc_RNO_3)) )) (net (rename tmp_3 "tmp[3]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I261_Y_0)) (portRef B (instanceRef r_x_rstate_0_RNI1DLIF1_1)) (portRef B (instanceRef r_f_pc_RNO_2_3)) )) (net N_5381_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_6_3)) (portRef C (instanceRef r_f_pc_RNO_2_3)) )) (net (rename pc_0_iv_2_3 "pc_0_iv_2[3]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_3)) (portRef A (instanceRef r_f_pc_RNO_3)) )) (net (rename un6_fe_npc3_m_0_i_1 "un6_fe_npc3_m_0_i[1]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_3)) (portRef A (instanceRef r_f_pc_RNO_0_3)) )) (net (rename un6_fe_npc2_m_0_i_1 "un6_fe_npc2_m_0_i[1]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_3)) (portRef B (instanceRef r_f_pc_RNO_0_3)) )) (net (rename pc_0_iv_0_3 "pc_0_iv_0[3]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_3)) (portRef C (instanceRef r_f_pc_RNO_0_3)) )) (net (rename un6_fe_npc0_1 "un6_fe_npc0[1]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_5)) (portRef B (instanceRef r_x_rstate_0_RNI4EH5D3_1)) (portRef B (instanceRef r_f_pc_RNO_5_3)) )) (net (rename xc_trap_address_m_3 "xc_trap_address_m[3]") (joined (portRef Y (instanceRef r_f_pc_RNIP1OEV_3)) (portRef B (instanceRef r_f_pc_RNII9GBN3_3)) (portRef C (instanceRef r_f_pc_RNO_5_3)) )) (net (rename pc_0_iv_3_2 "pc_0_iv_3[2]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_2)) (portRef C (instanceRef r_f_pc_RNO_2)) )) (net (rename tmp_2 "tmp[2]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I0_S_0)) (portRef B (instanceRef r_f_pc_RNIN6R8M2_2)) (portRef B (instanceRef r_f_pc_RNO_2_2)) )) (net N_5380_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_6_2)) (portRef C (instanceRef r_f_pc_RNO_2_2)) )) (net (rename pc_0_iv_2_2 "pc_0_iv_2[2]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_2)) (portRef A (instanceRef r_f_pc_RNO_2)) )) (net (rename un6_fe_npc3_m_0_i_0 "un6_fe_npc3_m_0_i[0]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_2)) (portRef A (instanceRef r_f_pc_RNO_0_2)) )) (net (rename un6_fe_npc2_m_0_i_0 "un6_fe_npc2_m_0_i[0]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_2)) (portRef B (instanceRef r_f_pc_RNO_0_2)) )) (net (rename pc_0_iv_0_2 "pc_0_iv_0[2]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_2)) (portRef C (instanceRef r_f_pc_RNO_0_2)) )) (net (rename fpc_2 "fpc[2]") (joined (portRef Q (instanceRef r_f_pc_2)) (portRef A (instanceRef un6_fe_npc_0_I_5)) (portRef A (instanceRef un6_fe_npc_0_I_12)) (portRef A (instanceRef un6_fe_npc_0_I_16)) (portRef A (instanceRef un6_fe_npc_0_I_149)) (portRef D (instanceRef r_d_pc_2)) (portRef B (instanceRef r_f_pc_RNIK6K31_2)) (portRef A (instanceRef r_f_pc_RNI5E6N7_2)) (portRef B (instanceRef r_f_pc_RNI4SNHM1_2)) (portRef A (instanceRef r_f_pc_RNI4SL4_2)) (portRef A (instanceRef r_f_pc_RNI1PS47_2)) (portRef B (instanceRef r_f_pc_RNI9FQ43_2)) (portRef B (instanceRef r_f_pc_RNO_5_2)) (portRef B (instanceRef un6_fe_npc_0_I_8)) (portRef (member fpc 29)) )) (net (rename pc_0_iv_3_24 "pc_0_iv_3[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_24)) (portRef C (instanceRef r_f_pc_RNO_24)) )) (net N_5402_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_7_24)) (portRef C (instanceRef r_f_pc_RNO_2_24)) )) (net (rename pc_0_iv_2_24 "pc_0_iv_2[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_24)) (portRef A (instanceRef r_f_pc_RNO_24)) )) (net (rename un6_fe_npc0_m_0_i_22 "un6_fe_npc0_m_0_i[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_24)) (portRef A (instanceRef r_f_pc_RNO_0_24)) )) (net (rename xc_trap_address_m_i_24 "xc_trap_address_m_i[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_24)) (portRef B (instanceRef r_f_pc_RNO_0_24)) )) (net (rename pc_0_iv_1_24 "pc_0_iv_1[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_24)) (portRef C (instanceRef r_f_pc_RNO_0_24)) )) (net un6_fe_npcsel_2_s3 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIHMB973)) (portRef A (instanceRef r_f_pc_RNO_4_2)) (portRef A (instanceRef r_f_pc_RNO_4_3)) (portRef A (instanceRef r_f_pc_RNO_4_5)) (portRef A (instanceRef r_f_pc_RNO_4_7)) (portRef A (instanceRef r_f_pc_RNO_4_6)) (portRef A (instanceRef r_f_pc_RNO_7_28)) (portRef A (instanceRef r_f_pc_RNO_5_31)) (portRef A (instanceRef r_f_pc_RNO_5_22)) (portRef A (instanceRef r_f_pc_RNO_5_19)) (portRef A (instanceRef r_f_pc_RNO_5_16)) (portRef A (instanceRef r_f_pc_RNO_5_15)) (portRef A (instanceRef r_f_pc_RNO_5_30)) (portRef A (instanceRef r_f_pc_RNO_5_23)) (portRef A (instanceRef r_f_pc_RNO_4_8)) (portRef A (instanceRef r_f_pc_RNO_5_24)) )) (net (rename un6_fe_npc2_22 "un6_fe_npc2[22]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_143)) (portRef B (instanceRef r_f_pc_RNO_11_24)) (portRef B (instanceRef r_f_pc_RNO_5_24)) )) (net (rename un6_fe_npc3_m_0_i_22 "un6_fe_npc3_m_0_i[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_24)) (portRef C (instanceRef r_f_pc_RNO_5_24)) )) (net (rename pc_0_iv_3_6 "pc_0_iv_3[6]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_6)) (portRef C (instanceRef r_f_pc_RNO_6)) )) (net (rename tmp_m_0_i_6 "tmp_m_0_i[6]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_6)) (portRef C (instanceRef r_f_pc_RNO_2_6)) )) (net (rename pc_0_iv_2_6 "pc_0_iv_2[6]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_6)) (portRef A (instanceRef r_f_pc_RNO_6)) )) (net (rename un6_fe_npc3_m_0_i_4 "un6_fe_npc3_m_0_i[4]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_6)) (portRef A (instanceRef r_f_pc_RNO_0_6)) )) (net (rename un6_fe_npc2_m_0_i_4 "un6_fe_npc2_m_0_i[4]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_6)) (portRef B (instanceRef r_f_pc_RNO_0_6)) )) (net (rename pc_0_iv_0_6 "pc_0_iv_0[6]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_6)) (portRef C (instanceRef r_f_pc_RNO_0_6)) )) (net (rename un6_fe_npc0_4 "un6_fe_npc0[4]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_20)) (portRef B (instanceRef r_x_rstate_0_RNIA0OBD3_1)) (portRef B (instanceRef r_f_pc_RNO_5_6)) )) (net (rename xc_trap_address_m_6 "xc_trap_address_m[6]") (joined (portRef Y (instanceRef r_f_pc_RNIKQDJ01_6)) (portRef C (instanceRef r_f_pc_RNIP8RSV3_6)) (portRef C (instanceRef r_f_pc_RNO_5_6)) )) (net (rename pc_0_iv_3_8 "pc_0_iv_3[8]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_8)) (portRef C (instanceRef r_f_pc_RNO_8)) )) (net (rename tmp_m_0_i_8 "tmp_m_0_i[8]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_8)) (portRef C (instanceRef r_f_pc_RNO_2_8)) )) (net (rename pc_0_iv_2_8 "pc_0_iv_2[8]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_8)) (portRef A (instanceRef r_f_pc_RNO_8)) )) (net (rename un6_fe_npc0_m_0_i_6 "un6_fe_npc0_m_0_i[6]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_8)) (portRef A (instanceRef r_f_pc_RNO_0_8)) )) (net (rename pc_0_iv_1_8 "pc_0_iv_1[8]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_8)) (portRef B (instanceRef r_f_pc_RNO_0_8)) )) (net (rename xc_trap_address_m_8 "xc_trap_address_m[8]") (joined (portRef Y (instanceRef r_f_pc_RNIUAEJ01_8)) (portRef C (instanceRef r_f_pc_RNIFN3834_8)) (portRef C (instanceRef r_f_pc_RNO_0_8)) )) (net (rename un6_fe_npc2_6 "un6_fe_npc2[6]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_31)) (portRef B (instanceRef r_f_pc_RNI5HPGI_8)) (portRef C (instanceRef r_x_rstate_0_RNI5QUNM1_1)) (portRef B (instanceRef r_f_pc_RNO_4_8)) )) (net (rename un6_fe_npc3_m_0_i_6 "un6_fe_npc3_m_0_i[6]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_8)) (portRef C (instanceRef r_f_pc_RNO_4_8)) )) (net (rename pc_0_iv_3_23 "pc_0_iv_3[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_23)) (portRef C (instanceRef r_f_pc_RNO_23)) )) (net (rename eaddress_23 "eaddress[23]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIPQBLR2)) (portRef B (instanceRef r_e_ldbp2_2_RNIK7H1S2)) (portRef B (instanceRef r_f_pc_RNO_15_23)) (portRef C (instanceRef r_e_ldbp2_2_RNIVPO5C4)) (portRef B (instanceRef r_f_pc_RNO_2_23)) )) (net (rename tmp_m_0_i_23 "tmp_m_0_i[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_23)) (portRef C (instanceRef r_f_pc_RNO_2_23)) )) (net (rename pc_0_iv_2_23 "pc_0_iv_2[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_23)) (portRef A (instanceRef r_f_pc_RNO_23)) )) (net (rename un6_fe_npc0_m_0_i_21 "un6_fe_npc0_m_0_i[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_23)) (portRef A (instanceRef r_f_pc_RNO_0_23)) )) (net (rename xc_trap_address_m_i_23 "xc_trap_address_m_i[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_23)) (portRef B (instanceRef r_f_pc_RNO_0_23)) )) (net (rename pc_0_iv_1_23 "pc_0_iv_1[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_23)) (portRef C (instanceRef r_f_pc_RNO_0_23)) )) (net (rename un6_fe_npc2_21 "un6_fe_npc2[21]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_136)) (portRef B (instanceRef r_f_pc_RNO_11_23)) (portRef B (instanceRef r_f_pc_RNO_5_23)) )) (net (rename un6_fe_npc3_m_0_i_21 "un6_fe_npc3_m_0_i[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_23)) (portRef C (instanceRef r_f_pc_RNO_5_23)) )) (net (rename pc_0_iv_3_30 "pc_0_iv_3[30]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_30)) (portRef C (instanceRef r_f_pc_RNO_30)) )) (net (rename tmp_30 "tmp[30]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I288_Y_0)) (portRef B (instanceRef r_f_pc_RNO_2_30)) )) (net N_5408_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_7_30)) (portRef C (instanceRef r_f_pc_RNO_2_30)) )) (net (rename pc_0_iv_2_30 "pc_0_iv_2[30]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_30)) (portRef A (instanceRef r_f_pc_RNO_30)) )) (net (rename un6_fe_npc0_m_0_i_28 "un6_fe_npc0_m_0_i[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_30)) (portRef A (instanceRef r_f_pc_RNO_0_30)) )) (net (rename xc_trap_address_m_i_30 "xc_trap_address_m_i[30]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_30)) (portRef B (instanceRef r_f_pc_RNO_0_30)) )) (net (rename pc_0_iv_1_30 "pc_0_iv_1[30]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_30)) (portRef C (instanceRef r_f_pc_RNO_0_30)) )) (net (rename un6_fe_npc2_28 "un6_fe_npc2[28]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_203)) (portRef B (instanceRef r_f_pc_RNO_11_30)) (portRef B (instanceRef r_f_pc_RNO_5_30)) )) (net (rename un6_fe_npc3_m_0_i_28 "un6_fe_npc3_m_0_i[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_30)) (portRef C (instanceRef r_f_pc_RNO_5_30)) )) (net (rename pc_0_iv_3_15 "pc_0_iv_3[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_15)) (portRef C (instanceRef r_f_pc_RNO_15)) )) (net (rename tmp_15 "tmp[15]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I273_Y_0)) (portRef B (instanceRef r_f_pc_RNO_2_15)) )) (net N_5393_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_7_15)) (portRef C (instanceRef r_f_pc_RNO_2_15)) )) (net (rename pc_0_iv_2_15 "pc_0_iv_2[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_15)) (portRef A (instanceRef r_f_pc_RNO_15)) )) (net (rename un6_fe_npc0_m_0_i_13 "un6_fe_npc0_m_0_i[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_15)) (portRef A (instanceRef r_f_pc_RNO_0_15)) )) (net (rename xc_trap_address_m_i_15 "xc_trap_address_m_i[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_15)) (portRef B (instanceRef r_f_pc_RNO_0_15)) )) (net (rename pc_0_iv_1_15 "pc_0_iv_1[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_15)) (portRef C (instanceRef r_f_pc_RNO_0_15)) )) (net (rename un6_fe_npc2_13 "un6_fe_npc2[13]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_77)) (portRef B (instanceRef r_f_pc_RNO_11_15)) (portRef B (instanceRef r_f_pc_RNO_5_15)) )) (net (rename un6_fe_npc3_m_0_i_13 "un6_fe_npc3_m_0_i[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_15)) (portRef C (instanceRef r_f_pc_RNO_5_15)) )) (net (rename pc_0_iv_3_5 "pc_0_iv_3[5]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_5)) (portRef C (instanceRef r_f_pc_RNO_5)) )) (net (rename tmp_5 "tmp[5]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I263_Y_0)) (portRef B (instanceRef r_x_rstate_0_RNIDC20I1_1)) (portRef B (instanceRef r_f_pc_RNO_2_5)) )) (net N_5383_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_6_5)) (portRef C (instanceRef r_f_pc_RNO_2_5)) )) (net (rename pc_0_iv_2_5 "pc_0_iv_2[5]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_5)) (portRef A (instanceRef r_f_pc_RNO_5)) )) (net (rename un6_fe_npc3_m_0_i_3 "un6_fe_npc3_m_0_i[3]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_5)) (portRef A (instanceRef r_f_pc_RNO_0_5)) )) (net (rename un6_fe_npc2_m_0_i_3 "un6_fe_npc2_m_0_i[3]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_5)) (portRef B (instanceRef r_f_pc_RNO_0_5)) )) (net (rename pc_0_iv_0_5 "pc_0_iv_0[5]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_5)) (portRef C (instanceRef r_f_pc_RNO_0_5)) )) (net (rename un6_fe_npc0_3 "un6_fe_npc0[3]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_13)) (portRef B (instanceRef r_x_rstate_0_RNI6IL9D3_1)) (portRef B (instanceRef r_f_pc_RNO_5_5)) )) (net (rename xc_trap_address_m_5 "xc_trap_address_m[5]") (joined (portRef Y (instanceRef r_f_pc_RNIFIDJ01_5)) (portRef C (instanceRef r_f_pc_RNI2NG0U3_5)) (portRef C (instanceRef r_f_pc_RNO_5_5)) )) (net (rename pc_0_iv_3_16 "pc_0_iv_3[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_16)) (portRef C (instanceRef r_f_pc_RNO_16)) )) (net (rename tmp_m_0_i_16 "tmp_m_0_i[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_16)) (portRef C (instanceRef r_f_pc_RNO_2_16)) )) (net (rename pc_0_iv_2_16 "pc_0_iv_2[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_16)) (portRef A (instanceRef r_f_pc_RNO_16)) )) (net (rename un6_fe_npc0_m_0_i_14 "un6_fe_npc0_m_0_i[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_16)) (portRef A (instanceRef r_f_pc_RNO_0_16)) )) (net (rename xc_trap_address_m_i_16 "xc_trap_address_m_i[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_16)) (portRef B (instanceRef r_f_pc_RNO_0_16)) )) (net (rename pc_0_iv_1_16 "pc_0_iv_1[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_16)) (portRef C (instanceRef r_f_pc_RNO_0_16)) )) (net (rename un6_fe_npc2_14 "un6_fe_npc2[14]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_84)) (portRef B (instanceRef r_f_pc_RNO_11_16)) (portRef B (instanceRef r_f_pc_RNO_5_16)) )) (net (rename un6_fe_npc3_m_0_i_14 "un6_fe_npc3_m_0_i[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_16)) (portRef C (instanceRef r_f_pc_RNO_5_16)) )) (net (rename pc_0_iv_3_19 "pc_0_iv_3[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_19)) (portRef C (instanceRef r_f_pc_RNO_19)) )) (net (rename tmp_19 "tmp[19]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I277_Y_0)) (portRef B (instanceRef r_f_pc_RNO_2_19)) )) (net N_5397_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_7_19)) (portRef C (instanceRef r_f_pc_RNO_2_19)) )) (net (rename pc_0_iv_2_19 "pc_0_iv_2[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_19)) (portRef A (instanceRef r_f_pc_RNO_19)) )) (net (rename un6_fe_npc0_m_0_i_17 "un6_fe_npc0_m_0_i[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_19)) (portRef A (instanceRef r_f_pc_RNO_0_19)) )) (net (rename xc_trap_address_m_i_19 "xc_trap_address_m_i[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_19)) (portRef B (instanceRef r_f_pc_RNO_0_19)) )) (net (rename pc_0_iv_1_19 "pc_0_iv_1[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_19)) (portRef C (instanceRef r_f_pc_RNO_0_19)) )) (net (rename un6_fe_npc2_17 "un6_fe_npc2[17]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_105)) (portRef B (instanceRef r_f_pc_RNO_11_19)) (portRef B (instanceRef r_f_pc_RNO_5_19)) )) (net (rename un6_fe_npc3_m_0_i_17 "un6_fe_npc3_m_0_i[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_19)) (portRef C (instanceRef r_f_pc_RNO_5_19)) )) (net (rename pc_0_iv_3_22 "pc_0_iv_3[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_22)) (portRef C (instanceRef r_f_pc_RNO_22)) )) (net (rename eaddress_22 "eaddress[22]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNILQ5LS2)) (portRef B (instanceRef r_e_ldbp2_2_RNIG7B1T2)) (portRef B (instanceRef r_f_pc_RNO_15_22)) (portRef C (instanceRef r_e_ldbp2_2_RNIONR6B3)) (portRef B (instanceRef r_f_pc_RNO_2_22)) )) (net (rename tmp_m_0_i_22 "tmp_m_0_i[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_22)) (portRef C (instanceRef r_f_pc_RNO_2_22)) )) (net (rename pc_0_iv_2_22 "pc_0_iv_2[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_22)) (portRef A (instanceRef r_f_pc_RNO_22)) )) (net (rename un6_fe_npc0_m_0_i_20 "un6_fe_npc0_m_0_i[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_22)) (portRef A (instanceRef r_f_pc_RNO_0_22)) )) (net (rename xc_trap_address_m_i_22 "xc_trap_address_m_i[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_22)) (portRef B (instanceRef r_f_pc_RNO_0_22)) )) (net (rename pc_0_iv_1_22 "pc_0_iv_1[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_22)) (portRef C (instanceRef r_f_pc_RNO_0_22)) )) (net (rename un6_fe_npc2_20 "un6_fe_npc2[20]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_129)) (portRef B (instanceRef r_f_pc_RNO_11_22)) (portRef B (instanceRef r_f_pc_RNO_5_22)) )) (net (rename un6_fe_npc3_m_0_i_20 "un6_fe_npc3_m_0_i[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_22)) (portRef C (instanceRef r_f_pc_RNO_5_22)) )) (net (rename pc_0_iv_4_28 "pc_0_iv_4[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_28)) (portRef C (instanceRef r_f_pc_RNO_28)) )) (net (rename pc_4_28 "pc_4[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_28)) (portRef B (instanceRef r_f_pc_RNO_2_28)) )) (net (rename pc_0_iv_2_28 "pc_0_iv_2[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_28)) (portRef C (instanceRef r_f_pc_RNO_2_28)) )) (net (rename un6_fe_npc3_m_0_i_26 "un6_fe_npc3_m_0_i[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_28)) (portRef A (instanceRef r_f_pc_RNO_4_28)) )) (net (rename un6_fe_npc2_m_0_i_26 "un6_fe_npc2_m_0_i[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_28)) (portRef B (instanceRef r_f_pc_RNO_4_28)) )) (net (rename pc_0_iv_0_28 "pc_0_iv_0[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_28)) (portRef C (instanceRef r_f_pc_RNO_4_28)) )) (net (rename xc_trap_address_m_i_28 "xc_trap_address_m_i[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_28)) (portRef A (instanceRef r_f_pc_RNO_8_28)) )) (net (rename un6_fe_npc0_m_0_i_26 "un6_fe_npc0_m_0_i[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_11_28)) (portRef B (instanceRef r_f_pc_RNO_8_28)) )) (net (rename pc_0_iv_3_31 "pc_0_iv_3[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_2_31)) (portRef C (instanceRef r_f_pc_RNO_31)) )) (net (rename tmp_m_0_i_31 "tmp_m_0_i[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_7_31)) (portRef C (instanceRef r_f_pc_RNO_2_31)) )) (net (rename pc_0_iv_2_31 "pc_0_iv_2[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_31)) (portRef A (instanceRef r_f_pc_RNO_31)) )) (net (rename un6_fe_npc0_m_0_i_29 "un6_fe_npc0_m_0_i[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_3_31)) (portRef A (instanceRef r_f_pc_RNO_0_31)) )) (net (rename xc_trap_address_m_i_31 "xc_trap_address_m_i[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_4_31)) (portRef B (instanceRef r_f_pc_RNO_0_31)) )) (net (rename pc_0_iv_1_31 "pc_0_iv_1[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_5_31)) (portRef C (instanceRef r_f_pc_RNO_0_31)) )) (net (rename un6_fe_npc2_29 "un6_fe_npc2[29]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_210)) (portRef B (instanceRef r_f_pc_RNO_11_31)) (portRef B (instanceRef r_f_pc_RNO_5_31)) )) (net (rename un6_fe_npc3_m_0_i_29 "un6_fe_npc3_m_0_i[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_31)) (portRef C (instanceRef r_f_pc_RNO_5_31)) )) (net mexc_1_m6_i_2 (joined (portRef Y (instanceRef r_x_mexc_RNO_4)) (portRef C (instanceRef r_x_mexc_RNO_2)) )) (net mexc_1_m6_i_0 (joined (portRef Y (instanceRef r_x_mexc_RNO_5)) (portRef B (instanceRef r_x_mexc_RNO_4)) )) (net N_96 (joined (portRef N_96) (portRef C (instanceRef r_x_mexc_RNO_4)) )) (net mexc (joined (portRef mexc) (portRef A (instanceRef r_x_mexc_RNO_5)) )) (net N_73_0 (joined (portRef N_73_0) (portRef B (instanceRef r_x_mexc_RNO_5)) )) (net pv_3 (joined (portRef Y (instanceRef r_d_pv_RNO_0)) (portRef A (instanceRef r_d_pv_RNO)) )) (net pv_12_i_a6_0 (joined (portRef Y (instanceRef r_d_pv_RNO_3)) (portRef A (instanceRef r_d_pv_RNO_0)) )) (net N_5127 (joined (portRef Y (instanceRef r_d_pv_RNO_4)) (portRef B (instanceRef r_d_pv_RNO_0)) )) (net pv_1 (joined (portRef Y (instanceRef r_d_pv_RNO_5)) (portRef C (instanceRef r_d_pv_RNO_0)) )) (net pv_2 (joined (portRef Y (instanceRef r_d_pv_RNO_1)) (portRef B (instanceRef r_d_pv_RNO)) )) (net un6_rabpmiss (joined (portRef Y (instanceRef r_d_pv_RNISUHK62)) (portRef A (instanceRef r_d_pv_RNICD97U7)) (portRef A (instanceRef r_d_pv_RNO_1)) )) (net un6_exbpmiss (joined (portRef Y (instanceRef r_a_ctrl_annul_RNI0TGV3)) (portRef B (instanceRef r_d_pv_RNI25P24)) (portRef A (instanceRef r_d_pv_RNI10ELR)) (portRef B (instanceRef r_d_pv_RNO_5)) )) (net pv_0 (joined (portRef Y (instanceRef r_d_pv_RNO_8)) (portRef C (instanceRef r_d_pv_RNO_5)) )) (net pv_12_i_a6_3_2 (joined (portRef Y (instanceRef r_d_pv_RNO_10)) (portRef A (instanceRef r_d_pv_RNO_8)) )) (net un9_rabpmiss (joined (portRef Y (instanceRef r_d_annul_RNIRK1K4)) (portRef A (instanceRef r_d_pv_RNIC2ST8)) (portRef C (instanceRef r_d_pv_RNIASMJ01)) (portRef A (instanceRef r_d_pv_RNIARP4F)) (portRef A (instanceRef r_d_pv_RNI4MUQA)) (portRef C (instanceRef r_d_pv_RNO_8)) )) (net ctrl_pv_0 (joined (portRef Y (instanceRef r_a_ctrl_pv_RNO_0)) (portRef C (instanceRef r_a_ctrl_pv_RNO)) )) (net pv (joined (portRef Q (instanceRef r_d_pv)) (portRef A (instanceRef r_d_pv_RNI25P24)) (portRef A (instanceRef r_d_pv_RNI83B6)) (portRef A (instanceRef r_d_pv_RNIGC0D4)) (portRef B (instanceRef r_a_ctrl_inst_RNIDG9A_29)) (portRef C (instanceRef r_d_pv_RNINH8A)) (portRef B (instanceRef r_d_pv_RNI10ELR)) (portRef A (instanceRef r_d_pv_RNO_3)) (portRef A (instanceRef r_a_ctrl_pv_RNO_0)) )) (net annul_current_0 (joined (portRef Y (instanceRef r_d_inull_RNI5TU301)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNI6FM3C2)) (portRef C (instanceRef r_d_inull_RNO_3)) (portRef B (instanceRef r_a_ctrl_pv_RNO_0)) )) (net cin_iv_1 (joined (portRef Y (instanceRef r_e_alucin_RNO_1)) (portRef B (instanceRef r_e_alucin_RNO)) )) (net alucin_RNO_3 (joined (portRef Y (instanceRef r_e_alucin_RNO_3)) (portRef A (instanceRef r_e_alucin_RNO_1)) )) (net alucin_RNO_4 (joined (portRef Y (instanceRef r_e_alucin_RNO_4)) (portRef B (instanceRef r_e_alucin_RNO_1)) )) (net cin_0_sqmuxa (joined (portRef Y (instanceRef r_a_ctrl_cnt_RNI7NUN_0)) (portRef C (instanceRef r_a_ctrl_inst_RNI3C122_30)) (portRef C (instanceRef r_e_alucin_RNO_1)) )) (net mexc_1_m6_i_a4_1 (joined (portRef Y (instanceRef r_x_mexc_RNO_3)) (portRef A (instanceRef r_x_mexc_RNO_2)) )) (net mexc_1_0_2_tz (joined (portRef mexc_1_0_2_tz) (portRef A (instanceRef r_x_mexc_RNO_3)) )) (net annul_next_2_sqmuxa_1_7 (joined (portRef Y (instanceRef r_d_pv_RNI03QHK3)) (portRef B (instanceRef r_d_pv_RNICD97U7)) )) (net annul_next_2_sqmuxa_1_5 (joined (portRef Y (instanceRef r_d_pv_RNI4MUQA)) (portRef A (instanceRef r_d_pv_RNI03QHK3)) )) (net un2_exbpmiss (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIEMDRK1)) (portRef B (instanceRef r_d_pv_RNISCRM93)) (portRef B (instanceRef r_d_pv_RNI03QHK3)) )) (net un13_exbpmiss (joined (portRef Y (instanceRef r_d_pv_RNIEMDRK1)) (portRef A (instanceRef r_d_pv_RNISCRM93)) (portRef C (instanceRef r_d_pv_RNI03QHK3)) )) (net annul_next_2_sqmuxa_1_3 (joined (portRef Y (instanceRef r_d_inst_0_RNI7S342_31)) (portRef B (instanceRef r_d_pv_RNI4MUQA)) )) (net un5_exbpmiss_i_0 (joined (portRef Y (instanceRef r_d_pv_RNI25P24)) (portRef B (instanceRef r_d_pv_RNI21HG8)) (portRef B (instanceRef r_d_annul_RNIR7A74_0)) (portRef A (instanceRef r_d_annul_RNIR7A74)) (portRef B (instanceRef r_d_pv_RNIJEBQC)) (portRef C (instanceRef r_d_pv_RNI4MUQA)) )) (net annul_next_2_sqmuxa_1_1 (joined (portRef Y (instanceRef r_d_inull_RNIKFF7)) (portRef A (instanceRef r_d_inst_0_RNI7S342_31)) )) (net branch_1 (joined (portRef Y (instanceRef r_d_inst_0_RNIE0IP1_25)) (portRef B (instanceRef r_d_annul_RNI6C772)) (portRef C (instanceRef r_d_inst_0_RNI7S342_31)) )) (net annul_next_2_sqmuxa_1_0 (joined (portRef Y (instanceRef r_d_inull_RNIE9S2)) (portRef A (instanceRef r_d_inull_RNIKFF7)) )) (net un19_inst (joined (portRef Y (instanceRef r_d_inst_0_RNI66J4_0_23)) (portRef B (instanceRef r_d_annul_RNIV849)) (portRef B (instanceRef r_a_ctrl_wicc_RNO_1)) (portRef A (instanceRef r_a_nobp_RNO_0)) (portRef B (instanceRef r_d_annul_RNIEFND)) (portRef C (instanceRef r_d_inull_RNIPRHA)) (portRef C (instanceRef r_d_inst_0_RNIU3MD_27)) (portRef B (instanceRef r_d_inull_RNIKFF7)) )) (net annul_0 (joined (portRef Q (instanceRef r_d_annul)) (portRef B (instanceRef r_d_inst_0_RNINSV2_0_31)) (portRef B (instanceRef r_d_step_RNO)) (portRef B (instanceRef r_d_cnt_RNISDD3_1)) (portRef C (instanceRef r_d_annul_RNIQ9RH3)) (portRef A (instanceRef r_d_annul_RNI42C232)) (portRef A (instanceRef r_d_annul_RNIP2H4)) (portRef A (instanceRef r_d_annul_RNIP2H4_0)) (portRef C (instanceRef r_d_annul_RNIAM7T)) (portRef A (instanceRef r_a_ticc_RNO_0)) (portRef B (instanceRef r_d_annul_RNIRT7K)) (portRef C (instanceRef r_d_pv_RNIGC0D4)) (portRef A (instanceRef r_d_annul_RNI35C5)) (portRef B (instanceRef r_d_annul_RNI3T16)) (portRef B (instanceRef r_d_annul_RNILOV2)) (portRef B (instanceRef r_d_inst_0_RNINSV2_31)) (portRef C (instanceRef r_d_inull_RNO_8)) (portRef B (instanceRef r_d_annul_RNI17OB)) (portRef A (instanceRef r_d_annul_RNIP2H4_1)) (portRef C (instanceRef r_d_annul_RNIR7A74)) (portRef A (instanceRef r_d_annul_RNIIQ1J2)) (portRef B (instanceRef r_d_inull_RNO_10)) (portRef B (instanceRef r_d_pv_RNO_9)) (portRef B (instanceRef r_d_inull_RNIE9S2)) )) (net pv_12_i_a6_0_2 (joined (portRef Y (instanceRef r_d_pv_RNO_7)) (portRef B (instanceRef r_d_pv_RNO_2)) )) (net pv_12_i_a6_0_1 (joined (portRef Y (instanceRef r_d_pv_RNO_9)) (portRef B (instanceRef r_d_pv_RNO_7)) )) (net (rename cnt_0_1 "cnt_0[1]") (joined (portRef Q (instanceRef r_d_cnt_1)) (portRef D (instanceRef r_a_ctrl_cnt_1)) (portRef A (instanceRef r_d_cnt_RNISDD3_1)) (portRef B (instanceRef r_d_cnt_RNIFET3_0_0)) (portRef C (instanceRef r_d_cnt_RNIM0KB_0)) (portRef A (instanceRef r_d_cnt_RNIFET3_0)) (portRef A (instanceRef r_d_cnt_RNIFET3_1_0)) (portRef B (instanceRef r_d_cnt_RNO_1)) (portRef A (instanceRef r_d_cnt_RNIATF3_1)) (portRef A (instanceRef r_d_cnt_RNIDLF3_1)) (portRef A (instanceRef r_d_pv_RNO_11)) (portRef C (instanceRef r_d_pv_RNO_7)) )) (net (rename cwp_1_iv_0_2 "cwp_1_iv_0[2]") (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIGTEL01_20)) (portRef B (instanceRef r_x_result_RNIVDVN37_2)) )) (net icc_3_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNIHNGG2_0_0)) (portRef A (instanceRef r_x_rstate_RNIRGMI2_0)) (portRef A (instanceRef r_x_rstate_RNIVGMI2_0)) (portRef B (instanceRef r_w_s_icc_RNO_2_3)) (portRef B (instanceRef r_x_rstate_RNIQGMI2_0)) (portRef B (instanceRef r_w_s_icc_RNO_2_1)) (portRef B (instanceRef r_w_s_icc_RNO_2_2)) (portRef B (instanceRef r_w_s_icc_RNO_2_0)) (portRef A (instanceRef r_x_ctrl_inst_RNIGTEL01_20)) )) (net un2_rstn_4 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIKCO2U_20)) (portRef A (instanceRef r_x_result_RNIPMOKU1_1)) (portRef C (instanceRef r_x_ctrl_inst_RNIGTEL01_20)) )) (net un1_de_branch_1_1 (joined (portRef Y (instanceRef r_d_annul_RNIV0KFA)) (portRef B (instanceRef r_x_rstate_0_RNIHLVBE1_1_1)) (portRef A (instanceRef r_e_ctrl_annul_RNI1FDJM)) )) (net un12_de_hold_pc (joined (portRef Y (instanceRef r_e_ctrl_annul_RNI2EP3C)) (portRef A (instanceRef r_x_rstate_0_RNIIKBS31_1)) (portRef A (instanceRef r_x_rstate_0_RNINP0041_1)) (portRef A (instanceRef r_x_rstate_0_RNIHLVBE1_1)) (portRef B (instanceRef r_e_ctrl_annul_RNI1FDJM)) )) (net cin_2_m_0 (joined (portRef Y (instanceRef r_e_alucin_RNO_5)) (portRef B (instanceRef r_e_alucin_RNO_2)) )) (net N_8 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI7C0E_1_30)) (portRef C (instanceRef r_e_alucin_RNO_3)) (portRef A (instanceRef r_e_alucin_RNO_5)) )) (net N_8161 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIA01E_24)) (portRef C (instanceRef r_a_ctrl_cnt_RNI2F541_0)) (portRef C (instanceRef r_a_ctrl_inst_0_RNI0B5T_21)) (portRef B (instanceRef r_e_alucin_RNO_5)) )) (net un1_ctrl_annul_0 (joined (portRef Y (instanceRef r_d_inull_RNO_0)) (portRef A (instanceRef r_d_inull_RNO)) )) (net jmpl_0 (joined (portRef Q (instanceRef r_a_jmpl)) (portRef A (instanceRef r_e_jmpl_RNO)) (portRef A (instanceRef r_d_inull_RNO_5)) (portRef A (instanceRef r_d_inull_RNO_0)) )) (net annul_1 (joined (portRef Q (instanceRef r_a_ctrl_annul)) (portRef A (instanceRef r_e_ctrl_tt_RNO_0)) (portRef S (instanceRef r_e_ctrl_trap_RNO)) (portRef B (instanceRef r_a_ctrl_trap_RNIFQU8)) (portRef A (instanceRef r_a_ctrl_annul_RNI0TGV3)) (portRef B (instanceRef r_d_pv_RNI83B6)) (portRef C (instanceRef r_e_ctrl_tt_RNO_1_0)) (portRef A (instanceRef r_d_pv_RNO_4)) (portRef C (instanceRef r_a_ctrl_annul_RNIEV9AA)) (portRef C (instanceRef r_e_ctrl_tt_RNO_3_4)) (portRef B (instanceRef r_a_bp_RNIHG6I)) (portRef B (instanceRef r_e_ctrl_tt_RNO_1_1)) (portRef B (instanceRef r_d_pv_RNO_11)) (portRef B (instanceRef r_d_pv_RNINH8A)) (portRef B (instanceRef r_d_inull_RNO_0)) )) (net ctrl_annul_i (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNI6FM3C2)) (portRef D (instanceRef r_a_ctrl_annul)) (portRef B (instanceRef r_a_ctrl_wreg_RNO)) (portRef B (instanceRef r_d_inst_0_RNIAT98C2_20)) (portRef B (instanceRef r_d_inst_0_RNIBRO6C2_31)) (portRef A (instanceRef r_d_inst_0_0_0_RNIRHJKC2_21)) (portRef A (instanceRef r_a_nobp_RNO)) (portRef C (instanceRef r_a_bp_RNO)) (portRef C (instanceRef r_d_inull_RNO_0)) )) (net SUM2_0_0 (joined (portRef Y (instanceRef un1_r_w_s_cwp_1_1_SUM2_0_0)) (portRef B (instanceRef r_w_s_cwp_RNI4J6355_2)) )) (net (rename cwp_2 "cwp[2]") (joined (portRef Q (instanceRef r_w_s_cwp_2)) (portRef C (instanceRef r_w_s_cwp_RNIVMCFS_2)) (portRef B (instanceRef r_w_s_cwp_RNI9III_2)) (portRef B (instanceRef r_w_s_cwp_RNI9III_0_2)) (portRef A (instanceRef r_w_s_cwp_RNILV0D2_2)) (portRef A (instanceRef un1_r_w_s_cwp_1_1_SUM2_0_0)) )) (net cwp_1_sqmuxa_1 (joined (portRef Y (instanceRef r_w_s_cwp_RNI9HKPU_2)) (portRef B (instanceRef r_w_s_cwp_RNIUFNQ32_2)) (portRef B (instanceRef un1_r_w_s_cwp_1_1_CO1)) (portRef C (instanceRef un1_r_w_s_cwp_1_1_SUM1_0)) (portRef B (instanceRef un1_r_w_s_cwp_1_1_SUM2_0_0)) )) (net (rename cwp_1_iv_1_1 "cwp_1_iv_1[1]") (joined (portRef Y (instanceRef r_x_result_RNIPMOKU1_1)) (portRef C (instanceRef r_x_result_RNIGI4O46_1)) )) (net (rename cpi_i_m_393 "cpi_i_m[393]") (joined (portRef Y (instanceRef r_x_rstate_RNIRGMI2_0)) (portRef B (instanceRef r_x_result_RNIPMOKU1_1)) )) (net (rename result_i_m_1 "result_i_m[1]") (joined (portRef Y (instanceRef r_x_result_RNIAP9VT_1)) (portRef C (instanceRef r_x_result_RNIPMOKU1_1)) )) (net et_1_iv_1 (joined (portRef Y (instanceRef r_w_s_et_RNIA9T13)) (portRef B (instanceRef r_x_result_RNITNF632_5)) )) (net (rename cpi_i_m_397 "cpi_i_m[397]") (joined (portRef Y (instanceRef r_x_rstate_RNIVGMI2_0)) (portRef B (instanceRef r_w_s_et_RNIA9T13)) )) (net error_0_sqmuxa (joined (portRef Y (instanceRef r_w_s_et_RNI6JHB_0)) (portRef C (instanceRef r_w_s_et_RNIRPC3U)) (portRef B (instanceRef r_w_s_et_RNIHB7RT)) (portRef C (instanceRef r_w_s_et_RNIA9T13)) )) (net un1_rett_inst_0_a3_1_6 (joined (portRef Y (instanceRef r_d_inull_RNO_3)) (portRef B (instanceRef r_d_inull_RNO_1)) )) (net un1_rett_inst_0_a3_1_4 (joined (portRef Y (instanceRef r_d_inull_RNO_7)) (portRef A (instanceRef r_d_inull_RNO_3)) )) (net inhibit_current (joined (portRef Y (instanceRef r_d_pv_RNIC2ST8)) (portRef B (instanceRef r_a_ctrl_pv_RNO)) (portRef C (instanceRef r_d_annul_RNI4DC0D)) (portRef B (instanceRef r_d_inull_RNO_3)) )) (net un1_rett_inst_0_a3_1_3 (joined (portRef Y (instanceRef r_d_inull_RNO_9)) (portRef A (instanceRef r_d_inull_RNO_7)) )) (net un1_rett_inst_0_a3_1_1 (joined (portRef Y (instanceRef r_d_inull_RNO_10)) (portRef A (instanceRef r_d_inull_RNO_9)) )) (net (rename inst_0_RNI4EJ4_20 "inst_0_RNI4EJ4[20]") (joined (portRef Y (instanceRef r_d_inst_0_RNI4EJ4_20)) (portRef A (instanceRef r_d_inst_0_RNIAT98C2_20)) (portRef B (instanceRef r_d_inst_0_0_0_RNIL2TG_21)) (portRef B (instanceRef r_d_inull_RNO_4)) (portRef B (instanceRef r_d_inull_RNO_9)) )) (net nobp_RNIU3JH2 (joined (portRef Y (instanceRef r_a_nobp_RNIU3JH2)) (portRef B (instanceRef r_d_annul_RNIHOPH5)) (portRef C (instanceRef r_d_annul_RNILAQ33)) (portRef B (instanceRef r_d_annul_RNIIQ1J2)) (portRef C (instanceRef r_d_inull_RNO_9)) )) (net N_204 (joined (portRef Y (instanceRef r_d_inst_0_RNI66J4_23)) (portRef A (instanceRef un1_write_reg30_1_3_RNO_2)) (portRef A (instanceRef r_a_ctrl_rett_RNO)) (portRef B (instanceRef r_d_inst_0_0_0_RNIHK9C_21)) (portRef B (instanceRef r_d_inst_0_RNIUGAI_4)) (portRef B (instanceRef r_d_inst_0_RNINB7E_23)) (portRef B (instanceRef r_d_inst_0_RNIA869_19)) (portRef C (instanceRef r_d_inst_0_0_0_RNIL2TG_21)) (portRef A (instanceRef r_d_inull_RNO_8)) (portRef C (instanceRef r_d_inull_RNO_10)) )) (net un1_rabpmiss_m6_i_2 (joined (portRef Y (instanceRef un1_rabpmisstt_b0_i_a3_RNIHPEJ14)) (portRef C (instanceRef r_d_pv_RNI6TFN27)) )) (net un1_rabpmiss_N_18_i (joined (portRef Y (instanceRef un1_rabpmisstt_b0_i_a3_RNILCJSN)) (portRef A (instanceRef un1_rabpmisstt_b0_i_a3_RNIHPEJ14)) )) (net pv_RNISCRM93 (joined (portRef Y (instanceRef r_d_pv_RNISCRM93)) (portRef C (instanceRef r_d_pv_RNIHGSQA6)) (portRef B (instanceRef un1_rabpmisstt_b0_i_a3_RNIHPEJ14)) )) (net un1_rabpmiss_m6_i_0 (joined (portRef Y (instanceRef r_d_pv_RNIJEBQC)) (portRef B (instanceRef r_d_pv_RNIHGSQA6)) (portRef B (instanceRef r_d_pv_RNI6TFN27)) )) (net un23_exbpmiss (joined (portRef Y (instanceRef r_d_pv_RNI0SND4)) (portRef A (instanceRef r_d_pv_RNI21HG8)) (portRef A (instanceRef r_d_pv_RNIJEBQC)) )) (net un25_exbpmiss (joined (portRef Y (instanceRef r_d_pv_RNIHDQ94)) (portRef B (instanceRef r_d_pv_RNIC2ST8)) (portRef C (instanceRef r_d_pv_RNIJEBQC)) )) (net (rename icco_3_0_1 "icco_3_0[1]") (joined (portRef Y (instanceRef r_e_aluop_RNIOA42C_0)) (portRef B (instanceRef r_e_aluop_RNIHOENB9_0)) )) (net (rename icco_0_0_tz_1 "icco_0_0_tz[1]") (joined (portRef Y (instanceRef r_e_alusel_RNI2FJL4_0)) (portRef B (instanceRef r_e_aluop_RNIOA42C_0)) )) (net un3_op (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI5I3O1_24)) (portRef S (instanceRef r_e_aluop_RNI765V97_0)) (portRef S (instanceRef r_e_aluadd_RNI4QOJF4)) (portRef B (instanceRef r_m_wcwp_RNO)) (portRef S (instanceRef r_m_icc_RNO_1_2)) (portRef C (instanceRef r_e_ctrl_inst_RNI3EAQ4_24)) (portRef B (instanceRef r_e_ctrl_inst_RNI40793_24)) (portRef A (instanceRef r_e_op1_RNIA2TH3_0)) (portRef S (instanceRef r_e_aluop_RNIOA42C_0)) )) (net (rename y_1_iv_1_24 "y_1_iv_1[24]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_24)) (portRef C (instanceRef r_w_s_y_RNO_24)) )) (net (rename result_m_24 "result_m[24]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_24)) (portRef A (instanceRef r_w_s_y_RNO_0_24)) )) (net (rename cpi_m_3_416 "cpi_m_3[416]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_24)) (portRef B (instanceRef r_w_s_y_RNO_0_24)) )) (net (rename y_m_0_24 "y_m_0[24]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_24)) (portRef C (instanceRef r_w_s_y_RNO_0_24)) )) (net (rename y_1_iv_1_0 "y_1_iv_1[0]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_0)) (portRef C (instanceRef r_w_s_y_RNO_0)) )) (net (rename result_m_0 "result_m[0]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_0)) (portRef A (instanceRef r_w_s_y_RNO_0_0)) )) (net (rename cpi_m_1_392 "cpi_m_1[392]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_0)) (portRef B (instanceRef r_w_s_y_RNO_0_0)) )) (net (rename y_m_0_0 "y_m_0[0]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_0)) (portRef C (instanceRef r_w_s_y_RNO_0_0)) )) (net (rename y_1_iv_1_31 "y_1_iv_1[31]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_31)) (portRef C (instanceRef r_w_s_y_RNO_31)) )) (net (rename result_m_31 "result_m[31]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_31)) (portRef A (instanceRef r_w_s_y_RNO_0_31)) )) (net (rename cpi_m_3_423 "cpi_m_3[423]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_31)) (portRef B (instanceRef r_w_s_y_RNO_0_31)) )) (net (rename y_m_0_31 "y_m_0[31]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_31)) (portRef C (instanceRef r_w_s_y_RNO_0_31)) )) (net (rename y_1_iv_1_27 "y_1_iv_1[27]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_27)) (portRef C (instanceRef r_w_s_y_RNO_27)) )) (net (rename y_27 "y[27]") (joined (portRef Q (instanceRef r_x_y_27)) (portRef B (instanceRef r_m_y_RNO_3_27)) (portRef B (instanceRef r_w_s_y_RNO_0_27)) )) (net (rename y_1_iv_0_27 "y_1_iv_0[27]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_27)) (portRef C (instanceRef r_w_s_y_RNO_0_27)) )) (net (rename result_27 "result[27]") (joined (portRef Q (instanceRef r_x_result_27)) (portRef B (instanceRef r_w_s_tba_RNO_0_15)) (portRef A (instanceRef r_x_result_RNI6VED_27)) (portRef B (instanceRef r_w_s_y_RNO_1_27)) )) (net (rename cpi_m_3_419 "cpi_m_3[419]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_27)) (portRef C (instanceRef r_w_s_y_RNO_1_27)) )) (net (rename y_1_iv_0_2 "y_1_iv_0[2]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_2)) (portRef A (instanceRef r_w_s_y_RNO_2)) )) (net (rename ddata_2 "ddata[2]") (joined (portRef (member ddata 29)) (portRef B (instanceRef r_w_s_wim_RNIVTAC01_2)) (portRef A (instanceRef r_x_rstate_RNIEQKB_0)) (portRef B (instanceRef r_m_dci_enaddr_RNIK9212)) (portRef B (instanceRef dsur_asi_RNO_0_2)) (portRef B (instanceRef r_x_rstate_RNILHV53_0)) (portRef B (instanceRef ir_addr_RNO_1_2)) (portRef B (instanceRef r_w_s_y_RNO_0_2)) (portRef B (instanceRef r_x_ctrl_inst_RNIGTEL01_20)) )) (net (rename result_m_2 "result_m[2]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_2)) (portRef C (instanceRef r_w_s_y_RNO_0_2)) )) (net (rename y_1_iv_1_4 "y_1_iv_1[4]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_4)) (portRef C (instanceRef r_w_s_y_RNO_4)) )) (net (rename result_m_4 "result_m[4]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_4)) (portRef A (instanceRef r_w_s_y_RNO_0_4)) )) (net (rename cpi_m_3_396 "cpi_m_3[396]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_4)) (portRef B (instanceRef r_w_s_y_RNO_0_4)) )) (net (rename y_m_0_4 "y_m_0[4]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_4)) (portRef C (instanceRef r_w_s_y_RNO_0_4)) )) (net (rename y_1_iv_1_3 "y_1_iv_1[3]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_3)) (portRef C (instanceRef r_w_s_y_RNO_3)) )) (net (rename y_3 "y[3]") (joined (portRef Q (instanceRef r_x_y_3)) (portRef A (instanceRef r_m_y_RNO_3_3)) (portRef A (instanceRef r_w_s_y_RNO_0_3)) )) (net (rename y_1_iv_0_3 "y_1_iv_0[3]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_3)) (portRef C (instanceRef r_w_s_y_RNO_0_3)) )) (net (rename result_3 "result[3]") (joined (portRef Q (instanceRef r_x_result_3)) (portRef A (instanceRef r_x_result_RNIHLBB_3)) (portRef B (instanceRef r_w_s_wim_RNIAM9TT_3)) (portRef A (instanceRef r_x_ctrl_tt_RNIBTVQ_3)) (portRef B (instanceRef r_w_s_y_RNO_1_3)) )) (net (rename cpi_m_3_395 "cpi_m_3[395]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_3)) (portRef C (instanceRef r_w_s_y_RNO_1_3)) )) (net (rename y_1_iv_1_6 "y_1_iv_1[6]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_6)) (portRef C (instanceRef r_w_s_y_RNO_6)) )) (net (rename y_6 "y[6]") (joined (portRef Q (instanceRef r_x_y_6)) (portRef A (instanceRef r_m_y_RNO_4_6)) (portRef A (instanceRef r_w_s_y_RNO_0_6)) )) (net (rename y_1_iv_0_6 "y_1_iv_0[6]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_6)) (portRef C (instanceRef r_w_s_y_RNO_0_6)) )) (net (rename result_6 "result[6]") (joined (portRef Q (instanceRef r_x_result_6)) (portRef A (instanceRef r_x_result_RNINDCB_6)) (portRef B (instanceRef r_w_s_wim_RNIG2ATT_6)) (portRef A (instanceRef r_x_result_RNIA8LRT_6)) (portRef A (instanceRef r_x_result_RNIF7GQ_6)) (portRef B (instanceRef r_w_s_y_RNO_1_6)) )) (net (rename cpi_m_3_398 "cpi_m_3[398]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_6)) (portRef C (instanceRef r_w_s_y_RNO_1_6)) )) (net (rename y_1_iv_1_12 "y_1_iv_1[12]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_12)) (portRef C (instanceRef r_w_s_y_RNO_12)) )) (net (rename y_12 "y[12]") (joined (portRef Q (instanceRef r_x_y_12)) (portRef B (instanceRef r_m_y_RNO_3_12)) (portRef B (instanceRef r_w_s_y_RNO_0_12)) )) (net (rename y_1_iv_0_12 "y_1_iv_0[12]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_12)) (portRef C (instanceRef r_w_s_y_RNO_0_12)) )) (net (rename result_12 "result[12]") (joined (portRef Q (instanceRef r_x_result_12)) (portRef B (instanceRef r_w_s_tba_RNO_0_0)) (portRef A (instanceRef r_x_result_RNIMMED_12)) (portRef B (instanceRef r_w_s_y_RNO_1_12)) )) (net (rename cpi_m_3_404 "cpi_m_3[404]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_12)) (portRef C (instanceRef r_w_s_y_RNO_1_12)) )) (net (rename y_1_iv_1_26 "y_1_iv_1[26]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_26)) (portRef C (instanceRef r_w_s_y_RNO_26)) )) (net (rename y_26 "y[26]") (joined (portRef Q (instanceRef r_x_y_26)) (portRef B (instanceRef r_m_y_RNO_3_26)) (portRef B (instanceRef r_w_s_y_RNO_0_26)) )) (net (rename y_1_iv_0_26 "y_1_iv_0[26]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_26)) (portRef C (instanceRef r_w_s_y_RNO_0_26)) )) (net (rename result_26 "result[26]") (joined (portRef Q (instanceRef r_x_result_26)) (portRef B (instanceRef r_w_s_tba_RNO_0_14)) (portRef A (instanceRef r_x_result_RNI4VED_26)) (portRef B (instanceRef r_w_s_y_RNO_1_26)) )) (net (rename cpi_m_3_418 "cpi_m_3[418]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_26)) (portRef C (instanceRef r_w_s_y_RNO_1_26)) )) (net (rename y_1_iv_1_11 "y_1_iv_1[11]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_11)) (portRef C (instanceRef r_w_s_y_RNO_11)) )) (net (rename y_11 "y[11]") (joined (portRef Q (instanceRef r_x_y_11)) (portRef B (instanceRef r_m_y_RNO_3_11)) (portRef B (instanceRef r_w_s_y_RNO_0_11)) )) (net (rename y_1_iv_0_11 "y_1_iv_0[11]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_11)) (portRef C (instanceRef r_w_s_y_RNO_0_11)) )) (net (rename result_11 "result[11]") (joined (portRef Q (instanceRef r_x_result_11)) (portRef A (instanceRef r_w_s_pil_RNO_0_3)) (portRef A (instanceRef r_x_result_RNIFC6E_11)) (portRef B (instanceRef r_w_s_y_RNO_1_11)) )) (net (rename cpi_m_3_403 "cpi_m_3[403]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_11)) (portRef C (instanceRef r_w_s_y_RNO_1_11)) )) (net (rename y_1_iv_1_30 "y_1_iv_1[30]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_30)) (portRef C (instanceRef r_w_s_y_RNO_30)) )) (net (rename result_m_30 "result_m[30]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_30)) (portRef A (instanceRef r_w_s_y_RNO_0_30)) )) (net (rename cpi_m_3_422 "cpi_m_3[422]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_30)) (portRef B (instanceRef r_w_s_y_RNO_0_30)) )) (net (rename y_m_0_30 "y_m_0[30]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_30)) (portRef C (instanceRef r_w_s_y_RNO_0_30)) )) (net (rename y_1_iv_1_16 "y_1_iv_1[16]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_16)) (portRef C (instanceRef r_w_s_y_RNO_16)) )) (net (rename y_16 "y[16]") (joined (portRef Q (instanceRef r_x_y_16)) (portRef B (instanceRef r_m_y_RNO_1_16)) (portRef B (instanceRef r_w_s_y_RNO_0_16)) )) (net (rename y_1_iv_0_16 "y_1_iv_0[16]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_16)) (portRef C (instanceRef r_w_s_y_RNO_0_16)) )) (net (rename result_16 "result[16]") (joined (portRef Q (instanceRef r_x_result_16)) (portRef B (instanceRef r_w_s_tba_RNO_0_4)) (portRef A (instanceRef r_x_result_RNIUMED_16)) (portRef B (instanceRef r_w_s_y_RNO_1_16)) )) (net (rename cpi_m_3_408 "cpi_m_3[408]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_16)) (portRef C (instanceRef r_w_s_y_RNO_1_16)) )) (net (rename y_1_iv_0_23 "y_1_iv_0[23]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_23)) (portRef A (instanceRef r_w_s_y_RNO_23)) )) (net (rename result_m_23 "result_m[23]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_23)) (portRef C (instanceRef r_w_s_y_RNO_0_23)) )) (net (rename y_1_iv_1_21 "y_1_iv_1[21]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_21)) (portRef C (instanceRef r_w_s_y_RNO_21)) )) (net (rename result_m_21 "result_m[21]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_21)) (portRef A (instanceRef r_w_s_y_RNO_0_21)) )) (net (rename cpi_m_3_413 "cpi_m_3[413]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_21)) (portRef B (instanceRef r_w_s_y_RNO_0_21)) )) (net (rename y_m_0_21 "y_m_0[21]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_21)) (portRef C (instanceRef r_w_s_y_RNO_0_21)) )) (net (rename y_1_iv_1_13 "y_1_iv_1[13]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_13)) (portRef C (instanceRef r_w_s_y_RNO_13)) )) (net (rename y_13 "y[13]") (joined (portRef Q (instanceRef r_x_y_13)) (portRef B (instanceRef r_m_y_RNO_0_13)) (portRef B (instanceRef r_w_s_y_RNO_0_13)) )) (net (rename y_1_iv_0_13 "y_1_iv_0[13]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_13)) (portRef C (instanceRef r_w_s_y_RNO_0_13)) )) (net (rename result_13 "result[13]") (joined (portRef Q (instanceRef r_x_result_13)) (portRef A (instanceRef r_x_result_RNIJC6E_13)) (portRef B (instanceRef r_w_s_tba_RNO_0_1)) (portRef B (instanceRef r_w_s_y_RNO_1_13)) )) (net (rename cpi_m_4_405 "cpi_m_4[405]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_13)) (portRef C (instanceRef r_w_s_y_RNO_1_13)) )) (net (rename y_1_iv_1_7 "y_1_iv_1[7]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_7)) (portRef C (instanceRef r_w_s_y_RNO_7)) )) (net (rename y_7 "y[7]") (joined (portRef Q (instanceRef r_x_y_7)) (portRef A (instanceRef r_m_y_RNO_13_7)) (portRef A (instanceRef r_w_s_y_RNO_0_7)) )) (net (rename y_1_iv_0_7 "y_1_iv_0[7]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_7)) (portRef C (instanceRef r_w_s_y_RNO_0_7)) )) (net (rename result_7 "result[7]") (joined (portRef Q (instanceRef r_x_result_7)) (portRef A (instanceRef r_x_result_RNIUVKA_7)) (portRef B (instanceRef r_x_result_RNIK8A0U1_7)) (portRef B (instanceRef r_w_s_y_RNO_1_7)) )) (net (rename cpi_m_3_399 "cpi_m_3[399]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_7)) (portRef C (instanceRef r_w_s_y_RNO_1_7)) )) (net (rename y_1_iv_1_28 "y_1_iv_1[28]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_28)) (portRef C (instanceRef r_w_s_y_RNO_28)) )) (net (rename y_28 "y[28]") (joined (portRef Q (instanceRef r_x_y_28)) (portRef B (instanceRef r_m_y_RNO_4_28)) (portRef B (instanceRef r_w_s_y_RNO_0_28)) )) (net (rename y_1_iv_0_28 "y_1_iv_0[28]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_28)) (portRef C (instanceRef r_w_s_y_RNO_0_28)) )) (net (rename result_28 "result[28]") (joined (portRef Q (instanceRef r_x_result_28)) (portRef B (instanceRef r_w_s_tba_RNO_0_16)) (portRef A (instanceRef r_x_result_RNI8VED_28)) (portRef B (instanceRef r_w_s_y_RNO_1_28)) )) (net (rename cpi_m_3_420 "cpi_m_3[420]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_28)) (portRef C (instanceRef r_w_s_y_RNO_1_28)) )) (net (rename y_1_iv_1_17 "y_1_iv_1[17]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_17)) (portRef C (instanceRef r_w_s_y_RNO_17)) )) (net (rename y_17 "y[17]") (joined (portRef Q (instanceRef r_x_y_17)) (portRef B (instanceRef r_m_y_RNO_3_17)) (portRef B (instanceRef r_w_s_y_RNO_0_17)) )) (net (rename y_1_iv_0_17 "y_1_iv_0[17]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_17)) (portRef C (instanceRef r_w_s_y_RNO_0_17)) )) (net (rename result_17 "result[17]") (joined (portRef Q (instanceRef r_x_result_17)) (portRef B (instanceRef r_w_s_tba_RNO_0_5)) (portRef A (instanceRef r_x_result_RNI0NED_17)) (portRef B (instanceRef r_w_s_y_RNO_1_17)) )) (net (rename cpi_m_3_409 "cpi_m_3[409]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_17)) (portRef C (instanceRef r_w_s_y_RNO_1_17)) )) (net (rename y_1_iv_1_1 "y_1_iv_1[1]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_1)) (portRef C (instanceRef r_w_s_y_RNO_1)) )) (net (rename y_1 "y[1]") (joined (portRef Q (instanceRef r_x_y_1)) (portRef A (instanceRef r_m_y_RNO_1_1)) (portRef A (instanceRef r_w_s_y_RNO_0_1)) )) (net (rename y_1_iv_0_1 "y_1_iv_0[1]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_1)) (portRef C (instanceRef r_w_s_y_RNO_0_1)) )) (net (rename resultZ0Z_1 "result[1]") (joined (portRef Q (instanceRef r_x_result_1)) (portRef C (instanceRef r_x_result_RNIAP9VT_1)) (portRef A (instanceRef r_x_result_RNID5BB_1)) (portRef A (instanceRef r_x_ctrl_tt_RNI7LVQ_1)) (portRef B (instanceRef r_w_s_wim_RNI6E9TT_1)) (portRef B (instanceRef r_w_s_y_RNO_1_1)) )) (net (rename cpi_m_1_393 "cpi_m_1[393]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_1)) (portRef C (instanceRef r_w_s_y_RNO_1_1)) )) (net (rename y_1_iv_0_1_29 "y_1_iv_0_1[29]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_29)) (portRef C (instanceRef r_w_s_y_RNO_29)) )) (net (rename result_29 "result[29]") (joined (portRef Q (instanceRef r_x_result_29)) (portRef A (instanceRef r_x_result_RNI5L6E_29)) (portRef B (instanceRef r_w_s_tba_RNO_0_17)) (portRef B (instanceRef r_w_s_y_RNO_0_29)) )) (net (rename y_1_iv_0_0_29 "y_1_iv_0_0[29]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_29)) (portRef C (instanceRef r_w_s_y_RNO_0_29)) )) (net (rename y_29 "y[29]") (joined (portRef Q (instanceRef r_x_y_29)) (portRef B (instanceRef r_m_y_RNO_3_29)) (portRef B (instanceRef r_w_s_y_RNO_1_29)) )) (net N_375 (joined (portRef Y (instanceRef r_w_s_y_RNO_2_29)) (portRef C (instanceRef r_w_s_y_RNO_1_29)) )) (net (rename y_1_iv_0_1_15 "y_1_iv_0_1[15]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_15)) (portRef C (instanceRef r_w_s_y_RNO_15)) )) (net N_367 (joined (portRef Y (instanceRef r_w_s_y_RNO_1_15)) (portRef A (instanceRef r_w_s_y_RNO_0_15)) )) (net N_366 (joined (portRef Y (instanceRef r_w_s_y_RNO_2_15)) (portRef B (instanceRef r_w_s_y_RNO_0_15)) )) (net N_368 (joined (portRef Y (instanceRef r_w_s_y_RNO_3_15)) (portRef C (instanceRef r_w_s_y_RNO_0_15)) )) (net (rename y_1_iv_0_1_8 "y_1_iv_0_1[8]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_8)) (portRef C (instanceRef r_w_s_y_RNO_8)) )) (net N_358 (joined (portRef Y (instanceRef r_w_s_y_RNO_1_8)) (portRef A (instanceRef r_w_s_y_RNO_0_8)) )) (net N_357 (joined (portRef Y (instanceRef r_w_s_y_RNO_2_8)) (portRef B (instanceRef r_w_s_y_RNO_0_8)) )) (net N_359 (joined (portRef Y (instanceRef r_w_s_y_RNO_3_8)) (portRef C (instanceRef r_w_s_y_RNO_0_8)) )) (net (rename y_1_iv_0_1_19 "y_1_iv_0_1[19]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_19)) (portRef C (instanceRef r_w_s_y_RNO_19)) )) (net N_331 (joined (portRef Y (instanceRef r_w_s_y_RNO_1_19)) (portRef A (instanceRef r_w_s_y_RNO_0_19)) )) (net N_330 (joined (portRef Y (instanceRef r_w_s_y_RNO_2_19)) (portRef B (instanceRef r_w_s_y_RNO_0_19)) )) (net N_332 (joined (portRef Y (instanceRef r_w_s_y_RNO_3_19)) (portRef C (instanceRef r_w_s_y_RNO_0_19)) )) (net (rename y_1_iv_0_1_5 "y_1_iv_0_1[5]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_5)) (portRef C (instanceRef r_w_s_y_RNO_5)) )) (net (rename result_5 "result[5]") (joined (portRef Q (instanceRef r_x_result_5)) (portRef C (instanceRef r_x_result_RNIE9AVT_5)) (portRef A (instanceRef r_x_ctrl_tt_RNIF50R_5)) (portRef B (instanceRef r_w_s_wim_RNIEU9TT_5)) (portRef A (instanceRef r_x_result_RNIQFKA_5)) (portRef B (instanceRef r_w_s_y_RNO_0_5)) )) (net (rename y_1_iv_0_0_5 "y_1_iv_0_0[5]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_5)) (portRef C (instanceRef r_w_s_y_RNO_0_5)) )) (net (rename y_5 "y[5]") (joined (portRef Q (instanceRef r_x_y_5)) (portRef A (instanceRef r_m_y_RNO_1_5)) (portRef A (instanceRef r_w_s_y_RNO_1_5)) )) (net N_334 (joined (portRef Y (instanceRef r_w_s_y_RNO_2_5)) (portRef C (instanceRef r_w_s_y_RNO_1_5)) )) (net (rename y_1_iv_1_22 "y_1_iv_1[22]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_22)) (portRef C (instanceRef r_w_s_y_RNO_22)) )) (net (rename y_22 "y[22]") (joined (portRef Q (instanceRef r_x_y_22)) (portRef B (instanceRef r_m_y_RNO_4_22)) (portRef B (instanceRef r_w_s_y_RNO_0_22)) )) (net (rename y_1_iv_0_22 "y_1_iv_0[22]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_22)) (portRef C (instanceRef r_w_s_y_RNO_0_22)) )) (net (rename result_22 "result[22]") (joined (portRef Q (instanceRef r_x_result_22)) (portRef B (instanceRef r_w_s_tba_RNO_0_10)) (portRef A (instanceRef r_x_result_RNISUED_22)) (portRef B (instanceRef r_w_s_icc_RNO_0_2)) (portRef B (instanceRef r_w_s_y_RNO_1_22)) )) (net (rename cpi_m_3_414 "cpi_m_3[414]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_22)) (portRef C (instanceRef r_w_s_y_RNO_1_22)) )) (net (rename y_1_iv_1_18 "y_1_iv_1[18]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_18)) (portRef C (instanceRef r_w_s_y_RNO_18)) )) (net (rename result_m_18 "result_m[18]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_18)) (portRef A (instanceRef r_w_s_y_RNO_0_18)) )) (net (rename cpi_m_3_410 "cpi_m_3[410]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_18)) (portRef B (instanceRef r_w_s_y_RNO_0_18)) )) (net (rename y_m_0_18 "y_m_0[18]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_18)) (portRef C (instanceRef r_w_s_y_RNO_0_18)) )) (net (rename y_1_iv_1_20 "y_1_iv_1[20]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_20)) (portRef C (instanceRef r_w_s_y_RNO_20)) )) (net (rename y_20 "y[20]") (joined (portRef Q (instanceRef r_x_y_20)) (portRef B (instanceRef r_m_y_RNO_5_20)) (portRef B (instanceRef r_w_s_y_RNO_0_20)) )) (net (rename y_1_iv_0_20 "y_1_iv_0[20]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_20)) (portRef C (instanceRef r_w_s_y_RNO_0_20)) )) (net (rename result_20 "result[20]") (joined (portRef Q (instanceRef r_x_result_20)) (portRef A (instanceRef r_x_result_RNIJK6E_20)) (portRef B (instanceRef r_w_s_tba_RNO_0_8)) (portRef B (instanceRef r_w_s_icc_RNO_0_0)) (portRef B (instanceRef r_w_s_y_RNO_1_20)) )) (net (rename cpi_m_3_412 "cpi_m_3[412]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_20)) (portRef C (instanceRef r_w_s_y_RNO_1_20)) )) (net (rename y_1_iv_1_25 "y_1_iv_1[25]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_25)) (portRef C (instanceRef r_w_s_y_RNO_25)) )) (net (rename result_m_25 "result_m[25]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_25)) (portRef A (instanceRef r_w_s_y_RNO_0_25)) )) (net (rename cpi_m_3_417 "cpi_m_3[417]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_25)) (portRef B (instanceRef r_w_s_y_RNO_0_25)) )) (net (rename y_m_0_25 "y_m_0[25]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_25)) (portRef C (instanceRef r_w_s_y_RNO_0_25)) )) (net (rename y_1_iv_1_10 "y_1_iv_1[10]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_10)) (portRef C (instanceRef r_w_s_y_RNO_10)) )) (net y_1_sqmuxa (joined (portRef Y (instanceRef r_x_ctrl_wy_RNIMUT1S)) (portRef A (instanceRef r_w_s_y_RNO_3_24)) (portRef A (instanceRef r_w_s_y_RNO_3_31)) (portRef B (instanceRef r_w_s_y_RNO_1_2)) (portRef B (instanceRef r_w_s_y_RNO_3_4)) (portRef A (instanceRef r_w_s_y_RNO_3_30)) (portRef B (instanceRef r_w_s_y_RNO_3_0)) (portRef A (instanceRef r_w_s_y_RNO_1_19)) (portRef A (instanceRef r_w_s_y_RNO_1_8)) (portRef A (instanceRef r_w_s_y_RNO_1_15)) (portRef A (instanceRef r_w_s_y_RNO_1_23)) (portRef A (instanceRef r_w_s_y_RNO_3_21)) (portRef A (instanceRef r_w_s_y_RNO_3_18)) (portRef A (instanceRef r_w_s_y_RNO_3_25)) (portRef A (instanceRef r_w_s_y_RNO_3_9)) (portRef A (instanceRef r_w_s_y_RNO_0_14)) (portRef A (instanceRef r_w_s_y_RNO_0_10)) )) (net (rename y_10 "y[10]") (joined (portRef Q (instanceRef r_x_y_10)) (portRef B (instanceRef r_m_y_RNO_5_10)) (portRef B (instanceRef r_w_s_y_RNO_0_10)) )) (net (rename y_1_iv_0_10 "y_1_iv_0[10]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_10)) (portRef C (instanceRef r_w_s_y_RNO_0_10)) )) (net y_2_sqmuxa (joined (portRef Y (instanceRef r_x_ctrl_wy_RNIJQ6TU)) (portRef A (instanceRef r_w_s_y_RNO_1_9)) (portRef A (instanceRef r_w_s_y_RNO_1_24)) (portRef A (instanceRef r_w_s_y_RNO_1_0)) (portRef A (instanceRef r_w_s_y_RNO_1_25)) (portRef A (instanceRef r_w_s_y_RNO_1_31)) (portRef A (instanceRef r_w_s_y_RNO_3_2)) (portRef A (instanceRef r_w_s_y_RNO_1_4)) (portRef A (instanceRef r_w_s_y_RNO_1_30)) (portRef A (instanceRef r_w_s_y_RNO_3_19)) (portRef A (instanceRef r_w_s_y_RNO_3_8)) (portRef A (instanceRef r_w_s_y_RNO_3_15)) (portRef A (instanceRef r_w_s_y_RNO_3_23)) (portRef A (instanceRef r_w_s_y_RNO_1_21)) (portRef A (instanceRef r_w_s_y_RNO_1_18)) (portRef A (instanceRef r_w_s_y_RNO_1_14)) (portRef A (instanceRef r_w_s_y_RNO_1_10)) )) (net (rename result_10 "result[10]") (joined (portRef Q (instanceRef r_x_result_10)) (portRef A (instanceRef r_w_s_pil_RNO_0_2)) (portRef A (instanceRef r_x_result_RNIIMED_10)) (portRef B (instanceRef r_w_s_y_RNO_1_10)) )) (net (rename cpi_m_3_402 "cpi_m_3[402]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_10)) (portRef C (instanceRef r_w_s_y_RNO_1_10)) )) (net (rename y_1_iv_1_9 "y_1_iv_1[9]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_9)) (portRef C (instanceRef r_w_s_y_RNO_9)) )) (net (rename result_m_9 "result_m[9]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_9)) (portRef A (instanceRef r_w_s_y_RNO_0_9)) )) (net (rename cpi_m_3_401 "cpi_m_3[401]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_9)) (portRef B (instanceRef r_w_s_y_RNO_0_9)) )) (net (rename y_m_0_9 "y_m_0[9]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3_9)) (portRef C (instanceRef r_w_s_y_RNO_0_9)) )) (net (rename y_1_iv_1_14 "y_1_iv_1[14]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0_14)) (portRef C (instanceRef r_w_s_y_RNO_14)) )) (net (rename y_14 "y[14]") (joined (portRef Q (instanceRef r_x_y_14)) (portRef B (instanceRef r_m_y_RNO_2_14)) (portRef B (instanceRef r_w_s_y_RNO_0_14)) )) (net (rename y_1_iv_0_14 "y_1_iv_0[14]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_14)) (portRef C (instanceRef r_w_s_y_RNO_0_14)) )) (net (rename result_14 "result[14]") (joined (portRef Q (instanceRef r_x_result_14)) (portRef A (instanceRef r_x_result_RNILC6E_14)) (portRef B (instanceRef r_w_s_tba_RNO_0_2)) (portRef B (instanceRef r_w_s_dwt_RNO_2)) (portRef B (instanceRef r_w_s_y_RNO_1_14)) )) (net (rename cpi_m_3_406 "cpi_m_3[406]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_14)) (portRef C (instanceRef r_w_s_y_RNO_1_14)) )) (net (rename icco_0_a0_2_1 "icco_0_a0_2[1]") (joined (portRef Y (instanceRef r_e_op1_RNIA2TH3_0)) (portRef A (instanceRef r_e_op2_RNIRVFDD4_0)) )) (net (rename icc_8_m_2_1 "icc_8_m_2[1]") (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIVD3H1_0_24)) (portRef B (instanceRef r_e_alusel_RNI2FJL4_0)) (portRef A (instanceRef r_e_ctrl_inst_RNI3EAQ4_24)) (portRef B (instanceRef r_e_op1_RNIA2TH3_0)) )) (net (rename icc_7_1_0_1 "icc_7_1_0[1]") (joined (portRef Y (instanceRef r_e_op1_RNI62M8_0)) (portRef C (instanceRef r_e_op2_RNIE0R84_0)) (portRef C (instanceRef r_e_op1_RNIA2TH3_0)) )) (net (rename icco_0_a0_1_1 "icco_0_a0_1[1]") (joined (portRef Y (instanceRef r_e_op2_RNI4UTM_0_0)) (portRef B (instanceRef r_e_op2_RNIRVFDD4_0)) )) (net (rename op2_RNI59C6_0 "op2_RNI59C6[0]") (joined (portRef Y (instanceRef r_e_op2_RNI59C6_0)) (portRef B (instanceRef r_e_op2_RNI78NA_0_0)) (portRef A (instanceRef r_e_aluop_0_RNI21JC_2)) (portRef A (instanceRef r_e_op2_RNI78NA_0)) (portRef A (instanceRef r_e_op2_RNIG05O_0)) (portRef C (instanceRef r_e_aluop_0_RNI11JC_1)) (portRef A (instanceRef r_e_op2_RNI4UTM_0)) (portRef A (instanceRef r_e_op2_RNI4UTM_0_0)) )) (net (rename op2_RNIVKHG_1 "op2_RNIVKHG[1]") (joined (portRef Y (instanceRef r_e_op2_RNIVKHG_1)) (portRef B (instanceRef r_e_op2_RNI3OSK_0_1)) (portRef A (instanceRef r_e_op2_RNI3OSK_1)) (portRef A (instanceRef r_e_aluop_RNINJOS_2)) (portRef A (instanceRef r_e_op2_RNIACA21_1)) (portRef C (instanceRef r_e_aluop_1_RNIUGOM_1)) (portRef B (instanceRef r_e_op2_RNI4UTM_0)) (portRef B (instanceRef r_e_op2_RNI4UTM_0_0)) )) (net (rename eres2_iv_0_28 "eres2_iv_0[28]") (joined (portRef Y (instanceRef r_e_op1_RNIHQ7J2_28)) (portRef C (instanceRef r_e_op1_RNIDS48E6_28)) )) (net (rename op1_28 "op1[28]") (joined (portRef Q (instanceRef r_e_op1_28)) (portRef A (instanceRef r_e_op1_RNICDID_28)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I29_P0N)) (portRef B (instanceRef r_e_op1_RNIQS6C4_28)) (portRef A (instanceRef r_e_op1_RNIHQ7J2_28)) )) (net (rename cpi_m_0_420 "cpi_m_0[420]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNICNF32)) (portRef C (instanceRef r_e_op1_RNIHQ7J2_28)) )) (net ctrl_annul_i_0_a2_0_3 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIJLFTO)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNI6FM3C2)) )) (net ctrl_annul_i_0_a2_0_2 (joined (portRef Y (instanceRef r_d_annul_RNI4DC0D)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNIJLFTO)) )) (net ctrl_annul_i_0_a2_0_0 (joined (portRef Y (instanceRef r_d_annul_RNIIQ1J2)) (portRef A (instanceRef r_d_annul_RNI4DC0D)) )) (net hold_pc_1_sqmuxa (joined (portRef Y (instanceRef r_d_annul_RNIP2H4)) (portRef A (instanceRef r_d_annul_RNIV849)) (portRef B (instanceRef r_d_inull_RNIPRHA)) (portRef A (instanceRef r_d_annul_RNILAQ33)) )) (net un1_rabpmiss_m6_i_a4_4 (joined (portRef Y (instanceRef r_d_inst_0_RNIU3MD_27)) (portRef B (instanceRef r_d_annul_RNILAQ33)) )) (net un1_rabpmiss_m6_i_a4_2 (joined (portRef Y (instanceRef r_d_inull_RNIBP06)) (portRef A (instanceRef r_d_inst_0_RNIU3MD_27)) )) (net un1_rabpmiss_m6_i_a4_1 (joined (portRef Y (instanceRef r_d_inst_0_RNID423_27)) (portRef B (instanceRef r_d_inst_0_RNIU3MD_27)) )) (net un1_rabpmiss_m6_i_a4_0 (joined (portRef Y (instanceRef r_d_inull_RNI2LU2)) (portRef A (instanceRef r_d_inull_RNIBP06)) )) (net N_191_1 (joined (portRef Y (instanceRef r_d_inst_0_RNI9423_25)) (portRef B (instanceRef r_a_ctrl_wy_RNO_2)) (portRef B (instanceRef r_d_inull_RNIBP06)) )) (net (rename inst_0_28 "inst_0[28]") (joined (portRef Q (instanceRef r_d_inst_0_28)) (portRef D (instanceRef r_a_ctrl_inst_28)) (portRef B (instanceRef r_d_inst_0_RNO_0_28)) (portRef C (instanceRef r_d_inst_0_RNIJCK6_27)) (portRef A (instanceRef r_m_icc_RNIB6A3_2)) (portRef A (instanceRef r_m_icc_RNI96A3_0)) (portRef C (instanceRef r_m_icc_RNIJES6_2)) (portRef A (instanceRef r_m_icc_RNIC6A3_3)) (portRef A (instanceRef r_m_icc_RNIA6A3_1)) (portRef A (instanceRef r_d_inst_0_RNIFA35_28)) (portRef B (instanceRef r_d_inst_0_RNIF423_29)) (portRef B (instanceRef r_d_inst_0_RNI9AJ4_28)) (portRef B (instanceRef r_d_inst_0_RNICEJ4_28)) (portRef A (instanceRef r_d_inst_0_RNI5DOH_17)) (portRef B (instanceRef comb_rd_gen_un19_rd_RNO_0)) (portRef A (instanceRef r_d_inst_0_RNID423_27)) )) (net (rename inst_0_27 "inst_0[27]") (joined (portRef Q (instanceRef r_d_inst_0_27)) (portRef D (instanceRef r_a_ctrl_inst_27)) (portRef B (instanceRef r_d_inst_0_RNIJCK6_27)) (portRef S (instanceRef r_d_inst_0_RNI5NNB_27)) (portRef S (instanceRef r_d_inst_0_RNIQE58_27)) (portRef S (instanceRef r_d_inst_0_RNIVIU9_27)) (portRef B (instanceRef r_d_inst_0_RNIBEJ4_27)) (portRef B (instanceRef r_d_inst_0_RNO_0_27)) (portRef B (instanceRef r_d_inst_0_RNI8AJ4_27)) (portRef A (instanceRef r_d_inst_0_RNI3DOH_16)) (portRef C (instanceRef r_d_inst_0_RNIF6J4_25)) (portRef C (instanceRef r_a_ctrl_wy_RNO_2)) (portRef B (instanceRef r_d_inst_0_RNID423_27)) )) (net (rename inst_0_29 "inst_0[29]") (joined (portRef Q (instanceRef r_d_inst_0_29)) (portRef B (instanceRef un9_ra_I_1)) (portRef B (instanceRef un9_ra_I_9)) (portRef D (instanceRef r_a_ctrl_inst_29)) (portRef B (instanceRef r_d_inst_0_RNIAAJ4_29)) (portRef A (instanceRef r_d_inst_0_RNIF423_29)) (portRef B (instanceRef r_d_inst_0_RNO_0_29)) (portRef B (instanceRef r_d_inst_0_RNIQCA01F_29)) (portRef A (instanceRef r_d_inst_0_RNIDEJ4_29)) (portRef A (instanceRef r_d_inst_0_RNI7DOH_18)) (portRef B (instanceRef r_d_inst_0_RNI50N39E_29)) (portRef A (instanceRef r_d_inull_RNI2LU2)) )) (net inull (joined (portRef Q (instanceRef r_d_inull)) (portRef A (instanceRef r_d_inull_RNICHGG)) (portRef A (instanceRef r_d_inull_RNI7AAMA1)) (portRef A (instanceRef r_d_inull_RNIPRHA)) (portRef B (instanceRef r_d_inull_RNI2LU2)) (portRef A (instanceRef r_d_inull_RNIE9S2)) (portRef inull) )) (net ps_1_iv_0 (joined (portRef Y (instanceRef r_w_s_s_RNIMLAJU)) (portRef A (instanceRef r_w_s_ps_RNIO6A2K4)) )) (net s (joined (portRef Q (instanceRef r_w_s_s)) (portRef A (instanceRef r_m_dci_asi_RNO_0_0)) (portRef B (instanceRef r_w_s_s_RNO_0)) (portRef A (instanceRef r_w_s_s_RNILJU9R3)) (portRef A (instanceRef r_w_s_s_RNIP7DB2)) (portRef A (instanceRef r_w_s_s_RNIMLAJU)) )) (net (rename cpi_m_4_398 "cpi_m_4[398]") (joined (portRef Y (instanceRef r_x_rstate_RNIRB1F2_0)) (portRef C (instanceRef r_w_s_s_RNIMLAJU)) )) (net (rename aluresult_1_iv_8_31 "aluresult_1_iv_8[31]") (joined (portRef Y (instanceRef r_e_jmpl_RNIPOVGQ1)) (portRef A (instanceRef r_e_jmpl_RNIJ6IC27)) )) (net (rename shiftin_17_32 "shiftin_17[32]") (joined (portRef Y (instanceRef r_e_shcnt_RNI8R8VU_1)) (portRef A (instanceRef r_e_jmpl_RNIPOVGQ1)) )) (net (rename aluresult_1_iv_7_31 "aluresult_1_iv_7[31]") (joined (portRef Y (instanceRef r_m_y_RNIK5GPQ_31)) (portRef C (instanceRef r_e_jmpl_RNIPOVGQ1)) )) (net (rename aluresult_1_iv_4_31 "aluresult_1_iv_4[31]") (joined (portRef Y (instanceRef r_w_s_tba_RNI5A2VB_19)) (portRef A (instanceRef r_m_y_RNIK5GPQ_31)) )) (net (rename aluresult_1_iv_3_31 "aluresult_1_iv_3[31]") (joined (portRef Y (instanceRef r_x_result_RNIE22I7_7)) (portRef B (instanceRef r_m_y_RNIK5GPQ_31)) )) (net (rename aluresult_1_iv_6_31 "aluresult_1_iv_6[31]") (joined (portRef Y (instanceRef r_m_y_RNI1PB87_31)) (portRef C (instanceRef r_m_y_RNIK5GPQ_31)) )) (net (rename logicout_31 "logicout[31]") (joined (portRef Y (instanceRef r_e_aluop_RNIDAEK5_0)) (portRef A (instanceRef r_m_y_RNO_2_31)) (portRef B (instanceRef r_m_icc_RNO_17_2)) (portRef A (instanceRef r_m_y_RNI1PB87_31)) )) (net (rename y_m_1_31 "y_m_1[31]") (joined (portRef Y (instanceRef r_m_y_RNIP1O71_31)) (portRef C (instanceRef r_m_y_RNI1PB87_31)) )) (net (rename aluresult_1_iv_1_31 "aluresult_1_iv_1[31]") (joined (portRef Y (instanceRef r_x_result_RNISCAA7_31)) (portRef A (instanceRef r_w_s_tba_RNI5A2VB_19)) )) (net (rename aluresult_1_iv_0_31 "aluresult_1_iv_0[31]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIUP412_31)) (portRef B (instanceRef r_w_s_tba_RNI5A2VB_19)) )) (net (rename tba_m_1_19 "tba_m_1[19]") (joined (portRef Y (instanceRef r_w_s_tba_RNIB3JJ2_19)) (portRef C (instanceRef r_w_s_tba_RNI5A2VB_19)) )) (net (rename result_RNICOCV3_15 "result_RNICOCV3[15]") (joined (portRef Y (instanceRef r_x_result_RNICOCV3_15)) (portRef C (instanceRef r_x_result_RNIE22I7_7)) )) (net aluresult_6_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_1_RNIANOH_1)) (portRef B (instanceRef r_x_result_RNIG2LU3_22)) (portRef A (instanceRef r_e_aluop_0_RNIJEH31_1)) (portRef B (instanceRef r_x_result_RNIK6LU3_23)) (portRef A (instanceRef r_x_result_RNI7LDV3_29)) (portRef B (instanceRef r_x_result_RNIQALU3_17)) (portRef A (instanceRef r_x_result_RNIUELU3_18)) (portRef B (instanceRef r_e_aluop_1_RNIT5AL1_1)) (portRef A (instanceRef r_e_ctrl_pc_RNIC2LT6_19)) (portRef B (instanceRef r_e_ctrl_pc_RNIS6AU6_21)) (portRef A (instanceRef r_x_result_RNIB3H55_26)) (portRef A (instanceRef r_x_result_RNIT62B7_25)) (portRef B (instanceRef r_e_ctrl_pc_RNISI4K6_20)) (portRef A (instanceRef r_e_ctrl_pc_RNIIIFJ6_27)) (portRef A (instanceRef r_x_result_RNIUCAA7_24)) (portRef A (instanceRef r_x_result_RNIJU1B7_30)) (portRef B (instanceRef r_e_ctrl_pc_RNINTJT6_16)) (portRef A (instanceRef r_x_result_RNIETAA7_28)) (portRef A (instanceRef r_x_result_RNISCAA7_31)) )) (net (rename bpdata_31 "bpdata[31]") (joined (portRef Y (instanceRef r_x_result_RNICJSC3_31)) (portRef B (instanceRef r_x_result_RNI39ES3_31)) (portRef B (instanceRef r_x_result_RNISCAA7_31)) )) (net (rename aluresult_6_31 "aluresult_6[31]") (joined (portRef Y (instanceRef r_e_aluop_1_RNI62LB3_1)) (portRef C (instanceRef r_x_result_RNIT62B7_25)) (portRef B (instanceRef r_e_aluop_1_RNIDN2B7_1)) (portRef C (instanceRef r_x_result_RNIUCAA7_24)) (portRef C (instanceRef r_x_result_RNIJU1B7_30)) (portRef C (instanceRef r_x_result_RNIETAA7_28)) (portRef C (instanceRef r_x_result_RNISCAA7_31)) )) (net (rename op2_RNIT6OP_31 "op2_RNIT6OP[31]") (joined (portRef Y (instanceRef r_e_op2_RNIT6OP_31)) (portRef A (instanceRef r_e_op2_RNIVR971_31)) (portRef A (instanceRef r_e_aluop_RNIJN5F1_2)) (portRef A (instanceRef r_e_op2_RNIDVK494_0_31)) (portRef B (instanceRef r_e_op2_RNIVR971_0_31)) (portRef A (instanceRef r_e_op2_RNIDVK494_31)) (portRef C (instanceRef r_e_aluop_0_RNIPK591_1)) (portRef A (instanceRef r_e_ctrl_pc_RNIUP412_31)) )) (net (rename cpi_m_176 "cpi_m[176]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIMRJL_31)) (portRef C (instanceRef r_e_ctrl_pc_RNIUP412_31)) )) (net me_nullify2_1_b0_m3_0_a2_1_0 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIME9784)) (portRef A (instanceRef r_e_ldbp2_1_RNI3L50AT)) )) (net (rename eaddress_31 "eaddress[31]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIE3BT74)) (portRef C (instanceRef r_e_op2_RNIDVK494_0_31)) (portRef B (instanceRef r_e_jmpl_RNI9GG984)) (portRef C (instanceRef r_e_op2_RNIDVK494_31)) (portRef B (instanceRef r_f_pc_RNO_15_31)) (portRef A (instanceRef r_e_ldbp2_1_RNIQ1LUSN1)) (portRef A (instanceRef r_e_ldbp2_1_RNIME9784)) (portRef B (instanceRef r_f_pc_RNO_2_31)) (portRef eaddress_31) )) (net (rename eres2_iv_1_16 "eres2_iv_1[16]") (joined (portRef Y (instanceRef r_e_op1_RNIQ63K4_16)) (portRef C (instanceRef r_e_ldbp2_1_RNIQNI9Q3)) )) (net (rename dbgi_m_21 "dbgi_m[21]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIFSR02)) (portRef A (instanceRef r_e_op1_RNIQ63K4_16)) )) (net (rename op1_m_16 "op1_m[16]") (joined (portRef Y (instanceRef r_e_op1_RNI2RNF_16)) (portRef B (instanceRef r_e_op1_RNIQ63K4_16)) )) (net (rename cpi_m_0_408 "cpi_m_0[408]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI9FF32)) (portRef C (instanceRef r_e_op1_RNIQ63K4_16)) )) (net tba_1_sqmuxa_2 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI893A1_0_21)) (portRef A (instanceRef r_x_rstate_0_RNI0UGJT_1)) )) (net tba_1_sqmuxa_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIRH1E_21)) (portRef A (instanceRef r_x_ctrl_inst_RNI893A1_0_21)) )) (net wim_1_sqmuxa_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIP51E_23)) (portRef A (instanceRef r_x_ctrl_inst_RNIE0331_20)) (portRef C (instanceRef r_x_ctrl_inst_RNI893A1_22)) (portRef C (instanceRef r_x_ctrl_inst_RNI893A1_20)) (portRef A (instanceRef r_x_ctrl_inst_RNI893A1_0_20)) (portRef A (instanceRef r_x_ctrl_inst_RNIEJ1S_30)) (portRef B (instanceRef r_x_ctrl_inst_RNI893A1_21)) (portRef B (instanceRef r_x_ctrl_inst_RNI893A1_0_21)) )) (net wim_1_sqmuxa_2 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIKH0E_22)) (portRef C (instanceRef r_x_ctrl_inst_RNI893A1_21)) (portRef C (instanceRef r_x_ctrl_inst_RNI893A1_0_21)) )) (net (rename inst_19 "inst[19]") (joined (portRef Q (instanceRef r_x_ctrl_inst_19)) (portRef B (instanceRef r_x_ctrl_inst_RNIQD1E_0_20)) (portRef B (instanceRef r_x_ctrl_inst_RNISL1E_22)) (portRef A (instanceRef r_x_ctrl_inst_RNIQD1E_20)) (portRef A (instanceRef r_x_ctrl_inst_RNIRH1E_0_21)) (portRef A (instanceRef r_x_ctrl_inst_RNIRH1E_21)) )) (net (rename inst_21 "inst[21]") (joined (portRef Q (instanceRef r_x_ctrl_inst_21)) (portRef B (instanceRef r_x_ctrl_inst_RNILL0E_0_22)) (portRef B (instanceRef r_x_ctrl_inst_RNILL0E_22)) (portRef B (instanceRef r_x_ctrl_inst_RNIJD0E_20)) (portRef B (instanceRef r_x_ctrl_inst_RNIRH1E_0_21)) (portRef B (instanceRef r_x_ctrl_inst_RNIRH1E_21)) )) (net rfe_1_2 (joined (portRef Y (instanceRef r_d_inst_0_RNIABJ4N_13)) (portRef A (instanceRef r_d_inst_0_RNIR1LAL1_13)) )) (net ldcheck2 (joined (portRef Y (instanceRef r_d_inst_0_RNI08FU3_13)) (portRef A (instanceRef r_d_inst_0_RNI4B3RI_13)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIAPJ54)) (portRef A (instanceRef r_d_inst_0_RNIABJ4N_13)) )) (net rfe_1_0 (joined (portRef Y (instanceRef r_d_inst_0_RNIPTH34_0_13)) (portRef B (instanceRef r_d_inst_0_RNIABJ4N_13)) )) (net wreg_1 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIH5I2F_4)) (portRef A (instanceRef r_e_ctrl_rd_RNI98JTT_5)) (portRef A (instanceRef r_e_ctrl_rd_RNILK6P01_5)) (portRef C (instanceRef r_d_inst_0_RNIABJ4N_13)) )) (net imm (joined (portRef Y (instanceRef r_d_inst_0_RNIDHU71_13)) (portRef C (instanceRef r_d_inst_0_RNIRP5CH1_13)) (portRef B (instanceRef r_d_inst_0_RNIPTH34_13)) (portRef A (instanceRef r_d_inst_0_RNIPTH34_0_13)) )) (net un1_rs1 (joined (portRef Y (instanceRef r_d_inst_0_RNICCJR2_3)) (portRef A (instanceRef r_d_inst_0_RNIPTH34_13)) (portRef C (instanceRef r_e_ctrl_rd_RNILK6P01_5)) (portRef B (instanceRef r_d_inst_0_RNIPTH34_0_13)) )) (net branch_1_m9_0_o4_1 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIBBVQ52)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIJS9TU2)) )) (net (rename rstate_0_RNIQK206_1 "rstate_0_RNIQK206[1]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIQK206_1)) (portRef B (instanceRef r_x_rstate_0_RNIVPN36_1)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNI8HA2P)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIBBVQ52)) )) (net un2_rstn_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNIHLVBE1_1)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIGGKU52)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNIBBVQ52)) )) (net un2_rstn_6_0_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNIF76821_1)) (portRef B (instanceRef r_x_rstate_0_RNIHLVBE1_1)) )) (net (rename icc_1_iv_1_1 "icc_1_iv_1[1]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_0_1)) (portRef C (instanceRef r_w_s_icc_RNO_1)) )) (net icc_2_sqmuxa (joined (portRef Y (instanceRef r_x_ctrl_wicc_RNIF3E1U)) (portRef A (instanceRef r_w_s_icc_RNO_0_3)) (portRef A (instanceRef r_w_s_icc_RNO_0_0)) (portRef A (instanceRef r_w_s_icc_RNO_0_2)) (portRef A (instanceRef r_w_s_icc_RNO_0_1)) )) (net (rename result_21 "result[21]") (joined (portRef Q (instanceRef r_x_result_21)) (portRef B (instanceRef r_w_s_tba_RNO_0_9)) (portRef A (instanceRef r_x_result_RNILK6E_21)) (portRef B (instanceRef r_w_s_y_RNO_1_21)) (portRef B (instanceRef r_w_s_icc_RNO_0_1)) )) (net (rename icc_1_iv_0_1 "icc_1_iv_0[1]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_1_1)) (portRef C (instanceRef r_w_s_icc_RNO_0_1)) )) (net icc_1_sqmuxa (joined (portRef Y (instanceRef r_x_ctrl_wicc_RNIICA9S)) (portRef A (instanceRef r_w_s_icc_RNO_1_3)) (portRef A (instanceRef r_w_s_icc_RNO_1_0)) (portRef A (instanceRef r_w_s_icc_RNO_1_2)) (portRef A (instanceRef r_w_s_icc_RNO_1_1)) )) (net (rename icc_1 "icc[1]") (joined (portRef Q (instanceRef r_x_icc_1)) (portRef B (instanceRef r_x_icc_RNIBSID_1)) (portRef B (instanceRef r_w_s_icc_RNO_1_1)) )) (net (rename cpi_m_4_413 "cpi_m_4[413]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_2_1)) (portRef C (instanceRef r_w_s_icc_RNO_1_1)) )) (net (rename icc_1_iv_1_2 "icc_1_iv_1[2]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_0_2)) (portRef C (instanceRef r_w_s_icc_RNO_2)) )) (net (rename icc_1_iv_0_2 "icc_1_iv_0[2]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_1_2)) (portRef C (instanceRef r_w_s_icc_RNO_0_2)) )) (net (rename icc_2 "icc[2]") (joined (portRef Q (instanceRef r_x_icc_2)) (portRef B (instanceRef r_m_icc_RNO_2_2)) (portRef B (instanceRef r_w_s_icc_RNO_1_2)) )) (net (rename cpi_m_4_414 "cpi_m_4[414]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_2_2)) (portRef C (instanceRef r_w_s_icc_RNO_1_2)) )) (net (rename icc_1_iv_1_0 "icc_1_iv_1[0]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_0_0)) (portRef C (instanceRef r_w_s_icc_RNO_0)) )) (net (rename icc_1_iv_0_0 "icc_1_iv_0[0]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_1_0)) (portRef C (instanceRef r_w_s_icc_RNO_0_0)) )) (net (rename icc_0 "icc[0]") (joined (portRef Q (instanceRef r_x_icc_0)) (portRef B (instanceRef r_m_icc_RNO_1_0)) (portRef B (instanceRef r_w_s_icc_RNO_1_0)) )) (net (rename cpi_m_4_412 "cpi_m_4[412]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_2_0)) (portRef C (instanceRef r_w_s_icc_RNO_1_0)) )) (net (rename icc_1_iv_1_3 "icc_1_iv_1[3]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_0_3)) (portRef C (instanceRef r_w_s_icc_RNO_3)) )) (net (rename result_23 "result[23]") (joined (portRef Q (instanceRef r_x_result_23)) (portRef B (instanceRef r_w_s_y_RNO_3_23)) (portRef B (instanceRef r_w_s_tba_RNO_0_11)) (portRef A (instanceRef r_x_result_RNIUUED_23)) (portRef B (instanceRef r_w_s_icc_RNO_0_3)) )) (net (rename icc_1_iv_0_3 "icc_1_iv_0[3]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_1_3)) (portRef C (instanceRef r_w_s_icc_RNO_0_3)) )) (net (rename icc_3 "icc[3]") (joined (portRef Q (instanceRef r_x_icc_3)) (portRef B (instanceRef r_x_icc_RNIFSID_3)) (portRef B (instanceRef r_w_s_icc_RNO_1_3)) )) (net (rename cpi_m_4_415 "cpi_m_4[415]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_2_3)) (portRef C (instanceRef r_w_s_icc_RNO_1_3)) )) (net un2_exbpmiss_2_1 (joined (portRef Y (instanceRef r_d_pv_RNI10ELR_0)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNIEMDRK1)) )) (net un2_exbpmiss_2_0 (joined (portRef Y (instanceRef r_d_pv_RNI25P24_0)) (portRef A (instanceRef r_d_pv_RNI10ELR_0)) )) (net ldlock2_1_RNIVQKIN (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIVQKIN)) (portRef A (instanceRef r_d_pv_RNIASMJ01)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNICIH722)) (portRef C (instanceRef r_d_pv_RNI10ELR)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIGGKU52)) (portRef B (instanceRef r_d_pv_RNI10ELR_0)) )) (net un25_exbpmiss_0 (joined (portRef Y (instanceRef r_d_pv_RNI83B6)) (portRef B (instanceRef r_d_pv_RNIHDQ94)) (portRef A (instanceRef r_d_pv_RNI25P24_0)) )) (net un2_rstn_1 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIGGKU52)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNIT6K4V2)) )) (net un13_exbpmiss_0_1 (joined (portRef Y (instanceRef r_d_pv_RNI10ELR)) (portRef C (instanceRef r_d_pv_RNIEMDRK1)) )) (net (rename osel_i_a3_0_0 "osel_i_a3_0[0]") (joined (portRef Y (instanceRef r_e_ctrl_rd_RNILK6P01_5)) (portRef B (instanceRef r_d_inst_0_RNIRP5CH1_13)) )) (net wreg_2 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIO21RE_5)) (portRef B (instanceRef r_e_ctrl_rd_RNI98JTT_5)) (portRef B (instanceRef r_d_inst_0_RNIR1LAL1_13)) (portRef B (instanceRef r_e_ctrl_rd_RNILK6P01_5)) )) (net (rename xc_result_iv_0_29 "xc_result_iv_0[29]") (joined (portRef Y (instanceRef r_x_npc_RNIJ0N81_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIB0MQP1_29)) )) (net (rename xc_result_29 "xc_result[29]") (joined (portRef Y (instanceRef r_x_npc_RNIURFL_0)) (portRef A (instanceRef r_x_npc_RNIJ0N81_0)) )) (net (rename cpi_m_2_421 "cpi_m_2[421]") (joined (portRef Y (instanceRef r_x_rstate_RNI7C2E_0)) (portRef C (instanceRef r_x_npc_RNIJ0N81_0)) )) (net (rename xc_result_iv_0_15 "xc_result_iv_0[15]") (joined (portRef Y (instanceRef r_x_npc_RNIQFK81_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIVMIQP1_15)) )) (net (rename xc_result_15 "xc_result[15]") (joined (portRef Y (instanceRef r_x_npc_RNIARDL_0)) (portRef A (instanceRef r_x_npc_RNIQFK81_0)) )) (net (rename cpi_m_2_407 "cpi_m_2[407]") (joined (portRef Y (instanceRef r_x_rstate_RNI2S1E_0)) (portRef C (instanceRef r_x_npc_RNIQFK81_0)) )) (net (rename xc_result_iv_0_30 "xc_result_iv_0[30]") (joined (portRef Y (instanceRef r_x_npc_RNI0SBE1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI4V90Q1_30)) )) (net (rename xc_result_30 "xc_result[30]") (joined (portRef Y (instanceRef r_x_npc_RNIJR5R_0)) (portRef A (instanceRef r_x_npc_RNI0SBE1_0)) )) (net (rename cpi_m_2_422 "cpi_m_2[422]") (joined (portRef Y (instanceRef r_x_rstate_RNIV71E_0)) (portRef C (instanceRef r_x_npc_RNI0SBE1_0)) )) (net (rename xc_result_iv_0_4 "xc_result_iv_0[4]") (joined (portRef Y (instanceRef r_x_npc_RNI0OO31_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIK4HHP1_4)) )) (net (rename xc_result_4 "xc_result[4]") (joined (portRef Y (instanceRef r_x_npc_RNI25VI_0)) (portRef A (instanceRef r_x_npc_RNI0OO31_0)) )) (net (rename cpi_m_2_396 "cpi_m_2[396]") (joined (portRef Y (instanceRef r_x_rstate_RNIGQKB_0)) (portRef C (instanceRef r_x_npc_RNI0OO31_0)) )) (net (rename xc_result_iv_0_6 "xc_result_iv_0[6]") (joined (portRef Y (instanceRef r_x_npc_RNIAOO31_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIVA9IP1_6)) )) (net (rename xc_result_6 "xc_result[6]") (joined (portRef Y (instanceRef r_x_npc_RNIA5VI_0)) (portRef A (instanceRef r_x_npc_RNIAOO31_0)) )) (net (rename cpi_m_2_398 "cpi_m_2[398]") (joined (portRef Y (instanceRef r_x_rstate_RNIIQKB_0)) (portRef C (instanceRef r_x_npc_RNIAOO31_0)) )) (net (rename xc_result_iv_0_23 "xc_result_iv_0[23]") (joined (portRef Y (instanceRef r_x_npc_RNIL7J81_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI0PPPP1_23)) )) (net (rename xc_result_23 "xc_result[23]") (joined (portRef Y (instanceRef r_x_npc_RNI6RCL_0)) (portRef A (instanceRef r_x_npc_RNIL7J81_0)) )) (net (rename cpi_m_2_415 "cpi_m_2[415]") (joined (portRef Y (instanceRef r_x_rstate_RNI1K1E_0)) (portRef C (instanceRef r_x_npc_RNIL7J81_0)) )) (net (rename xc_result_iv_0_25 "xc_result_iv_0[25]") (joined (portRef Y (instanceRef r_x_npc_RNIVFK81_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIBVIQP1_25)) )) (net (rename xc_result_25 "xc_result[25]") (joined (portRef Y (instanceRef r_x_npc_RNIERDL_0)) (portRef A (instanceRef r_x_npc_RNIVFK81_0)) )) (net (rename cpi_m_2_417 "cpi_m_2[417]") (joined (portRef Y (instanceRef r_x_rstate_RNI3S1E_0)) (portRef C (instanceRef r_x_npc_RNIVFK81_0)) )) (net (rename xc_result_iv_0_28 "xc_result_iv_0[28]") (joined (portRef Y (instanceRef r_x_npc_RNIECM81_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI8ITPP1_28)) )) (net (rename xc_result_28 "xc_result[28]") (joined (portRef Y (instanceRef r_x_npc_RNIQBFL_0)) (portRef A (instanceRef r_x_npc_RNIECM81_0)) )) (net (rename cpi_m_2_420 "cpi_m_2[420]") (joined (portRef Y (instanceRef r_x_rstate_RNI682E_0)) (portRef C (instanceRef r_x_npc_RNIECM81_0)) )) (net (rename xc_result_iv_0_3 "xc_result_iv_0[3]") (joined (portRef Y (instanceRef r_x_npc_RNIRNO31_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI7I8IP1_3)) )) (net (rename xc_result_3 "xc_result[3]") (joined (portRef Y (instanceRef r_x_npc_RNIU4VI_0)) (portRef A (instanceRef r_x_npc_RNIRNO31_0)) )) (net (rename cpi_m_2_395 "cpi_m_2[395]") (joined (portRef Y (instanceRef r_x_rstate_RNIFQKB_0)) (portRef C (instanceRef r_x_npc_RNIRNO31_0)) )) (net (rename xc_result_iv_0_21 "xc_result_iv_0[21]") (joined (portRef Y (instanceRef r_x_npc_RNI1GCE1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI1FA0Q1_21)) )) (net (rename xc_result_21 "xc_result[21]") (joined (portRef Y (instanceRef r_x_npc_RNIKB6R_0)) (portRef A (instanceRef r_x_npc_RNI1GCE1_0)) )) (net (rename cpi_m_2_413 "cpi_m_2[413]") (joined (portRef Y (instanceRef r_x_rstate_RNIVB1E_0)) (portRef C (instanceRef r_x_npc_RNI1GCE1_0)) )) (net (rename xc_result_iv_0_12 "xc_result_iv_0[12]") (joined (portRef Y (instanceRef r_x_npc_RNINK7K1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIOPD5Q1_12)) )) (net (rename xc_result_12 "xc_result[12]") (joined (portRef Y (instanceRef r_x_npc_RNIAC111_0)) (portRef A (instanceRef r_x_npc_RNINK7K1_0)) )) (net (rename cpi_m_2_404 "cpi_m_2[404]") (joined (portRef Y (instanceRef r_x_rstate_RNIVF1E_0)) (portRef C (instanceRef r_x_npc_RNINK7K1_0)) )) (net (rename xc_result_iv_0_16 "xc_result_iv_0[16]") (joined (portRef Y (instanceRef r_x_npc_0_RNI0M4Q1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIDBBBQ1_16)) )) (net (rename xc_result_16 "xc_result[16]") (joined (portRef Y (instanceRef r_x_npc_0_RNIFTT61_0)) (portRef A (instanceRef r_x_npc_0_RNI0M4Q1_0)) )) (net (rename cpi_m_2_408 "cpi_m_2[408]") (joined (portRef Y (instanceRef r_x_rstate_RNI302E_0)) (portRef C (instanceRef r_x_npc_0_RNI0M4Q1_0)) )) (net (rename xc_result_iv_0_13 "xc_result_iv_0[13]") (joined (portRef Y (instanceRef r_x_npc_RNIS88K1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIR766Q1_13)) )) (net (rename xc_result_13 "xc_result[13]") (joined (portRef Y (instanceRef r_x_npc_RNIES111_0)) (portRef A (instanceRef r_x_npc_RNIS88K1_0)) )) (net (rename cpi_m_3_405 "cpi_m_3[405]") (joined (portRef Y (instanceRef r_x_rstate_RNI0K1E_0)) (portRef C (instanceRef r_x_npc_RNIS88K1_0)) )) (net (rename xc_result_iv_0_9 "xc_result_iv_0[9]") (joined (portRef Y (instanceRef r_x_npc_RNI5QDF1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI35VTP1_9)) )) (net (rename xc_result_9 "xc_result[9]") (joined (portRef Y (instanceRef r_x_npc_RNI27KU_0)) (portRef A (instanceRef r_x_npc_RNI5QDF1_0)) )) (net (rename cpi_m_2_401 "cpi_m_2[401]") (joined (portRef Y (instanceRef r_x_rstate_RNILQKB_0)) (portRef C (instanceRef r_x_npc_RNI5QDF1_0)) )) (net (rename xc_result_iv_0_20 "xc_result_iv_0[20]") (joined (portRef Y (instanceRef r_x_npc_RNIIC6K1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIF746Q1_20)) )) (net (rename xc_result_20 "xc_result[20]") (joined (portRef Y (instanceRef r_x_npc_RNI6C011_0)) (portRef A (instanceRef r_x_npc_RNIIC6K1_0)) )) (net (rename cpi_m_2_412 "cpi_m_2[412]") (joined (portRef Y (instanceRef r_x_rstate_RNIU71E_0)) (portRef C (instanceRef r_x_npc_RNIIC6K1_0)) )) (net (rename xc_result_iv_0_11 "xc_result_iv_0[11]") (joined (portRef Y (instanceRef r_x_npc_RNII07K1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIBN46Q1_11)) )) (net (rename xc_result_11 "xc_result[11]") (joined (portRef Y (instanceRef r_x_npc_RNI6S011_0)) (portRef A (instanceRef r_x_npc_RNII07K1_0)) )) (net (rename cpi_m_2_403 "cpi_m_2[403]") (joined (portRef Y (instanceRef r_x_rstate_RNIUB1E_0)) (portRef C (instanceRef r_x_npc_RNII07K1_0)) )) (net (rename xc_result_iv_0_19 "xc_result_iv_0[19]") (joined (portRef Y (instanceRef r_x_npc_RNIQ1CK1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIG3J5Q1_19)) )) (net (rename xc_result_19 "xc_result[19]") (joined (portRef Y (instanceRef r_x_npc_RNI6T411_0)) (portRef A (instanceRef r_x_npc_RNIQ1CK1_0)) )) (net (rename cpi_m_2_411 "cpi_m_2[411]") (joined (portRef Y (instanceRef r_x_rstate_RNI6C2E_0)) (portRef C (instanceRef r_x_npc_RNIQ1CK1_0)) )) (net (rename xc_result_iv_0_26 "xc_result_iv_0[26]") (joined (portRef Y (instanceRef r_x_npc_RNIG5AK1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI43H5Q1_26)) )) (net (rename xc_result_26 "xc_result[26]") (joined (portRef Y (instanceRef r_x_npc_RNIUC311_0)) (portRef A (instanceRef r_x_npc_RNIG5AK1_0)) )) (net (rename cpi_m_2_418 "cpi_m_2[418]") (joined (portRef Y (instanceRef r_x_rstate_RNI402E_0)) (portRef C (instanceRef r_x_npc_RNIG5AK1_0)) )) (net (rename xc_result_iv_0_10 "xc_result_iv_0[10]") (joined (portRef Y (instanceRef r_x_npc_RNICC6K1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI79C5Q1_10)) )) (net (rename xc_result_10 "xc_result[10]") (joined (portRef Y (instanceRef r_x_npc_RNI1C011_0)) (portRef A (instanceRef r_x_npc_RNICC6K1_0)) )) (net (rename cpi_m_2_402 "cpi_m_2[402]") (joined (portRef Y (instanceRef r_x_rstate_RNIT71E_0)) (portRef C (instanceRef r_x_npc_RNICC6K1_0)) )) (net (rename xc_result_iv_0_14 "xc_result_iv_0[14]") (joined (portRef Y (instanceRef r_x_npc_RNIBCEE1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIDFC0Q1_14)) )) (net (rename xc_result_14 "xc_result[14]") (joined (portRef Y (instanceRef r_x_npc_RNISR7R_0)) (portRef A (instanceRef r_x_npc_RNIBCEE1_0)) )) (net (rename cpi_m_2_406 "cpi_m_2[406]") (joined (portRef Y (instanceRef r_x_rstate_RNI1O1E_0)) (portRef C (instanceRef r_x_npc_RNIBCEE1_0)) )) (net (rename xc_result_iv_0_2 "xc_result_iv_0[2]") (joined (portRef Y (instanceRef r_x_npc_0_RNICIIP1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIL428Q1_2)) )) (net (rename xc_result_2 "xc_result[2]") (joined (portRef Y (instanceRef r_x_npc_0_RNIRME41_0)) (portRef A (instanceRef r_x_npc_0_RNICIIP1_0)) )) (net (rename cpi_m_2_394 "cpi_m_2[394]") (joined (portRef Y (instanceRef r_x_rstate_RNIEQKB_0)) (portRef C (instanceRef r_x_npc_0_RNICIIP1_0)) )) (net (rename xc_result_iv_0_27 "xc_result_iv_0[27]") (joined (portRef Y (instanceRef r_x_npc_0_RNIUIFU1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNILKMFQ1_27)) )) (net (rename xc_result_27 "xc_result[27]") (joined (portRef Y (instanceRef r_x_npc_0_RNIMDU61_0)) (portRef A (instanceRef r_x_npc_0_RNIUIFU1_0)) )) (net (rename cpi_m_2_419 "cpi_m_2[419]") (joined (portRef Y (instanceRef r_x_rstate_RNI542E_0)) (portRef C (instanceRef r_x_npc_0_RNIUIFU1_0)) )) (net (rename xc_result_iv_0_24 "xc_result_iv_0[24]") (joined (portRef Y (instanceRef r_x_npc_0_RNIEMDU1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNISBKFQ1_24)) )) (net (rename xc_result_24 "xc_result[24]") (joined (portRef Y (instanceRef r_x_npc_0_RNI9TS61_0)) (portRef A (instanceRef r_x_npc_0_RNIEMDU1_0)) )) (net (rename cpi_m_2_416 "cpi_m_2[416]") (joined (portRef Y (instanceRef r_x_rstate_RNI2O1E_0)) (portRef C (instanceRef r_x_npc_0_RNIEMDU1_0)) )) (net (rename xc_result_iv_0_22 "xc_result_iv_0[22]") (joined (portRef Y (instanceRef r_x_npc_0_RNI4ECU1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNICRIFQ1_22)) )) (net (rename xc_result_22 "xc_result[22]") (joined (portRef Y (instanceRef r_x_npc_0_RNI1TR61_0)) (portRef A (instanceRef r_x_npc_0_RNI4ECU1_0)) )) (net (rename cpi_m_2_414 "cpi_m_2[414]") (joined (portRef Y (instanceRef r_x_rstate_RNI0G1E_0)) (portRef C (instanceRef r_x_npc_0_RNI4ECU1_0)) )) (net (rename xc_result_iv_0_18 "xc_result_iv_0[18]") (joined (portRef Y (instanceRef r_x_npc_0_RNIT6GU1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIG4NFQ1_18)) )) (net (rename xc_result_18 "xc_result[18]") (joined (portRef Y (instanceRef r_x_npc_0_RNILTU61_0)) (portRef A (instanceRef r_x_npc_0_RNIT6GU1_0)) )) (net (rename cpi_m_2_410 "cpi_m_2[410]") (joined (portRef Y (instanceRef r_x_rstate_RNI582E_0)) (portRef C (instanceRef r_x_npc_0_RNIT6GU1_0)) )) (net (rename xc_result_iv_0_17 "xc_result_iv_0[17]") (joined (portRef Y (instanceRef r_x_npc_0_RNIOIFU1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI8CMFQ1_17)) )) (net (rename xc_result_17 "xc_result[17]") (joined (portRef Y (instanceRef r_x_npc_0_RNIHDU61_0)) (portRef A (instanceRef r_x_npc_0_RNIOIFU1_0)) )) (net (rename cpi_m_2_409 "cpi_m_2[409]") (joined (portRef Y (instanceRef r_x_rstate_RNI442E_0)) (portRef C (instanceRef r_x_npc_0_RNIOIFU1_0)) )) (net (rename xc_result_iv_0_31 "xc_result_iv_0[31]") (joined (portRef Y (instanceRef r_x_npc_0_RNIQOMI1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI6AT3Q1_31)) )) (net (rename xc_result_31 "xc_result[31]") (joined (portRef Y (instanceRef r_x_npc_0_RNINB6R_0)) (portRef A (instanceRef r_x_npc_0_RNIQOMI1_0)) )) (net (rename cpi_m_2_423 "cpi_m_2[423]") (joined (portRef Y (instanceRef r_x_rstate_RNI0C1E_0)) (portRef C (instanceRef r_x_npc_0_RNIQOMI1_0)) )) (net (rename xc_result_iv_0_7 "xc_result_iv_0[7]") (joined (portRef Y (instanceRef r_x_npc_0_RNIE2OJ1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIB7H1Q1_7)) )) (net (rename xc_result_7 "xc_result[7]") (joined (portRef Y (instanceRef r_x_npc_0_RNIO6KU_0)) (portRef A (instanceRef r_x_npc_0_RNIE2OJ1_0)) )) (net (rename cpi_m_2_399 "cpi_m_2[399]") (joined (portRef Y (instanceRef r_x_rstate_RNIJQKB_0)) (portRef C (instanceRef r_x_npc_0_RNIE2OJ1_0)) )) (net (rename xc_result_iv_0_5 "xc_result_iv_0[5]") (joined (portRef Y (instanceRef r_x_npc_0_RNIPIIP1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIG7B7Q1_5)) )) (net (rename xc_result_5 "xc_result[5]") (joined (portRef Y (instanceRef r_x_npc_0_RNI5NE41_0)) (portRef A (instanceRef r_x_npc_0_RNIPIIP1_0)) )) (net (rename cpi_m_2_397 "cpi_m_2[397]") (joined (portRef Y (instanceRef r_x_rstate_RNIHQKB_0)) (portRef C (instanceRef r_x_npc_0_RNIPIIP1_0)) )) (net (rename xc_result_iv_0_8 "xc_result_iv_0[8]") (joined (portRef Y (instanceRef r_x_npc_0_RNI8JIP1_0)) (portRef B (instanceRef r_x_ctrl_pc_RNI3M38Q1_8)) )) (net (rename xc_result_8 "xc_result[8]") (joined (portRef Y (instanceRef r_x_npc_0_RNIHNE41_0)) (portRef A (instanceRef r_x_npc_0_RNI8JIP1_0)) )) (net (rename cpi_m_2_400 "cpi_m_2[400]") (joined (portRef Y (instanceRef r_x_rstate_RNIKQKB_0)) (portRef C (instanceRef r_x_npc_0_RNI8JIP1_0)) )) (net s_1_iv_1 (joined (portRef Y (instanceRef r_x_result_RNIK8A0U1_7)) (portRef C (instanceRef r_w_s_s_RNILJU9R3)) )) (net s_1_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNIL6RNT_0)) (portRef B (instanceRef r_x_result_RNIAP9VT_1)) (portRef B (instanceRef r_x_result_RNIBT9VT_2)) (portRef S (instanceRef r_w_s_pil_RNO_0_2)) (portRef S (instanceRef r_w_s_pil_RNO_0_3)) (portRef S (instanceRef r_w_s_pil_RNO_0_0)) (portRef S (instanceRef r_w_s_pil_RNO_0_1)) (portRef B (instanceRef r_x_result_RNIE9AVT_5)) (portRef B (instanceRef r_x_result_RNIA8LRT_6)) (portRef C (instanceRef r_x_result_RNI9L9VT_0)) (portRef A (instanceRef r_x_result_RNIK8A0U1_7)) )) (net s_1_iv_0 (joined (portRef Y (instanceRef r_w_s_ps_RNI9SK401)) (portRef C (instanceRef r_x_result_RNIK8A0U1_7)) )) (net ps_i_m_1 (joined (portRef Y (instanceRef r_w_s_ps_RNI3KAH1)) (portRef A (instanceRef r_w_s_ps_RNI9SK401)) )) (net ps_i_m_2 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIAS84S_30)) (portRef B (instanceRef r_x_rstate_0_RNI0UGJT_0_1)) (portRef B (instanceRef r_x_rstate_0_RNI0UGJT_1)) (portRef B (instanceRef r_w_s_ps_RNI9SK401)) )) (net (rename cpi_i_m_399 "cpi_i_m[399]") (joined (portRef Y (instanceRef r_x_rstate_RNISB1F2_0)) (portRef C (instanceRef r_w_s_ps_RNI9SK401)) )) (net (rename data_0_1_0_iv_1_12 "data_0_1_0_iv_1[12]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_12)) (portRef C (instanceRef r_x_data_0_RNO_12)) )) (net (rename dco_m_0_108 "dco_m_0[108]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_12)) (portRef A (instanceRef r_x_data_0_RNO_0_12)) )) (net (rename data_0_m_12 "data_0_m[12]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_12)) (portRef B (instanceRef r_x_data_0_RNO_0_12)) )) (net (rename dco_m_0_124 "dco_m_0[124]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_12)) (portRef C (instanceRef r_x_data_0_RNO_0_12)) )) (net (rename data_0_1_0_iv_1_9 "data_0_1_0_iv_1[9]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_9)) (portRef C (instanceRef r_x_data_0_RNO_9)) )) (net N_29 (joined (portRef Y (instanceRef r_x_dci_size_RNISJPQE_0)) (portRef C (instanceRef r_x_data_0_RNO_3_12)) (portRef A (instanceRef r_x_data_0_RNO_3_15)) (portRef C (instanceRef r_x_data_0_RNO_2_13)) (portRef C (instanceRef r_x_data_0_RNO_3_14)) (portRef A (instanceRef r_x_data_0_RNO_0_10)) (portRef A (instanceRef r_x_data_0_RNO_0_8)) (portRef A (instanceRef r_x_data_0_RNO_0_11)) (portRef A (instanceRef r_x_data_0_RNO_0_9)) )) (net (rename data_0_1_0_iv_0_9 "data_0_1_0_iv_0[9]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_9)) (portRef C (instanceRef r_x_data_0_RNO_0_9)) )) (net (rename laddr_RNI8CQHM_1 "laddr_RNI8CQHM[1]") (joined (portRef Y (instanceRef r_x_laddr_RNI8CQHM_1)) (portRef C (instanceRef r_x_data_0_RNO_1_12)) (portRef C (instanceRef r_x_data_0_RNO_1_15)) (portRef A (instanceRef r_x_data_0_RNO_1_14)) (portRef A (instanceRef r_x_data_0_RNO_1_13)) (portRef A (instanceRef r_x_data_0_RNO_1_10)) (portRef A (instanceRef r_x_data_0_RNO_1_8)) (portRef A (instanceRef r_x_data_0_RNO_1_11)) (portRef A (instanceRef r_x_data_0_RNO_1_9)) )) (net (rename data_0_m_9 "data_0_m[9]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_9)) (portRef C (instanceRef r_x_data_0_RNO_1_9)) )) (net (rename data_0_1_0_iv_1_15 "data_0_1_0_iv_1[15]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_15)) (portRef C (instanceRef r_x_data_0_RNO_15)) )) (net (rename dco_m_0_111 "dco_m_0[111]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_15)) (portRef A (instanceRef r_x_data_0_RNO_0_15)) )) (net (rename data_0_m_15 "data_0_m[15]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_15)) (portRef B (instanceRef r_x_data_0_RNO_0_15)) )) (net (rename dco_m_0_127 "dco_m_0[127]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_15)) (portRef C (instanceRef r_x_data_0_RNO_0_15)) )) (net (rename data_0_1_0_iv_1_11 "data_0_1_0_iv_1[11]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_11)) (portRef C (instanceRef r_x_data_0_RNO_11)) )) (net (rename data_0_1_0_iv_0_11 "data_0_1_0_iv_0[11]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_11)) (portRef C (instanceRef r_x_data_0_RNO_0_11)) )) (net (rename data_0_m_11 "data_0_m[11]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_11)) (portRef C (instanceRef r_x_data_0_RNO_1_11)) )) (net (rename data_0_1_0_iv_1_8 "data_0_1_0_iv_1[8]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_8)) (portRef C (instanceRef r_x_data_0_RNO_8)) )) (net (rename data_0_1_0_iv_0_8 "data_0_1_0_iv_0[8]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_8)) (portRef C (instanceRef r_x_data_0_RNO_0_8)) )) (net (rename data_0_m_8 "data_0_m[8]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_8)) (portRef C (instanceRef r_x_data_0_RNO_1_8)) )) (net (rename data_0_1_0_iv_1_10 "data_0_1_0_iv_1[10]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_10)) (portRef C (instanceRef r_x_data_0_RNO_10)) )) (net (rename data_0_1_0_iv_0_10 "data_0_1_0_iv_0[10]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_10)) (portRef C (instanceRef r_x_data_0_RNO_0_10)) )) (net (rename data_0_m_10 "data_0_m[10]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_10)) (portRef C (instanceRef r_x_data_0_RNO_1_10)) )) (net (rename data_0_1_0_iv_1_14 "data_0_1_0_iv_1[14]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_14)) (portRef C (instanceRef r_x_data_0_RNO_14)) )) (net (rename dco_m_0_110 "dco_m_0[110]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_14)) (portRef A (instanceRef r_x_data_0_RNO_0_14)) )) (net (rename data_0_m_14 "data_0_m[14]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_14)) (portRef B (instanceRef r_x_data_0_RNO_0_14)) )) (net (rename dco_m_0_126 "dco_m_0[126]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_14)) (portRef C (instanceRef r_x_data_0_RNO_0_14)) )) (net (rename npc_0_0_iv_3_11 "npc_0_0_iv_3[11]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIGQFOD3_1)) (portRef C (instanceRef r_f_pc_RNIVKTCJ9_11)) )) (net un6_fe_npcsel_0_s1_0_0 (joined (portRef Y (instanceRef r_a_bp_RNI55NGM1)) (portRef A (instanceRef r_a_bp_RNIPD0PM1)) (portRef A (instanceRef r_f_pc_RNI4SNHM1_2)) (portRef A (instanceRef r_a_bp_RNIJQUNM1)) (portRef A (instanceRef r_x_rstate_0_RNI4EH5D3_1)) (portRef A (instanceRef r_x_rstate_0_RNI4CJ7D3_1)) (portRef A (instanceRef r_x_rstate_0_RNI6IL9D3_1)) (portRef A (instanceRef r_x_rstate_0_RNIA0OBD3_1)) (portRef A (instanceRef r_x_rstate_0_RNIGMQDD3_1)) (portRef A (instanceRef r_x_rstate_0_RNIOA8LD3_1)) (portRef A (instanceRef r_x_rstate_0_RNIGQFOD3_1)) )) (net (rename un6_fe_npc0_9 "un6_fe_npc0[9]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_52)) (portRef B (instanceRef r_f_pc_RNO_3_11)) (portRef B (instanceRef r_x_rstate_0_RNIGQFOD3_1)) )) (net (rename un6_fe_npc2_m_i_9 "un6_fe_npc2_m_i[9]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIUS7SM1_1)) (portRef C (instanceRef r_x_rstate_0_RNIGQFOD3_1)) )) (net (rename npc_0_0_iv_2_11 "npc_0_0_iv_2[11]") (joined (portRef Y (instanceRef r_f_pc_RNI38AAI4_11)) (portRef A (instanceRef r_f_pc_RNIVKTCJ9_11)) )) (net (rename npc_0_0_iv_1_11 "npc_0_0_iv_1[11]") (joined (portRef Y (instanceRef r_f_pc_RNIHKOMH3_11)) (portRef A (instanceRef r_f_pc_RNI38AAI4_11)) )) (net un1_de_branch_1_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNIHLVBE1_1_1)) (portRef A (instanceRef r_x_rstate_0_RNI1DLIF1_1)) (portRef C (instanceRef r_x_rstate_0_RNISK06G1_1)) (portRef A (instanceRef r_x_rstate_0_RNIDC20I1_1)) (portRef C (instanceRef r_x_rstate_0_RNIGKEJI1_1)) (portRef C (instanceRef r_x_rstate_0_RNIE816L1_1)) (portRef A (instanceRef r_x_rstate_0_RNIO27UK1_1)) (portRef C (instanceRef r_x_rstate_0_RNITFU3L1_1)) (portRef C (instanceRef r_x_rstate_0_RNIOTOEK1_1)) (portRef A (instanceRef r_f_pc_RNIN6R8M2_2)) (portRef A (instanceRef r_f_pc_RNIHKOMH3_11)) )) (net (rename npc_0_0_iv_0_11 "npc_0_0_iv_0[11]") (joined (portRef Y (instanceRef r_f_pc_RNIJ9UVP1_11)) (portRef C (instanceRef r_f_pc_RNIHKOMH3_11)) )) (net N_5389_m_i (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIHCLQP1)) (portRef C (instanceRef r_f_pc_RNIJ9UVP1_11)) )) (net (rename npc_0_0_iv_3_10 "npc_0_0_iv_3[10]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIOA8LD3_1)) (portRef C (instanceRef r_f_pc_RNI19CEC9_10)) )) (net (rename un6_fe_npc0_8 "un6_fe_npc0[8]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_45)) (portRef B (instanceRef r_f_pc_RNO_3_10)) (portRef B (instanceRef r_x_rstate_0_RNIOA8LD3_1)) )) (net (rename un6_fe_npc2_m_i_8 "un6_fe_npc2_m_i[8]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI35KQM1_1)) (portRef C (instanceRef r_x_rstate_0_RNIOA8LD3_1)) )) (net (rename npc_0_0_iv_2_10 "npc_0_0_iv_2[10]") (joined (portRef Y (instanceRef r_f_pc_RNI4GRIB4_10)) (portRef A (instanceRef r_f_pc_RNI19CEC9_10)) )) (net (rename tmp_m_i_10 "tmp_m_i[10]") (joined (portRef Y (instanceRef r_x_rstate_0_RNITFU3L1_1)) (portRef A (instanceRef r_f_pc_RNI4GRIB4_10)) )) (net (rename npc_0_0_iv_0_10 "npc_0_0_iv_0[10]") (joined (portRef Y (instanceRef r_f_pc_RNI3JHNL1_10)) (portRef B (instanceRef r_f_pc_RNI4GRIB4_10)) )) (net (rename fpc_10 "fpc[10]") (joined (portRef Q (instanceRef r_f_pc_10)) (portRef B (instanceRef un6_fe_npc_0_I_45)) (portRef B (instanceRef un6_fe_npc_0_I_55)) (portRef C (instanceRef un6_fe_npc_0_I_59)) (portRef A (instanceRef r_f_pc_RNILITIL_10)) (portRef B (instanceRef r_f_pc_RNI6GD53_10)) (portRef A (instanceRef r_f_pc_RNIGUC09_10)) (portRef A (instanceRef r_d_pc_RNO_10)) (portRef B (instanceRef r_f_pc_RNIH7741_10)) (portRef A (instanceRef r_f_pc_RNI3JHNL1_10)) (portRef A (instanceRef un6_fe_npc_0_I_51)) (portRef (member fpc 21)) )) (net N_5388_m_i (joined (portRef Y (instanceRef r_e_ldbp2_2_RNI2M8IL1)) (portRef C (instanceRef r_f_pc_RNI3JHNL1_10)) )) (net (rename npc_0_0_iv_4_9 "npc_0_0_iv_4[9]") (joined (portRef Y (instanceRef r_f_pc_RNIQQE4R5_9)) (portRef C (instanceRef r_x_rstate_0_RNISLFM89_1)) )) (net (rename un6_fe_npc3_7 "un6_fe_npc3[7]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_38)) (portRef A (instanceRef r_f_pc_RNO_6_9)) (portRef A (instanceRef r_f_pc_RNIU4OIR_9)) (portRef A (instanceRef r_f_pc_RNIQQE4R5_9)) )) (net (rename npc_0_0_iv_2_9 "npc_0_0_iv_2[9]") (joined (portRef Y (instanceRef r_f_pc_RNIRC1284_9)) (portRef C (instanceRef r_f_pc_RNIQQE4R5_9)) )) (net (rename tmp_m_i_9 "tmp_m_i[9]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIO27UK1_1)) (portRef A (instanceRef r_f_pc_RNIRC1284_9)) )) (net (rename npc_0_0_iv_0_9 "npc_0_0_iv_0[9]") (joined (portRef Y (instanceRef r_f_pc_RNI0NBGI1_9)) (portRef B (instanceRef r_f_pc_RNIRC1284_9)) )) (net (rename pc_m_1_i_9 "pc_m_1_i[9]") (joined (portRef Y (instanceRef r_f_pc_RNIBOM4_9)) (portRef C (instanceRef r_f_pc_RNI0NBGI1_9)) )) (net (rename npc_0_0_iv_3_7 "npc_0_0_iv_3[7]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIGMQDD3_1)) (portRef C (instanceRef r_f_pc_RNIFU1L39_7)) )) (net (rename un6_fe_npc2_m_i_5 "un6_fe_npc2_m_i[5]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI2BTMM1_1)) (portRef C (instanceRef r_x_rstate_0_RNIGMQDD3_1)) )) (net (rename npc_0_0_iv_2_7 "npc_0_0_iv_2[7]") (joined (portRef Y (instanceRef r_f_pc_RNIRN9B34_7)) (portRef A (instanceRef r_f_pc_RNIFU1L39_7)) )) (net (rename tmp_m_i_7 "tmp_m_i[7]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIE816L1_1)) (portRef A (instanceRef r_f_pc_RNIRN9B34_7)) )) (net (rename npc_0_0_iv_0_7 "npc_0_0_iv_0[7]") (joined (portRef Y (instanceRef r_f_pc_RNIKCQHD1_7)) (portRef B (instanceRef r_f_pc_RNIRN9B34_7)) )) (net N_5385_m_i (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIBS3DD1)) (portRef C (instanceRef r_f_pc_RNIKCQHD1_7)) )) (net (rename npc_0_0_iv_3_6 "npc_0_0_iv_3[6]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIA0OBD3_1)) (portRef C (instanceRef r_f_pc_RNIBQ8109_6)) )) (net (rename un6_fe_npc2_m_i_4 "un6_fe_npc2_m_i[4]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI00SLM1_1)) (portRef C (instanceRef r_x_rstate_0_RNIA0OBD3_1)) )) (net (rename npc_0_0_iv_2_6 "npc_0_0_iv_2[6]") (joined (portRef Y (instanceRef r_f_pc_RNIP8RSV3_6)) (portRef A (instanceRef r_f_pc_RNIBQ8109_6)) )) (net (rename tmp_m_i_6 "tmp_m_i[6]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIGKEJI1_1)) (portRef A (instanceRef r_f_pc_RNIP8RSV3_6)) )) (net (rename npc_0_0_iv_0_6 "npc_0_0_iv_0[6]") (joined (portRef Y (instanceRef r_f_pc_RNILPULC1_6)) (portRef B (instanceRef r_f_pc_RNIP8RSV3_6)) )) (net N_5384_m_i (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIDD8HC1)) (portRef C (instanceRef r_f_pc_RNILPULC1_6)) )) (net (rename npc_0_0_iv_3_5 "npc_0_0_iv_3[5]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI6IL9D3_1)) (portRef C (instanceRef r_f_pc_RNILRJVT8_5)) )) (net (rename un6_fe_npc2_m_i_3 "un6_fe_npc2_m_i[3]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIVOQKM1_1)) (portRef C (instanceRef r_x_rstate_0_RNI6IL9D3_1)) )) (net (rename npc_0_0_iv_2_5 "npc_0_0_iv_2[5]") (joined (portRef Y (instanceRef r_f_pc_RNI2NG0U3_5)) (portRef A (instanceRef r_f_pc_RNILRJVT8_5)) )) (net (rename tmp_m_i_5 "tmp_m_i[5]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIDC20I1_1)) (portRef A (instanceRef r_f_pc_RNI2NG0U3_5)) )) (net (rename npc_0_0_iv_0_5 "npc_0_0_iv_0[5]") (joined (portRef Y (instanceRef r_f_pc_RNI6O0DB1_5)) (portRef B (instanceRef r_f_pc_RNI2NG0U3_5)) )) (net (rename fpc_5 "fpc[5]") (joined (portRef Q (instanceRef r_f_pc_5)) (portRef B (instanceRef un6_fe_npc_0_I_13)) (portRef B (instanceRef un6_fe_npc_0_I_23)) (portRef A (instanceRef un6_fe_npc_0_I_27)) (portRef A (instanceRef un6_fe_npc_0_I_34)) (portRef B (instanceRef r_f_pc_RNICRQ43_5)) (portRef A (instanceRef r_f_pc_RNI1FSR8_5)) (portRef B (instanceRef r_f_pc_RNINIK31_5)) (portRef A (instanceRef r_d_pc_RNO_5)) (portRef A (instanceRef r_f_pc_RNIONC8B_5)) (portRef A (instanceRef r_f_pc_RNI6O0DB1_5)) (portRef A (instanceRef un6_fe_npc_0_I_19)) (portRef (member fpc 26)) )) (net N_5383_m_i (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIVFA8B1)) (portRef C (instanceRef r_f_pc_RNI6O0DB1_5)) )) (net (rename npc_0_0_iv_3_4 "npc_0_0_iv_3[4]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI4CJ7D3_1)) (portRef C (instanceRef r_f_pc_RNI9NGSP8_4)) )) (net (rename un6_fe_npc0_2 "un6_fe_npc0[2]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_9)) (portRef B (instanceRef r_f_pc_RNO_3_4)) (portRef B (instanceRef r_x_rstate_0_RNI4CJ7D3_1)) )) (net (rename un6_fe_npc2_m_i_2 "un6_fe_npc2_m_i[2]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIVLPJM1_1)) (portRef C (instanceRef r_x_rstate_0_RNI4CJ7D3_1)) )) (net (rename npc_0_0_iv_2_4 "npc_0_0_iv_2[4]") (joined (portRef Y (instanceRef r_f_pc_RNIINN2Q3_4)) (portRef A (instanceRef r_f_pc_RNI9NGSP8_4)) )) (net (rename tmp_m_i_4 "tmp_m_i[4]") (joined (portRef Y (instanceRef r_x_rstate_0_RNISK06G1_1)) (portRef A (instanceRef r_f_pc_RNIINN2Q3_4)) )) (net (rename npc_0_0_iv_3_3 "npc_0_0_iv_3[3]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI4EH5D3_1)) (portRef C (instanceRef r_f_pc_RNIGCVVM8_3)) )) (net (rename un6_fe_npc2_m_i_1 "un6_fe_npc2_m_i[1]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI0NOIM1_1)) (portRef C (instanceRef r_x_rstate_0_RNI4EH5D3_1)) )) (net (rename npc_0_0_iv_2_3 "npc_0_0_iv_2[3]") (joined (portRef Y (instanceRef r_f_pc_RNII9GBN3_3)) (portRef A (instanceRef r_f_pc_RNIGCVVM8_3)) )) (net (rename npc_0_0_iv_1_3 "npc_0_0_iv_1[3]") (joined (portRef Y (instanceRef r_f_pc_RNIP7OSN2_3)) (portRef A (instanceRef r_f_pc_RNII9GBN3_3)) )) (net N_5381_m_i (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIJQC581)) (portRef A (instanceRef r_f_pc_RNIP7OSN2_3)) )) (net (rename pc_m_1_i_3 "pc_m_1_i[3]") (joined (portRef Y (instanceRef r_f_pc_RNI50M4_3)) (portRef B (instanceRef r_f_pc_RNIP7OSN2_3)) )) (net (rename tmp_m_i_3 "tmp_m_i[3]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI1DLIF1_1)) (portRef C (instanceRef r_f_pc_RNIP7OSN2_3)) )) (net (rename npc_0_0_iv_0_2 "npc_0_0_iv_0[2]") (joined (portRef Y (instanceRef r_f_pc_RNIFPG971_2)) (portRef C (instanceRef r_f_pc_RNIN6R8M2_2)) )) (net ldbp2_2_RNIK3Q43 (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIK3Q43)) (portRef B (instanceRef r_f_pc_RNO_6_2)) (portRef B (instanceRef r_e_ldbp2_2_RNIVG244)) (portRef B (instanceRef r_f_pc_RNI1PS47_2)) (portRef B (instanceRef r_f_pc_RNIFPG971_2)) )) (net (rename pc_m_1_i_2 "pc_m_1_i[2]") (joined (portRef Y (instanceRef r_f_pc_RNI4SL4_2)) (portRef C (instanceRef r_f_pc_RNIFPG971_2)) )) (net (rename npc_0_0_iv_4_8 "npc_0_0_iv_4[8]") (joined (portRef Y (instanceRef r_f_pc_RNIG697M5_8)) (portRef C (instanceRef r_f_pc_RNI8R6N39_8)) )) (net (rename un6_fe_npc3_6 "un6_fe_npc3[6]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_31)) (portRef A (instanceRef r_f_pc_RNILAV3N_8)) (portRef A (instanceRef r_f_pc_RNO_6_8)) (portRef A (instanceRef r_f_pc_RNIG697M5_8)) )) (net (rename npc_0_0_iv_2_8 "npc_0_0_iv_2[8]") (joined (portRef Y (instanceRef r_f_pc_RNIFN3834_8)) (portRef C (instanceRef r_f_pc_RNIG697M5_8)) )) (net (rename tmp_m_i_8 "tmp_m_i[8]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIOTOEK1_1)) (portRef A (instanceRef r_f_pc_RNIFN3834_8)) )) (net (rename npc_0_0_iv_0_8 "npc_0_0_iv_0[8]") (joined (portRef Y (instanceRef r_f_pc_RNIPES5E1_8)) (portRef B (instanceRef r_f_pc_RNIFN3834_8)) )) (net N_5386_m_i (joined (portRef Y (instanceRef r_e_ldbp2_RNIFQ51E1)) (portRef C (instanceRef r_f_pc_RNIPES5E1_8)) )) (net (rename eres2_iv_0_30 "eres2_iv_0[30]") (joined (portRef Y (instanceRef r_e_op1_RNI3Q5J2_30)) (portRef A (instanceRef r_e_op1_RNIN9JM47_30)) )) (net (rename op1_30 "op1[30]") (joined (portRef Q (instanceRef r_e_op1_30)) (portRef A (instanceRef r_e_op1_RNI0HHD_30)) (portRef A (instanceRef r_e_op1_RNIU2NF_30)) (portRef A (instanceRef r_e_op1_RNI3Q5J2_30)) )) (net (rename cpi_m_0_422 "cpi_m_0[422]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI5NE32)) (portRef C (instanceRef r_e_op1_RNI3Q5J2_30)) )) (net (rename aluresult_1_iv_9_28 "aluresult_1_iv_9[28]") (joined (portRef Y (instanceRef r_e_jmpl_RNIS5K4K2)) (portRef C (instanceRef r_e_ldbp2_1_RNI55HRA6)) )) (net (rename shiftin_17_28 "shiftin_17[28]") (joined (portRef Y (instanceRef r_e_shcnt_RNIOVA8T_1)) (portRef A (instanceRef r_e_jmpl_RNILNH0U)) (portRef A (instanceRef r_e_jmpl_RNIS5K4K2)) )) (net (rename aluresult_1_iv_8_28 "aluresult_1_iv_8[28]") (joined (portRef Y (instanceRef r_e_jmpl_RNI7E24M1)) (portRef C (instanceRef r_e_jmpl_RNIS5K4K2)) )) (net (rename shiftin_17_29 "shiftin_17[29]") (joined (portRef Y (instanceRef r_e_shcnt_RNIMH81U_1)) (portRef A (instanceRef r_e_jmpl_RNIJ9FPU)) (portRef A (instanceRef r_e_jmpl_RNI7E24M1)) )) (net (rename aluresult_1_iv_7_28 "aluresult_1_iv_7[28]") (joined (portRef Y (instanceRef r_m_y_RNIK4JAN_28)) (portRef C (instanceRef r_e_jmpl_RNI7E24M1)) )) (net (rename aluresult_1_iv_4_28 "aluresult_1_iv_4[28]") (joined (portRef Y (instanceRef r_w_s_tba_RNIFFBPB_16)) (portRef A (instanceRef r_m_y_RNIK4JAN_28)) )) (net (rename aluresult_1_iv_3_28 "aluresult_1_iv_3[28]") (joined (portRef Y (instanceRef r_x_result_RNIRR8H7_12)) (portRef B (instanceRef r_m_y_RNIK4JAN_28)) )) (net (rename aluresult_1_iv_6_28 "aluresult_1_iv_6[28]") (joined (portRef Y (instanceRef r_m_y_RNIAPUV3_28)) (portRef C (instanceRef r_m_y_RNIK4JAN_28)) )) (net (rename y_m_1_28 "y_m_1[28]") (joined (portRef Y (instanceRef r_m_y_RNIVTO71_28)) (portRef A (instanceRef r_m_y_RNIAPUV3_28)) )) (net (rename logicout_m_0_28 "logicout_m_0[28]") (joined (portRef Y (instanceRef r_e_alusel_RNIBR5O2_0)) (portRef B (instanceRef r_m_y_RNIAPUV3_28)) )) (net (rename aluresult_1_iv_1_28 "aluresult_1_iv_1[28]") (joined (portRef Y (instanceRef r_x_result_RNIETAA7_28)) (portRef A (instanceRef r_w_s_tba_RNIFFBPB_16)) )) (net (rename aluresult_1_iv_0_28 "aluresult_1_iv_0[28]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIPQDR1_28)) (portRef B (instanceRef r_w_s_tba_RNIFFBPB_16)) )) (net (rename tba_m_1_16 "tba_m_1[16]") (joined (portRef Y (instanceRef r_w_s_tba_RNI8NIJ2_16)) (portRef C (instanceRef r_w_s_tba_RNIFFBPB_16)) )) (net (rename bpdata_m_2_4 "bpdata_m_2[4]") (joined (portRef Y (instanceRef r_x_result_RNIM5KI3_4)) (portRef A (instanceRef r_w_s_tba_RNI7RB66_0)) (portRef C (instanceRef r_x_result_RNIRR8H7_12)) )) (net (rename bpdata_28 "bpdata[28]") (joined (portRef Y (instanceRef r_x_result_RNIU3TC3_28)) (portRef B (instanceRef r_x_result_RNILPES3_28)) (portRef B (instanceRef r_x_result_RNIETAA7_28)) )) (net (rename op2_RNIIB0K_28 "op2_RNIIB0K[28]") (joined (portRef Y (instanceRef r_e_op2_RNIIB0K_28)) (portRef S (instanceRef r_e_aluop_2_RNII07V_0_1)) (portRef S (instanceRef r_e_aluop_2_RNII07V_1)) (portRef A (instanceRef r_e_ctrl_pc_RNIPQDR1_28)) )) (net (rename cpi_m_173 "cpi_m[173]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNISNKL_28)) (portRef C (instanceRef r_e_ctrl_pc_RNIPQDR1_28)) )) (net (rename data_0_1_1_iv_0_30 "data_0_1_1_iv_0[30]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_30)) (portRef B (instanceRef r_x_data_0_RNO_30)) )) (net (rename dco_m_1_126 "dco_m_1[126]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_30)) (portRef C (instanceRef r_x_data_0_RNO_0_30)) )) (net (rename data_0_1_1_iv_0_24 "data_0_1_1_iv_0[24]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_24)) (portRef B (instanceRef r_x_data_0_RNO_24)) )) (net (rename dco_m_1_120 "dco_m_1[120]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_24)) (portRef C (instanceRef r_x_data_0_RNO_0_24)) )) (net (rename data_0_1_1_iv_0_17 "data_0_1_1_iv_0[17]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_17)) (portRef B (instanceRef r_x_data_0_RNO_17)) )) (net (rename data_0_17 "data_0[17]") (joined (portRef Q (instanceRef r_x_data_0_17)) (portRef B (instanceRef r_e_op1_RNI65ID_17)) (portRef B (instanceRef r_x_result_RNI0NED_17)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I18_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I308_Y_0_1)) (portRef A (instanceRef r_x_data_0_RNIDF9E_17)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I18_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I18_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I18_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I60_Y_m2_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i_a4_0)) (portRef A (instanceRef r_x_data_0_RNI93VC_17)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I121_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I121_Y_0)) (portRef A (instanceRef r_x_data_0_RNI0KEU2_17)) (portRef A (instanceRef r_x_data_0_RNO_0_17)) )) (net (rename dco_m_0_113 "dco_m_0[113]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_17)) (portRef C (instanceRef r_x_data_0_RNO_0_17)) )) (net (rename data_0_1_1_iv_0_26 "data_0_1_1_iv_0[26]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_26)) (portRef B (instanceRef r_x_data_0_RNO_26)) )) (net (rename data_0_0_26 "data_0_0[26]") (joined (portRef Q (instanceRef r_x_data_0_26)) (portRef A (instanceRef r_x_data_0_RNIOVG8_26)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I317_Y_0_1)) (portRef B (instanceRef r_x_result_RNI4VED_26)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I27_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I27_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I27_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I27_G0N)) (portRef B (instanceRef r_e_op1_RNI85ID_26)) (portRef A (instanceRef r_x_data_0_RNI36EC_26)) (portRef A (instanceRef r_x_data_0_RNO_0_26)) )) (net (rename dco_m_1_122 "dco_m_1[122]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_26)) (portRef C (instanceRef r_x_data_0_RNO_0_26)) )) (net (rename data_0_1_1_iv_0_29 "data_0_1_1_iv_0[29]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_29)) (portRef B (instanceRef r_x_data_0_RNO_29)) )) (net (rename dco_m_1_125 "dco_m_1[125]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_29)) (portRef C (instanceRef r_x_data_0_RNO_0_29)) )) (net (rename data_0_1_1_iv_0_28 "data_0_1_1_iv_0[28]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_28)) (portRef B (instanceRef r_x_data_0_RNO_28)) )) (net (rename dco_m_1_124 "dco_m_1[124]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_28)) (portRef C (instanceRef r_x_data_0_RNO_0_28)) )) (net (rename eres2_iv_0_24 "eres2_iv_0[24]") (joined (portRef Y (instanceRef r_e_op1_RNI9Q6J2_24)) (portRef C (instanceRef r_e_op1_RNIJV50R5_24)) )) (net (rename op1_24 "op1[24]") (joined (portRef Q (instanceRef r_e_op1_24)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I25_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I25_P0N)) (portRef A (instanceRef r_e_op1_RNI4THD_24)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I25_G0N)) (portRef A (instanceRef r_e_op1_RNI1JNF_24)) (portRef A (instanceRef r_e_op1_RNI9Q6J2_24)) )) (net (rename cpi_m_0_416 "cpi_m_0[416]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI87F32)) (portRef C (instanceRef r_e_op1_RNI9Q6J2_24)) )) (net wim_1_sqmuxa_2_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI893A1_21)) (portRef A (instanceRef r_x_rstate_0_RNI0UGJT_0_1)) )) (net wim_1_sqmuxa_0_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIRH1E_0_21)) (portRef A (instanceRef r_x_ctrl_inst_RNI893A1_21)) )) (net branch_1_sqmuxa_a0_0 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIR7N5E1)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIGQBHO3)) )) (net branch_1_sqmuxa_a1_1 (joined (portRef Y (instanceRef r_x_rstate_0_RNIHE30A1_1)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIHF0FR1)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIR7N5E1)) )) (net (rename eres2_iv_0_29 "eres2_iv_0[29]") (joined (portRef Y (instanceRef r_e_op1_RNIJ28J2_29)) (portRef C (instanceRef r_e_op1_RNIRRLIM6_29)) )) (net (rename op1_29 "op1[29]") (joined (portRef Q (instanceRef r_e_op1_29)) (portRef A (instanceRef r_e_op1_RNI67OF_29)) (portRef A (instanceRef r_e_op1_RNIEHID_29)) (portRef A (instanceRef r_e_op1_RNIJ28J2_29)) )) (net (rename cpi_m_0_421 "cpi_m_0[421]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIDRF32)) (portRef C (instanceRef r_e_op1_RNIJ28J2_29)) )) (net ADD_30x30_fast_I288_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I288_Y_0_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I288_Y_0)) )) (net (rename dpc_30 "dpc[30]") (joined (portRef Q (instanceRef r_d_pc_30)) (portRef B (instanceRef un6_fe_npc_2_I_203)) (portRef B (instanceRef un6_fe_npc_2_I_206)) (portRef D (instanceRef r_a_ctrl_pc_30)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I28_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I28_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I288_Y_0_0)) )) (net N_155 (joined (portRef Y (instanceRef r_d_inst_0_RNI9AJ4_28)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I28_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I28_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I288_Y_0_0)) )) (net (rename eres2_iv_0_27 "eres2_iv_0[27]") (joined (portRef Y (instanceRef r_e_op1_RNIFI7J2_27)) (portRef C (instanceRef r_e_op1_RNI10TO76_27)) )) (net (rename op1_27 "op1[27]") (joined (portRef Q (instanceRef r_e_op1_27)) (portRef A (instanceRef r_e_op1_RNIA9ID_27)) (portRef A (instanceRef r_e_op1_RNI4VNF_27)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I28_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I28_P0N)) (portRef A (instanceRef r_e_op1_RNIFI7J2_27)) )) (net (rename cpi_m_0_419 "cpi_m_0[419]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIBJF32)) (portRef C (instanceRef r_e_op1_RNIFI7J2_27)) )) (net (rename eres2_iv_0_20 "eres2_iv_0[20]") (joined (portRef Y (instanceRef r_e_op1_RNI1Q5J2_20)) (portRef A (instanceRef r_e_op1_RNI0OTH05_20)) )) (net (rename op1_20 "op1[20]") (joined (portRef Q (instanceRef r_e_op1_20)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I21_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I21_P0N)) (portRef A (instanceRef r_e_op1_RNISCHD_20)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I21_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I21_G0N)) (portRef A (instanceRef r_e_op1_RNIT2NF_20)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I52_Y_m2_e)) (portRef A (instanceRef r_e_op1_RNI1Q5J2_20)) )) (net (rename cpi_m_0_412 "cpi_m_0[412]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI4NE32)) (portRef C (instanceRef r_e_op1_RNI1Q5J2_20)) )) (net (rename y_m_1_16 "y_m_1[16]") (joined (portRef Y (instanceRef r_m_y_RNISLO71_16)) (portRef A (instanceRef r_m_y_RNIG6U4L_16)) )) (net (rename logicout_m_0_16 "logicout_m_0[16]") (joined (portRef Y (instanceRef r_e_aluop_RNIUQM66_0)) (portRef B (instanceRef r_m_y_RNIG6U4L_16)) )) (net (rename aluresult_1_iv_4_16 "aluresult_1_iv_4[16]") (joined (portRef Y (instanceRef r_w_s_tba_RNIMLEMD_4)) (portRef C (instanceRef r_m_y_RNIG6U4L_16)) )) (net (rename tba_4 "tba[4]") (joined (portRef Q (instanceRef r_w_s_tba_4)) (portRef A (instanceRef r_f_pc_RNO_13_16)) (portRef A (instanceRef r_w_s_tba_RNO_0_4)) (portRef A (instanceRef r_w_s_tba_RNIUUFH2_4)) (portRef A (instanceRef r_w_s_tba_RNIMLEMD_4)) )) (net (rename aluresult_1_iv_3_16 "aluresult_1_iv_3[16]") (joined (portRef Y (instanceRef r_x_result_RNI10N2B_0)) (portRef C (instanceRef r_w_s_tba_RNIMLEMD_4)) )) (net (rename bpdata_0 "bpdata[0]") (joined (portRef Y (instanceRef r_x_result_RNINJH13_0)) (portRef A (instanceRef r_x_result_RNIKPRM4_0)) (portRef A (instanceRef r_x_result_RNI1BAJ3_0)) (portRef B (instanceRef r_x_result_RNIE93H3_0)) (portRef A (instanceRef r_x_result_RNIRK6G4_0)) (portRef B (instanceRef r_x_result_RNI4VK04_0)) (portRef A (instanceRef r_x_result_RNI10N2B_0)) )) (net (rename aluresult_0_2 "aluresult_0[2]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIJEH31_0_1)) (portRef A (instanceRef r_e_aluop_1_RNIT5AL1_1)) (portRef B (instanceRef r_e_ctrl_pc_RNIT8JOA_18)) (portRef B (instanceRef r_e_ctrl_pc_RNI29P2B_19)) (portRef B (instanceRef r_x_result_RNI9ON2B_1)) (portRef B (instanceRef r_m_icc_RNIGKQK6_2)) (portRef B (instanceRef r_m_icc_RNIGI2K6_1)) (portRef A (instanceRef r_m_icc_RNIQA3K6_3)) (portRef B (instanceRef r_m_icc_RNIB62K6_0)) (portRef B (instanceRef r_x_result_RNI10N2B_0)) )) (net (rename aluresult_1_iv_2_16 "aluresult_1_iv_2[16]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNINTJT6_16)) (portRef C (instanceRef r_x_result_RNI10N2B_0)) )) (net (rename bpdata_16 "bpdata[16]") (joined (portRef Y (instanceRef r_x_result_RNICFSC3_16)) (portRef B (instanceRef r_x_result_RNI35ES3_16)) (portRef A (instanceRef r_e_ctrl_pc_RNINTJT6_16)) )) (net (rename aluresult_1_iv_1_16 "aluresult_1_iv_1[16]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI1NUU2_16)) (portRef C (instanceRef r_e_ctrl_pc_RNINTJT6_16)) )) (net (rename ex_op2_m_16 "ex_op2_m[16]") (joined (portRef Y (instanceRef r_e_op2_RNIDAHB1_16)) (portRef A (instanceRef r_e_ctrl_pc_RNI1NUU2_16)) )) (net (rename cpi_m_161 "cpi_m[161]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIPFKL_16)) (portRef C (instanceRef r_e_ctrl_pc_RNI1NUU2_16)) )) (net (rename data_0_1_0_iv_4_0_11 "data_0_1_0_iv_4_0[11]") (joined (portRef Y (instanceRef r_x_dci_signed_RNIQM71D2)) (portRef B (instanceRef r_x_data_0_RNO_12)) (portRef B (instanceRef r_x_data_0_RNO_9)) (portRef B (instanceRef r_x_data_0_RNO_15)) (portRef B (instanceRef r_x_data_0_RNO_11)) (portRef B (instanceRef r_x_data_0_RNO_8)) (portRef B (instanceRef r_x_data_0_RNO_10)) (portRef B (instanceRef r_x_data_0_RNO_14)) )) (net (rename rdata_9_m_8 "rdata_9_m[8]") (joined (portRef Y (instanceRef r_x_dci_signed_RNI9N1F61)) (portRef B (instanceRef r_x_dci_signed_RNIM3EID2)) (portRef B (instanceRef r_x_data_0_RNO_20)) (portRef C (instanceRef r_x_data_0_RNO_0_13)) (portRef A (instanceRef r_x_dci_signed_RNIQM71D2)) )) (net (rename rdata_5_m_8 "rdata_5_m[8]") (joined (portRef Y (instanceRef r_x_dci_signed_RNIHV5I61_0)) (portRef C (instanceRef r_x_data_0_RNO_13)) (portRef B (instanceRef r_x_dci_signed_RNIQM71D2)) )) (net (rename eres2_iv_1_15 "eres2_iv_1[15]") (joined (portRef Y (instanceRef r_e_op1_RNINU2K4_15)) (portRef C (instanceRef r_e_op1_RNI6AERK3_15)) )) (net (rename dbgi_m_20 "dbgi_m[20]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIESR02)) (portRef A (instanceRef r_e_op1_RNINU2K4_15)) )) (net (rename op1_m_15 "op1_m[15]") (joined (portRef Y (instanceRef r_e_op1_RNI1NNF_15)) (portRef B (instanceRef r_e_op1_RNINU2K4_15)) )) (net (rename cpi_m_0_407 "cpi_m_0[407]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI8BF32)) (portRef C (instanceRef r_e_op1_RNINU2K4_15)) )) (net (rename addr_1_iv_3_9 "addr_1_iv_3[9]") (joined (portRef Y (instanceRef ir_addr_RNO_0_9)) (portRef C (instanceRef ir_addr_RNO_9)) )) (net (rename cpi_m_1_401 "cpi_m_1[401]") (joined (portRef Y (instanceRef ir_addr_RNO_1_9)) (portRef A (instanceRef ir_addr_RNO_0_9)) )) (net (rename addr_1_iv_1_9 "addr_1_iv_1[9]") (joined (portRef Y (instanceRef ir_addr_RNO_2_9)) (portRef B (instanceRef ir_addr_RNO_0_9)) )) (net (rename cpi_m_292 "cpi_m[292]") (joined (portRef Y (instanceRef ir_addr_RNO_3_9)) (portRef C (instanceRef ir_addr_RNO_0_9)) )) (net (rename cpi_m_223 "cpi_m[223]") (joined (portRef Y (instanceRef ir_addr_RNO_4_9)) (portRef A (instanceRef ir_addr_RNO_2_9)) )) (net (rename cpi_m_85 "cpi_m[85]") (joined (portRef Y (instanceRef ir_addr_RNO_5_9)) (portRef B (instanceRef ir_addr_RNO_2_9)) )) (net (rename cpi_m_0_154 "cpi_m_0[154]") (joined (portRef Y (instanceRef ir_addr_RNO_6_9)) (portRef C (instanceRef ir_addr_RNO_2_9)) )) (net (rename addr_1_iv_2_21 "addr_1_iv_2[21]") (joined (portRef Y (instanceRef ir_addr_RNO_1_21)) (portRef B (instanceRef ir_addr_RNO_21)) )) (net (rename addr_1_iv_1_21 "addr_1_iv_1[21]") (joined (portRef Y (instanceRef ir_addr_RNO_3_21)) (portRef C (instanceRef ir_addr_RNO_1_21)) )) (net (rename cpi_m_235 "cpi_m[235]") (joined (portRef Y (instanceRef ir_addr_RNO_4_21)) (portRef A (instanceRef ir_addr_RNO_3_21)) )) (net (rename cpi_m_97 "cpi_m[97]") (joined (portRef Y (instanceRef ir_addr_RNO_5_21)) (portRef B (instanceRef ir_addr_RNO_3_21)) )) (net (rename cpi_m_0_166 "cpi_m_0[166]") (joined (portRef Y (instanceRef ir_addr_RNO_6_21)) (portRef C (instanceRef ir_addr_RNO_3_21)) )) (net (rename addr_1_iv_2_14 "addr_1_iv_2[14]") (joined (portRef Y (instanceRef ir_addr_RNO_1_14)) (portRef B (instanceRef ir_addr_RNO_14)) )) (net (rename addr_1_iv_1_14 "addr_1_iv_1[14]") (joined (portRef Y (instanceRef ir_addr_RNO_3_14)) (portRef C (instanceRef ir_addr_RNO_1_14)) )) (net (rename cpi_m_228 "cpi_m[228]") (joined (portRef Y (instanceRef ir_addr_RNO_4_14)) (portRef A (instanceRef ir_addr_RNO_3_14)) )) (net (rename cpi_m_90 "cpi_m[90]") (joined (portRef Y (instanceRef ir_addr_RNO_5_14)) (portRef B (instanceRef ir_addr_RNO_3_14)) )) (net (rename cpi_m_0_159 "cpi_m_0[159]") (joined (portRef Y (instanceRef ir_addr_RNO_6_14)) (portRef C (instanceRef ir_addr_RNO_3_14)) )) (net (rename addr_1_iv_2_13 "addr_1_iv_2[13]") (joined (portRef Y (instanceRef ir_addr_RNO_1_13)) (portRef B (instanceRef ir_addr_RNO_13)) )) (net (rename addr_1_iv_0_13 "addr_1_iv_0[13]") (joined (portRef Y (instanceRef ir_addr_RNO_3_13)) (portRef A (instanceRef ir_addr_RNO_1_13)) )) (net (rename cpi_m_0_158 "cpi_m_0[158]") (joined (portRef Y (instanceRef ir_addr_RNO_4_13)) (portRef B (instanceRef ir_addr_RNO_1_13)) )) (net (rename cpi_m_2_405 "cpi_m_2[405]") (joined (portRef Y (instanceRef ir_addr_RNO_5_13)) (portRef C (instanceRef ir_addr_RNO_1_13)) )) (net (rename pc_13 "pc[13]") (joined (portRef Q (instanceRef r_a_ctrl_pc_13)) (portRef B (instanceRef un6_fe_npc_1_I_66)) (portRef C (instanceRef un6_fe_npc_1_I_69)) (portRef D (instanceRef r_e_ctrl_pc_13)) (portRef B (instanceRef r_m_ctrl_pc_RNIS1HF_13)) (portRef A (instanceRef ir_addr_RNO_3_13)) )) (net (rename cpi_m_227 "cpi_m[227]") (joined (portRef Y (instanceRef ir_addr_RNO_6_13)) (portRef C (instanceRef ir_addr_RNO_3_13)) )) (net (rename addr_1_iv_3_6 "addr_1_iv_3[6]") (joined (portRef Y (instanceRef ir_addr_RNO_0_6)) (portRef C (instanceRef ir_addr_RNO_6)) )) (net (rename cpi_m_1_398 "cpi_m_1[398]") (joined (portRef Y (instanceRef ir_addr_RNO_1_6)) (portRef A (instanceRef ir_addr_RNO_0_6)) )) (net (rename addr_1_iv_1_6 "addr_1_iv_1[6]") (joined (portRef Y (instanceRef ir_addr_RNO_2_6)) (portRef B (instanceRef ir_addr_RNO_0_6)) )) (net (rename cpi_m_289 "cpi_m[289]") (joined (portRef Y (instanceRef ir_addr_RNO_3_6)) (portRef C (instanceRef ir_addr_RNO_0_6)) )) (net (rename cpi_m_220 "cpi_m[220]") (joined (portRef Y (instanceRef ir_addr_RNO_4_6)) (portRef A (instanceRef ir_addr_RNO_2_6)) )) (net (rename cpi_m_82 "cpi_m[82]") (joined (portRef Y (instanceRef ir_addr_RNO_5_6)) (portRef B (instanceRef ir_addr_RNO_2_6)) )) (net (rename cpi_m_0_151 "cpi_m_0[151]") (joined (portRef Y (instanceRef ir_addr_RNO_6_6)) (portRef C (instanceRef ir_addr_RNO_2_6)) )) (net (rename addr_1_iv_3_4 "addr_1_iv_3[4]") (joined (portRef Y (instanceRef ir_addr_RNO_0_4)) (portRef C (instanceRef ir_addr_RNO_4)) )) (net (rename cpi_m_1_396 "cpi_m_1[396]") (joined (portRef Y (instanceRef ir_addr_RNO_1_4)) (portRef A (instanceRef ir_addr_RNO_0_4)) )) (net (rename addr_1_iv_1_4 "addr_1_iv_1[4]") (joined (portRef Y (instanceRef ir_addr_RNO_2_4)) (portRef B (instanceRef ir_addr_RNO_0_4)) )) (net (rename cpi_m_287 "cpi_m[287]") (joined (portRef Y (instanceRef ir_addr_RNO_3_4)) (portRef C (instanceRef ir_addr_RNO_0_4)) )) (net (rename cpi_m_218 "cpi_m[218]") (joined (portRef Y (instanceRef ir_addr_RNO_4_4)) (portRef A (instanceRef ir_addr_RNO_2_4)) )) (net (rename cpi_m_80 "cpi_m[80]") (joined (portRef Y (instanceRef ir_addr_RNO_5_4)) (portRef B (instanceRef ir_addr_RNO_2_4)) )) (net (rename cpi_m_0_149 "cpi_m_0[149]") (joined (portRef Y (instanceRef ir_addr_RNO_6_4)) (portRef C (instanceRef ir_addr_RNO_2_4)) )) (net (rename addr_1_iv_3_12 "addr_1_iv_3[12]") (joined (portRef Y (instanceRef ir_addr_RNO_0_12)) (portRef C (instanceRef ir_addr_RNO_12)) )) (net (rename cpi_m_1_404 "cpi_m_1[404]") (joined (portRef Y (instanceRef ir_addr_RNO_1_12)) (portRef A (instanceRef ir_addr_RNO_0_12)) )) (net (rename addr_1_iv_1_12 "addr_1_iv_1[12]") (joined (portRef Y (instanceRef ir_addr_RNO_2_12)) (portRef B (instanceRef ir_addr_RNO_0_12)) )) (net (rename cpi_m_295 "cpi_m[295]") (joined (portRef Y (instanceRef ir_addr_RNO_3_12)) (portRef C (instanceRef ir_addr_RNO_0_12)) )) (net (rename cpi_m_226 "cpi_m[226]") (joined (portRef Y (instanceRef ir_addr_RNO_4_12)) (portRef A (instanceRef ir_addr_RNO_2_12)) )) (net (rename cpi_m_88 "cpi_m[88]") (joined (portRef Y (instanceRef ir_addr_RNO_5_12)) (portRef B (instanceRef ir_addr_RNO_2_12)) )) (net (rename cpi_m_0_157 "cpi_m_0[157]") (joined (portRef Y (instanceRef ir_addr_RNO_6_12)) (portRef C (instanceRef ir_addr_RNO_2_12)) )) (net (rename addr_1_iv_2_2 "addr_1_iv_2[2]") (joined (portRef Y (instanceRef ir_addr_RNO_1_2)) (portRef B (instanceRef ir_addr_RNO_2)) )) (net (rename addr_1_iv_1_2 "addr_1_iv_1[2]") (joined (portRef Y (instanceRef ir_addr_RNO_3_2)) (portRef C (instanceRef ir_addr_RNO_1_2)) )) (net (rename cpi_m_216 "cpi_m[216]") (joined (portRef Y (instanceRef ir_addr_RNO_4_2)) (portRef A (instanceRef ir_addr_RNO_3_2)) )) (net (rename cpi_m_78 "cpi_m[78]") (joined (portRef Y (instanceRef ir_addr_RNO_5_2)) (portRef B (instanceRef ir_addr_RNO_3_2)) )) (net (rename cpi_m_0_147 "cpi_m_0[147]") (joined (portRef Y (instanceRef ir_addr_RNO_6_2)) (portRef C (instanceRef ir_addr_RNO_3_2)) )) (net (rename addr_1_iv_2_22 "addr_1_iv_2[22]") (joined (portRef Y (instanceRef ir_addr_RNO_1_22)) (portRef B (instanceRef ir_addr_RNO_22)) )) (net (rename addr_1_iv_1_22 "addr_1_iv_1[22]") (joined (portRef Y (instanceRef ir_addr_RNO_3_22)) (portRef C (instanceRef ir_addr_RNO_1_22)) )) (net (rename cpi_m_236 "cpi_m[236]") (joined (portRef Y (instanceRef ir_addr_RNO_4_22)) (portRef A (instanceRef ir_addr_RNO_3_22)) )) (net (rename cpi_m_98 "cpi_m[98]") (joined (portRef Y (instanceRef ir_addr_RNO_5_22)) (portRef B (instanceRef ir_addr_RNO_3_22)) )) (net (rename cpi_m_0_167 "cpi_m_0[167]") (joined (portRef Y (instanceRef ir_addr_RNO_6_22)) (portRef C (instanceRef ir_addr_RNO_3_22)) )) (net (rename addr_1_iv_2_31 "addr_1_iv_2[31]") (joined (portRef Y (instanceRef ir_addr_RNO_1_31)) (portRef B (instanceRef ir_addr_RNO_31)) )) (net (rename addr_1_iv_1_31 "addr_1_iv_1[31]") (joined (portRef Y (instanceRef ir_addr_RNO_3_31)) (portRef C (instanceRef ir_addr_RNO_1_31)) )) (net (rename cpi_m_245 "cpi_m[245]") (joined (portRef Y (instanceRef ir_addr_RNO_4_31)) (portRef A (instanceRef ir_addr_RNO_3_31)) )) (net (rename cpi_m_107 "cpi_m[107]") (joined (portRef Y (instanceRef ir_addr_RNO_5_31)) (portRef B (instanceRef ir_addr_RNO_3_31)) )) (net (rename cpi_m_0_176 "cpi_m_0[176]") (joined (portRef Y (instanceRef ir_addr_RNO_6_31)) (portRef C (instanceRef ir_addr_RNO_3_31)) )) (net (rename addr_1_iv_3_25 "addr_1_iv_3[25]") (joined (portRef Y (instanceRef ir_addr_RNO_0_25)) (portRef C (instanceRef ir_addr_RNO_25)) )) (net (rename cpi_m_1_417 "cpi_m_1[417]") (joined (portRef Y (instanceRef ir_addr_RNO_1_25)) (portRef A (instanceRef ir_addr_RNO_0_25)) )) (net (rename addr_1_iv_1_25 "addr_1_iv_1[25]") (joined (portRef Y (instanceRef ir_addr_RNO_2_25)) (portRef B (instanceRef ir_addr_RNO_0_25)) )) (net (rename cpi_m_308 "cpi_m[308]") (joined (portRef Y (instanceRef ir_addr_RNO_3_25)) (portRef C (instanceRef ir_addr_RNO_0_25)) )) (net (rename cpi_m_239 "cpi_m[239]") (joined (portRef Y (instanceRef ir_addr_RNO_4_25)) (portRef A (instanceRef ir_addr_RNO_2_25)) )) (net (rename cpi_m_101 "cpi_m[101]") (joined (portRef Y (instanceRef ir_addr_RNO_5_25)) (portRef B (instanceRef ir_addr_RNO_2_25)) )) (net (rename cpi_m_0_170 "cpi_m_0[170]") (joined (portRef Y (instanceRef ir_addr_RNO_6_25)) (portRef C (instanceRef ir_addr_RNO_2_25)) )) (net (rename addr_1_iv_2_29 "addr_1_iv_2[29]") (joined (portRef Y (instanceRef ir_addr_RNO_1_29)) (portRef B (instanceRef ir_addr_RNO_29)) )) (net (rename addr_1_iv_1_29 "addr_1_iv_1[29]") (joined (portRef Y (instanceRef ir_addr_RNO_3_29)) (portRef C (instanceRef ir_addr_RNO_1_29)) )) (net (rename cpi_m_243 "cpi_m[243]") (joined (portRef Y (instanceRef ir_addr_RNO_4_29)) (portRef A (instanceRef ir_addr_RNO_3_29)) )) (net (rename cpi_m_105 "cpi_m[105]") (joined (portRef Y (instanceRef ir_addr_RNO_5_29)) (portRef B (instanceRef ir_addr_RNO_3_29)) )) (net (rename cpi_m_0_174 "cpi_m_0[174]") (joined (portRef Y (instanceRef ir_addr_RNO_6_29)) (portRef C (instanceRef ir_addr_RNO_3_29)) )) (net (rename addr_1_iv_3_7 "addr_1_iv_3[7]") (joined (portRef Y (instanceRef ir_addr_RNO_0_7)) (portRef C (instanceRef ir_addr_RNO_7)) )) (net (rename cpi_m_1_399 "cpi_m_1[399]") (joined (portRef Y (instanceRef ir_addr_RNO_1_7)) (portRef A (instanceRef ir_addr_RNO_0_7)) )) (net (rename addr_1_iv_1_7 "addr_1_iv_1[7]") (joined (portRef Y (instanceRef ir_addr_RNO_2_7)) (portRef B (instanceRef ir_addr_RNO_0_7)) )) (net (rename cpi_m_290 "cpi_m[290]") (joined (portRef Y (instanceRef ir_addr_RNO_3_7)) (portRef C (instanceRef ir_addr_RNO_0_7)) )) (net (rename cpi_m_221 "cpi_m[221]") (joined (portRef Y (instanceRef ir_addr_RNO_4_7)) (portRef A (instanceRef ir_addr_RNO_2_7)) )) (net (rename cpi_m_83 "cpi_m[83]") (joined (portRef Y (instanceRef ir_addr_RNO_5_7)) (portRef B (instanceRef ir_addr_RNO_2_7)) )) (net (rename cpi_m_0_152 "cpi_m_0[152]") (joined (portRef Y (instanceRef ir_addr_RNO_6_7)) (portRef C (instanceRef ir_addr_RNO_2_7)) )) (net (rename addr_1_iv_3_11 "addr_1_iv_3[11]") (joined (portRef Y (instanceRef ir_addr_RNO_0_11)) (portRef C (instanceRef ir_addr_RNO_11)) )) (net (rename cpi_m_1_403 "cpi_m_1[403]") (joined (portRef Y (instanceRef ir_addr_RNO_1_11)) (portRef A (instanceRef ir_addr_RNO_0_11)) )) (net (rename addr_1_iv_1_11 "addr_1_iv_1[11]") (joined (portRef Y (instanceRef ir_addr_RNO_2_11)) (portRef B (instanceRef ir_addr_RNO_0_11)) )) (net (rename cpi_m_294 "cpi_m[294]") (joined (portRef Y (instanceRef ir_addr_RNO_3_11)) (portRef C (instanceRef ir_addr_RNO_0_11)) )) (net (rename cpi_m_225 "cpi_m[225]") (joined (portRef Y (instanceRef ir_addr_RNO_4_11)) (portRef A (instanceRef ir_addr_RNO_2_11)) )) (net (rename cpi_m_87 "cpi_m[87]") (joined (portRef Y (instanceRef ir_addr_RNO_5_11)) (portRef B (instanceRef ir_addr_RNO_2_11)) )) (net (rename cpi_m_0_156 "cpi_m_0[156]") (joined (portRef Y (instanceRef ir_addr_RNO_6_11)) (portRef C (instanceRef ir_addr_RNO_2_11)) )) (net (rename addr_1_iv_3_19 "addr_1_iv_3[19]") (joined (portRef Y (instanceRef ir_addr_RNO_0_19)) (portRef C (instanceRef ir_addr_RNO_19)) )) (net (rename cpi_m_1_411 "cpi_m_1[411]") (joined (portRef Y (instanceRef ir_addr_RNO_1_19)) (portRef A (instanceRef ir_addr_RNO_0_19)) )) (net (rename addr_1_iv_1_19 "addr_1_iv_1[19]") (joined (portRef Y (instanceRef ir_addr_RNO_2_19)) (portRef B (instanceRef ir_addr_RNO_0_19)) )) (net (rename cpi_m_302 "cpi_m[302]") (joined (portRef Y (instanceRef ir_addr_RNO_3_19)) (portRef C (instanceRef ir_addr_RNO_0_19)) )) (net (rename cpi_m_233 "cpi_m[233]") (joined (portRef Y (instanceRef ir_addr_RNO_4_19)) (portRef A (instanceRef ir_addr_RNO_2_19)) )) (net (rename cpi_m_95 "cpi_m[95]") (joined (portRef Y (instanceRef ir_addr_RNO_5_19)) (portRef B (instanceRef ir_addr_RNO_2_19)) )) (net (rename cpi_m_0_164 "cpi_m_0[164]") (joined (portRef Y (instanceRef ir_addr_RNO_6_19)) (portRef C (instanceRef ir_addr_RNO_2_19)) )) (net (rename addr_1_iv_2_27 "addr_1_iv_2[27]") (joined (portRef Y (instanceRef ir_addr_RNO_1_27)) (portRef B (instanceRef ir_addr_RNO_27)) )) (net (rename ddata_27 "ddata[27]") (joined (portRef (member ddata 4)) (portRef B (instanceRef r_w_s_y_RNO_2_27)) (portRef B (instanceRef r_m_dci_enaddr_RNIBJF32)) (portRef B (instanceRef r_w_s_tba_RNO_15)) (portRef A (instanceRef r_x_rstate_RNI542E_0)) (portRef B (instanceRef ir_addr_RNO_1_27)) (portRef A (instanceRef r_f_pc_RNO_12_27)) )) (net (rename addr_1_iv_1_27 "addr_1_iv_1[27]") (joined (portRef Y (instanceRef ir_addr_RNO_3_27)) (portRef C (instanceRef ir_addr_RNO_1_27)) )) (net (rename cpi_m_241 "cpi_m[241]") (joined (portRef Y (instanceRef ir_addr_RNO_4_27)) (portRef A (instanceRef ir_addr_RNO_3_27)) )) (net (rename cpi_m_103 "cpi_m[103]") (joined (portRef Y (instanceRef ir_addr_RNO_5_27)) (portRef B (instanceRef ir_addr_RNO_3_27)) )) (net (rename cpi_m_0_172 "cpi_m_0[172]") (joined (portRef Y (instanceRef ir_addr_RNO_6_27)) (portRef C (instanceRef ir_addr_RNO_3_27)) )) (net (rename addr_1_iv_3_18 "addr_1_iv_3[18]") (joined (portRef Y (instanceRef ir_addr_RNO_0_18)) (portRef C (instanceRef ir_addr_RNO_18)) )) (net (rename cpi_m_1_410 "cpi_m_1[410]") (joined (portRef Y (instanceRef ir_addr_RNO_1_18)) (portRef A (instanceRef ir_addr_RNO_0_18)) )) (net (rename addr_1_iv_1_18 "addr_1_iv_1[18]") (joined (portRef Y (instanceRef ir_addr_RNO_2_18)) (portRef B (instanceRef ir_addr_RNO_0_18)) )) (net (rename cpi_m_301 "cpi_m[301]") (joined (portRef Y (instanceRef ir_addr_RNO_3_18)) (portRef C (instanceRef ir_addr_RNO_0_18)) )) (net (rename cpi_m_232 "cpi_m[232]") (joined (portRef Y (instanceRef ir_addr_RNO_4_18)) (portRef A (instanceRef ir_addr_RNO_2_18)) )) (net (rename cpi_m_94 "cpi_m[94]") (joined (portRef Y (instanceRef ir_addr_RNO_5_18)) (portRef B (instanceRef ir_addr_RNO_2_18)) )) (net (rename cpi_m_0_163 "cpi_m_0[163]") (joined (portRef Y (instanceRef ir_addr_RNO_6_18)) (portRef C (instanceRef ir_addr_RNO_2_18)) )) (net (rename addr_1_iv_3_16 "addr_1_iv_3[16]") (joined (portRef Y (instanceRef ir_addr_RNO_0_16)) (portRef C (instanceRef ir_addr_RNO_16)) )) (net (rename cpi_m_1_408 "cpi_m_1[408]") (joined (portRef Y (instanceRef ir_addr_RNO_1_16)) (portRef A (instanceRef ir_addr_RNO_0_16)) )) (net (rename addr_1_iv_1_16 "addr_1_iv_1[16]") (joined (portRef Y (instanceRef ir_addr_RNO_2_16)) (portRef B (instanceRef ir_addr_RNO_0_16)) )) (net (rename cpi_m_299 "cpi_m[299]") (joined (portRef Y (instanceRef ir_addr_RNO_3_16)) (portRef C (instanceRef ir_addr_RNO_0_16)) )) (net (rename cpi_m_230 "cpi_m[230]") (joined (portRef Y (instanceRef ir_addr_RNO_4_16)) (portRef A (instanceRef ir_addr_RNO_2_16)) )) (net (rename cpi_m_92 "cpi_m[92]") (joined (portRef Y (instanceRef ir_addr_RNO_5_16)) (portRef B (instanceRef ir_addr_RNO_2_16)) )) (net (rename cpi_m_0_161 "cpi_m_0[161]") (joined (portRef Y (instanceRef ir_addr_RNO_6_16)) (portRef C (instanceRef ir_addr_RNO_2_16)) )) (net (rename addr_1_iv_2_3 "addr_1_iv_2[3]") (joined (portRef Y (instanceRef ir_addr_RNO_1_3)) (portRef B (instanceRef ir_addr_RNO_3)) )) (net (rename addr_1_iv_1_3 "addr_1_iv_1[3]") (joined (portRef Y (instanceRef ir_addr_RNO_3_3)) (portRef C (instanceRef ir_addr_RNO_1_3)) )) (net (rename cpi_m_217 "cpi_m[217]") (joined (portRef Y (instanceRef ir_addr_RNO_4_3)) (portRef A (instanceRef ir_addr_RNO_3_3)) )) (net (rename cpi_m_79 "cpi_m[79]") (joined (portRef Y (instanceRef ir_addr_RNO_5_3)) (portRef B (instanceRef ir_addr_RNO_3_3)) )) (net (rename cpi_m_0_148 "cpi_m_0[148]") (joined (portRef Y (instanceRef ir_addr_RNO_6_3)) (portRef C (instanceRef ir_addr_RNO_3_3)) )) (net (rename addr_1_iv_3_15 "addr_1_iv_3[15]") (joined (portRef Y (instanceRef ir_addr_RNO_0_15)) (portRef C (instanceRef ir_addr_RNO_15)) )) (net (rename cpi_m_1_407 "cpi_m_1[407]") (joined (portRef Y (instanceRef ir_addr_RNO_1_15)) (portRef A (instanceRef ir_addr_RNO_0_15)) )) (net (rename addr_1_iv_1_15 "addr_1_iv_1[15]") (joined (portRef Y (instanceRef ir_addr_RNO_2_15)) (portRef B (instanceRef ir_addr_RNO_0_15)) )) (net (rename cpi_m_298 "cpi_m[298]") (joined (portRef Y (instanceRef ir_addr_RNO_3_15)) (portRef C (instanceRef ir_addr_RNO_0_15)) )) (net (rename cpi_m_229 "cpi_m[229]") (joined (portRef Y (instanceRef ir_addr_RNO_4_15)) (portRef A (instanceRef ir_addr_RNO_2_15)) )) (net (rename cpi_m_91 "cpi_m[91]") (joined (portRef Y (instanceRef ir_addr_RNO_5_15)) (portRef B (instanceRef ir_addr_RNO_2_15)) )) (net (rename cpi_m_0_160 "cpi_m_0[160]") (joined (portRef Y (instanceRef ir_addr_RNO_6_15)) (portRef C (instanceRef ir_addr_RNO_2_15)) )) (net (rename addr_1_iv_3_30 "addr_1_iv_3[30]") (joined (portRef Y (instanceRef ir_addr_RNO_0_30)) (portRef C (instanceRef ir_addr_RNO_30)) )) (net (rename cpi_m_1_422 "cpi_m_1[422]") (joined (portRef Y (instanceRef ir_addr_RNO_1_30)) (portRef A (instanceRef ir_addr_RNO_0_30)) )) (net (rename addr_1_iv_1_30 "addr_1_iv_1[30]") (joined (portRef Y (instanceRef ir_addr_RNO_2_30)) (portRef B (instanceRef ir_addr_RNO_0_30)) )) (net (rename cpi_m_313 "cpi_m[313]") (joined (portRef Y (instanceRef ir_addr_RNO_3_30)) (portRef C (instanceRef ir_addr_RNO_0_30)) )) (net (rename cpi_m_244 "cpi_m[244]") (joined (portRef Y (instanceRef ir_addr_RNO_4_30)) (portRef A (instanceRef ir_addr_RNO_2_30)) )) (net (rename cpi_m_106 "cpi_m[106]") (joined (portRef Y (instanceRef ir_addr_RNO_5_30)) (portRef B (instanceRef ir_addr_RNO_2_30)) )) (net (rename cpi_m_0_175 "cpi_m_0[175]") (joined (portRef Y (instanceRef ir_addr_RNO_6_30)) (portRef C (instanceRef ir_addr_RNO_2_30)) )) (net (rename addr_1_iv_2_10 "addr_1_iv_2[10]") (joined (portRef Y (instanceRef ir_addr_RNO_1_10)) (portRef B (instanceRef ir_addr_RNO_10)) )) (net (rename addr_1_iv_1_10 "addr_1_iv_1[10]") (joined (portRef Y (instanceRef ir_addr_RNO_3_10)) (portRef C (instanceRef ir_addr_RNO_1_10)) )) (net (rename cpi_m_224 "cpi_m[224]") (joined (portRef Y (instanceRef ir_addr_RNO_4_10)) (portRef A (instanceRef ir_addr_RNO_3_10)) )) (net (rename cpi_m_86 "cpi_m[86]") (joined (portRef Y (instanceRef ir_addr_RNO_5_10)) (portRef B (instanceRef ir_addr_RNO_3_10)) )) (net (rename cpi_m_0_155 "cpi_m_0[155]") (joined (portRef Y (instanceRef ir_addr_RNO_6_10)) (portRef C (instanceRef ir_addr_RNO_3_10)) )) (net (rename addr_1_iv_2_23 "addr_1_iv_2[23]") (joined (portRef Y (instanceRef ir_addr_RNO_1_23)) (portRef B (instanceRef ir_addr_RNO_23)) )) (net (rename ddata_23 "ddata[23]") (joined (portRef (member ddata 8)) (portRef A (instanceRef r_x_rstate_RNI1K1E_0)) (portRef B (instanceRef r_m_dci_enaddr_RNI73F32)) (portRef A (instanceRef r_w_s_icc_RNO_2_3)) (portRef B (instanceRef r_f_pc_RNO_14_23)) (portRef B (instanceRef r_w_s_tba_RNO_11)) (portRef B (instanceRef ir_addr_RNO_1_23)) (portRef B (instanceRef r_w_s_y_RNO_0_23)) )) (net (rename addr_1_iv_1_23 "addr_1_iv_1[23]") (joined (portRef Y (instanceRef ir_addr_RNO_3_23)) (portRef C (instanceRef ir_addr_RNO_1_23)) )) (net (rename cpi_m_237 "cpi_m[237]") (joined (portRef Y (instanceRef ir_addr_RNO_4_23)) (portRef A (instanceRef ir_addr_RNO_3_23)) )) (net (rename cpi_m_99 "cpi_m[99]") (joined (portRef Y (instanceRef ir_addr_RNO_5_23)) (portRef B (instanceRef ir_addr_RNO_3_23)) )) (net (rename cpi_m_0_168 "cpi_m_0[168]") (joined (portRef Y (instanceRef ir_addr_RNO_6_23)) (portRef C (instanceRef ir_addr_RNO_3_23)) )) (net (rename addr_1_iv_3_26 "addr_1_iv_3[26]") (joined (portRef Y (instanceRef ir_addr_RNO_0_26)) (portRef C (instanceRef ir_addr_RNO_26)) )) (net (rename cpi_m_1_418 "cpi_m_1[418]") (joined (portRef Y (instanceRef ir_addr_RNO_1_26)) (portRef A (instanceRef ir_addr_RNO_0_26)) )) (net (rename addr_1_iv_1_26 "addr_1_iv_1[26]") (joined (portRef Y (instanceRef ir_addr_RNO_2_26)) (portRef B (instanceRef ir_addr_RNO_0_26)) )) (net (rename cpi_m_309 "cpi_m[309]") (joined (portRef Y (instanceRef ir_addr_RNO_3_26)) (portRef C (instanceRef ir_addr_RNO_0_26)) )) (net (rename cpi_m_240 "cpi_m[240]") (joined (portRef Y (instanceRef ir_addr_RNO_4_26)) (portRef A (instanceRef ir_addr_RNO_2_26)) )) (net (rename cpi_m_102 "cpi_m[102]") (joined (portRef Y (instanceRef ir_addr_RNO_5_26)) (portRef B (instanceRef ir_addr_RNO_2_26)) )) (net (rename cpi_m_0_171 "cpi_m_0[171]") (joined (portRef Y (instanceRef ir_addr_RNO_6_26)) (portRef C (instanceRef ir_addr_RNO_2_26)) )) (net (rename addr_1_iv_2_20 "addr_1_iv_2[20]") (joined (portRef Y (instanceRef ir_addr_RNO_1_20)) (portRef B (instanceRef ir_addr_RNO_20)) )) (net (rename addr_1_iv_1_20 "addr_1_iv_1[20]") (joined (portRef Y (instanceRef ir_addr_RNO_3_20)) (portRef C (instanceRef ir_addr_RNO_1_20)) )) (net (rename cpi_m_234 "cpi_m[234]") (joined (portRef Y (instanceRef ir_addr_RNO_4_20)) (portRef A (instanceRef ir_addr_RNO_3_20)) )) (net (rename cpi_m_96 "cpi_m[96]") (joined (portRef Y (instanceRef ir_addr_RNO_5_20)) (portRef B (instanceRef ir_addr_RNO_3_20)) )) (net (rename cpi_m_0_165 "cpi_m_0[165]") (joined (portRef Y (instanceRef ir_addr_RNO_6_20)) (portRef C (instanceRef ir_addr_RNO_3_20)) )) (net (rename addr_1_iv_2_17 "addr_1_iv_2[17]") (joined (portRef Y (instanceRef ir_addr_RNO_1_17)) (portRef B (instanceRef ir_addr_RNO_17)) )) (net (rename addr_1_iv_1_17 "addr_1_iv_1[17]") (joined (portRef Y (instanceRef ir_addr_RNO_3_17)) (portRef C (instanceRef ir_addr_RNO_1_17)) )) (net (rename cpi_m_231 "cpi_m[231]") (joined (portRef Y (instanceRef ir_addr_RNO_4_17)) (portRef A (instanceRef ir_addr_RNO_3_17)) )) (net (rename cpi_m_93 "cpi_m[93]") (joined (portRef Y (instanceRef ir_addr_RNO_5_17)) (portRef B (instanceRef ir_addr_RNO_3_17)) )) (net (rename cpi_m_0_162 "cpi_m_0[162]") (joined (portRef Y (instanceRef ir_addr_RNO_6_17)) (portRef C (instanceRef ir_addr_RNO_3_17)) )) (net (rename addr_1_iv_2_24 "addr_1_iv_2[24]") (joined (portRef Y (instanceRef ir_addr_RNO_1_24)) (portRef B (instanceRef ir_addr_RNO_24)) )) (net (rename addr_1_iv_1_24 "addr_1_iv_1[24]") (joined (portRef Y (instanceRef ir_addr_RNO_3_24)) (portRef C (instanceRef ir_addr_RNO_1_24)) )) (net (rename cpi_m_238 "cpi_m[238]") (joined (portRef Y (instanceRef ir_addr_RNO_4_24)) (portRef A (instanceRef ir_addr_RNO_3_24)) )) (net (rename cpi_m_100 "cpi_m[100]") (joined (portRef Y (instanceRef ir_addr_RNO_5_24)) (portRef B (instanceRef ir_addr_RNO_3_24)) )) (net (rename cpi_m_0_169 "cpi_m_0[169]") (joined (portRef Y (instanceRef ir_addr_RNO_6_24)) (portRef C (instanceRef ir_addr_RNO_3_24)) )) (net (rename addr_1_iv_2_5 "addr_1_iv_2[5]") (joined (portRef Y (instanceRef ir_addr_RNO_1_5)) (portRef B (instanceRef ir_addr_RNO_5)) )) (net (rename addr_1_iv_1_5 "addr_1_iv_1[5]") (joined (portRef Y (instanceRef ir_addr_RNO_3_5)) (portRef C (instanceRef ir_addr_RNO_1_5)) )) (net (rename cpi_m_219 "cpi_m[219]") (joined (portRef Y (instanceRef ir_addr_RNO_4_5)) (portRef A (instanceRef ir_addr_RNO_3_5)) )) (net (rename cpi_m_81 "cpi_m[81]") (joined (portRef Y (instanceRef ir_addr_RNO_5_5)) (portRef B (instanceRef ir_addr_RNO_3_5)) )) (net (rename cpi_m_0_150 "cpi_m_0[150]") (joined (portRef Y (instanceRef ir_addr_RNO_6_5)) (portRef C (instanceRef ir_addr_RNO_3_5)) )) (net (rename addr_1_iv_2_28 "addr_1_iv_2[28]") (joined (portRef Y (instanceRef ir_addr_RNO_1_28)) (portRef B (instanceRef ir_addr_RNO_28)) )) (net (rename addr_1_iv_1_28 "addr_1_iv_1[28]") (joined (portRef Y (instanceRef ir_addr_RNO_3_28)) (portRef C (instanceRef ir_addr_RNO_1_28)) )) (net (rename cpi_m_242 "cpi_m[242]") (joined (portRef Y (instanceRef ir_addr_RNO_4_28)) (portRef A (instanceRef ir_addr_RNO_3_28)) )) (net (rename cpi_m_104 "cpi_m[104]") (joined (portRef Y (instanceRef ir_addr_RNO_5_28)) (portRef B (instanceRef ir_addr_RNO_3_28)) )) (net (rename cpi_m_0_173 "cpi_m_0[173]") (joined (portRef Y (instanceRef ir_addr_RNO_6_28)) (portRef C (instanceRef ir_addr_RNO_3_28)) )) (net (rename addr_1_iv_2_8 "addr_1_iv_2[8]") (joined (portRef Y (instanceRef ir_addr_RNO_1_8)) (portRef B (instanceRef ir_addr_RNO_8)) )) (net fpcwr_8_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNICIRC2_7_0)) (portRef A (instanceRef ir_addr_RNO_1_9)) (portRef A (instanceRef ir_addr_RNO_5_13)) (portRef A (instanceRef ir_addr_RNO_1_6)) (portRef A (instanceRef ir_addr_RNO_1_4)) (portRef A (instanceRef ir_addr_RNO_1_12)) (portRef A (instanceRef ir_addr_RNO_1_25)) (portRef A (instanceRef ir_addr_RNO_1_7)) (portRef A (instanceRef ir_addr_RNO_1_11)) (portRef A (instanceRef ir_addr_RNO_1_19)) (portRef A (instanceRef ir_addr_RNO_1_18)) (portRef A (instanceRef ir_addr_RNO_1_16)) (portRef A (instanceRef ir_addr_RNO_1_15)) (portRef A (instanceRef ir_addr_RNO_1_30)) (portRef A (instanceRef ir_addr_RNO_1_26)) (portRef A (instanceRef ir_addr_RNO_1_8)) )) (net (rename addr_1_iv_1_8 "addr_1_iv_1[8]") (joined (portRef Y (instanceRef ir_addr_RNO_3_8)) (portRef C (instanceRef ir_addr_RNO_1_8)) )) (net (rename cpi_m_222 "cpi_m[222]") (joined (portRef Y (instanceRef ir_addr_RNO_4_8)) (portRef A (instanceRef ir_addr_RNO_3_8)) )) (net (rename cpi_m_84 "cpi_m[84]") (joined (portRef Y (instanceRef ir_addr_RNO_5_8)) (portRef B (instanceRef ir_addr_RNO_3_8)) )) (net (rename cpi_m_0_153 "cpi_m_0[153]") (joined (portRef Y (instanceRef ir_addr_RNO_6_8)) (portRef C (instanceRef ir_addr_RNO_3_8)) )) (net un1_addout_28_10_9 (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIVJ4EBL)) (portRef A (instanceRef r_e_ldbp2_1_RNID6SO1P)) )) (net un1_addout_28_10_6 (joined (portRef Y (instanceRef r_e_ldbp2_0_RNIK8SN18)) (portRef A (instanceRef r_e_ldbp2_2_RNIVJ4EBL)) )) (net un1_addout_28_10_7 (joined (portRef Y (instanceRef r_e_ldbp2_RNIS33O5A)) (portRef B (instanceRef r_e_ldbp2_2_RNIVJ4EBL)) )) (net (rename eaddress_24 "eaddress[24]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIF75U33)) (portRef B (instanceRef r_e_ldbp2_2_RNIAKAA43)) (portRef B (instanceRef r_f_pc_RNO_15_24)) (portRef B (instanceRef r_f_pc_RNO_7_24)) (portRef C (instanceRef r_e_ldbp2_2_RNIVJ4EBL)) )) (net un1_addout_28_10_2 (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIONR6B3)) (portRef A (instanceRef r_e_ldbp2_RNIS33O5A)) )) (net (rename eaddress_8 "eaddress[8]") (joined (portRef Y (instanceRef r_e_ldbp2_RNIO051A)) (portRef B (instanceRef r_e_ldbp2_RNIJDADA)) (portRef B (instanceRef r_f_pc_RNIBE81E_8)) (portRef B (instanceRef r_e_ldbp2_RNIFQ51E1)) (portRef B (instanceRef r_e_ldbp2_RNIS33O5A)) (portRef B (instanceRef r_f_pc_RNO_2_8)) (portRef eaddress_8) )) (net un1_addout_28_10_4 (joined (portRef Y (instanceRef r_e_ldbp2_0_RNICB2GG6)) (portRef C (instanceRef r_e_ldbp2_RNIS33O5A)) )) (net (rename eaddress_26 "eaddress[26]") (joined (portRef Y (instanceRef r_e_ldbp2_0_RNIULJIH3)) (portRef B (instanceRef r_f_pc_RNO_7_26)) (portRef B (instanceRef r_e_ldbp2_0_RNIP2PUH3)) (portRef B (instanceRef r_f_pc_RNO_15_26)) (portRef A (instanceRef r_e_ldbp2_0_RNIK8SN18)) )) (net (rename eaddress_17 "eaddress[17]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIFABHV1)) (portRef B (instanceRef r_f_pc_RNO_1_17)) (portRef B (instanceRef r_f_pc_RNO_9_17)) (portRef B (instanceRef r_e_ldbp2_1_RNIANGTV1)) (portRef B (instanceRef r_e_ldbp2_0_RNIK8SN18)) )) (net (rename eaddress_20 "eaddress[20]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNI78TJG2)) (portRef B (instanceRef r_f_pc_RNO_7_20)) (portRef B (instanceRef r_e_ldbp2_2_RNI2L20H2)) (portRef B (instanceRef r_f_pc_RNO_15_20)) (portRef C (instanceRef r_e_ldbp2_0_RNIK8SN18)) )) (net (rename eaddress_13 "eaddress[13]") (joined (portRef Y (instanceRef r_e_ldbp2_0_RNII09B01)) (portRef B (instanceRef r_f_pc_RNO_1_13)) (portRef B (instanceRef r_e_ldbp2_0_RNIDDEN01)) (portRef B (instanceRef r_f_pc_RNO_9_13)) (portRef B (instanceRef r_e_ldbp2_0_RNICB2GG6)) )) (net (rename eaddress_25 "eaddress[25]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI684O63)) (portRef B (instanceRef r_f_pc_RNO_1_25)) (portRef B (instanceRef r_f_pc_RNO_9_25)) (portRef B (instanceRef r_e_ldbp2_1_RNI1L9473)) (portRef C (instanceRef r_e_ldbp2_0_RNICB2GG6)) )) (net (rename eaddress_7 "eaddress[7]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIK23D9)) (portRef B (instanceRef r_e_ldbp2_2_RNIBS3DD1)) (portRef B (instanceRef r_f_pc_RNI6C6DD_7)) (portRef B (instanceRef r_e_ldbp2_2_RNIFF8P9)) (portRef A (instanceRef r_e_ldbp2_2_RNIONR6B3)) (portRef B (instanceRef r_f_pc_RNO_2_7)) (portRef eaddress_7) )) (net (rename eres2_iv_1_12 "eres2_iv_1[12]") (joined (portRef Y (instanceRef r_e_op1_RNIE62K4_12)) (portRef A (instanceRef r_e_op1_RNIU2NK33_12)) )) (net (rename dbgi_m_17 "dbgi_m[17]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIBSR02)) (portRef A (instanceRef r_e_op1_RNIE62K4_12)) )) (net (rename op1_m_12 "op1_m[12]") (joined (portRef Y (instanceRef r_e_op1_RNIUANF_12)) (portRef B (instanceRef r_e_op1_RNIE62K4_12)) )) (net (rename cpi_m_0_404 "cpi_m_0[404]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI5VE32)) (portRef C (instanceRef r_e_op1_RNIE62K4_12)) )) (net (rename eres2_iv_1_8 "eres2_iv_1[8]") (joined (portRef Y (instanceRef r_e_op1_RNIB4MG4_8)) (portRef A (instanceRef r_e_op1_RNIMKB5H2_8)) )) (net (rename dbgi_m_13 "dbgi_m[13]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIUOL32)) (portRef A (instanceRef r_e_op1_RNIB4MG4_8)) )) (net (rename op1_m_8 "op1_m[8]") (joined (portRef Y (instanceRef r_e_op1_RNIJ1UB_8)) (portRef B (instanceRef r_e_op1_RNIB4MG4_8)) )) (net (rename cpi_m_0_400 "cpi_m_0[400]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIQ9212)) (portRef C (instanceRef r_e_op1_RNIB4MG4_8)) )) (net (rename eres2_iv_0_23 "eres2_iv_0[23]") (joined (portRef Y (instanceRef r_e_op1_RNI7I6J2_23)) (portRef C (instanceRef r_e_op1_RNI24BLF5_23)) )) (net (rename op1_23 "op1[23]") (joined (portRef Q (instanceRef r_e_op1_23)) (portRef A (instanceRef r_e_op1_RNI2PHD_23)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I24_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I24_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I24_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I24_G0N)) (portRef A (instanceRef r_e_op1_RNI0FNF_23)) (portRef A (instanceRef r_e_op1_RNI7I6J2_23)) )) (net (rename cpi_m_0_415 "cpi_m_0[415]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI73F32)) (portRef C (instanceRef r_e_op1_RNI7I6J2_23)) )) (net (rename eres2_iv_0_25 "eres2_iv_0[25]") (joined (portRef Y (instanceRef r_e_op1_RNIB27J2_25)) (portRef A (instanceRef r_e_op1_RNIITDUU5_25)) )) (net (rename op1_25 "op1[25]") (joined (portRef Q (instanceRef r_e_op1_25)) (portRef A (instanceRef r_e_op1_RNI2NNF_25)) (portRef A (instanceRef r_e_op1_RNI61ID_25)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I26_P0N)) (portRef A (instanceRef r_e_op1_RNIB27J2_25)) )) (net (rename cpi_m_0_417 "cpi_m_0[417]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI9BF32)) (portRef C (instanceRef r_e_op1_RNIB27J2_25)) )) (net et5_m_0 (joined (portRef Y (instanceRef r_w_s_et_RNI8NN5)) (portRef C (instanceRef r_w_s_et_RNI558521)) )) (net et_0 (joined (portRef Q (instanceRef r_w_s_et)) (portRef A (instanceRef r_w_s_et_RNIO1M41)) (portRef B (instanceRef r_w_s_et_RNI6JHB)) (portRef A (instanceRef r_w_s_et_RNI6JHB_0)) (portRef B (instanceRef r_w_s_et_RNI7Q0K2)) (portRef A (instanceRef r_w_s_et_RNI0SF2)) (portRef C (instanceRef dsur_err_RNO_2)) (portRef B (instanceRef r_w_s_et_RNI6CE3)) (portRef A (instanceRef r_w_s_et_RNIHA77)) (portRef B (instanceRef r_w_s_et_RNI8NN5)) )) (net (rename eres2_iv_0_26 "eres2_iv_0[26]") (joined (portRef Y (instanceRef r_e_op1_RNIDA7J2_26)) (portRef C (instanceRef r_e_op1_RNIGNG566_26)) )) (net (rename op1_26 "op1[26]") (joined (portRef Q (instanceRef r_e_op1_26)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I27_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I27_P0N)) (portRef A (instanceRef r_e_op1_RNI85ID_26)) (portRef A (instanceRef r_e_op1_RNI3RNF_26)) (portRef A (instanceRef r_e_op1_RNIDA7J2_26)) )) (net (rename cpi_m_0_418 "cpi_m_0[418]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIAFF32)) (portRef C (instanceRef r_e_op1_RNIDA7J2_26)) )) (net ADD_30x30_fast_I233_Y_0_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_1)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I288_Y_0)) )) (net N_40 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_1)) )) (net N439 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I27_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I30_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_1)) )) (net N_41 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_1)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_1)) )) (net (rename aluresult_1_iv_9_30 "aluresult_1_iv_9[30]") (joined (portRef Y (instanceRef r_e_jmpl_RNIOT0PN2)) (portRef A (instanceRef r_e_ldbp2_2_RNIKFD327)) )) (net (rename shiftin_17_m_31 "shiftin_17_m[31]") (joined (portRef Y (instanceRef r_e_jmpl_RNIHT1IV)) (portRef A (instanceRef r_e_jmpl_RNIOT0PN2)) )) (net (rename aluresult_1_iv_7_30 "aluresult_1_iv_7[30]") (joined (portRef Y (instanceRef r_m_y_RNIH538P_30)) (portRef B (instanceRef r_e_jmpl_RNIOT0PN2)) )) (net (rename shiftin_17_m_0_30 "shiftin_17_m_0[30]") (joined (portRef Y (instanceRef r_e_jmpl_RNIMQRUU)) (portRef C (instanceRef r_e_jmpl_RNIOT0PN2)) )) (net (rename aluresult_1_iv_5_30 "aluresult_1_iv_5[30]") (joined (portRef Y (instanceRef r_e_aluop_RNIPVMAC_0)) (portRef A (instanceRef r_m_y_RNIH538P_30)) )) (net (rename aluresult_1_iv_6_30 "aluresult_1_iv_6[30]") (joined (portRef Y (instanceRef r_m_y_RNIO5CTC_30)) (portRef B (instanceRef r_m_y_RNIH538P_30)) )) (net (rename aluresult_1_iv_4_30 "aluresult_1_iv_4[30]") (joined (portRef Y (instanceRef r_w_s_tba_RNI08KLB_18)) (portRef A (instanceRef r_m_y_RNIO5CTC_30)) )) (net (rename y_m_1_30 "y_m_1[30]") (joined (portRef Y (instanceRef r_m_y_RNIOTN71_30)) (portRef B (instanceRef r_m_y_RNIO5CTC_30)) )) (net (rename aluresult_1_iv_3_30 "aluresult_1_iv_3[30]") (joined (portRef Y (instanceRef r_x_result_RNI18PI7_14)) (portRef A (instanceRef r_e_aluop_RNIPVMAC_0)) )) (net (rename logicout_m_0_30 "logicout_m_0[30]") (joined (portRef Y (instanceRef r_e_aluop_RNIONTN4_0)) (portRef B (instanceRef r_e_aluop_RNIPVMAC_0)) )) (net (rename tba_18 "tba[18]") (joined (portRef Q (instanceRef r_w_s_tba_18)) (portRef A (instanceRef r_w_s_tba_RNO_0_18)) (portRef A (instanceRef r_f_pc_RNO_13_30)) (portRef A (instanceRef r_w_s_tba_RNIFGAH2_18)) (portRef A (instanceRef r_w_s_tba_RNI08KLB_18)) )) (net (rename aluresult_1_iv_2_30 "aluresult_1_iv_2[30]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIM8129_30)) (portRef C (instanceRef r_w_s_tba_RNI08KLB_18)) )) (net (rename bpdata_m_2_6 "bpdata_m_2[6]") (joined (portRef Y (instanceRef r_x_result_RNIPJCJ3_6)) (portRef C (instanceRef r_x_result_RNIBVH48_14)) (portRef C (instanceRef r_x_result_RNI18PI7_14)) )) (net (rename aluresult_1_iv_0_30 "aluresult_1_iv_0[30]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI3AVM1_30)) (portRef A (instanceRef r_e_ctrl_pc_RNIM8129_30)) )) (net (rename aluresult_1_iv_1_30 "aluresult_1_iv_1[30]") (joined (portRef Y (instanceRef r_x_result_RNIJU1B7_30)) (portRef B (instanceRef r_e_ctrl_pc_RNIM8129_30)) )) (net (rename bpdata_30 "bpdata[30]") (joined (portRef Y (instanceRef r_x_result_RNI35KD3_30)) (portRef B (instanceRef r_x_result_RNIQQ5T3_30)) (portRef B (instanceRef r_x_result_RNIJU1B7_30)) )) (net (rename op2_RNI3RIF_30 "op2_RNI3RIF[30]") (joined (portRef Y (instanceRef r_e_op2_RNI3RIF_30)) (portRef B (instanceRef r_e_op2_RNI3C4T_0_30)) (portRef A (instanceRef r_e_op2_RNI3C4T_30)) (portRef A (instanceRef r_e_aluop_RNIN7051_2)) (portRef C (instanceRef r_e_aluop_0_RNIT40V_1)) (portRef A (instanceRef r_e_ctrl_pc_RNI3AVM1_30)) )) (net (rename cpi_m_175 "cpi_m[175]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNILNJL_30)) (portRef C (instanceRef r_e_ctrl_pc_RNI3AVM1_30)) )) (net (rename eres2_iv_0_21 "eres2_iv_0[21]") (joined (portRef Y (instanceRef r_e_op1_RNI326J2_21)) (portRef C (instanceRef r_e_op1_RNIEA6M45_21)) )) (net (rename op1_21 "op1[21]") (joined (portRef Q (instanceRef r_e_op1_21)) (portRef A (instanceRef r_e_op1_RNIUGHD_21)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I22_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I22_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I22_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I22_P0N)) (portRef A (instanceRef r_e_op1_RNIU6NF_21)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I52_Ytt_m1_0_a2)) (portRef A (instanceRef r_e_op1_RNI326J2_21)) )) (net (rename cpi_m_0_413 "cpi_m_0[413]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI5RE32)) (portRef C (instanceRef r_e_op1_RNI326J2_21)) )) (net (rename data_0_1_0_iv_2_13 "data_0_1_0_iv_2[13]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_13)) (portRef A (instanceRef r_x_data_0_RNO_13)) )) (net (rename data_0_1_0_iv_0_13 "data_0_1_0_iv_0[13]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_13)) (portRef A (instanceRef r_x_data_0_RNO_0_13)) )) (net (rename dco_m_0_i_125 "dco_m_0_i[125]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_13)) (portRef B (instanceRef r_x_data_0_RNO_0_13)) )) (net (rename data_0_m_i_13 "data_0_m_i[13]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_13)) (portRef C (instanceRef r_x_data_0_RNO_1_13)) )) (net (rename eres2_iv_0_22 "eres2_iv_0[22]") (joined (portRef Y (instanceRef r_e_op1_RNI5A6J2_22)) (portRef C (instanceRef r_e_op1_RNIH5G5F5_22)) )) (net (rename op1_22 "op1[22]") (joined (portRef Q (instanceRef r_e_op1_22)) (portRef A (instanceRef r_e_op1_RNI0LHD_22)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I23_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I23_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I23_G0N)) (portRef A (instanceRef r_e_op1_RNIVANF_22)) (portRef A (instanceRef r_e_op1_RNI5A6J2_22)) )) (net un16_casaen_0_1 (joined (portRef Y (instanceRef r_m_casa_RNI8BU9)) (portRef A (instanceRef r_m_casa_RNIB325R1)) (portRef A (instanceRef r_m_casa_RNI2DJ8TN1)) (portRef A (instanceRef r_e_op1_RNIQS6C4_28)) (portRef A (instanceRef r_e_op1_RNI2G5C4_31)) (portRef A (instanceRef r_e_op1_RNI506C4_16)) (portRef B (instanceRef r_e_op1_RNII4R04_10)) (portRef B (instanceRef r_e_op1_RNI6N1T3_9)) (portRef B (instanceRef r_e_op1_RNI1B1T3_8)) (portRef B (instanceRef r_e_op1_RNI6N6R1_7)) (portRef B (instanceRef r_e_op1_RNITA0D2_0)) (portRef B (instanceRef r_e_op1_RNIVA0D2_1)) (portRef B (instanceRef r_e_op1_RNIBEJF2_5)) (portRef B (instanceRef r_e_op1_RNI9AJF2_4)) (portRef B (instanceRef r_e_op1_RNIDIJF2_6)) (portRef B (instanceRef r_e_op1_RNI5VIG2_10)) (portRef B (instanceRef r_e_op1_RNI5A6J2_22)) (portRef un16_casaen_0_1) )) (net (rename cpi_m_0_414 "cpi_m_0[414]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI6VE32)) (portRef C (instanceRef r_e_op1_RNI5A6J2_22)) )) (net (rename aluresult_1_iv_8_24 "aluresult_1_iv_8[24]") (joined (portRef Y (instanceRef r_e_jmpl_RNI1OKVM1)) (portRef A (instanceRef r_e_jmpl_RNIJ8JJN5)) )) (net (rename shiftin_17_25 "shiftin_17[25]") (joined (portRef Y (instanceRef r_e_shcnt_RNIR01GS_1)) (portRef A (instanceRef r_e_jmpl_RNIOO78T)) (portRef A (instanceRef r_e_jmpl_RNI1OKVM1)) )) (net (rename aluresult_1_iv_7_24 "aluresult_1_iv_7[24]") (joined (portRef Y (instanceRef r_m_y_RNI9VCNP_24)) (portRef C (instanceRef r_e_jmpl_RNI1OKVM1)) )) (net (rename logicout_m_0_24 "logicout_m_0[24]") (joined (portRef Y (instanceRef r_e_aluop_RNIUBJF5_0)) (portRef A (instanceRef r_m_y_RNI9VCNP_24)) )) (net (rename aluresult_1_iv_3_24 "aluresult_1_iv_3[24]") (joined (portRef Y (instanceRef r_x_result_RNI1NN67_8)) (portRef B (instanceRef r_m_y_RNI9VCNP_24)) )) (net (rename aluresult_1_iv_6_24 "aluresult_1_iv_6[24]") (joined (portRef Y (instanceRef r_m_y_RNIAS11D_24)) (portRef C (instanceRef r_m_y_RNI9VCNP_24)) )) (net (rename aluresult_1_iv_4_24 "aluresult_1_iv_4[24]") (joined (portRef Y (instanceRef r_w_s_tba_RNIFE9PB_12)) (portRef A (instanceRef r_m_y_RNIAS11D_24)) )) (net (rename y_m_1_24 "y_m_1[24]") (joined (portRef Y (instanceRef r_m_y_RNIRDO71_24)) (portRef B (instanceRef r_m_y_RNIAS11D_24)) )) (net (rename aluresult_1_iv_1_24 "aluresult_1_iv_1[24]") (joined (portRef Y (instanceRef r_x_result_RNIUCAA7_24)) (portRef A (instanceRef r_w_s_tba_RNIFE9PB_12)) )) (net (rename aluresult_1_iv_0_24 "aluresult_1_iv_0[24]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIDQCR1_24)) (portRef B (instanceRef r_w_s_tba_RNIFE9PB_12)) )) (net (rename tba_m_1_12 "tba_m_1[12]") (joined (portRef Y (instanceRef r_w_s_tba_RNI47IJ2_12)) (portRef C (instanceRef r_w_s_tba_RNIFE9PB_12)) )) (net (rename bpdata_8 "bpdata[8]") (joined (portRef Y (instanceRef r_x_result_RNINKK13_8)) (portRef A (instanceRef r_x_result_RNI40O04_8)) (portRef B (instanceRef r_x_result_RNIRJ927_8)) (portRef B (instanceRef r_x_result_RNIBEGO7_8)) (portRef B (instanceRef r_x_result_RNI1NN67_8)) )) (net (rename bpdata_m_2_0 "bpdata_m_2[0]") (joined (portRef Y (instanceRef r_x_result_RNI1BAJ3_0)) (portRef C (instanceRef r_x_result_RNIBEGO7_8)) (portRef C (instanceRef r_x_result_RNI1NN67_8)) )) (net (rename bpdata_24 "bpdata[24]") (joined (portRef Y (instanceRef r_x_result_RNIEJSC3_24)) (portRef B (instanceRef r_e_op1_RNI6S5C4_24)) (portRef B (instanceRef r_x_result_RNIUCAA7_24)) )) (net (rename op2_RNIARVJ_24 "op2_RNIARVJ[24]") (joined (portRef Y (instanceRef r_e_op2_RNIARVJ_24)) (portRef A (instanceRef r_e_aluop_RNI2KD91_2)) (portRef A (instanceRef r_e_op2_RNIEOH11_24)) (portRef B (instanceRef r_e_op2_RNIEOH11_0_24)) (portRef C (instanceRef r_e_aluop_1_RNI9HD31_1)) (portRef A (instanceRef r_e_ctrl_pc_RNIDQCR1_24)) )) (net (rename cpi_m_169 "cpi_m[169]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIO7KL_24)) (portRef C (instanceRef r_e_ctrl_pc_RNIDQCR1_24)) )) (net cwp_2_sqmuxa_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNITM3O1_0_30)) (portRef B (instanceRef r_w_s_et_RNIRPC3U)) )) (net y9 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI893A1_22)) (portRef B (instanceRef r_x_ctrl_inst_RNIUI9N3_20)) (portRef A (instanceRef r_x_ctrl_inst_RNITM3O1_0_30)) )) (net y15 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNILD0E_30)) (portRef A (instanceRef r_x_ctrl_inst_RNITM3O1_30)) (portRef B (instanceRef r_x_ctrl_inst_RNIAS84S_30)) (portRef C (instanceRef r_x_ctrl_inst_RNI50723_30)) (portRef B (instanceRef r_x_ctrl_inst_RNI8FIRV_30)) (portRef B (instanceRef r_x_ctrl_inst_RNIEJ1S_30)) (portRef A (instanceRef r_w_s_cwp_RNIUVI01_2)) (portRef B (instanceRef r_x_ctrl_inst_RNITM3O1_0_30)) )) (net (rename eres2_iv_1_17 "eres2_iv_1[17]") (joined (portRef Y (instanceRef r_e_op1_RNITE3K4_17)) (portRef A (instanceRef r_e_op1_RNI9V5AE4_17)) )) (net (rename dbgi_m_22 "dbgi_m[22]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIGSR02)) (portRef A (instanceRef r_e_op1_RNITE3K4_17)) )) (net (rename op1_m_17 "op1_m[17]") (joined (portRef Y (instanceRef r_e_op1_RNI3VNF_17)) (portRef B (instanceRef r_e_op1_RNITE3K4_17)) )) (net (rename cpi_m_0_409 "cpi_m_0[409]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIAJF32)) (portRef C (instanceRef r_e_op1_RNITE3K4_17)) )) (net (rename eres2_iv_1_19 "eres2_iv_1[19]") (joined (portRef Y (instanceRef r_e_op1_RNI3V3K4_19)) (portRef C (instanceRef r_e_op1_RNIP9OHL4_19)) )) (net (rename dbgi_m_24 "dbgi_m[24]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIISR02)) (portRef A (instanceRef r_e_op1_RNI3V3K4_19)) )) (net (rename op1_m_19 "op1_m[19]") (joined (portRef Y (instanceRef r_e_op1_RNI57OF_19)) (portRef B (instanceRef r_e_op1_RNI3V3K4_19)) )) (net (rename cpi_m_0_411 "cpi_m_0[411]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNICRF32)) (portRef C (instanceRef r_e_op1_RNI3V3K4_19)) )) (net (rename eres2_iv_1_14 "eres2_iv_1[14]") (joined (portRef Y (instanceRef r_e_op1_RNIKM2K4_14)) (portRef A (instanceRef r_e_op1_RNIBG8BK3_14)) )) (net (rename dbgi_m_19 "dbgi_m[19]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIDSR02)) (portRef A (instanceRef r_e_op1_RNIKM2K4_14)) )) (net (rename op1_m_14 "op1_m[14]") (joined (portRef Y (instanceRef r_e_op1_RNI0JNF_14)) (portRef B (instanceRef r_e_op1_RNIKM2K4_14)) )) (net (rename cpi_m_0_406 "cpi_m_0[406]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI77F32)) (portRef C (instanceRef r_e_op1_RNIKM2K4_14)) )) (net (rename eres2_iv_1_11 "eres2_iv_1[11]") (joined (portRef Y (instanceRef r_e_op1_RNIBU1K4_11)) (portRef C (instanceRef r_e_op1_RNI72LD03_11)) )) (net (rename dbgi_m_16 "dbgi_m[16]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIASR02)) (portRef A (instanceRef r_e_op1_RNIBU1K4_11)) )) (net (rename op1_m_11 "op1_m[11]") (joined (portRef Y (instanceRef r_e_op1_RNIT6NF_11)) (portRef B (instanceRef r_e_op1_RNIBU1K4_11)) )) (net (rename cpi_m_0_403 "cpi_m_0[403]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI4RE32)) (portRef C (instanceRef r_e_op1_RNIBU1K4_11)) )) (net y_1_sqmuxa_1 (joined (portRef Y (instanceRef s_y_1_sqmuxa_1)) (portRef A (instanceRef r_x_rstate_0_RNIN9H82_1)) )) (net (rename aluresult_1_iv_8_29 "aluresult_1_iv_8[29]") (joined (portRef Y (instanceRef r_e_jmpl_RNI5UICO1)) (portRef A (instanceRef r_e_jmpl_RNIHS16J6)) )) (net (rename shiftin_17_30 "shiftin_17[30]") (joined (portRef Y (instanceRef r_e_shcnt_RNIP2L6U_1)) (portRef A (instanceRef r_e_jmpl_RNIMQRUU)) (portRef A (instanceRef r_e_jmpl_RNI5UICO1)) )) (net (rename aluresult_1_iv_7_29 "aluresult_1_iv_7[29]") (joined (portRef Y (instanceRef r_m_y_RNIF3NDP_29)) (portRef C (instanceRef r_e_jmpl_RNI5UICO1)) )) (net (rename logicout_m_0_29 "logicout_m_0[29]") (joined (portRef Y (instanceRef r_e_aluop_RNI2U5U4_0)) (portRef A (instanceRef r_m_y_RNIF3NDP_29)) )) (net (rename aluresult_1_iv_3_29 "aluresult_1_iv_3[29]") (joined (portRef Y (instanceRef r_x_result_RNIU11I7_13)) (portRef B (instanceRef r_m_y_RNIF3NDP_29)) )) (net (rename aluresult_1_iv_6_29 "aluresult_1_iv_6[29]") (joined (portRef Y (instanceRef r_m_y_RNIF3GTC_29)) (portRef C (instanceRef r_m_y_RNIF3NDP_29)) )) (net (rename aluresult_1_iv_4_29 "aluresult_1_iv_4[29]") (joined (portRef Y (instanceRef r_w_s_tba_RNIF1NLB_17)) (portRef A (instanceRef r_m_y_RNIF3GTC_29)) )) (net (rename y_m_1_29 "y_m_1[29]") (joined (portRef Y (instanceRef r_m_y_RNI02P71_29)) (portRef B (instanceRef r_m_y_RNIF3GTC_29)) )) (net (rename tba_17 "tba[17]") (joined (portRef Q (instanceRef r_w_s_tba_17)) (portRef A (instanceRef r_w_s_tba_RNO_0_17)) (portRef A (instanceRef r_f_pc_RNO_13_29)) (portRef A (instanceRef r_w_s_tba_RNIMGBH2_17)) (portRef A (instanceRef r_w_s_tba_RNIF1NLB_17)) )) (net (rename aluresult_1_iv_2_29 "aluresult_1_iv_2[29]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI66429_29)) (portRef C (instanceRef r_w_s_tba_RNIF1NLB_17)) )) (net (rename bpdata_m_2_5 "bpdata_m_2[5]") (joined (portRef Y (instanceRef r_x_result_RNIQHKI3_5)) (portRef B (instanceRef r_w_s_tba_RNIO5ACD_1)) (portRef C (instanceRef r_x_result_RNIU11I7_13)) )) (net (rename cpi_m_174 "cpi_m[174]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNITRKL_29)) (portRef A (instanceRef r_e_ctrl_pc_RNI66429_29)) )) (net (rename ex_op2_m_29 "ex_op2_m[29]") (joined (portRef Y (instanceRef r_e_op2_RNISIC11_29)) (portRef B (instanceRef r_e_ctrl_pc_RNI66429_29)) )) (net (rename aluresult_1_iv_1_29 "aluresult_1_iv_1[29]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIDN2B7_1)) (portRef C (instanceRef r_e_ctrl_pc_RNI66429_29)) )) (net (rename result_RNI7LDV3_29 "result_RNI7LDV3[29]") (joined (portRef Y (instanceRef r_x_result_RNI7LDV3_29)) (portRef A (instanceRef r_e_aluop_1_RNIDN2B7_1)) )) (net y11 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI893A1_0_20)) (portRef B (instanceRef r_x_ctrl_inst_RNI50723_30)) (portRef A (instanceRef r_w_s_ps_RNI3KAH1)) )) (net ps (joined (portRef Q (instanceRef r_w_s_ps)) (portRef B (instanceRef r_w_s_ps_RNO_0)) (portRef C (instanceRef r_w_s_ps_RNIO8AJN2)) (portRef A (instanceRef r_w_s_ps_RNI22M41)) (portRef B (instanceRef r_m_dci_asi_RNO_0_0)) (portRef A (instanceRef r_w_s_ps_RNILJUO7)) (portRef B (instanceRef r_w_s_ps_RNI3KAH1)) )) (net wreg_2_5 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNI96868_5)) (portRef A (instanceRef r_e_ctrl_rd_RNIO21RE_5)) )) (net (rename un3_de_ren1_102 "un3_de_ren1[102]") (joined (portRef Y (instanceRef r_d_inst_0_RNIOEPU3_4)) (portRef D (instanceRef r_a_rfa2_5)) (portRef A (instanceRef r_a_rfa2_RNI4BVD4_5)) (portRef B (instanceRef r_m_ctrl_rd_RNIHE224_5)) (portRef B (instanceRef r_a_ctrl_rd_RNI5E224_5)) (portRef A (instanceRef r_e_ctrl_rd_RNI96868_5)) )) (net (rename rd_5 "rd[5]") (joined (portRef Q (instanceRef r_e_ctrl_rd_5)) (portRef D (instanceRef r_m_ctrl_rd_5)) (portRef A (instanceRef r_x_ctrl_rd_RNICV3D_5)) (portRef B (instanceRef r_e_ctrl_rd_RNI557G4_5)) (portRef B (instanceRef r_e_ctrl_rd_RNI96868_5)) )) (net rd_1_6_i_0 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNI0O544_6)) (portRef C (instanceRef r_e_ctrl_rd_RNI96868_5)) )) (net wreg_2_4 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIFSOK6_1)) (portRef B (instanceRef r_e_ctrl_rd_RNIO21RE_5)) )) (net wreg_2_1 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIIC6B1_1)) (portRef B (instanceRef r_e_ctrl_rd_RNIFSOK6_1)) )) (net rd_1_4_i_0 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIJ4VV3_4)) (portRef C (instanceRef r_e_ctrl_rd_RNIFSOK6_1)) )) (net N_42 (joined (portRef Y (instanceRef r_d_inst_0_RNIR4AI_1)) (portRef D (instanceRef r_a_rfa2_1)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I1_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I1_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I84_Y)) (portRef A (instanceRef r_a_rfa2_RNI3HF11_1)) (portRef A (instanceRef r_a_imm_RNO_11)) (portRef B (instanceRef r_a_imm_RNO_1)) (portRef B (instanceRef r_m_ctrl_rd_RNIG4JL_1)) (portRef B (instanceRef r_a_ctrl_rd_RNI44JL_1)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I261_Y_0)) (portRef A (instanceRef r_d_inst_0_RNINDK41_1)) (portRef A (instanceRef r_e_ctrl_rd_RNIIC6B1_1)) )) (net (rename rd_1 "rd[1]") (joined (portRef Q (instanceRef r_e_ctrl_rd_1)) (portRef D (instanceRef r_m_ctrl_rd_1)) (portRef A (instanceRef r_e_ctrl_rd_RNIEC1L_1)) (portRef A (instanceRef r_x_ctrl_rd_RNISU3D_1)) (portRef B (instanceRef r_e_ctrl_rd_RNIIC6B1_1)) )) (net (rename rd_RNIA8JL_2 "rd_RNIA8JL[2]") (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIA8JL_2)) (portRef C (instanceRef r_e_ctrl_rd_RNIIC6B1_1)) )) (net ADD_30x30_fast_I276_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I276_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_7_18)) )) (net (rename inst_0_16 "inst_0[16]") (joined (portRef Q (instanceRef r_d_inst_0_16)) (portRef B (instanceRef r_d_inst_0_RNO_0_16)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I16_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I53_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I54_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I55_Y)) (portRef A (instanceRef r_a_imm_RNO_26)) (portRef B (instanceRef r_d_inst_0_RNI3DOH_16)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I276_Y_0_0)) )) (net (rename dpc_18 "dpc[18]") (joined (portRef Q (instanceRef r_d_pc_18)) (portRef B (instanceRef un6_fe_npc_2_I_98)) (portRef B (instanceRef un6_fe_npc_2_I_101)) (portRef B (instanceRef un6_fe_npc_2_I_108)) (portRef D (instanceRef r_a_ctrl_pc_18)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I16_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I53_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I54_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I55_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I276_Y_0_0)) )) (net (rename aluresult_0_iv_9_27 "aluresult_0_iv_9[27]") (joined (portRef Y (instanceRef r_e_jmpl_RNITS31J2)) (portRef C (instanceRef r_e_ldbp2_2_RNIRG9C46)) )) (net (rename shiftin_17_m_28 "shiftin_17_m[28]") (joined (portRef Y (instanceRef r_e_jmpl_RNILNH0U)) (portRef A (instanceRef r_e_jmpl_RNITS31J2)) )) (net (rename aluresult_0_iv_7_27 "aluresult_0_iv_7[27]") (joined (portRef Y (instanceRef r_w_s_tba_RNI2KMVM_15)) (portRef B (instanceRef r_e_jmpl_RNITS31J2)) )) (net (rename shiftin_17_m_0_27 "shiftin_17_m_0[27]") (joined (portRef Y (instanceRef r_e_jmpl_RNI6HR0U_0)) (portRef C (instanceRef r_e_jmpl_RNITS31J2)) )) (net (rename aluresult_0_iv_4_27 "aluresult_0_iv_4[27]") (joined (portRef Y (instanceRef r_w_s_tba_RNIP5279_15)) (portRef A (instanceRef r_w_s_tba_RNI2KMVM_15)) )) (net (rename aluresult_0_iv_3_27 "aluresult_0_iv_3[27]") (joined (portRef Y (instanceRef r_x_result_RNI9NNI7_11)) (portRef B (instanceRef r_w_s_tba_RNI2KMVM_15)) )) (net (rename aluresult_0_iv_6_27 "aluresult_0_iv_6[27]") (joined (portRef Y (instanceRef r_m_y_RNI0NS56_27)) (portRef C (instanceRef r_w_s_tba_RNI2KMVM_15)) )) (net (rename logicout_m_0_27 "logicout_m_0[27]") (joined (portRef Y (instanceRef r_e_aluop_RNI2T3U4_0)) (portRef A (instanceRef r_m_y_RNI0NS56_27)) )) (net (rename y_m_1_27 "y_m_1[27]") (joined (portRef Y (instanceRef r_m_y_RNIUPO71_27)) (portRef B (instanceRef r_m_y_RNI0NS56_27)) )) (net (rename tba_15 "tba[15]") (joined (portRef Q (instanceRef r_w_s_tba_15)) (portRef A (instanceRef r_w_s_tba_RNO_0_15)) (portRef A (instanceRef r_f_pc_RNO_16_27)) (portRef A (instanceRef r_w_s_tba_RNII0BH2_15)) (portRef A (instanceRef r_w_s_tba_RNIP5279_15)) )) (net (rename aluresult_0_iv_2_27 "aluresult_0_iv_2[27]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIIIFJ6_27)) (portRef C (instanceRef r_w_s_tba_RNIP5279_15)) )) (net (rename bpdata_m_2_3 "bpdata_m_2[3]") (joined (portRef Y (instanceRef r_x_result_RNIDFBJ3_3)) (portRef A (instanceRef r_w_s_tt_RNI5E9VF_7)) (portRef C (instanceRef r_x_result_RNI9NNI7_11)) )) (net (rename bpdata_27 "bpdata[27]") (joined (portRef Y (instanceRef r_x_result_RNIQVSC3_27)) (portRef B (instanceRef r_e_op1_RNILK6C4_27)) (portRef B (instanceRef r_e_ctrl_pc_RNIIIFJ6_27)) )) (net (rename aluresult_0_iv_1_27 "aluresult_0_iv_1[27]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIERPK2_27)) (portRef C (instanceRef r_e_ctrl_pc_RNIIIFJ6_27)) )) (net (rename cpi_m_172 "cpi_m[172]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIRJKL_27)) (portRef A (instanceRef r_e_ctrl_pc_RNIERPK2_27)) )) (net (rename aluresult_0_iv_0_27 "aluresult_0_iv_0[27]") (joined (portRef Y (instanceRef r_e_op2_RNIJ75V1_27)) (portRef B (instanceRef r_e_ctrl_pc_RNIERPK2_27)) )) (net (rename op2_RNIDJJF_27 "op2_RNIDJJF[27]") (joined (portRef Y (instanceRef r_e_op2_RNIDJJF_27)) (portRef B (instanceRef r_e_op2_RNINS5T_0_27)) (portRef A (instanceRef r_e_op2_RNINS5T_27)) (portRef A (instanceRef r_e_aluop_RNIBO151_2)) (portRef C (instanceRef r_e_aluop_1_RNIIL1V_1)) (portRef A (instanceRef r_e_op2_RNIJ75V1_27)) )) (net (rename eres2_iv_1_18 "eres2_iv_1[18]") (joined (portRef Y (instanceRef r_e_op1_RNI0N3K4_18)) (portRef A (instanceRef r_e_op1_RNI4EBBN4_18)) )) (net (rename dbgi_m_23 "dbgi_m[23]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIHSR02)) (portRef A (instanceRef r_e_op1_RNI0N3K4_18)) )) (net (rename op1_m_18 "op1_m[18]") (joined (portRef Y (instanceRef r_e_op1_RNI43OF_18)) (portRef B (instanceRef r_e_op1_RNI0N3K4_18)) )) (net (rename cpi_m_0_410 "cpi_m_0[410]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIBNF32)) (portRef C (instanceRef r_e_op1_RNI0N3K4_18)) )) (net (rename aluresult_1_iv_9_20 "aluresult_1_iv_9[20]") (joined (portRef Y (instanceRef r_e_jmpl_RNI6C95C2)) (portRef A (instanceRef r_e_ldbp2_2_RNIVTNUT4)) )) (net (rename shiftin_17_m_21 "shiftin_17_m[21]") (joined (portRef Y (instanceRef r_e_jmpl_RNIT70NR)) (portRef A (instanceRef r_e_jmpl_RNI6C95C2)) )) (net (rename aluresult_1_iv_7_20 "aluresult_1_iv_7[20]") (joined (portRef Y (instanceRef r_m_y_RNIF3JRL_20)) (portRef B (instanceRef r_e_jmpl_RNI6C95C2)) )) (net (rename shiftin_17_m_0_20 "shiftin_17_m_0[20]") (joined (portRef Y (instanceRef r_e_jmpl_RNIQ0MIQ_0)) (portRef C (instanceRef r_e_jmpl_RNI6C95C2)) )) (net (rename aluresult_1_iv_5_20 "aluresult_1_iv_5[20]") (joined (portRef Y (instanceRef r_w_s_tba_RNI0FURF_8)) (portRef A (instanceRef r_m_y_RNIF3JRL_20)) )) (net (rename aluresult_1_iv_6_20 "aluresult_1_iv_6[20]") (joined (portRef Y (instanceRef r_m_y_RNIFKKV5_20)) (portRef B (instanceRef r_m_y_RNIF3JRL_20)) )) (net (rename y_m_1_20 "y_m_1[20]") (joined (portRef Y (instanceRef r_m_y_RNINTN71_20)) (portRef A (instanceRef r_m_y_RNIFKKV5_20)) )) (net (rename logicout_m_0_20 "logicout_m_0[20]") (joined (portRef Y (instanceRef r_e_aluop_RNIOMSN4_0)) (portRef B (instanceRef r_m_y_RNIFKKV5_20)) )) (net (rename tba_m_1_8 "tba_m_1[8]") (joined (portRef Y (instanceRef r_w_s_tba_RNIPLNJ2_8)) (portRef A (instanceRef r_w_s_tba_RNI0FURF_8)) )) (net (rename aluresult_1_iv_2_20 "aluresult_1_iv_2[20]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNISI4K6_20)) (portRef B (instanceRef r_w_s_tba_RNI0FURF_8)) )) (net (rename aluresult_1_iv_3_20 "aluresult_1_iv_3[20]") (joined (portRef Y (instanceRef r_m_icc_RNIB62K6_0)) (portRef C (instanceRef r_w_s_tba_RNI0FURF_8)) )) (net (rename icc_m_0 "icc_m[0]") (joined (portRef Y (instanceRef r_m_icc_RNIC9LF2_0)) (portRef C (instanceRef r_m_icc_RNIB62K6_0)) )) (net (rename bpdata_20 "bpdata[20]") (joined (portRef Y (instanceRef r_x_result_RNIPOJD3_20)) (portRef B (instanceRef r_x_result_RNIGE5T3_20)) (portRef A (instanceRef r_e_ctrl_pc_RNISI4K6_20)) )) (net (rename aluresult_1_iv_1_20 "aluresult_1_iv_1[20]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIP2OK2_20)) (portRef C (instanceRef r_e_ctrl_pc_RNISI4K6_20)) )) (net (rename pc_20 "pc[20]") (joined (portRef Q (instanceRef r_e_ctrl_pc_20)) (portRef D (instanceRef r_m_ctrl_pc_20)) (portRef B (instanceRef r_x_ctrl_pc_RNI7AGF_20)) (portRef A (instanceRef ir_addr_RNO_6_20)) (portRef A (instanceRef r_e_ctrl_pc_RNIP2OK2_20)) )) (net (rename aluresult_1_iv_0_20 "aluresult_1_iv_0[20]") (joined (portRef Y (instanceRef r_e_aluop_0_RNI5B4V1_1)) (portRef C (instanceRef r_e_ctrl_pc_RNIP2OK2_20)) )) (net (rename ex_op2_m_20 "ex_op2_m[20]") (joined (portRef Y (instanceRef r_e_op2_RNIAEB11_20)) (portRef B (instanceRef r_e_aluop_0_RNI5B4V1_1)) )) (net (rename aluresult_1_iv_7_15 "aluresult_1_iv_7[15]") (joined (portRef Y (instanceRef r_m_y_RNIP8TDC1_15)) (portRef A (instanceRef r_e_jmpl_RNIOEVDF3)) )) (net (rename aluresult_1_iv_5_15 "aluresult_1_iv_5[15]") (joined (portRef Y (instanceRef r_m_y_RNITCP56_15)) (portRef A (instanceRef r_m_y_RNIP8TDC1_15)) )) (net (rename aluresult_1_iv_4_15 "aluresult_1_iv_4[15]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIFMBCD_15)) (portRef B (instanceRef r_m_y_RNIP8TDC1_15)) )) (net (rename shiftin_17_m_16 "shiftin_17_m[16]") (joined (portRef Y (instanceRef r_e_jmpl_RNID5ORO)) (portRef C (instanceRef r_m_y_RNIP8TDC1_15)) )) (net (rename logicout_15 "logicout[15]") (joined (portRef Y (instanceRef r_e_aluop_RNI7ERH4_0)) (portRef A (instanceRef r_m_y_RNO_2_15)) (portRef A (instanceRef r_m_icc_RNO_22_2)) (portRef A (instanceRef r_m_y_RNITCP56_15)) )) (net (rename y_m_1_15 "y_m_1[15]") (joined (portRef Y (instanceRef r_m_y_RNIRHO71_15)) (portRef C (instanceRef r_m_y_RNITCP56_15)) )) (net (rename result_RNIMF5H4_15 "result_RNIMF5H4[15]") (joined (portRef Y (instanceRef r_x_result_RNIMF5H4_15)) (portRef A (instanceRef r_e_ctrl_pc_RNIFMBCD_15)) )) (net (rename aluresult_1_iv_1_15 "aluresult_1_iv_1[15]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI37PK2_15)) (portRef B (instanceRef r_e_ctrl_pc_RNIFMBCD_15)) )) (net (rename aluresult_1_iv_3_15 "aluresult_1_iv_3[15]") (joined (portRef Y (instanceRef r_w_s_tba_RNIMVC66_3)) (portRef C (instanceRef r_e_ctrl_pc_RNIFMBCD_15)) )) (net (rename tba_m_1_3 "tba_m_1[3]") (joined (portRef Y (instanceRef r_w_s_tba_RNIKLNJ2_3)) (portRef C (instanceRef r_w_s_tba_RNIMVC66_3)) )) (net (rename cpi_m_160 "cpi_m[160]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIOBKL_15)) (portRef A (instanceRef r_e_ctrl_pc_RNI37PK2_15)) )) (net (rename aluresult_1_iv_0_15 "aluresult_1_iv_0[15]") (joined (portRef Y (instanceRef r_e_op2_RNIBR4V1_15)) (portRef B (instanceRef r_e_ctrl_pc_RNI37PK2_15)) )) (net (rename op2_RNI57JF_15 "op2_RNI57JF[15]") (joined (portRef Y (instanceRef r_e_op2_RNI57JF_15)) (portRef B (instanceRef r_e_op2_RNI745T_0_15)) (portRef A (instanceRef r_e_op2_RNI745T_15)) (portRef A (instanceRef r_e_aluop_RNIRV051_2)) (portRef A (instanceRef r_e_aluop_1_RNI2T0V_1)) (portRef A (instanceRef r_e_op2_RNIBR4V1_15)) )) (net un1_addout_25_14_7 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI37H446)) (portRef B (instanceRef r_e_ldbp2_2_RNIC95FPE)) )) (net (rename eaddress_21 "eaddress[21]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIBMLCI2)) (portRef B (instanceRef r_f_pc_RNO_1_21)) (portRef B (instanceRef r_e_ldbp2_1_RNI63ROI2)) (portRef B (instanceRef r_f_pc_RNO_9_21)) (portRef A (instanceRef r_e_ldbp2_1_RNI37H446)) )) (net (rename eaddress_19 "eaddress[19]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIQQ4H82)) (portRef B (instanceRef r_f_pc_RNO_13_19)) (portRef B (instanceRef r_e_ldbp2_1_RNIL7AT82)) (portRef B (instanceRef r_f_pc_RNO_7_19)) (portRef B (instanceRef r_e_ldbp2_1_RNI37H446)) )) (net (rename eaddress_15 "eaddress[15]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIULM691)) (portRef B (instanceRef r_f_pc_RNO_7_15)) (portRef B (instanceRef r_f_pc_RNO_15_15)) (portRef B (instanceRef r_e_ldbp2_2_RNIP2SI91)) (portRef C (instanceRef r_e_ldbp2_1_RNI37H446)) )) (net un1_addout_25_14_6 (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIVPO5C4)) (portRef A (instanceRef r_e_ldbp2_2_RNIC95FPE)) )) (net un1_addout_25_14_3 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNICCOLQ)) (portRef B (instanceRef r_e_ldbp2_2_RNIVPO5C4)) )) (net un1_addout_25_14_1 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIE27SA)) (portRef A (instanceRef r_e_ldbp2_1_RNICCOLQ)) )) (net (rename eaddress_6 "eaddress[6]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIMJ7H8)) (portRef B (instanceRef r_e_ldbp2_2_RNIDD8HC1)) (portRef B (instanceRef r_e_ldbp2_2_RNIH0DT8)) (portRef B (instanceRef r_f_pc_RNI7PAHC_6)) (portRef C (instanceRef r_e_ldbp2_1_RNICCOLQ)) (portRef B (instanceRef r_f_pc_RNO_2_6)) (portRef eaddress_6) )) (net un12_ex_add_res (joined (portRef Y (instanceRef r_e_ldbp2_RNIJGOI2)) (portRef D (instanceRef r_m_nalign)) (portRef B (instanceRef r_e_ldbp2_1_RNIE27SA)) )) (net dwt_m_1_1 (joined (portRef Y (instanceRef r_w_s_dwt_RNO_3)) (portRef A (instanceRef r_w_s_dwt_RNO_0)) )) (net svt_1_sqmuxa_1 (joined (portRef Y (instanceRef r_x_rstate_RNIU02E1_0)) (portRef A (instanceRef r_x_rstate_RNIHNGG2_0)) (portRef B (instanceRef r_w_s_svt_RNO_0)) (portRef A (instanceRef r_w_s_dwt_RNO_3)) )) (net dwt_m_1_0 (joined (portRef Y (instanceRef r_w_s_dwt_RNO_4)) (portRef C (instanceRef r_w_s_dwt_RNO_3)) )) (net dwt (joined (portRef Q (instanceRef r_w_s_dwt)) (portRef A (instanceRef r_w_s_dwt_RNIET191)) (portRef C (instanceRef r_w_s_dwt_RNI1BFH)) (portRef C (instanceRef r_m_werr_RNO_0)) (portRef A (instanceRef r_w_s_dwt_RNI4T224)) (portRef A (instanceRef r_w_s_dwt_RNO_4)) )) (net un1_addout_25_13_2 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIOERI36)) (portRef A (instanceRef r_e_ldbp2_1_RNILEQFDA)) )) (net un1_addout_25_13_0 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI91STV)) (portRef A (instanceRef r_e_ldbp2_1_RNIOERI36)) )) (net (rename eaddress_10 "eaddress[10]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIBS7IH)) (portRef B (instanceRef r_e_ldbp2_2_RNI2M8IL1)) (portRef B (instanceRef r_f_pc_RNILITIL_10)) (portRef B (instanceRef r_e_ldbp2_2_RNI69DUH)) (portRef A (instanceRef r_e_ldbp2_1_RNI91STV)) (portRef B (instanceRef r_f_pc_RNO_2_10)) (portRef eaddress_10) )) (net (rename eaddress_9 "eaddress[9]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIU4KBE)) (portRef B (instanceRef r_f_pc_RNO_5_9)) (portRef B (instanceRef r_f_pc_RNIIMNBI_9)) (portRef B (instanceRef r_e_ldbp2_1_RNIPHPNE)) (portRef B (instanceRef r_e_ldbp2_1_RNI91STV)) (portRef B (instanceRef r_f_pc_RNI0NBGI1_9)) (portRef eaddress_9) )) (net (rename aluresult_1_iv_8_12 "aluresult_1_iv_8[12]") (joined (portRef Y (instanceRef r_e_jmpl_RNI4Q4T42)) (portRef A (instanceRef r_e_ldbp2_1_RNIGSK0V2)) )) (net (rename shiftin_17_m_13 "shiftin_17_m[13]") (joined (portRef Y (instanceRef r_e_jmpl_RNIPAIKO)) (portRef A (instanceRef r_e_jmpl_RNI4Q4T42)) )) (net (rename aluresult_1_iv_6_12 "aluresult_1_iv_6[12]") (joined (portRef Y (instanceRef r_m_y_RNIOQ1UK_12)) (portRef B (instanceRef r_e_jmpl_RNI4Q4T42)) )) (net (rename shiftin_17_m_0_12 "shiftin_17_m_0[12]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJKGAN_0)) (portRef C (instanceRef r_e_jmpl_RNI4Q4T42)) )) (net (rename aluresult_1_iv_3_12 "aluresult_1_iv_3[12]") (joined (portRef Y (instanceRef r_w_s_tba_RNI7RB66_0)) (portRef A (instanceRef r_m_y_RNIOQ1UK_12)) )) (net (rename aluresult_1_iv_2_12 "aluresult_1_iv_2[12]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI14BF7_12)) (portRef B (instanceRef r_m_y_RNIOQ1UK_12)) )) (net (rename aluresult_1_iv_5_12 "aluresult_1_iv_5[12]") (joined (portRef Y (instanceRef r_m_y_RNIGRA87_12)) (portRef C (instanceRef r_m_y_RNIOQ1UK_12)) )) (net (rename logicout_m_0_12 "logicout_m_0[12]") (joined (portRef Y (instanceRef r_e_aluop_RNIOLI06_0)) (portRef A (instanceRef r_m_y_RNIGRA87_12)) )) (net (rename y_m_1_12 "y_m_1[12]") (joined (portRef Y (instanceRef r_m_y_RNIO5O71_12)) (portRef B (instanceRef r_m_y_RNIGRA87_12)) )) (net (rename tba_m_1_0 "tba_m_1[0]") (joined (portRef Y (instanceRef r_w_s_tba_RNIHLNJ2_0)) (portRef B (instanceRef r_w_s_tba_RNI7RB66_0)) )) (net (rename aluresult_1_iv_1_12 "aluresult_1_iv_1[12]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIIMTU2_12)) (portRef C (instanceRef r_e_ctrl_pc_RNI14BF7_12)) )) (net (rename cpi_m_157 "cpi_m[157]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNILVJL_12)) (portRef A (instanceRef r_e_ctrl_pc_RNIIMTU2_12)) )) (net (rename aluresult_1_iv_0_12 "aluresult_1_iv_0[12]") (joined (portRef Y (instanceRef r_e_op2_RNITM992_12)) (portRef B (instanceRef r_e_ctrl_pc_RNIIMTU2_12)) )) (net (rename op2_RNIN2OP_12 "op2_RNIN2OP[12]") (joined (portRef Y (instanceRef r_e_op2_RNIN2OP_12)) (portRef B (instanceRef r_e_op2_RNIJJ971_0_12)) (portRef A (instanceRef r_e_op2_RNIJJ971_12)) (portRef A (instanceRef r_e_aluop_RNI7F5F1_2)) (portRef A (instanceRef r_e_aluop_0_RNIDC591_1)) (portRef A (instanceRef r_e_op2_RNITM992_12)) )) (net (rename eres2_iv_1_9 "eres2_iv_1[9]") (joined (portRef Y (instanceRef r_e_op1_RNIE8MG4_9)) (portRef C (instanceRef r_e_op1_RNIJFMLK2_9)) )) (net (rename dbgi_m_14 "dbgi_m[14]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIVSL32)) (portRef A (instanceRef r_e_op1_RNIE8MG4_9)) )) (net (rename op1_m_9 "op1_m[9]") (joined (portRef Y (instanceRef r_e_op1_RNIK1UB_9)) (portRef B (instanceRef r_e_op1_RNIE8MG4_9)) )) (net (rename cpi_m_0_401 "cpi_m_0[401]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIR9212)) (portRef C (instanceRef r_e_op1_RNIE8MG4_9)) )) (net (rename eres2_iv_1_7 "eres2_iv_1[7]") (joined (portRef Y (instanceRef r_e_op1_RNI80MG4_7)) (portRef C (instanceRef r_e_op1_RNI11BNB2_7)) )) (net (rename dbgi_m_12 "dbgi_m[12]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNITKL32)) (portRef A (instanceRef r_e_op1_RNI80MG4_7)) )) (net (rename op1_m_7 "op1_m[7]") (joined (portRef Y (instanceRef r_e_op1_RNII1UB_7)) (portRef B (instanceRef r_e_op1_RNI80MG4_7)) )) (net (rename cpi_m_0_399 "cpi_m_0[399]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIP9212)) (portRef C (instanceRef r_e_op1_RNI80MG4_7)) )) (net (rename aluresult_1_iv_9_8 "aluresult_1_iv_9[8]") (joined (portRef Y (instanceRef r_e_jmpl_RNI16VD12)) (portRef A (instanceRef r_e_ldbp2_RNIBGLKC2)) )) (net (rename shiftin_17_m_9 "shiftin_17_m[9]") (joined (portRef Y (instanceRef r_e_jmpl_RNIF3SVM)) (portRef A (instanceRef r_e_jmpl_RNI16VD12)) )) (net (rename aluresult_1_iv_7_8 "aluresult_1_iv_7[8]") (joined (portRef Y (instanceRef r_m_y_RNIC9GQK_8)) (portRef B (instanceRef r_e_jmpl_RNI16VD12)) )) (net (rename shiftin_17_m_0_8 "shiftin_17_m_0[8]") (joined (portRef Y (instanceRef r_e_jmpl_RNI6PIJL_0)) (portRef C (instanceRef r_e_jmpl_RNI16VD12)) )) (net (rename aluresult_1_iv_5_8 "aluresult_1_iv_5[8]") (joined (portRef Y (instanceRef r_w_s_tt_RNITMLJF_4)) (portRef A (instanceRef r_m_y_RNIC9GQK_8)) )) (net (rename aluresult_1_iv_6_8 "aluresult_1_iv_6[8]") (joined (portRef Y (instanceRef r_m_y_RNIFIQ65_8)) (portRef B (instanceRef r_m_y_RNIC9GQK_8)) )) (net (rename logicout_m_0_8 "logicout_m_0[8]") (joined (portRef Y (instanceRef r_e_aluop_RNI2E6T3_0)) (portRef A (instanceRef r_m_y_RNIFIQ65_8)) )) (net (rename y_m_1_8 "y_m_1[8]") (joined (portRef Y (instanceRef r_m_y_RNID4K91_8)) (portRef B (instanceRef r_m_y_RNIFIQ65_8)) )) (net (rename aluresult_1_iv_2_8 "aluresult_1_iv_2[8]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIK3095_8)) (portRef A (instanceRef r_w_s_tt_RNITMLJF_4)) )) (net (rename tt_m_0_4 "tt_m_0[4]") (joined (portRef Y (instanceRef r_w_s_tt_RNIU45I2_4)) (portRef B (instanceRef r_w_s_tt_RNITMLJF_4)) )) (net (rename aluresult_1_iv_3_8 "aluresult_1_iv_3[8]") (joined (portRef Y (instanceRef r_x_result_RNIBEGO7_8)) (portRef C (instanceRef r_w_s_tt_RNITMLJF_4)) )) (net (rename aluresult_1_iv_1_8 "aluresult_1_iv_1[8]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNITR4L2_8)) (portRef A (instanceRef r_e_ctrl_pc_RNIK3095_8)) )) (net (rename pil_m_0_0 "pil_m_0[0]") (joined (portRef Y (instanceRef r_w_s_pil_RNIN7RJ2_0)) (portRef B (instanceRef r_e_ctrl_pc_RNIK3095_8)) )) (net (rename pc_8 "pc[8]") (joined (portRef Q (instanceRef r_e_ctrl_pc_8)) (portRef D (instanceRef r_m_ctrl_pc_8)) (portRef A (instanceRef ir_addr_RNO_6_8)) (portRef B (instanceRef r_x_ctrl_pc_RNII7AE_8)) (portRef A (instanceRef r_e_ctrl_pc_RNITR4L2_8)) )) (net (rename aluresult_1_iv_0_8 "aluresult_1_iv_0[8]") (joined (portRef Y (instanceRef r_e_op2_RNIJ5402_8)) (portRef C (instanceRef r_e_ctrl_pc_RNITR4L2_8)) )) (net (rename op2_RNIDHIG_8 "op2_RNIDHIG[8]") (joined (portRef Y (instanceRef r_e_op2_RNIDHIG_8)) (portRef B (instanceRef r_e_op2_RNIVGUK_0_8)) (portRef A (instanceRef r_e_op2_RNIVGUK_8)) (portRef A (instanceRef r_e_aluop_RNIJCQS_2)) (portRef A (instanceRef r_e_aluop_1_RNIQ9QM_1)) (portRef A (instanceRef r_e_op2_RNIJ5402_8)) )) (net (rename eres2_iv_1_13 "eres2_iv_1[13]") (joined (portRef Y (instanceRef r_e_op1_RNIHE2K4_13)) (portRef C (instanceRef r_e_op1_RNIEKK2A3_13)) )) (net (rename dbgi_m_18 "dbgi_m[18]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNICSR02)) (portRef A (instanceRef r_e_op1_RNIHE2K4_13)) )) (net (rename op1_m_13 "op1_m[13]") (joined (portRef Y (instanceRef r_e_op1_RNIVENF_13)) (portRef B (instanceRef r_e_op1_RNIHE2K4_13)) )) (net (rename cpi_m_1_405 "cpi_m_1[405]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI63F32)) (portRef C (instanceRef r_e_op1_RNIHE2K4_13)) )) (net (rename aluresult_1_iv_8_23 "aluresult_1_iv_8[23]") (joined (portRef Y (instanceRef r_e_jmpl_RNIPN9TJ1)) (portRef A (instanceRef r_e_jmpl_RNI4LO8C5)) )) (net (rename shiftin_17_24 "shiftin_17[24]") (joined (portRef Y (instanceRef r_e_shcnt_RNIB4DHR_1)) (portRef A (instanceRef r_e_jmpl_RNI8SJ9S)) (portRef A (instanceRef r_e_jmpl_RNIPN9TJ1)) )) (net (rename aluresult_1_iv_7_23 "aluresult_1_iv_7[23]") (joined (portRef Y (instanceRef r_m_y_RNIHRLJN_23)) (portRef C (instanceRef r_e_jmpl_RNIPN9TJ1)) )) (net (rename aluresult_1_iv_5_23 "aluresult_1_iv_5[23]") (joined (portRef Y (instanceRef r_m_icc_RNIDN85G_3)) (portRef A (instanceRef r_m_y_RNIHRLJN_23)) )) (net (rename aluresult_1_iv_6_23 "aluresult_1_iv_6[23]") (joined (portRef Y (instanceRef r_m_y_RNI44DE7_23)) (portRef B (instanceRef r_m_y_RNIHRLJN_23)) )) (net (rename y_m_1_23 "y_m_1[23]") (joined (portRef Y (instanceRef r_m_y_RNIQ9O71_23)) (portRef A (instanceRef r_m_y_RNI44DE7_23)) )) (net (rename logicout_m_0_23 "logicout_m_0[23]") (joined (portRef Y (instanceRef r_e_aluop_RNIAQK66_0)) (portRef B (instanceRef r_m_y_RNI44DE7_23)) )) (net (rename aluresult_1_iv_3_23 "aluresult_1_iv_3[23]") (joined (portRef Y (instanceRef r_m_icc_RNIQA3K6_3)) (portRef A (instanceRef r_m_icc_RNIDN85G_3)) )) (net (rename aluresult_1_iv_4_23 "aluresult_1_iv_4[23]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIJC5H9_23)) (portRef B (instanceRef r_m_icc_RNIDN85G_3)) )) (net (rename aluresult_1_iv_1_23 "aluresult_1_iv_1[23]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIS2UU2_23)) (portRef A (instanceRef r_e_ctrl_pc_RNIJC5H9_23)) )) (net (rename bpdata_m_23 "bpdata_m[23]") (joined (portRef Y (instanceRef r_x_result_RNIK6LU3_23)) (portRef B (instanceRef r_e_ctrl_pc_RNIJC5H9_23)) )) (net (rename tba_m_1_11 "tba_m_1[11]") (joined (portRef Y (instanceRef r_w_s_tba_RNI33IJ2_11)) (portRef C (instanceRef r_e_ctrl_pc_RNIJC5H9_23)) )) (net (rename icc_m_3 "icc_m[3]") (joined (portRef Y (instanceRef r_m_icc_RNIF9LF2_3)) (portRef C (instanceRef r_m_icc_RNIQA3K6_3)) )) (net (rename pc_23 "pc[23]") (joined (portRef Q (instanceRef r_e_ctrl_pc_23)) (portRef D (instanceRef r_m_ctrl_pc_23)) (portRef B (instanceRef r_x_ctrl_pc_RNINHM9_23)) (portRef A (instanceRef ir_addr_RNO_6_23)) (portRef A (instanceRef r_e_ctrl_pc_RNIS2UU2_23)) )) (net (rename aluresult_1_iv_0_23 "aluresult_1_iv_0[23]") (joined (portRef Y (instanceRef r_e_op2_RNI5V992_23)) (portRef C (instanceRef r_e_ctrl_pc_RNIS2UU2_23)) )) (net (rename op2_RNIVAOP_23 "op2_RNIVAOP[23]") (joined (portRef Y (instanceRef r_e_op2_RNIVAOP_23)) (portRef B (instanceRef r_e_op2_RNI14A71_0_23)) (portRef A (instanceRef r_e_op2_RNI14A71_23)) (portRef A (instanceRef r_e_aluop_RNILV5F1_2)) (portRef C (instanceRef r_e_aluop_1_RNISS591_1)) (portRef A (instanceRef r_e_op2_RNI5V992_23)) )) (net (rename eres2_iv_1_10 "eres2_iv_1[10]") (joined (portRef Y (instanceRef r_e_op1_RNI8M1K4_10)) (portRef A (instanceRef r_e_op1_RNILGUOS2_10)) )) (net (rename ddata_10 "ddata[10]") (joined (portRef (member ddata 21)) (portRef B (instanceRef r_w_s_y_RNO_2_10)) (portRef A (instanceRef r_w_s_pil_RNO_2)) (portRef B (instanceRef r_x_rstate_RNI4VB83_0)) (portRef B (instanceRef r_w_s_tt_RNO_6)) (portRef A (instanceRef r_x_rstate_RNIT71E_0)) (portRef B (instanceRef r_e_op1_RNI8M1K4_10)) (portRef B (instanceRef ir_addr_RNO_1_10)) )) (net (rename eres2_iv_0_10 "eres2_iv_0[10]") (joined (portRef Y (instanceRef r_e_op1_RNI5VIG2_10)) (portRef C (instanceRef r_e_op1_RNI8M1K4_10)) )) (net (rename op1_10 "op1[10]") (joined (portRef Q (instanceRef r_e_op1_10)) (portRef A (instanceRef r_e_op1_RNIO8HD_10)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I11_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_un1_Y_a0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_G0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_G0N_m1_e_0)) (portRef A (instanceRef r_e_op1_RNII4R04_10)) (portRef A (instanceRef r_e_op1_RNI5VIG2_10)) )) (net (rename dbgi_m_15 "dbgi_m[15]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI9SR02)) (portRef C (instanceRef r_e_op1_RNI5VIG2_10)) )) (net (rename aluresult_1_iv_9_25 "aluresult_1_iv_9[25]") (joined (portRef Y (instanceRef r_e_jmpl_RNIF9HDK2)) (portRef A (instanceRef r_e_ldbp2_1_RNI7R6BS5)) )) (net (rename shiftin_17_m_26 "shiftin_17_m[26]") (joined (portRef Y (instanceRef r_e_jmpl_RNILK72T)) (portRef A (instanceRef r_e_jmpl_RNIF9HDK2)) )) (net (rename aluresult_1_iv_7_25 "aluresult_1_iv_7[25]") (joined (portRef Y (instanceRef r_m_y_RNI2S13Q_25)) (portRef B (instanceRef r_e_jmpl_RNIF9HDK2)) )) (net (rename shiftin_17_m_0_25 "shiftin_17_m_0[25]") (joined (portRef Y (instanceRef r_e_jmpl_RNIOO78T)) (portRef C (instanceRef r_e_jmpl_RNIF9HDK2)) )) (net (rename aluresult_1_iv_5_25 "aluresult_1_iv_5[25]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIV1FRC_0)) (portRef A (instanceRef r_m_y_RNI2S13Q_25)) )) (net (rename aluresult_1_iv_6_25 "aluresult_1_iv_6[25]") (joined (portRef Y (instanceRef r_m_y_RNI3QI7D_25)) (portRef B (instanceRef r_m_y_RNI2S13Q_25)) )) (net (rename aluresult_1_iv_4_25 "aluresult_1_iv_4[25]") (joined (portRef Y (instanceRef r_w_s_tba_RNI78QVB_13)) (portRef A (instanceRef r_m_y_RNI3QI7D_25)) )) (net (rename y_m_1_25 "y_m_1[25]") (joined (portRef Y (instanceRef r_m_y_RNISHO71_25)) (portRef B (instanceRef r_m_y_RNI3QI7D_25)) )) (net (rename logicout_m_0_25 "logicout_m_0[25]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIMIMK5_0)) (portRef B (instanceRef r_e_aluop_0_RNIV1FRC_0)) )) (net (rename aluresult_1_iv_1_25 "aluresult_1_iv_1[25]") (joined (portRef Y (instanceRef r_x_result_RNIT62B7_25)) (portRef A (instanceRef r_w_s_tba_RNI78QVB_13)) )) (net (rename aluresult_1_iv_0_25 "aluresult_1_iv_0[25]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI5M512_25)) (portRef B (instanceRef r_w_s_tba_RNI78QVB_13)) )) (net (rename tba_m_1_13 "tba_m_1[13]") (joined (portRef Y (instanceRef r_w_s_tba_RNI5BIJ2_13)) (portRef C (instanceRef r_w_s_tba_RNI78QVB_13)) )) (net (rename bpdata_25 "bpdata[25]") (joined (portRef Y (instanceRef r_x_result_RNIDDKD3_25)) (portRef B (instanceRef r_x_result_RNI436T3_25)) (portRef B (instanceRef r_x_result_RNIT62B7_25)) )) (net (rename op2_RNI1JOP_25 "op2_RNI1JOP[25]") (joined (portRef Y (instanceRef r_e_op2_RNI1JOP_25)) (portRef B (instanceRef r_e_op2_RNI7KA71_0_25)) (portRef A (instanceRef r_e_op2_RNI7KA71_25)) (portRef A (instanceRef r_e_aluop_0_RNI2D691_2)) (portRef A (instanceRef r_e_aluop_0_RNI1D691_1)) (portRef A (instanceRef r_e_ctrl_pc_RNI5M512_25)) )) (net (rename cpi_m_170 "cpi_m[170]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIPBKL_25)) (portRef C (instanceRef r_e_ctrl_pc_RNI5M512_25)) )) (net (rename data_0_1_1_iv_1_5 "data_0_1_1_iv_1[5]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_5)) (portRef A (instanceRef r_x_data_0_RNO_5)) )) (net (rename laddr_RNIUL7U81_0 "laddr_RNIUL7U81[0]") (joined (portRef Y (instanceRef r_x_laddr_RNIUL7U81_0)) (portRef C (instanceRef r_x_data_0_RNO_0_0)) (portRef C (instanceRef r_x_data_0_RNO_0_3)) (portRef C (instanceRef r_x_data_0_RNO_0_4)) (portRef A (instanceRef r_x_data_0_RNO_4_7)) (portRef C (instanceRef r_x_data_0_RNO_1_2)) (portRef A (instanceRef r_x_data_0_RNO_2_6)) (portRef A (instanceRef r_x_data_0_RNO_2_1)) (portRef A (instanceRef r_x_data_0_RNO_0_5)) )) (net (rename data_0_5 "data_0[5]") (joined (portRef data_0_5) (portRef B (instanceRef r_x_data_0_RNO_0_5)) )) (net (rename dco_m_i_125 "dco_m_i[125]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_5)) (portRef C (instanceRef r_x_data_0_RNO_0_5)) )) (net (rename data_0_1_1_iv_0_5 "data_0_1_1_iv_0[5]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_5)) (portRef B (instanceRef r_x_data_0_RNO_5)) )) (net (rename data_0_0_5 "data_0_0[5]") (joined (portRef Q (instanceRef r_x_data_0_5)) (portRef B (instanceRef r_x_result_RNIQFKA_5)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I6_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I6_P0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I6_G0N)) (portRef B (instanceRef r_e_op1_RNICJB4_5)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I296_Y_0_1)) (portRef A (instanceRef r_x_data_0_RNI6RS8_5)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I84_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Ytt_m3_i_a4)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_Y_0)) (portRef A (instanceRef r_x_data_0_RNI89LR2_5)) (portRef A (instanceRef r_x_data_0_RNO_1_5)) )) (net (rename dco_m_i_109 "dco_m_i[109]") (joined (portRef Y (instanceRef r_x_data_0_RNO_4_5)) (portRef C (instanceRef r_x_data_0_RNO_1_5)) )) (net pwd_0_sqmuxa_1_0 (joined (portRef Y (instanceRef ir_pwd_RNO_1)) (portRef A (instanceRef ir_pwd_RNO_0)) )) (net (rename data_0_1_1_iv_2_7 "data_0_1_1_iv_2[7]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_7)) (portRef C (instanceRef r_x_data_0_RNO_7)) )) (net N_48 (joined (portRef Y (instanceRef r_x_laddr_RNIM9DCI_1_0)) (portRef B (instanceRef r_x_data_0_RNO_1_1)) (portRef C (instanceRef r_x_data_0_RNO_5_4)) (portRef B (instanceRef r_x_data_0_RNO_0_2)) (portRef C (instanceRef r_x_data_0_RNO_3_5)) (portRef C (instanceRef r_x_data_0_RNO_1_6)) (portRef B (instanceRef r_x_dci_signed_RNIHV5I61_0)) (portRef B (instanceRef r_x_data_0_RNO_2_3)) (portRef B (instanceRef r_x_data_0_RNO_2_0)) (portRef B (instanceRef r_x_data_0_RNO_2_7)) )) (net (rename data_0_1_1_iv_0_7 "data_0_1_1_iv_0[7]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_7)) (portRef C (instanceRef r_x_data_0_RNO_2_7)) )) (net (rename data_0_7 "data_0[7]") (joined (portRef Q (instanceRef r_x_data_0_7)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I298_Y_0_1)) (portRef B (instanceRef r_x_result_RNIUVKA_7)) (portRef A (instanceRef r_x_data_0_RNIHF43_7)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I8_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I8_G0N)) (portRef B (instanceRef r_e_op1_RNIGRB4_7)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I8_P0N_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a3_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I8_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I77_un1_Y_m1_e_1)) (portRef A (instanceRef r_x_data_0_RNICPLR2_7)) (portRef A (instanceRef r_x_data_0_RNO_3_7)) )) (net (rename dco_m_i_103 "dco_m_i[103]") (joined (portRef Y (instanceRef r_x_data_0_RNO_4_7)) (portRef C (instanceRef r_x_data_0_RNO_3_7)) )) (net wreg_1_5 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIP6868_5)) (portRef A (instanceRef r_m_ctrl_rd_RNIH5I2F_4)) )) (net rd_1_5_i_0 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIHE224_5)) (portRef A (instanceRef r_m_ctrl_rd_RNIP6868_5)) )) (net rd_1_6_i_0_0 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNI8O544_6)) (portRef B (instanceRef r_m_ctrl_rd_RNIP6868_5)) )) (net wreg_1_4 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIOU9S6_4)) (portRef B (instanceRef r_m_ctrl_rd_RNIH5I2F_4)) )) (net (rename un3_de_ren1_101 "un3_de_ren1[101]") (joined (portRef Y (instanceRef r_d_inst_0_RNI35MS3_4)) (portRef D (instanceRef r_a_rfa2_4)) (portRef A (instanceRef r_a_rfa2_RNIETRB4_4)) (portRef B (instanceRef r_e_ctrl_rd_RNIJ4VV3_4)) (portRef A (instanceRef r_a_ctrl_rd_RNI3TBM6_4)) (portRef A (instanceRef r_m_ctrl_rd_RNIOU9S6_4)) )) (net (rename rd_4 "rd[4]") (joined (portRef Q (instanceRef r_m_ctrl_rd_4)) (portRef D (instanceRef r_x_ctrl_rd_4)) (portRef A (instanceRef r_m_ctrl_rd_RNIPLP12_4)) (portRef B (instanceRef r_m_ctrl_rd_RNIOU9S6_4)) )) (net wreg_1_3 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNITPAS2_1)) (portRef C (instanceRef r_m_ctrl_rd_RNIOU9S6_4)) )) (net (rename rd_RNII8JL_2 "rd_RNII8JL[2]") (joined (portRef Y (instanceRef r_m_ctrl_rd_RNII8JL_2)) (portRef A (instanceRef r_m_ctrl_rd_RNITPAS2_1)) )) (net (rename rd_RNIG4JL_1 "rd_RNIG4JL[1]") (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIG4JL_1)) (portRef B (instanceRef r_m_ctrl_rd_RNITPAS2_1)) )) (net wreg_1_2_0 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIRC4H1_3)) (portRef C (instanceRef r_m_ctrl_rd_RNITPAS2_1)) )) (net (rename rd_0_3 "rd_0[3]") (joined (portRef Q (instanceRef r_m_ctrl_rd_3)) (portRef D (instanceRef r_x_ctrl_rd_3)) (portRef B (instanceRef r_m_ctrl_rd_RNILP2A1_3)) (portRef B (instanceRef r_m_ctrl_rd_RNIRC4H1_3)) )) (net wreg_1_0_0 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNI70HR_0)) (portRef C (instanceRef r_m_ctrl_rd_RNIRC4H1_3)) )) (net N_40_0 (joined (portRef Y (instanceRef r_d_inst_0_RNIQ0AI_0)) (portRef D (instanceRef r_a_rfa2_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I0_CO1)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I0_S_0)) (portRef A (instanceRef r_a_rfa2_RNI19F11_0)) (portRef A (instanceRef r_a_imm_RNO_10)) (portRef B (instanceRef r_a_imm_RNO_0)) (portRef B (instanceRef r_e_ctrl_rd_RNI60JL_0)) (portRef B (instanceRef r_a_ctrl_rd_RNI20JL_0)) (portRef B (instanceRef r_d_inst_0_RNIOHK41_0)) (portRef A (instanceRef r_m_ctrl_rd_RNI70HR_0)) )) (net (rename rd_1_0 "rd_1[0]") (joined (portRef Q (instanceRef r_m_ctrl_rd_0)) (portRef D (instanceRef r_x_ctrl_rd_0)) (portRef A (instanceRef r_m_ctrl_rd_RNIN6DD2_0)) (portRef B (instanceRef r_m_ctrl_rd_RNI70HR_0)) )) (net wreg_0 (joined (portRef Q (instanceRef r_m_ctrl_wreg)) (portRef D (instanceRef r_x_ctrl_wreg)) (portRef C (instanceRef r_m_ctrl_rd_RNIFCVQ_1)) (portRef C (instanceRef r_m_ctrl_rd_RNI70HR_0)) )) (net rd_1_NE_3 (joined (portRef Y (instanceRef r_a_ctrl_rd_RNI3TBM6_4)) (portRef C (instanceRef r_a_ctrl_rd_RNI43KSE_4)) )) (net (rename rd_0_4 "rd_0[4]") (joined (portRef Q (instanceRef r_a_ctrl_rd_4)) (portRef D (instanceRef r_e_ctrl_rd_4)) (portRef A (instanceRef r_a_ctrl_rd_RNIDLP12_4)) (portRef B (instanceRef r_a_ctrl_rd_RNI3TBM6_4)) )) (net rd_1_NE_2 (joined (portRef Y (instanceRef r_a_ctrl_rd_RNIKOCM2_0)) (portRef C (instanceRef r_a_ctrl_rd_RNI3TBM6_4)) )) (net (rename rd_RNI44JL_1 "rd_RNI44JL[1]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNI44JL_1)) (portRef A (instanceRef r_a_ctrl_rd_RNIKOCM2_0)) )) (net (rename rd_RNI20JL_0 "rd_RNI20JL[0]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNI20JL_0)) (portRef B (instanceRef r_a_ctrl_rd_RNIKOCM2_0)) )) (net rd_1_NE_1 (joined (portRef Y (instanceRef r_a_ctrl_rd_RNIEK6B1_3)) (portRef C (instanceRef r_a_ctrl_rd_RNIKOCM2_0)) )) (net (rename rd_1_3 "rd_1[3]") (joined (portRef Q (instanceRef r_a_ctrl_rd_3)) (portRef D (instanceRef r_e_ctrl_rd_3)) (portRef A (instanceRef r_a_ctrl_rd_RNIGC1L_3)) (portRef B (instanceRef r_a_ctrl_rd_RNIEK6B1_3)) )) (net (rename rd_RNI68JL_2 "rd_RNI68JL[2]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNI68JL_2)) (portRef C (instanceRef r_a_ctrl_rd_RNIEK6B1_3)) )) (net (rename aluresult_1_iv_9_26 "aluresult_1_iv_9[26]") (joined (portRef Y (instanceRef r_m_y_RNIUOSNJ1_26)) (portRef A (instanceRef r_m_y_RNICGTO26_26)) )) (net (rename aluresult_1_iv_7_26 "aluresult_1_iv_7[26]") (joined (portRef Y (instanceRef r_m_y_RNIMG4N3_26)) (portRef A (instanceRef r_m_y_RNIUOSNJ1_26)) )) (net (rename aluresult_1_iv_6_26 "aluresult_1_iv_6[26]") (joined (portRef Y (instanceRef r_w_s_tba_RNI2NSVH_14)) (portRef B (instanceRef r_m_y_RNIUOSNJ1_26)) )) (net (rename shiftin_17_m_27 "shiftin_17_m[27]") (joined (portRef Y (instanceRef r_e_jmpl_RNI6HR0U)) (portRef C (instanceRef r_m_y_RNIUOSNJ1_26)) )) (net (rename y_0_26 "y_0[26]") (joined (portRef Q (instanceRef r_m_y_26)) (portRef D (instanceRef r_x_y_26)) (portRef A (instanceRef r_m_y_RNO_4_25)) (portRef A (instanceRef r_m_y_RNO_1_26)) (portRef A (instanceRef r_m_y_RNIMG4N3_26)) )) (net (rename logicout_m_0_26 "logicout_m_0[26]") (joined (portRef Y (instanceRef r_e_alusel_RNIPQBF2_0)) (portRef C (instanceRef r_m_y_RNIMG4N3_26)) )) (net (rename aluresult_1_iv_3_26 "aluresult_1_iv_3[26]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIMMAQ7_26)) (portRef A (instanceRef r_w_s_tba_RNI2NSVH_14)) )) (net (rename tba_m_1_14 "tba_m_1[14]") (joined (portRef Y (instanceRef r_w_s_tba_RNI6FIJ2_14)) (portRef B (instanceRef r_w_s_tba_RNI2NSVH_14)) )) (net (rename aluresult_1_iv_4_26 "aluresult_1_iv_4[26]") (joined (portRef Y (instanceRef r_x_result_RNI6HVH7_10)) (portRef C (instanceRef r_w_s_tba_RNI2NSVH_14)) )) (net (rename bpdata_10 "bpdata[10]") (joined (portRef Y (instanceRef r_x_result_RNIKMRC3_10)) (portRef A (instanceRef r_x_result_RNI12VB4_10)) (portRef B (instanceRef r_x_result_RNI0EHD7_10)) (portRef B (instanceRef r_x_result_RNIG8O38_10)) (portRef B (instanceRef r_x_result_RNI6HVH7_10)) )) (net (rename bpdata_m_2_2 "bpdata_m_2[2]") (joined (portRef Y (instanceRef r_x_result_RNI93BJ3_2)) (portRef C (instanceRef r_x_result_RNIG8O38_10)) (portRef C (instanceRef r_x_result_RNI6HVH7_10)) )) (net (rename aluresult_1_iv_0_26 "aluresult_1_iv_0[26]") (joined (portRef Y (instanceRef r_e_op2_RNIH35V1_26)) (portRef A (instanceRef r_e_ctrl_pc_RNIMMAQ7_26)) )) (net (rename cpi_m_171 "cpi_m[171]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIQFKL_26)) (portRef B (instanceRef r_e_ctrl_pc_RNIMMAQ7_26)) )) (net (rename aluresult_1_iv_2_26 "aluresult_1_iv_2[26]") (joined (portRef Y (instanceRef r_x_result_RNIB3H55_26)) (portRef C (instanceRef r_e_ctrl_pc_RNIMMAQ7_26)) )) (net (rename bpdata_26 "bpdata[26]") (joined (portRef Y (instanceRef r_x_result_RNIMRSC3_26)) (portRef B (instanceRef r_x_result_RNIDHES3_26)) (portRef B (instanceRef r_x_result_RNIB3H55_26)) )) (net aluresult_9_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_0_RNIBGR61_1_0)) (portRef C (instanceRef r_w_s_wim_RNIH1QQ5_1)) (portRef C (instanceRef r_e_ctrl_pc_RNIORQF6_2)) (portRef B (instanceRef r_w_s_dwt_RNI4T224)) (portRef C (instanceRef r_x_result_RNIB3H55_26)) )) (net (rename op2_RNIBFJF_26 "op2_RNIBFJF[26]") (joined (portRef Y (instanceRef r_e_op2_RNIBFJF_26)) (portRef S (instanceRef r_e_aluop_2_RNIB4QQ_1)) (portRef S (instanceRef r_e_aluop_2_RNIB4QQ_0_1)) (portRef A (instanceRef r_e_op2_RNIH35V1_26)) )) (net (rename wa_0_iv_0_0 "wa_0_iv_0[0]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIGMRD1_1)) (portRef B (instanceRef r_x_rstate_0_RNINR78V_1)) )) (net (rename addr_1_sqmuxaZ0 "addr_1_sqmuxa") (joined (portRef Y (instanceRef addr_1_sqmuxa)) (portRef A (instanceRef r_x_rstate_0_RNIGMRD1_1)) )) (net nullify_1_sqmuxa_2_2_1 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNIUT669_30)) (portRef A (instanceRef trap_0_sqmuxa_4_RNIL21FR)) )) (net trap_0_sqmuxa_7 (joined (portRef Y (instanceRef r_m_ctrl_trap_RNIA8BK7)) (portRef C (instanceRef r_x_ctrl_tt_RNO_0_3)) (portRef A (instanceRef r_x_ctrl_tt_RNO_5)) (portRef B (instanceRef r_x_ctrl_tt_RNO_0_0)) (portRef C (instanceRef r_m_werr_RNO)) (portRef A (instanceRef r_m_ctrl_trap_RNI4PLCE)) (portRef A (instanceRef r_m_ctrl_trap_RNIHUFMV)) (portRef B (instanceRef r_m_ctrl_inst_RNIUT669_30)) )) (net nullify_1_sqmuxa_2_2_0 (joined (portRef Y (instanceRef r_m_ctrl_pv_RNIL8R31)) (portRef C (instanceRef r_m_ctrl_inst_RNIUT669_30)) )) (net nullify_0_sqmuxa_0 (joined (portRef Y (instanceRef r_m_ctrl_pv_RNIJQBC)) (portRef A (instanceRef r_m_ctrl_pv_RNIL8R31)) )) (net un5_trap (joined (portRef Y (instanceRef r_m_ctrl_inst_RNIVC0E_30)) (portRef B (instanceRef r_m_ctrl_inst_RNI635GO_30)) (portRef C (instanceRef r_m_ctrl_cnt_RNIQA5L_0)) (portRef B (instanceRef r_m_ctrl_pv_RNIL8R31)) (portRef A (instanceRef r_m_ctrl_inst_RNIUT669_30)) (portRef un5_trap) )) (net un1_rabpmiss_1286_m4_e_1 (joined (portRef Y (instanceRef r_d_pv_RNIARP4F)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIKLAP41)) )) (net pv_12_i_a6_2_1 (joined (portRef Y (instanceRef r_d_pv_RNIGC0D4)) (portRef A (instanceRef r_d_pv_RNISUHK62)) (portRef B (instanceRef r_d_pv_RNIASMJ01)) (portRef B (instanceRef r_d_pv_RNIARP4F)) )) (net (rename aluresult_1_iv_8_21 "aluresult_1_iv_8[21]") (joined (portRef Y (instanceRef r_m_y_RNIH0PPI1_21)) (portRef A (instanceRef r_e_jmpl_RNIKBK915)) )) (net (rename aluresult_1_iv_6_21 "aluresult_1_iv_6[21]") (joined (portRef Y (instanceRef r_m_y_RNI4OA87_21)) (portRef A (instanceRef r_m_y_RNIH0PPI1_21)) )) (net (rename aluresult_1_iv_5_21 "aluresult_1_iv_5[21]") (joined (portRef Y (instanceRef r_w_s_tba_RNI6F46G_9)) (portRef B (instanceRef r_m_y_RNIH0PPI1_21)) )) (net (rename shiftin_17_m_22 "shiftin_17_m[22]") (joined (portRef Y (instanceRef r_e_jmpl_RNI7P9BR)) (portRef C (instanceRef r_m_y_RNIH0PPI1_21)) )) (net (rename y_m_1_21 "y_m_1[21]") (joined (portRef Y (instanceRef r_m_y_RNIO1O71_21)) (portRef A (instanceRef r_m_y_RNI4OA87_21)) )) (net (rename logicout_m_0_21 "logicout_m_0[21]") (joined (portRef Y (instanceRef r_e_aluop_RNICMI06_0)) (portRef B (instanceRef r_m_y_RNI4OA87_21)) )) (net (rename aluresult_1_iv_3_21 "aluresult_1_iv_3[21]") (joined (portRef Y (instanceRef r_m_icc_RNIGI2K6_1)) (portRef A (instanceRef r_w_s_tba_RNI6F46G_9)) )) (net (rename aluresult_1_iv_4_21 "aluresult_1_iv_4[21]") (joined (portRef Y (instanceRef r_w_s_tba_RNIMS1I9_9)) (portRef B (instanceRef r_w_s_tba_RNI6F46G_9)) )) (net (rename tba_9 "tba[9]") (joined (portRef Q (instanceRef r_w_s_tba_9)) (portRef A (instanceRef r_w_s_tba_RNO_0_9)) (portRef A (instanceRef r_f_pc_RNO_14_21)) (portRef A (instanceRef r_w_s_tba_RNIVAFH2_9)) (portRef A (instanceRef r_w_s_tba_RNIMS1I9_9)) )) (net (rename aluresult_1_iv_2_21 "aluresult_1_iv_2[21]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIS6AU6_21)) (portRef C (instanceRef r_w_s_tba_RNIMS1I9_9)) )) (net (rename icc_m_1 "icc_m[1]") (joined (portRef Y (instanceRef r_m_icc_RNID9LF2_1)) (portRef C (instanceRef r_m_icc_RNIGI2K6_1)) )) (net (rename bpdata_21 "bpdata[21]") (joined (portRef Y (instanceRef r_x_result_RNITSJD3_21)) (portRef B (instanceRef r_x_result_RNIKI5T3_21)) (portRef A (instanceRef r_e_ctrl_pc_RNIS6AU6_21)) )) (net (rename aluresult_1_iv_1_21 "aluresult_1_iv_1[21]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNILITU2_21)) (portRef C (instanceRef r_e_ctrl_pc_RNIS6AU6_21)) )) (net (rename ex_op2_m_21 "ex_op2_m[21]") (joined (portRef Y (instanceRef r_e_op2_RNI5QGB1_21)) (portRef A (instanceRef r_e_ctrl_pc_RNILITU2_21)) )) (net (rename cpi_m_166 "cpi_m[166]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNILRJL_21)) (portRef C (instanceRef r_e_ctrl_pc_RNILITU2_21)) )) (net cwp_3_sqmuxa_2 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIQOQ82_20)) (portRef B (instanceRef r_x_ctrl_inst_RNIKCO2U_20)) )) (net y10 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIE0331_20)) (portRef C (instanceRef r_x_ctrl_inst_RNIUI9N3_20)) (portRef A (instanceRef r_w_s_cwp_RNI5BQQ1_2)) (portRef A (instanceRef r_x_ctrl_inst_RNIQOQ82_20)) )) (net cwp_3_sqmuxa_0 (joined (portRef Y (instanceRef r_w_s_cwp_RNIUVI01_2)) (portRef B (instanceRef r_x_ctrl_inst_RNIQOQ82_20)) )) (net un4_cwpopt_i_0 (joined (portRef Y (instanceRef r_w_s_cwp_RNI9III_2)) (portRef B (instanceRef r_w_s_cwp_RNI5BQQ1_2)) (portRef B (instanceRef r_w_s_cwp_RNIUVI01_2)) )) (net dwt_1_sqmuxa_3 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNITR8R2_0_25)) (portRef A (instanceRef r_x_rstate_0_RNI03MMU_1)) )) (net dwt_1_sqmuxa_2 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI05531_0_25)) (portRef A (instanceRef r_x_ctrl_inst_RNITR8R2_0_25)) )) (net y_0_sqmuxa_1_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNITM3O1_20)) (portRef A (instanceRef r_x_ctrl_inst_RNITR8R2_1_25)) (portRef B (instanceRef r_x_ctrl_inst_RNITR8R2_25)) (portRef B (instanceRef r_x_rstate_RNIEH5I4_0)) (portRef B (instanceRef r_x_ctrl_inst_RNITR8R2_0_25)) )) (net (rename inst_25 "inst[25]") (joined (portRef Q (instanceRef r_x_ctrl_inst_25)) (portRef B (instanceRef r_x_ctrl_inst_RNI05531_25)) (portRef C (instanceRef r_x_ctrl_inst_RNI05531_1_25)) (portRef A (instanceRef r_x_ctrl_inst_RNI05531_0_25)) )) (net dwt_1_sqmuxa_1_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI3F3L_27)) (portRef C (instanceRef r_x_ctrl_inst_RNI05531_25)) (portRef B (instanceRef r_x_ctrl_inst_RNI05531_0_25)) )) (net (rename inst_26 "inst[26]") (joined (portRef Q (instanceRef r_x_ctrl_inst_26)) (portRef A (instanceRef r_x_ctrl_inst_RNI05531_25)) (portRef B (instanceRef r_x_ctrl_inst_RNI05531_1_25)) (portRef C (instanceRef r_x_ctrl_inst_RNI05531_0_25)) )) (net (rename eres2_iv_1_6 "eres2_iv_1[6]") (joined (portRef Y (instanceRef r_e_op1_RNI5SLG4_6)) (portRef C (instanceRef r_e_op1_RNISB66D2_6)) )) (net (rename eres2_iv_0_6 "eres2_iv_0[6]") (joined (portRef Y (instanceRef r_e_op1_RNIDIJF2_6)) (portRef C (instanceRef r_e_op1_RNI5SLG4_6)) )) (net (rename op1_6 "op1[6]") (joined (portRef Q (instanceRef r_e_op1_6)) (portRef A (instanceRef r_e_op1_RNIENB4_6)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I7_G0N)) (portRef A (instanceRef r_e_op1_RNIH1UB_6)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I82_Y_m2_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a0_0)) (portRef A (instanceRef r_e_op1_RNIDIJF2_6)) )) (net (rename cpi_m_391 "cpi_m[391]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNISGL32)) (portRef C (instanceRef r_e_op1_RNIDIJF2_6)) )) (net (rename data_0_1_1_iv_2_4 "data_0_1_1_iv_2[4]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_4)) (portRef C (instanceRef r_x_data_0_RNO_4)) )) (net (rename dco_m_i_108 "dco_m_i[108]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_4)) (portRef A (instanceRef r_x_data_0_RNO_2_4)) )) (net (rename data_0_m_i_4 "data_0_m_i[4]") (joined (portRef Y (instanceRef r_x_data_0_RNO_4_4)) (portRef B (instanceRef r_x_data_0_RNO_2_4)) )) (net (rename dco_m_i_124 "dco_m_i[124]") (joined (portRef Y (instanceRef r_x_data_0_RNO_5_4)) (portRef C (instanceRef r_x_data_0_RNO_2_4)) )) (net (rename data_0_1_1_iv_1_1 "data_0_1_1_iv_1[1]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_1)) (portRef C (instanceRef r_x_data_0_RNO_1)) )) (net (rename data_0_1 "data_0[1]") (joined (portRef data_0_1) (portRef B (instanceRef r_x_data_0_RNO_2_1)) )) (net (rename dco_m_i_113 "dco_m_i[113]") (joined (portRef Y (instanceRef r_x_data_0_RNO_4_1)) (portRef C (instanceRef r_x_data_0_RNO_2_1)) )) (net (rename data_0_1_1_iv_0_1 "data_0_1_1_iv_0[1]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_1)) (portRef A (instanceRef r_x_data_0_RNO_1)) )) (net (rename data_0_0_9 "data_0_0[9]") (joined (portRef data_0_0_9) (portRef A (instanceRef r_x_data_0_RNO_0_1)) (portRef B (instanceRef r_x_data_0_RNO_1_9)) )) (net N_52 (joined (portRef Y (instanceRef r_x_laddr_RNIM9DCI_0_0)) (portRef C (instanceRef r_x_data_0_RNO_3_4)) (portRef C (instanceRef r_x_data_0_RNO_1_7)) (portRef B (instanceRef r_x_data_0_RNO_4_5)) (portRef A (instanceRef r_x_dci_signed_RNIR9TNF2)) (portRef A (instanceRef r_x_laddr_RNIIT6711_0)) (portRef B (instanceRef r_x_data_0_RNO_3_2)) (portRef B (instanceRef r_x_data_0_RNO_3_3)) (portRef B (instanceRef r_x_data_0_RNO_0_6)) (portRef B (instanceRef r_x_data_0_RNO_3_0)) (portRef B (instanceRef r_x_data_0_RNO_0_1)) )) (net (rename data_0_m_i_1 "data_0_m_i[1]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_1)) (portRef C (instanceRef r_x_data_0_RNO_0_1)) )) (net (rename data_0_1_1_iv_2_0 "data_0_1_1_iv_2[0]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_0)) (portRef C (instanceRef r_x_data_0_RNO_0)) )) (net (rename data_0_0_24 "data_0_0[24]") (joined (portRef data_0_0_24) (portRef B (instanceRef r_x_data_0_RNO_1_24)) (portRef A (instanceRef r_x_data_0_RNO_2_0)) (portRef B (instanceRef r_x_data_0_RNO_0_8)) )) (net (rename data_0_1_1_iv_0_0 "data_0_1_1_iv_0[0]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_0)) (portRef C (instanceRef r_x_data_0_RNO_2_0)) )) (net (rename data_0_0_8 "data_0_0[8]") (joined (portRef data_0_0_8) (portRef A (instanceRef r_x_data_0_RNO_3_0)) (portRef B (instanceRef r_x_data_0_RNO_1_8)) )) (net (rename data_0_m_i_0 "data_0_m_i[0]") (joined (portRef Y (instanceRef r_x_data_0_RNO_4_0)) (portRef C (instanceRef r_x_data_0_RNO_3_0)) )) (net (rename data_0_1_1_iv_1_6 "data_0_1_1_iv_1[6]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_6)) (portRef C (instanceRef r_x_data_0_RNO_6)) )) (net (rename data_0_0_6 "data_0_0[6]") (joined (portRef data_0_0_6) (portRef B (instanceRef r_x_data_0_RNO_2_6)) )) (net (rename dco_m_i_118 "dco_m_i[118]") (joined (portRef Y (instanceRef r_x_data_0_RNO_4_6)) (portRef C (instanceRef r_x_data_0_RNO_2_6)) )) (net (rename data_0_1_1_iv_0_6 "data_0_1_1_iv_0[6]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_6)) (portRef A (instanceRef r_x_data_0_RNO_6)) )) (net (rename data_0_m_i_6 "data_0_m_i[6]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_6)) (portRef C (instanceRef r_x_data_0_RNO_0_6)) )) (net ADD_30x30_fast_I280_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I280_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_7_22)) )) (net (rename dpc_22 "dpc[22]") (joined (portRef Q (instanceRef r_d_pc_22)) (portRef B (instanceRef un6_fe_npc_2_I_129)) (portRef C (instanceRef un6_fe_npc_2_I_132)) (portRef D (instanceRef r_a_ctrl_pc_22)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I20_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I20_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I46_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I280_Y_0_0)) )) (net (rename inst_0_20 "inst_0[20]") (joined (portRef Q (instanceRef r_d_inst_0_20)) (portRef D (instanceRef r_a_ctrl_inst_20)) (portRef B (instanceRef r_d_inst_0_RNO_0_20)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I20_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I20_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I46_Y)) (portRef A (instanceRef r_d_inst_0_RNI4023_19)) (portRef A (instanceRef r_a_imm_RNO_30)) (portRef C (instanceRef un1_write_reg30_1_3_RNO_2)) (portRef A (instanceRef r_d_inst_0_RNIGMQD_20)) (portRef A (instanceRef r_d_inst_0_RNI4EJ4_20)) (portRef B (instanceRef r_d_inst_0_RNIV323_21)) (portRef B (instanceRef r_d_inst_0_RNI0423_20)) (portRef B (instanceRef r_d_inst_0_RNI2423_24)) (portRef B (instanceRef un1_write_reg30_1_3_RNO_5)) (portRef B (instanceRef r_d_inst_0_RNI3AJ4_23)) (portRef A (instanceRef r_d_inst_0_RNIBO9C_23)) (portRef C (instanceRef r_d_inst_0_RNI62J4_23)) (portRef A (instanceRef r_d_inst_0_RNI2423_0_24)) (portRef A (instanceRef r_d_inst_0_RNI62J4_0_23)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I280_Y_0_0)) )) (net (rename aluresult_1_iv_8_22 "aluresult_1_iv_8[22]") (joined (portRef Y (instanceRef r_e_jmpl_RNIUT8CJ1)) (portRef A (instanceRef r_e_jmpl_RNILUTOB5)) )) (net (rename shiftin_17_23 "shiftin_17[23]") (joined (portRef Y (instanceRef r_e_shcnt_RNIQTMHR_1)) (portRef A (instanceRef r_e_jmpl_RNINLT9S)) (portRef A (instanceRef r_e_jmpl_RNIUT8CJ1)) )) (net (rename aluresult_1_iv_7_22 "aluresult_1_iv_7[22]") (joined (portRef Y (instanceRef r_m_y_RNI78B2N_22)) (portRef C (instanceRef r_e_jmpl_RNIUT8CJ1)) )) (net (rename aluresult_1_iv_5_22 "aluresult_1_iv_5[22]") (joined (portRef Y (instanceRef r_m_icc_RNISGV5G_2)) (portRef A (instanceRef r_m_y_RNI78B2N_22)) )) (net (rename aluresult_1_iv_6_22 "aluresult_1_iv_6[22]") (joined (portRef Y (instanceRef r_m_y_RNIBNBS6_22)) (portRef B (instanceRef r_m_y_RNI78B2N_22)) )) (net (rename y_m_1_22 "y_m_1[22]") (joined (portRef Y (instanceRef r_m_y_RNIP5O71_22)) (portRef A (instanceRef r_m_y_RNIBNBS6_22)) )) (net (rename logicout_m_0_22 "logicout_m_0[22]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIIHJK5_0)) (portRef B (instanceRef r_m_y_RNIBNBS6_22)) )) (net (rename aluresult_1_iv_3_22 "aluresult_1_iv_3[22]") (joined (portRef Y (instanceRef r_m_icc_RNIGKQK6_2)) (portRef A (instanceRef r_m_icc_RNISGV5G_2)) )) (net (rename aluresult_1_iv_4_22 "aluresult_1_iv_4[22]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNICS4H9_22)) (portRef B (instanceRef r_m_icc_RNISGV5G_2)) )) (net (rename aluresult_1_iv_1_22 "aluresult_1_iv_1[22]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIQQTU2_22)) (portRef A (instanceRef r_e_ctrl_pc_RNICS4H9_22)) )) (net (rename bpdata_m_22 "bpdata_m[22]") (joined (portRef Y (instanceRef r_x_result_RNIG2LU3_22)) (portRef B (instanceRef r_e_ctrl_pc_RNICS4H9_22)) )) (net (rename tba_m_1_10 "tba_m_1[10]") (joined (portRef Y (instanceRef r_w_s_tba_RNI2VHJ2_10)) (portRef C (instanceRef r_e_ctrl_pc_RNICS4H9_22)) )) (net (rename icc_m_2 "icc_m[2]") (joined (portRef Y (instanceRef r_m_icc_RNIE9LF2_2)) (portRef C (instanceRef r_m_icc_RNIGKQK6_2)) )) (net (rename pc_22 "pc[22]") (joined (portRef Q (instanceRef r_e_ctrl_pc_22)) (portRef D (instanceRef r_m_ctrl_pc_22)) (portRef A (instanceRef ir_addr_RNO_6_22)) (portRef B (instanceRef r_x_ctrl_pc_RNIAQGF_22)) (portRef A (instanceRef r_e_ctrl_pc_RNIQQTU2_22)) )) (net (rename aluresult_1_iv_0_22 "aluresult_1_iv_0[22]") (joined (portRef Y (instanceRef r_e_aluop_0_RNI4R992_1)) (portRef C (instanceRef r_e_ctrl_pc_RNIQQTU2_22)) )) (net (rename ex_op2_m_22 "ex_op2_m[22]") (joined (portRef Y (instanceRef r_e_op2_RNI9UGB1_22)) (portRef B (instanceRef r_e_aluop_0_RNI4R992_1)) )) (net (rename data_0_1_1_iv_2_3 "data_0_1_1_iv_2[3]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_3)) (portRef C (instanceRef r_x_data_0_RNO_3)) )) (net (rename data_0_27 "data_0[27]") (joined (portRef data_0_27) (portRef B (instanceRef r_x_data_0_RNO_0_27)) (portRef A (instanceRef r_x_data_0_RNO_2_3)) (portRef B (instanceRef r_x_data_0_RNO_0_11)) )) (net (rename data_0_1_1_iv_0_3 "data_0_1_1_iv_0[3]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_3)) (portRef C (instanceRef r_x_data_0_RNO_2_3)) )) (net (rename data_0_0_11 "data_0_0[11]") (joined (portRef data_0_0_11) (portRef A (instanceRef r_x_data_0_RNO_3_3)) (portRef B (instanceRef r_x_data_0_RNO_1_11)) )) (net (rename data_0_m_i_3 "data_0_m_i[3]") (joined (portRef Y (instanceRef r_x_data_0_RNO_4_3)) (portRef C (instanceRef r_x_data_0_RNO_3_3)) )) (net (rename data_0_1_1_iv_1_2 "data_0_1_1_iv_1[2]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_2)) (portRef C (instanceRef r_x_data_0_RNO_2)) )) (net (rename size_RNIM9DCI_0 "size_RNIM9DCI[0]") (joined (portRef Y (instanceRef r_x_dci_size_RNIM9DCI_0)) (portRef C (instanceRef r_x_data_0_RNO_1_0)) (portRef C (instanceRef r_x_data_0_RNO_1_3)) (portRef B (instanceRef r_x_data_0_RNO_1_4)) (portRef B (instanceRef r_x_data_0_RNO_4_6)) (portRef B (instanceRef r_x_data_0_RNO_0_7)) (portRef C (instanceRef r_x_data_0_RNO_2_5)) (portRef C (instanceRef r_x_data_0_RNO_4_1)) (portRef B (instanceRef r_x_data_0_RNO_2_2)) )) (net (rename data_0_1_1_iv_0_2 "data_0_1_1_iv_0[2]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3_2)) (portRef C (instanceRef r_x_data_0_RNO_2_2)) )) (net (rename data_0_0_10 "data_0_0[10]") (joined (portRef data_0_0_10) (portRef A (instanceRef r_x_data_0_RNO_3_2)) (portRef B (instanceRef r_x_data_0_RNO_1_10)) )) (net (rename data_0_m_i_2 "data_0_m_i[2]") (joined (portRef Y (instanceRef r_x_data_0_RNO_4_2)) (portRef C (instanceRef r_x_data_0_RNO_3_2)) )) (net ADD_30x30_fast_I287_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I287_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_7_29)) )) (net (rename dpc_29 "dpc[29]") (joined (portRef Q (instanceRef r_d_pc_29)) (portRef B (instanceRef un6_fe_npc_2_I_196)) (portRef C (instanceRef un6_fe_npc_2_I_202)) (portRef A (instanceRef un6_fe_npc_2_I_206)) (portRef D (instanceRef r_a_ctrl_pc_29)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I27_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I27_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I287_Y_0_0)) )) (net N_154 (joined (portRef Y (instanceRef r_d_inst_0_RNI8AJ4_27)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I27_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I27_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I287_Y_0_0)) )) (net (rename rdata_5_m_7_0_8 "rdata_5_m_7_0[8]") (joined (portRef Y (instanceRef r_x_dci_size_RNIM9DCI_0_0)) (portRef A (instanceRef r_x_dci_signed_RNIHV5I61)) )) (net N_313 (joined (portRef Y (instanceRef r_x_dci_size_RNI9I47B_0)) (portRef A (instanceRef r_x_dci_size_RNIM9DCI_0_0)) )) (net N_197 (joined (portRef Y (instanceRef r_x_laddr_RNIDN857_1)) (portRef B (instanceRef r_x_dci_size_RNISJPQE_0)) (portRef B (instanceRef r_x_dci_size_RNIM9DCI_0)) (portRef C (instanceRef r_x_laddr_RNIM9DCI_1_0)) (portRef C (instanceRef r_x_dci_signed_RNI9N1F61)) (portRef B (instanceRef r_x_dci_size_RNIM9DCI_0_0)) )) (net tt_1_sqmuxa_0 (joined (portRef Y (instanceRef r_m_ctrl_trap_RNIHUFMV)) (portRef A (instanceRef r_m_ctrl_trap_RNI8PSUK1)) )) (net un6_annul (joined (portRef Y (instanceRef r_m_ctrl_pv_RNI7M42O)) (portRef A (instanceRef r_m_ctrl_inst_RNI635GO_30)) (portRef A (instanceRef r_x_ctrl_tt_RNO_0_3)) (portRef B (instanceRef r_m_ctrl_pv_RNIFF11D2)) (portRef A (instanceRef r_x_ctrl_tt_RNO_4)) (portRef B (instanceRef trap_0_sqmuxa_4_RNIPC5HT)) (portRef B (instanceRef r_m_ctrl_trap_RNIHUFMV)) )) (net (rename aluresult_1_iv_8_17 "aluresult_1_iv_8[17]") (joined (portRef Y (instanceRef r_e_jmpl_RNIBS5V82)) (portRef A (instanceRef r_e_ldbp2_1_RNICG2M94)) )) (net (rename shiftin_17_m_18 "shiftin_17_m[18]") (joined (portRef Y (instanceRef r_e_jmpl_RNIQTBKP)) (portRef A (instanceRef r_e_jmpl_RNIBS5V82)) )) (net (rename aluresult_1_iv_6_17 "aluresult_1_iv_6[17]") (joined (portRef Y (instanceRef r_w_s_tba_RNIU205L_5)) (portRef B (instanceRef r_e_jmpl_RNIBS5V82)) )) (net (rename shiftin_17_m_0_17 "shiftin_17_m_0[17]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJRP5Q_0)) (portRef C (instanceRef r_e_jmpl_RNIBS5V82)) )) (net (rename aluresult_1_iv_4_17 "aluresult_1_iv_4[17]") (joined (portRef Y (instanceRef r_w_s_tba_RNIVDFMD_5)) (portRef A (instanceRef r_w_s_tba_RNIU205L_5)) )) (net (rename aluresult_1_iv_5_17 "aluresult_1_iv_5[17]") (joined (portRef Y (instanceRef r_m_y_RNIVKGE7_17)) (portRef B (instanceRef r_w_s_tba_RNIU205L_5)) )) (net (rename logicout_m_0_17 "logicout_m_0[17]") (joined (portRef Y (instanceRef r_e_aluop_RNI2RN66_0)) (portRef A (instanceRef r_m_y_RNIVKGE7_17)) )) (net (rename y_m_1_17 "y_m_1[17]") (joined (portRef Y (instanceRef r_m_y_RNITPO71_17)) (portRef B (instanceRef r_m_y_RNIVKGE7_17)) )) (net (rename tba_5 "tba[5]") (joined (portRef Q (instanceRef r_w_s_tba_5)) (portRef A (instanceRef r_w_s_tba_RNO_0_5)) (portRef A (instanceRef r_f_pc_RNO_14_17)) (portRef A (instanceRef r_w_s_tba_RNI03GH2_5)) (portRef A (instanceRef r_w_s_tba_RNIVDFMD_5)) )) (net (rename aluresult_1_iv_3_17 "aluresult_1_iv_3[17]") (joined (portRef Y (instanceRef r_x_result_RNI9ON2B_1)) (portRef C (instanceRef r_w_s_tba_RNIVDFMD_5)) )) (net (rename aluresult_1_iv_2_17 "aluresult_1_iv_2[17]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIR9KT6_17)) (portRef C (instanceRef r_x_result_RNI9ON2B_1)) )) (net (rename bpdata_m_17 "bpdata_m[17]") (joined (portRef Y (instanceRef r_x_result_RNIQALU3_17)) (portRef A (instanceRef r_e_ctrl_pc_RNIR9KT6_17)) )) (net (rename aluresult_1_iv_1_17 "aluresult_1_iv_1[17]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI1VUU2_17)) (portRef B (instanceRef r_e_ctrl_pc_RNIR9KT6_17)) )) (net (rename ex_op2_m_17 "ex_op2_m[17]") (joined (portRef Y (instanceRef r_e_op2_RNICEHB1_17)) (portRef A (instanceRef r_e_ctrl_pc_RNI1VUU2_17)) )) (net (rename cpi_m_162 "cpi_m[162]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIQJKL_17)) (portRef C (instanceRef r_e_ctrl_pc_RNI1VUU2_17)) )) (net (rename aluresult_1_iv_7_19 "aluresult_1_iv_7[19]") (joined (portRef Y (instanceRef r_m_y_RNIAIG8C1_19)) (portRef A (instanceRef r_m_y_RNIVD84G4_19)) )) (net (rename aluresult_1_iv_5_19 "aluresult_1_iv_5[19]") (joined (portRef Y (instanceRef r_m_y_RNIMI9V3_19)) (portRef A (instanceRef r_m_y_RNIAIG8C1_19)) )) (net (rename aluresult_1_iv_4_19 "aluresult_1_iv_4[19]") (joined (portRef Y (instanceRef r_w_s_tba_RNIQUGMD_7)) (portRef B (instanceRef r_m_y_RNIAIG8C1_19)) )) (net (rename shiftin_17_m_20 "shiftin_17_m[20]") (joined (portRef Y (instanceRef r_e_jmpl_RNIQ0MIQ)) (portRef C (instanceRef r_m_y_RNIAIG8C1_19)) )) (net (rename y_19 "y[19]") (joined (portRef Q (instanceRef r_m_y_19)) (portRef D (instanceRef r_x_y_19)) (portRef A (instanceRef r_m_y_RNO_1_18)) (portRef A (instanceRef r_m_y_RNO_1_19)) (portRef A (instanceRef r_m_y_RNIMI9V3_19)) )) (net (rename logicout_m_0_19 "logicout_m_0[19]") (joined (portRef Y (instanceRef r_e_alusel_RNINGGN2_0)) (portRef C (instanceRef r_m_y_RNIMI9V3_19)) )) (net (rename tba_7 "tba[7]") (joined (portRef Q (instanceRef r_w_s_tba_7)) (portRef A (instanceRef r_w_s_tba_RNO_0_7)) (portRef A (instanceRef r_w_s_tba_RNI4BGH2_7)) (portRef A (instanceRef r_f_pc_RNO_12_19)) (portRef A (instanceRef r_w_s_tba_RNIQUGMD_7)) )) (net (rename aluresult_1_iv_3_19 "aluresult_1_iv_3[19]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI29P2B_19)) (portRef C (instanceRef r_w_s_tba_RNIQUGMD_7)) )) (net (rename aluresult_1_iv_2_19 "aluresult_1_iv_2[19]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIC2LT6_19)) (portRef C (instanceRef r_e_ctrl_pc_RNI29P2B_19)) )) (net N_13_0 (joined (portRef Y (instanceRef r_x_result_RNIORSC3_19)) (portRef B (instanceRef r_x_result_RNIFHES3_19)) (portRef B (instanceRef r_e_ctrl_pc_RNIC2LT6_19)) )) (net (rename aluresult_1_iv_1_19 "aluresult_1_iv_1[19]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIAFVU2_19)) (portRef C (instanceRef r_e_ctrl_pc_RNIC2LT6_19)) )) (net (rename pc_19 "pc[19]") (joined (portRef Q (instanceRef r_e_ctrl_pc_19)) (portRef D (instanceRef r_m_ctrl_pc_19)) (portRef A (instanceRef ir_addr_RNO_6_19)) (portRef B (instanceRef r_x_ctrl_pc_RNINIIF_19)) (portRef A (instanceRef r_e_ctrl_pc_RNIAFVU2_19)) )) (net (rename aluresult_1_iv_0_19 "aluresult_1_iv_0[19]") (joined (portRef Y (instanceRef r_e_op2_RNIEJA92_19)) (portRef C (instanceRef r_e_ctrl_pc_RNIAFVU2_19)) )) (net (rename op2_RNI8VOP_19 "op2_RNI8VOP[19]") (joined (portRef Y (instanceRef r_e_op2_RNI8VOP_19)) (portRef S (instanceRef r_e_op2_RNIS3BB2_19)) (portRef A (instanceRef r_e_op2_RNIEJA92_19)) )) (net (rename aluresult_1_iv_9_14 "aluresult_1_iv_9[14]") (joined (portRef Y (instanceRef r_e_jmpl_RNIKAFG62)) (portRef A (instanceRef r_e_ldbp2_1_RNINP5NF3)) )) (net (rename shiftin_17_m_15 "shiftin_17_m[15]") (joined (portRef Y (instanceRef r_e_jmpl_RNI636DP)) (portRef A (instanceRef r_e_jmpl_RNIKAFG62)) )) (net (rename aluresult_1_iv_7_14 "aluresult_1_iv_7[14]") (joined (portRef Y (instanceRef r_w_s_tba_RNIEQ40L_2)) (portRef B (instanceRef r_e_jmpl_RNIKAFG62)) )) (net (rename shiftin_17_m_0_14 "shiftin_17_m_0[14]") (joined (portRef Y (instanceRef r_e_jmpl_RNI0D43O_0)) (portRef C (instanceRef r_e_jmpl_RNIKAFG62)) )) (net (rename aluresult_1_iv_4_14 "aluresult_1_iv_4[14]") (joined (portRef Y (instanceRef r_w_s_tba_RNINIQL6_2)) (portRef A (instanceRef r_w_s_tba_RNIEQ40L_2)) )) (net (rename aluresult_1_iv_3_14 "aluresult_1_iv_3[14]") (joined (portRef Y (instanceRef r_x_result_RNIBVH48_14)) (portRef B (instanceRef r_w_s_tba_RNIEQ40L_2)) )) (net (rename aluresult_1_iv_6_14 "aluresult_1_iv_6[14]") (joined (portRef Y (instanceRef r_m_y_RNIC8O56_14)) (portRef C (instanceRef r_w_s_tba_RNIEQ40L_2)) )) (net (rename logicout_m_0_14 "logicout_m_0[14]") (joined (portRef Y (instanceRef r_e_aluop_RNIIQVT4_0)) (portRef A (instanceRef r_m_y_RNIC8O56_14)) )) (net (rename y_m_1_14 "y_m_1[14]") (joined (portRef Y (instanceRef r_m_y_RNIQDO71_14)) (portRef B (instanceRef r_m_y_RNIC8O56_14)) )) (net (rename tba_2 "tba[2]") (joined (portRef Q (instanceRef r_w_s_tba_2)) (portRef A (instanceRef r_w_s_tba_RNO_0_2)) (portRef A (instanceRef r_f_pc_RNO_13_14)) (portRef A (instanceRef r_w_s_tba_RNIQMFH2_2)) (portRef A (instanceRef r_w_s_tba_RNINIQL6_2)) )) (net (rename aluresult_1_iv_2_14 "aluresult_1_iv_2[14]") (joined (portRef Y (instanceRef r_w_s_dwt_RNI4T224)) (portRef C (instanceRef r_w_s_tba_RNINIQL6_2)) )) (net (rename aluresult_1_iv_1_14 "aluresult_1_iv_1[14]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI0VOK2_14)) (portRef C (instanceRef r_w_s_dwt_RNI4T224)) )) (net (rename ex_op2_m_14 "ex_op2_m[14]") (joined (portRef Y (instanceRef r_e_op2_RNIEQB11_14)) (portRef A (instanceRef r_e_ctrl_pc_RNI0VOK2_14)) )) (net (rename cpi_m_159 "cpi_m[159]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIN7KL_14)) (portRef C (instanceRef r_e_ctrl_pc_RNI0VOK2_14)) )) (net (rename logicout_11 "logicout[11]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIR3NV3_0)) (portRef A (instanceRef r_m_y_RNO_2_11)) (portRef A (instanceRef r_m_icc_RNO_19_2)) (portRef A (instanceRef r_m_y_RNIDIKJ5_11)) )) (net (rename y_m_1_11 "y_m_1[11]") (joined (portRef Y (instanceRef r_m_y_RNIN1O71_11)) (portRef C (instanceRef r_m_y_RNIDIKJ5_11)) )) (net (rename bpdata_m_11 "bpdata_m[11]") (joined (portRef Y (instanceRef r_x_result_RNI6V4H4_11)) (portRef B (instanceRef r_w_s_tt_RNI5E9VF_7)) )) (net (rename aluresult_1_iv_4_11 "aluresult_1_iv_4[11]") (joined (portRef Y (instanceRef r_w_s_tt_RNIIVOQ7_7)) (portRef C (instanceRef r_w_s_tt_RNI5E9VF_7)) )) (net (rename tt_7 "tt[7]") (joined (portRef Q (instanceRef r_w_s_tt_7)) (portRef B (instanceRef r_w_s_tt_RNO_0_7)) (portRef B (instanceRef r_x_intack_RNO_0)) (portRef A (instanceRef r_w_s_tt_RNI56TF2_7)) (portRef A (instanceRef r_w_s_tt_RNIIVOQ7_7)) )) (net (rename aluresult_1_iv_2_11 "aluresult_1_iv_2[11]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIHEJ85_11)) (portRef C (instanceRef r_w_s_tt_RNIIVOQ7_7)) )) (net (rename aluresult_1_iv_1_11 "aluresult_1_iv_1[11]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIN6OK2_11)) (portRef A (instanceRef r_e_ctrl_pc_RNIHEJ85_11)) )) (net (rename pil_m_0_3 "pil_m_0[3]") (joined (portRef Y (instanceRef r_w_s_pil_RNIQ7RJ2_3)) (portRef B (instanceRef r_e_ctrl_pc_RNIHEJ85_11)) )) (net (rename pc_11 "pc[11]") (joined (portRef Q (instanceRef r_e_ctrl_pc_11)) (portRef D (instanceRef r_m_ctrl_pc_11)) (portRef A (instanceRef ir_addr_RNO_6_11)) (portRef B (instanceRef r_x_ctrl_pc_RNI7IGF_11)) (portRef A (instanceRef r_e_ctrl_pc_RNIN6OK2_11)) )) (net (rename aluresult_1_iv_0_11 "aluresult_1_iv_0[11]") (joined (portRef Y (instanceRef r_e_op2_RNI3B4V1_11)) (portRef C (instanceRef r_e_ctrl_pc_RNIN6OK2_11)) )) (net (rename op2_RNITMIF_11 "op2_RNITMIF[11]") (joined (portRef Y (instanceRef r_e_op2_RNITMIF_11)) (portRef B (instanceRef r_e_op2_RNIN34T_0_11)) (portRef A (instanceRef r_e_op2_RNIN34T_11)) (portRef A (instanceRef r_e_aluop_0_RNIISVU_2)) (portRef C (instanceRef r_e_aluop_0_RNIHSVU_1)) (portRef A (instanceRef r_e_op2_RNI3B4V1_11)) )) (net ADD_30x30_fast_I283_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I283_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_0_25)) )) (net (rename eres2_iv_1_4 "eres2_iv_1[4]") (joined (portRef Y (instanceRef r_e_op1_RNIVJLG4_4)) (portRef C (instanceRef r_e_op1_RNIKAG962_4)) )) (net (rename eres2_iv_0_4 "eres2_iv_0[4]") (joined (portRef Y (instanceRef r_e_op1_RNI9AJF2_4)) (portRef C (instanceRef r_e_op1_RNIVJLG4_4)) )) (net (rename op1_4 "op1[4]") (joined (portRef Q (instanceRef r_e_op1_4)) (portRef A (instanceRef r_e_op1_RNIAFB4_4)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I5_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I5_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_G0N)) (portRef A (instanceRef r_e_op1_RNIF1UB_4)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_P0Ntt_m1_0_a2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_0_0)) (portRef A (instanceRef r_e_op1_RNI9AJF2_4)) )) (net (rename dbgi_m_9 "dbgi_m[9]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIQ8L32)) (portRef C (instanceRef r_e_op1_RNI9AJF2_4)) )) (net ADD_30x30_fast_I275_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I275_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_0_17)) )) (net (rename inst_0_15 "inst_0[15]") (joined (portRef Q (instanceRef r_d_inst_0_15)) (portRef B (instanceRef r_d_inst_0_RNO_0_15)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I15_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I15_P0N)) (portRef A (instanceRef r_a_imm_RNO_25)) (portRef B (instanceRef r_d_inst_0_RNI1DOH_15)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I275_Y_0_0)) )) (net (rename dpc_17 "dpc[17]") (joined (portRef Q (instanceRef r_d_pc_17)) (portRef B (instanceRef un6_fe_npc_2_I_91)) (portRef C (instanceRef un6_fe_npc_2_I_97)) (portRef A (instanceRef un6_fe_npc_2_I_101)) (portRef A (instanceRef un6_fe_npc_2_I_108)) (portRef D (instanceRef r_a_ctrl_pc_17)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I15_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I15_P0N)) (portRef B (instanceRef r_d_pc_RNO_17)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I275_Y_0_0)) )) (net ADD_30x30_fast_I286_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I286_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_0_28)) )) (net (rename dpc_28 "dpc[28]") (joined (portRef Q (instanceRef r_d_pc_28)) (portRef B (instanceRef un6_fe_npc_2_I_186)) (portRef C (instanceRef un6_fe_npc_2_I_189)) (portRef D (instanceRef r_a_ctrl_pc_28)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I26_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I34_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I286_Y_0_0)) )) (net N_153 (joined (portRef Y (instanceRef r_d_inst_0_RNI7AJ4_26)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I26_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I34_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I286_Y_0_0)) )) (net (rename rdata_9_m_0_8 "rdata_9_m_0[8]") (joined (portRef Y (instanceRef r_x_dci_signed_RNIFQ8RE)) (portRef A (instanceRef r_x_dci_signed_RNI9N1F61)) )) (net N_283 (joined (portRef Y (instanceRef r_x_laddr_RNIQLJH3_0)) (portRef A (instanceRef r_x_dci_size_RNI9I47B_0_0)) (portRef A (instanceRef r_x_dci_size_RNI9I47B_0)) (portRef B (instanceRef r_x_laddr_RNIM9DCI_1_0)) (portRef A (instanceRef r_x_laddr_RNIM9DCI_0)) (portRef C (instanceRef r_x_laddr_RNIM9DCI_0_0)) (portRef A (instanceRef r_x_dci_signed_RNIFQ8RE)) )) (net rdata199 (joined (portRef Y (instanceRef r_x_dci_size_RNIFSGL7_0_0)) (portRef A (instanceRef r_x_laddr_RNIM9DCI_1_0)) (portRef B (instanceRef r_x_laddr_RNIM9DCI_0)) (portRef A (instanceRef r_x_laddr_RNIM9DCI_0_0)) (portRef B (instanceRef r_x_dci_signed_RNIFQ8RE)) )) (net N_282 (joined (portRef Y (instanceRef r_x_dci_signed_RNI684K3)) (portRef A (instanceRef r_x_dci_signed_RNIRLO5K)) (portRef C (instanceRef r_x_dci_signed_RNIOJ38M)) (portRef A (instanceRef r_x_dci_signed_RNIDCC371)) (portRef C (instanceRef r_x_dci_signed_RNIFQ8RE)) )) (net (rename aluresult_1_iv_8_18 "aluresult_1_iv_8[18]") (joined (portRef Y (instanceRef r_e_jmpl_RNIUA1582)) (portRef A (instanceRef r_e_ldbp2_2_RNI4N7NI4)) )) (net (rename shiftin_17_m_19 "shiftin_17_m[19]") (joined (portRef Y (instanceRef r_e_jmpl_RNI0KDUQ)) (portRef A (instanceRef r_e_jmpl_RNIUA1582)) )) (net (rename aluresult_1_iv_6_18 "aluresult_1_iv_6[18]") (joined (portRef Y (instanceRef r_w_s_tba_RNI4P7IJ_6)) (portRef B (instanceRef r_e_jmpl_RNIUA1582)) )) (net (rename shiftin_17_m_0_18 "shiftin_17_m_0[18]") (joined (portRef Y (instanceRef r_e_jmpl_RNIQTBKP_0)) (portRef C (instanceRef r_e_jmpl_RNIUA1582)) )) (net (rename aluresult_1_iv_4_18 "aluresult_1_iv_4[18]") (joined (portRef Y (instanceRef r_w_s_tba_RNIKUACD_6)) (portRef A (instanceRef r_w_s_tba_RNI4P7IJ_6)) )) (net (rename aluresult_1_iv_5_18 "aluresult_1_iv_5[18]") (joined (portRef Y (instanceRef r_m_y_RNIGQS56_18)) (portRef B (instanceRef r_w_s_tba_RNI4P7IJ_6)) )) (net (rename logicout_m_0_18 "logicout_m_0[18]") (joined (portRef Y (instanceRef r_e_aluop_RNIIS3U4_0)) (portRef A (instanceRef r_m_y_RNIGQS56_18)) )) (net (rename y_m_1_18 "y_m_1[18]") (joined (portRef Y (instanceRef r_m_y_RNIUTO71_18)) (portRef B (instanceRef r_m_y_RNIGQS56_18)) )) (net (rename tba_6 "tba[6]") (joined (portRef Q (instanceRef r_w_s_tba_6)) (portRef A (instanceRef r_f_pc_RNO_13_18)) (portRef A (instanceRef r_w_s_tba_RNO_0_6)) (portRef A (instanceRef r_w_s_tba_RNI27GH2_6)) (portRef A (instanceRef r_w_s_tba_RNIKUACD_6)) )) (net (rename aluresult_1_iv_3_18 "aluresult_1_iv_3[18]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIT8JOA_18)) (portRef C (instanceRef r_w_s_tba_RNIKUACD_6)) )) (net (rename bpdata_2 "bpdata[2]") (joined (portRef Y (instanceRef r_x_result_RNIVBI13_2)) (portRef A (instanceRef r_x_result_RNISHSM4_2)) (portRef A (instanceRef r_x_result_RNI93BJ3_2)) (portRef B (instanceRef r_x_result_RNIM14H3_2)) (portRef A (instanceRef r_x_result_RNI3D7G4_2)) (portRef B (instanceRef r_x_result_RNICNL04_2)) (portRef A (instanceRef r_e_ctrl_pc_RNIT8JOA_18)) )) (net (rename aluresult_1_iv_2_18 "aluresult_1_iv_2[18]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIBEFJ6_18)) (portRef C (instanceRef r_e_ctrl_pc_RNIT8JOA_18)) )) (net (rename aluresult_1_iv_0_18 "aluresult_1_iv_0[18]") (joined (portRef Y (instanceRef r_e_op2_RNII75V1_18)) (portRef A (instanceRef r_e_ctrl_pc_RNIBEFJ6_18)) )) (net (rename cpi_m_163 "cpi_m[163]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIRNKL_18)) (portRef B (instanceRef r_e_ctrl_pc_RNIBEFJ6_18)) )) (net (rename result_RNIUELU3_18 "result_RNIUELU3[18]") (joined (portRef Y (instanceRef r_x_result_RNIUELU3_18)) (portRef C (instanceRef r_e_ctrl_pc_RNIBEFJ6_18)) )) (net (rename op2_RNIBJJF_18 "op2_RNIBJJF[18]") (joined (portRef Y (instanceRef r_e_op2_RNIBJJF_18)) (portRef B (instanceRef r_e_op2_RNIJS5T_0_18)) (portRef A (instanceRef r_e_op2_RNIJS5T_18)) (portRef A (instanceRef r_e_aluop_RNI7O151_2)) (portRef C (instanceRef r_e_aluop_1_RNIEL1V_1)) (portRef A (instanceRef r_e_op2_RNII75V1_18)) )) (net aluresult_8_sqmuxa_i (joined (portRef Y (instanceRef r_e_aluop_1_RNISSOT_1)) (portRef A (instanceRef r_e_aluop_1_RNI62LB3_1)) (portRef A (instanceRef r_w_s_wim_RNIR4KH3_0)) (portRef C (instanceRef r_w_s_wim_RNIS4KH3_1)) (portRef C (instanceRef r_w_s_wim_RNIU4KH3_3)) (portRef C (instanceRef r_w_s_wim_RNIT4KH3_2)) (portRef C (instanceRef r_e_aluop_RNI05KH3_1)) (portRef B (instanceRef r_w_s_wim_RNIFTUJ4_4)) (portRef C (instanceRef r_w_s_wim_RNI15KH3_6)) (portRef A (instanceRef r_e_aluop_1_RNIQE992_1)) (portRef C (instanceRef r_e_op2_RNI8J4V1_13)) (portRef C (instanceRef r_e_op2_RNITDBQ1_7)) (portRef C (instanceRef r_e_op2_RNIU1VL1_9)) (portRef C (instanceRef r_e_op2_RNII75V1_18)) )) (net ADD_30x30_fast_I268_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I268_Y_0_0)) (portRef B (instanceRef r_x_rstate_0_RNITFU3L1_1)) (portRef B (instanceRef r_f_pc_RNO_5_10)) )) (net (rename inst_0_8 "inst_0[8]") (joined (portRef Q (instanceRef r_d_inst_0_8)) (portRef D (instanceRef r_a_ctrl_inst_8)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I8_P0N)) (portRef A (instanceRef r_a_imm_RNO_8)) (portRef A (instanceRef r_a_imm_RNO_18)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I8_G0N)) (portRef B (instanceRef r_d_inst_0_RNO_0_8)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I268_Y_0_0)) )) (net (rename eres2_iv_1_5 "eres2_iv_1[5]") (joined (portRef Y (instanceRef r_e_op1_RNI2OLG4_5)) (portRef A (instanceRef r_e_op1_RNI9MEP82_5)) )) (net (rename ddata_5 "ddata[5]") (joined (portRef (member ddata 26)) (portRef B (instanceRef dsur_asi_RNO_0_5)) (portRef B (instanceRef r_x_rstate_RNIOHV53_0)) (portRef B (instanceRef r_x_rstate_RNIVGMI2_0)) (portRef B (instanceRef r_w_s_wim_RNI8ABC01_5)) (portRef B (instanceRef r_w_s_tt_RNO_1)) (portRef B (instanceRef r_w_s_y_RNO_2_5)) (portRef A (instanceRef r_x_rstate_RNIHQKB_0)) (portRef B (instanceRef r_e_op1_RNI2OLG4_5)) (portRef B (instanceRef ir_addr_RNO_1_5)) )) (net (rename eres2_iv_0_5 "eres2_iv_0[5]") (joined (portRef Y (instanceRef r_e_op1_RNIBEJF2_5)) (portRef C (instanceRef r_e_op1_RNI2OLG4_5)) )) (net (rename op1_5 "op1[5]") (joined (portRef Q (instanceRef r_e_op1_5)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I6_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I6_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I6_G0N)) (portRef A (instanceRef r_e_op1_RNICJB4_5)) (portRef A (instanceRef r_e_op1_RNIG1UB_5)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Ytt_m3_i_a4)) (portRef A (instanceRef r_e_op1_RNIBEJF2_5)) )) (net (rename cpi_m_390 "cpi_m[390]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIRCL32)) (portRef C (instanceRef r_e_op1_RNIBEJF2_5)) )) (net iflush_2 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI3Q1S_19)) (portRef A (instanceRef r_m_ctrl_inst_RNI9DP4G_21)) )) (net iflush_1 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI4D1E_19)) (portRef B (instanceRef comb_mem_trap_trap54_RNO_2)) (portRef A (instanceRef r_m_ctrl_inst_RNI3Q1S_19)) )) (net trap63 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNIVC0E_0_30)) (portRef B (instanceRef trap_0_sqmuxa_4_RNIIM0F5)) (portRef C (instanceRef trap_0_sqmuxa_RNI0MIV2)) (portRef C (instanceRef r_m_irqen_RNO_0)) (portRef C (instanceRef r_x_ctrl_tt_RNO_3_3)) (portRef B (instanceRef r_m_ctrl_inst_RNI3Q1S_19)) )) (net iflush_1_0 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI2Q1S_21)) (portRef B (instanceRef r_m_ctrl_inst_RNI9DP4G_21)) )) (net (rename inst_24 "inst[24]") (joined (portRef Q (instanceRef r_m_ctrl_inst_24)) (portRef D (instanceRef r_x_ctrl_inst_24)) (portRef A (instanceRef r_m_ctrl_inst_RNI0P0E_20)) (portRef A (instanceRef r_m_ctrl_inst_RNI5S3O1_24)) (portRef C (instanceRef comb_mem_trap_trap54_RNO_2)) (portRef B (instanceRef comb_mem_trap_trap54_RNO_1)) (portRef C (instanceRef r_m_ctrl_inst_RNIO92L_19)) (portRef B (instanceRef comb_mem_trap_trap54_RNO_3)) (portRef B (instanceRef r_m_icc_RNILOP8_1)) (portRef B (instanceRef r_m_ctrl_inst_RNI8T1E_19)) (portRef A (instanceRef r_m_ctrl_inst_RNI2Q1S_21)) )) (net trap_0_sqmuxa_1_1 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI1T0E_23)) (portRef A (instanceRef r_m_nalign_RNI0UR41)) (portRef B (instanceRef r_m_ctrl_inst_RNI2Q1S_21)) )) (net (rename inst_1_21 "inst_1[21]") (joined (portRef Q (instanceRef r_m_ctrl_inst_21)) (portRef D (instanceRef r_x_ctrl_inst_21)) (portRef B (instanceRef r_m_ctrl_inst_RNIVK0E_0_21)) (portRef B (instanceRef r_m_ctrl_inst_RNIVK0E_21)) (portRef C (instanceRef r_m_ctrl_inst_RNILM231_21)) (portRef B (instanceRef r_m_nalign_RNIV7Q8)) (portRef C (instanceRef r_m_ctrl_inst_RNI2Q1S_21)) )) (net (rename aluresult_1_iv_8_9 "aluresult_1_iv_8[9]") (joined (portRef Y (instanceRef r_m_y_RNI6LUJ91_9)) (portRef A (instanceRef r_m_y_RNIEAKBF2_9)) )) (net (rename aluresult_1_iv_6_9 "aluresult_1_iv_6[9]") (joined (portRef Y (instanceRef r_m_y_RNI0K6U3_9)) (portRef A (instanceRef r_m_y_RNI6LUJ91_9)) )) (net (rename aluresult_1_iv_5_9 "aluresult_1_iv_5[9]") (joined (portRef Y (instanceRef r_w_s_tt_RNIJFH9F_5)) (portRef B (instanceRef r_m_y_RNI6LUJ91_9)) )) (net (rename shiftin_17_m_10 "shiftin_17_m[10]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJH6CM)) (portRef C (instanceRef r_m_y_RNI6LUJ91_9)) )) (net (rename y_9 "y[9]") (joined (portRef Q (instanceRef r_m_y_9)) (portRef D (instanceRef r_x_y_9)) (portRef A (instanceRef r_m_y_RNO_1_8)) (portRef A (instanceRef r_m_y_RNO_2_9)) (portRef A (instanceRef r_m_y_RNI0K6U3_9)) )) (net (rename logicout_m_0_9 "logicout_m_0[9]") (joined (portRef Y (instanceRef r_e_aluop_RNIIFIK2_0)) (portRef C (instanceRef r_m_y_RNI0K6U3_9)) )) (net (rename aluresult_1_iv_2_9 "aluresult_1_iv_2[9]") (joined (portRef Y (instanceRef r_w_s_pil_RNI10RU4_1)) (portRef A (instanceRef r_w_s_tt_RNIJFH9F_5)) )) (net (rename tt_m_0_5 "tt_m_0[5]") (joined (portRef Y (instanceRef r_w_s_tt_RNIV85I2_5)) (portRef B (instanceRef r_w_s_tt_RNIJFH9F_5)) )) (net (rename pil_1 "pil[1]") (joined (portRef Q (instanceRef r_w_s_pil_1)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_2)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_3)) (portRef B (instanceRef r_w_s_pil_RNO_0_1)) (portRef A (instanceRef r_w_s_pil_RNI3II81_1)) (portRef A (instanceRef r_w_s_pil_RNI10RU4_1)) )) (net N_116_2 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIA5SD2_23)) (portRef B (instanceRef r_w_s_pil_RNIN7RJ2_0)) (portRef B (instanceRef r_m_icc_RNIC9LF2_0)) (portRef B (instanceRef r_m_icc_RNID9LF2_1)) (portRef B (instanceRef r_e_cwp_RNI0FVF2_1)) (portRef B (instanceRef r_w_s_pil_RNIQ7RJ2_3)) (portRef B (instanceRef r_m_icc_RNIE9LF2_2)) (portRef B (instanceRef r_e_su_RNIFD4H2)) (portRef B (instanceRef r_e_cwp_RNI1FVF2_2)) (portRef B (instanceRef r_m_icc_RNIF9LF2_3)) (portRef B (instanceRef r_e_aluop_1_RNI62LB3_1)) (portRef B (instanceRef r_e_cwp_RNIVEVF2_0)) (portRef B (instanceRef r_w_s_pil_RNIP7RJ2_2)) (portRef B (instanceRef r_w_s_ps_RNILJUO7)) (portRef B (instanceRef r_w_s_pil_RNI10RU4_1)) )) (net (rename aluresult_1_iv_1_9 "aluresult_1_iv_1[9]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI9OVA2_9)) (portRef C (instanceRef r_w_s_pil_RNI10RU4_1)) )) (net (rename pc_9 "pc[9]") (joined (portRef Q (instanceRef r_e_ctrl_pc_9)) (portRef D (instanceRef r_m_ctrl_pc_9)) (portRef A (instanceRef ir_addr_RNO_6_9)) (portRef B (instanceRef r_x_ctrl_pc_RNIL7AE_9)) (portRef A (instanceRef r_e_ctrl_pc_RNI9OVA2_9)) )) (net (rename aluresult_1_iv_0_9 "aluresult_1_iv_0[9]") (joined (portRef Y (instanceRef r_e_op2_RNIU1VL1_9)) (portRef C (instanceRef r_e_ctrl_pc_RNI9OVA2_9)) )) (net (rename op2_RNINDD6_9 "op2_RNINDD6[9]") (joined (portRef Y (instanceRef r_e_op2_RNINDD6_9)) (portRef B (instanceRef r_e_op2_RNIBHPA_0_9)) (portRef A (instanceRef r_e_op2_RNIBHPA_9)) (portRef A (instanceRef r_e_aluop_RNIVCLI_2)) (portRef A (instanceRef r_e_aluop_1_RNI6ALC_1)) (portRef A (instanceRef r_e_op2_RNIU1VL1_9)) )) (net (rename aluresult_1_iv_7_7 "aluresult_1_iv_7[7]") (joined (portRef Y (instanceRef r_m_y_RNII9OC61_7)) (portRef A (instanceRef r_e_jmpl_RNI249D62)) )) (net (rename aluresult_1_iv_5_7 "aluresult_1_iv_5[7]") (joined (portRef Y (instanceRef r_m_y_RNI1TQV5_7)) (portRef A (instanceRef r_m_y_RNII9OC61_7)) )) (net (rename aluresult_1_iv_4_7 "aluresult_1_iv_4[7]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIBJAPA_7)) (portRef B (instanceRef r_m_y_RNII9OC61_7)) )) (net (rename shiftin_17_m_8 "shiftin_17_m[8]") (joined (portRef Y (instanceRef r_e_jmpl_RNI6PIJL)) (portRef C (instanceRef r_m_y_RNII9OC61_7)) )) (net (rename bpdata_m_7 "bpdata_m[7]") (joined (portRef Y (instanceRef r_x_result_RNILO6M4_7)) (portRef A (instanceRef r_m_y_RNI1TQV5_7)) )) (net (rename y_m_1_7 "y_m_1[7]") (joined (portRef Y (instanceRef r_m_y_RNIC4K91_7)) (portRef B (instanceRef r_m_y_RNI1TQV5_7)) )) (net (rename aluresult_1_iv_2_7 "aluresult_1_iv_2[7]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNILHG05_7)) (portRef A (instanceRef r_e_ctrl_pc_RNIBJAPA_7)) )) (net (rename aluresult_1_iv_3_7 "aluresult_1_iv_3[7]") (joined (portRef Y (instanceRef r_w_s_tt_RNIM1QO5_3)) (portRef B (instanceRef r_e_ctrl_pc_RNIBJAPA_7)) )) (net N_8144 (joined (portRef Y (instanceRef r_e_aluop_0_RNIUJFQ2_0)) (portRef C (instanceRef r_m_icc_RNO_20_2)) (portRef B (instanceRef r_w_s_tt_RNIM1QO5_3)) )) (net (rename tt_m_0_3 "tt_m_0[3]") (joined (portRef Y (instanceRef r_w_s_tt_RNIT05I2_3)) (portRef C (instanceRef r_w_s_tt_RNIM1QO5_3)) )) (net (rename aluresult_1_iv_0_7 "aluresult_1_iv_0[7]") (joined (portRef Y (instanceRef r_e_op2_RNITDBQ1_7)) (portRef A (instanceRef r_e_ctrl_pc_RNILHG05_7)) )) (net (rename cpi_m_152 "cpi_m[152]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI9M0L_7)) (portRef B (instanceRef r_e_ctrl_pc_RNILHG05_7)) )) (net su_m (joined (portRef Y (instanceRef r_e_su_RNIFD4H2)) (portRef C (instanceRef r_e_ctrl_pc_RNILHG05_7)) )) (net (rename op2_RNIMPPA_7 "op2_RNIMPPA[7]") (joined (portRef Y (instanceRef r_e_op2_RNIMPPA_7)) (portRef B (instanceRef r_e_aluop_RNITGHQ_1)) (portRef A (instanceRef r_e_aluop_RNI9LLI_1)) (portRef B (instanceRef r_e_aluop_RNITGHQ_0_1)) (portRef C (instanceRef r_m_y_RNO_3_7)) (portRef B (instanceRef r_e_aluop_0_RNIBBHE_1)) (portRef A (instanceRef r_e_op2_RNITDBQ1_7)) )) (net (rename aluresult_1_iv_7_13 "aluresult_1_iv_7[13]") (joined (portRef Y (instanceRef r_m_y_RNI0H59B1_13)) (portRef A (instanceRef r_e_jmpl_RNI696L43)) )) (net (rename aluresult_1_iv_5_13 "aluresult_1_iv_5[13]") (joined (portRef Y (instanceRef r_m_y_RNI8UMP5_13)) (portRef A (instanceRef r_m_y_RNI0H59B1_13)) )) (net (rename aluresult_1_iv_4_13 "aluresult_1_iv_4[13]") (joined (portRef Y (instanceRef r_w_s_tba_RNIO5ACD_1)) (portRef B (instanceRef r_m_y_RNI0H59B1_13)) )) (net (rename shiftin_17_m_14 "shiftin_17_m[14]") (joined (portRef Y (instanceRef r_e_jmpl_RNI0D43O)) (portRef C (instanceRef r_m_y_RNI0H59B1_13)) )) (net (rename logicout_13 "logicout[13]") (joined (portRef Y (instanceRef r_e_aluop_RNIK7P54_0)) (portRef A (instanceRef r_m_y_RNO_2_13)) (portRef A (instanceRef r_m_icc_RNO_23_2)) (portRef A (instanceRef r_m_y_RNI8UMP5_13)) )) (net (rename y_m_1_13 "y_m_1[13]") (joined (portRef Y (instanceRef r_m_y_RNIP9O71_13)) (portRef C (instanceRef r_m_y_RNI8UMP5_13)) )) (net (rename tba_m_1_1 "tba_m_1[1]") (joined (portRef Y (instanceRef r_w_s_tba_RNIILNJ2_1)) (portRef A (instanceRef r_w_s_tba_RNIO5ACD_1)) )) (net (rename aluresult_1_iv_2_13 "aluresult_1_iv_2[13]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNICUT57_13)) (portRef C (instanceRef r_w_s_tba_RNIO5ACD_1)) )) (net (rename aluresult_1_iv_1_13 "aluresult_1_iv_1[13]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIUMOK2_13)) (portRef C (instanceRef r_e_ctrl_pc_RNICUT57_13)) )) (net (rename cpi_m_158 "cpi_m[158]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIM3KL_13)) (portRef A (instanceRef r_e_ctrl_pc_RNIUMOK2_13)) )) (net (rename aluresult_1_iv_0_13 "aluresult_1_iv_0[13]") (joined (portRef Y (instanceRef r_e_op2_RNI8J4V1_13)) (portRef B (instanceRef r_e_ctrl_pc_RNIUMOK2_13)) )) (net (rename op2_RNI1VIF_13 "op2_RNI1VIF[13]") (joined (portRef Y (instanceRef r_e_op2_RNI1VIF_13)) (portRef B (instanceRef r_e_op2_RNIVJ4T_0_13)) (portRef A (instanceRef r_e_op2_RNIVJ4T_13)) (portRef A (instanceRef r_e_aluop_0_RNIQC0V_2)) (portRef A (instanceRef r_e_aluop_0_RNIPC0V_1)) (portRef A (instanceRef r_e_op2_RNI8J4V1_13)) )) (net aluresult_7_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_0_RNIBNOH_2)) (portRef B (instanceRef r_e_op2_RNIEQB11_14)) (portRef B (instanceRef r_e_op2_RNI9UGB1_22)) (portRef B (instanceRef r_e_op2_RNIAEB11_20)) (portRef B (instanceRef r_e_op2_RNIISA21_5)) (portRef B (instanceRef r_e_op2_RNIACA21_1)) (portRef B (instanceRef r_e_op2_RNI5QGB1_21)) (portRef B (instanceRef r_e_op2_RNIGOA21_4)) (portRef B (instanceRef r_e_op2_RNICGA21_2)) (portRef B (instanceRef r_e_op2_RNISIC11_29)) (portRef B (instanceRef r_e_op2_RNICEHB1_17)) (portRef B (instanceRef r_e_op2_RNIG05O_0)) (portRef B (instanceRef r_e_op2_RNIUHGB1_10)) (portRef B (instanceRef r_e_op2_RNIDAHB1_16)) (portRef B (instanceRef r_e_op2_RNIFKA21_3)) (portRef B (instanceRef r_w_s_wim_RNIM5VJ4_6)) (portRef B (instanceRef r_e_op2_RNI8J4V1_13)) )) (net ADD_30x30_fast_I274_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I274_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_7_16)) )) (net (rename dpc_16 "dpc[16]") (joined (portRef Q (instanceRef r_d_pc_16)) (portRef B (instanceRef un6_fe_npc_2_I_84)) (portRef C (instanceRef un6_fe_npc_2_I_87)) (portRef D (instanceRef r_a_ctrl_pc_16)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I14_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I14_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I58_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I274_Y_0_0)) )) (net (rename inst_0_14 "inst_0[14]") (joined (portRef Q (instanceRef r_d_inst_0_14)) (portRef D (instanceRef r_a_ctrl_inst_14)) (portRef B (instanceRef r_d_inst_0_RNO_0_14)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I14_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I14_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I58_Y)) (portRef B (instanceRef r_d_inst_0_RNIRA7G_14)) (portRef A (instanceRef r_a_imm_RNO_24)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I274_Y_0_0)) )) (net tt_2_sqmuxa_1_0 (joined (portRef Y (instanceRef trap_0_sqmuxa_4_RNIPC5HT)) (portRef A (instanceRef r_m_ctrl_trap_RNIT5RTB1)) )) (net un1_trap_1_sqmuxa (joined (portRef Y (instanceRef trap_0_sqmuxa_4_RNIIM0F5)) (portRef A (instanceRef trap_0_sqmuxa_4_RNIPC5HT)) )) (net (rename aluresult_1_iv_9_10 "aluresult_1_iv_9[10]") (joined (portRef Y (instanceRef r_e_jmpl_RNIGK3D52)) (portRef A (instanceRef r_e_ldbp2_2_RNIDQS4O2)) )) (net (rename shiftin_17_m_11 "shiftin_17_m[11]") (joined (portRef Y (instanceRef r_e_jmpl_RNIBIURN)) (portRef A (instanceRef r_e_jmpl_RNIGK3D52)) )) (net (rename aluresult_1_iv_7_10 "aluresult_1_iv_7[10]") (joined (portRef Y (instanceRef r_w_s_tt_RNIIGU4N_6)) (portRef B (instanceRef r_e_jmpl_RNIGK3D52)) )) (net (rename shiftin_17_m_0_10 "shiftin_17_m_0[10]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJH6CM_0)) (portRef C (instanceRef r_e_jmpl_RNIGK3D52)) )) (net (rename aluresult_1_iv_4_10 "aluresult_1_iv_4[10]") (joined (portRef Y (instanceRef r_w_s_tt_RNI6RT48_6)) (portRef A (instanceRef r_w_s_tt_RNIIGU4N_6)) )) (net (rename aluresult_1_iv_3_10 "aluresult_1_iv_3[10]") (joined (portRef Y (instanceRef r_x_result_RNIG8O38_10)) (portRef B (instanceRef r_w_s_tt_RNIIGU4N_6)) )) (net (rename aluresult_1_iv_6_10 "aluresult_1_iv_6[10]") (joined (portRef Y (instanceRef r_m_y_RNISC8S6_10)) (portRef C (instanceRef r_w_s_tt_RNIIGU4N_6)) )) (net (rename logicout_m_0_10 "logicout_m_0[10]") (joined (portRef Y (instanceRef r_e_aluop_0_RNI6FGK5_0)) (portRef A (instanceRef r_m_y_RNISC8S6_10)) )) (net (rename y_m_1_10 "y_m_1[10]") (joined (portRef Y (instanceRef r_m_y_RNIMTN71_10)) (portRef B (instanceRef r_m_y_RNISC8S6_10)) )) (net (rename tt_6 "tt[6]") (joined (portRef Q (instanceRef r_w_s_tt_6)) (portRef B (instanceRef r_w_s_tt_RNO_0_6)) (portRef A (instanceRef r_x_intack_RNO_0)) (portRef A (instanceRef r_w_s_tt_RNI3USF2_6)) (portRef A (instanceRef r_w_s_tt_RNI6RT48_6)) )) (net (rename aluresult_1_iv_2_10 "aluresult_1_iv_2[10]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI6EOI5_10)) (portRef C (instanceRef r_w_s_tt_RNI6RT48_6)) )) (net (rename aluresult_1_iv_1_10 "aluresult_1_iv_1[10]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNID6TU2_10)) (portRef A (instanceRef r_e_ctrl_pc_RNI6EOI5_10)) )) (net (rename pil_m_0_2 "pil_m_0[2]") (joined (portRef Y (instanceRef r_w_s_pil_RNIP7RJ2_2)) (portRef B (instanceRef r_e_ctrl_pc_RNI6EOI5_10)) )) (net (rename pc_0_10 "pc_0[10]") (joined (portRef Q (instanceRef r_e_ctrl_pc_10)) (portRef D (instanceRef r_m_ctrl_pc_10)) (portRef B (instanceRef r_x_ctrl_pc_RNI4AGF_10)) (portRef A (instanceRef ir_addr_RNO_6_10)) (portRef A (instanceRef r_e_ctrl_pc_RNID6TU2_10)) )) (net (rename aluresult_1_iv_0_10 "aluresult_1_iv_0[10]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIQE992_1)) (portRef C (instanceRef r_e_ctrl_pc_RNID6TU2_10)) )) (net (rename ex_op2_m_10 "ex_op2_m[10]") (joined (portRef Y (instanceRef r_e_op2_RNIUHGB1_10)) (portRef B (instanceRef r_e_aluop_1_RNIQE992_1)) )) (net ADD_30x30_fast_I234_Y_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_Y_1)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_Y)) )) (net I90_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I90_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_Y_1)) )) (net I144_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I144_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_Y_1)) )) (net ADD_33x33_fast_I322_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I322_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I322_Y_0)) )) (net (rename op2_31 "op2[31]") (joined (portRef Q (instanceRef r_e_op2_31)) (portRef A (instanceRef r_e_op2_RNIT6OP_31)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I322_Y_0_0)) )) (net (rename op1_RNI2LHD_31 "op1_RNI2LHD[31]") (joined (portRef Y (instanceRef r_e_op1_RNI2LHD_31)) (portRef B (instanceRef r_e_op2_RNIVR971_31)) (portRef C (instanceRef r_e_aluop_RNIJN5F1_2)) (portRef B (instanceRef r_e_op2_RNIDVK494_0_31)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I322_Y_0_1)) (portRef A (instanceRef r_e_op2_RNIVR971_0_31)) (portRef A (instanceRef r_x_result_RNICJSC3_31)) (portRef B (instanceRef r_e_shleft_1_RNI6LOD1)) (portRef B (instanceRef r_e_op1_RNI6FEO1_31)) (portRef B (instanceRef r_e_op2_RNIDVK494_31)) (portRef A (instanceRef r_e_shleft_0_RNISVFP)) (portRef A (instanceRef r_e_aluop_0_RNIPK591_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I322_Y_0_0)) )) (net icc_2_sqmuxa_0 (joined (portRef Y (instanceRef r_x_ctrl_wicc_RNIIE1U1)) (portRef B (instanceRef r_x_ctrl_wicc_RNIF3E1U)) )) (net wicc (joined (portRef Q (instanceRef r_x_ctrl_wicc)) (portRef S (instanceRef r_x_icc_RNIBSID_1)) (portRef S (instanceRef r_m_icc_RNO_1_0)) (portRef S (instanceRef r_x_icc_RNIFSID_3)) (portRef B (instanceRef r_x_ctrl_wicc_RNIIE1U1_0)) (portRef S (instanceRef r_m_icc_RNO_2_2)) (portRef A (instanceRef r_x_ctrl_wicc_RNIICA9S)) (portRef A (instanceRef r_x_ctrl_wicc_RNIIE1U1)) )) (net cwp_1_sqmuxa (joined (portRef Y (instanceRef r_x_ctrl_inst_RNITM3O1_30)) (portRef A (instanceRef r_x_ctrl_inst_RNII5CET_30)) (portRef B (instanceRef r_x_rstate_RNIL6RNT_0)) (portRef A (instanceRef r_x_ctrl_wicc_RNIIE1U1_0)) (portRef B (instanceRef r_x_ctrl_wicc_RNIIE1U1)) )) (net npc_1081_e_0 (joined (portRef Y (instanceRef r_x_ctrl_annul_RNIBK78)) (portRef A (instanceRef r_x_ctrl_annul_RNIFF19S)) )) (net annul_2 (joined (portRef Q (instanceRef r_x_ctrl_annul)) (portRef B (instanceRef r_m_dci_asi_RNO_2_0)) (portRef C (instanceRef r_x_ctrl_trap_RNI2ROB_0)) (portRef C (instanceRef r_x_ctrl_trap_RNI2ROB)) (portRef B (instanceRef r_x_ctrl_wreg_RNI1S09)) (portRef A (instanceRef r_x_ctrl_annul_RNIBK78)) )) (net I140_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I140_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y_3)) )) (net I190_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I190_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_Y_3)) )) (net ADD_30x30_fast_I238_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_Y)) )) (net N526 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I106_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I160_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_Y_0)) )) (net N519 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I99_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I153_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I145_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_Y_0)) )) (net N518 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I98_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I144_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_Y_0)) )) (net ADD_30x30_fast_I235_Y_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y_1)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y)) )) (net N520 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I100_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_Y_1)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y_1)) )) (net N513 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I93_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I147_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y_1)) )) (net ADD_30x30_fast_I235_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y_1)) )) (net I92_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I92_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y_0)) )) (net N453 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I36_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_1)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y_0)) )) (net ldbp2_i_i_a2_1 (joined (portRef Y (instanceRef r_m_ctrl_ld_RNI5U3C)) (portRef A (instanceRef r_m_ctrl_ld_RNIRO6O6)) )) (net tt_3_sqmuxa_1 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_2_3)) (portRef B (instanceRef r_x_ctrl_tt_RNO_0_3)) )) (net trap (joined (portRef Q (instanceRef r_m_ctrl_trap)) (portRef B (instanceRef r_m_ctrl_trap_RNIA8BK7)) (portRef A (instanceRef r_m_ctrl_trap_RNIQ4H9)) (portRef B (instanceRef r_m_ctrl_annul_RNI7RU8)) (portRef C (instanceRef r_m_ctrl_trap_RNI81J17)) (portRef A (instanceRef r_m_ctrl_trap_RNI6EJA)) (portRef C (instanceRef r_m_ctrl_trap_RNIGF7T)) (portRef C (instanceRef r_m_ctrl_trap_RNI4PLCE)) (portRef A (instanceRef r_m_ctrl_pv_RNIJQBC)) (portRef B (instanceRef r_x_ctrl_tt_RNO_2_3)) )) (net tt_3_sqmuxa_0 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_3_3)) (portRef C (instanceRef r_x_ctrl_tt_RNO_2_3)) )) (net trap_0_sqmuxa_2_1 (joined (portRef Y (instanceRef r_m_icc_RNILOP8_1)) (portRef B (instanceRef r_m_icc_RNIJ0N92_1)) (portRef A (instanceRef r_x_ctrl_tt_RNO_3_3)) )) (net trap_0_sqmuxa_2_2_0 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNIU91S_23)) (portRef A (instanceRef r_m_icc_RNIJ0N92_1)) (portRef B (instanceRef r_x_ctrl_tt_RNO_3_3)) )) (net (rename eres2_iv_1_2 "eres2_iv_1[2]") (joined (portRef Y (instanceRef r_e_op1_RNIPBLG4_2)) (portRef C (instanceRef r_e_op1_RNI743N42_2)) )) (net (rename dbgi_m_7 "dbgi_m[7]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIO0L32)) (portRef A (instanceRef r_e_op1_RNIPBLG4_2)) )) (net (rename op1_m_2 "op1_m[2]") (joined (portRef Y (instanceRef r_e_op1_RNID1UB_2)) (portRef B (instanceRef r_e_op1_RNIPBLG4_2)) )) (net (rename cpi_m_0_394 "cpi_m_0[394]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIK9212)) (portRef C (instanceRef r_e_op1_RNIPBLG4_2)) )) (net (rename aluresult_1_iv_8_6 "aluresult_1_iv_8[6]") (joined (portRef Y (instanceRef r_w_s_ps_RNI372U91)) (portRef A (instanceRef r_e_jmpl_RNI0J4S72)) )) (net (rename aluresult_1_iv_6_6 "aluresult_1_iv_6[6]") (joined (portRef Y (instanceRef r_m_y_RNIN6I06_6)) (portRef A (instanceRef r_w_s_ps_RNI372U91)) )) (net (rename aluresult_1_iv_5_6 "aluresult_1_iv_5[6]") (joined (portRef Y (instanceRef r_w_s_ps_RNIBL7MD)) (portRef B (instanceRef r_w_s_ps_RNI372U91)) )) (net (rename shiftin_17_m_7 "shiftin_17_m[7]") (joined (portRef Y (instanceRef r_e_jmpl_RNI1B87M)) (portRef C (instanceRef r_w_s_ps_RNI372U91)) )) (net (rename aluop_1_RNIT5AL1_1 "aluop_1_RNIT5AL1[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIT5AL1_1)) (portRef B (instanceRef r_x_result_RNIO5SM4_1)) (portRef B (instanceRef r_x_result_RNISHSM4_2)) (portRef B (instanceRef r_x_result_RNI9K5M4_4)) (portRef A (instanceRef r_x_result_RNILO6M4_7)) (portRef B (instanceRef r_x_result_RNIKPRM4_0)) (portRef B (instanceRef r_x_result_RNI0USM4_3)) (portRef B (instanceRef r_m_y_RNIN4QV5_5)) (portRef B (instanceRef r_m_y_RNIN6I06_6)) )) (net (rename y_m_1_6 "y_m_1[6]") (joined (portRef Y (instanceRef r_m_y_RNIB4K91_6)) (portRef C (instanceRef r_m_y_RNIN6I06_6)) )) (net (rename aluresult_1_iv_3_6 "aluresult_1_iv_3[6]") (joined (portRef Y (instanceRef r_w_s_ps_RNILJUO7)) (portRef A (instanceRef r_w_s_ps_RNIBL7MD)) )) (net (rename tt_m_0_2 "tt_m_0[2]") (joined (portRef Y (instanceRef r_w_s_tt_RNISS4I2_2)) (portRef B (instanceRef r_w_s_ps_RNIBL7MD)) )) (net (rename logicout_m_0_6 "logicout_m_0[6]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIQ44B3_0)) (portRef C (instanceRef r_w_s_ps_RNIBL7MD)) )) (net (rename aluresult_1_iv_2_6 "aluresult_1_iv_2[6]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIURV85_6)) (portRef C (instanceRef r_w_s_ps_RNILJUO7)) )) (net (rename pc_6 "pc[6]") (joined (portRef Q (instanceRef r_e_ctrl_pc_6)) (portRef D (instanceRef r_m_ctrl_pc_6)) (portRef B (instanceRef r_x_ctrl_pc_RNIPMF8_6)) (portRef A (instanceRef ir_addr_RNO_6_6)) (portRef A (instanceRef r_e_ctrl_pc_RNIURV85_6)) )) (net (rename aluresult_1_iv_1_6 "aluresult_1_iv_1[6]") (joined (portRef Y (instanceRef r_w_s_wim_RNIM5VJ4_6)) (portRef C (instanceRef r_e_ctrl_pc_RNIURV85_6)) )) (net (rename op2_RNIA9IG_6 "op2_RNIA9IG[6]") (joined (portRef Y (instanceRef r_e_op2_RNIA9IG_6)) (portRef B (instanceRef r_e_op2_RNIO0UK_0_6)) (portRef A (instanceRef r_e_op2_RNIO0UK_6)) (portRef A (instanceRef r_e_aluop_0_RNIJPPM_2)) (portRef A (instanceRef r_e_aluop_0_RNIIPPM_1)) (portRef A (instanceRef r_w_s_wim_RNIM5VJ4_6)) )) (net (rename aluresult_1_iv_0_6 "aluresult_1_iv_0[6]") (joined (portRef Y (instanceRef r_w_s_wim_RNI15KH3_6)) (portRef C (instanceRef r_w_s_wim_RNIM5VJ4_6)) )) (net (rename wim_6 "wim[6]") (joined (portRef Q (instanceRef r_w_s_wim_6)) (portRef A (instanceRef r_w_s_wim_RNIG2ATT_6)) (portRef A (instanceRef r_w_s_wim_RNIGII81_6)) (portRef A (instanceRef r_w_s_wim_RNI15KH3_6)) )) (net aluresult_13_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_RNIA5SD2_1)) (portRef B (instanceRef r_w_s_wim_RNI38RJ2_4)) (portRef B (instanceRef r_w_s_wim_RNIV7RJ2_0)) (portRef B (instanceRef r_w_s_wim_RNIS4KH3_1)) (portRef B (instanceRef r_w_s_wim_RNIU4KH3_3)) (portRef B (instanceRef r_w_s_wim_RNIT4KH3_2)) (portRef B (instanceRef r_w_s_wim_RNI15KH3_6)) )) (net rfe_i_m_0 (joined (portRef Y (instanceRef r_x_rstate_RNI0VPI1_0)) (portRef B (instanceRef r_a_rfe1_RNIV8FA61)) )) (net un366_dbgunit (joined (portRef Y (instanceRef r_x_rstate_RNIHI881_0)) (portRef S (instanceRef r_a_rfa1_RNI78534_6)) (portRef S (instanceRef r_a_rfa1_RNIPCUU3_5)) (portRef S (instanceRef r_a_rfa1_RNIDHNQ3_4)) (portRef S (instanceRef r_a_rfa1_RNIF0VD2_3)) (portRef S (instanceRef r_a_rfa1_RNIBOUD2_2)) (portRef S (instanceRef r_a_rfa1_RNI7GUD2_1)) (portRef S (instanceRef r_a_rfa1_RNIOLAB3_0)) (portRef B (instanceRef r_a_rfe1_RNIHVJL1)) (portRef B (instanceRef r_x_rstate_RNI0VPI1_0)) )) (net I154_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I154_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_Y_1)) )) (net I204_un1_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I204_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_Y_1)) )) (net G_13_0 (joined (portRef Y (instanceRef r_d_annul_RNIR7A74)) (portRef B (instanceRef r_d_annul_RNIOG5VG)) )) (net un1_inst (joined (portRef Y (instanceRef r_d_inst_0_RNI5C23_1_31)) (portRef C (instanceRef r_d_inst_0_RNIPL7S2_31)) (portRef C (instanceRef un1_write_reg30_1_3_RNO_0)) (portRef B (instanceRef r_d_inst_0_RNI4EJ4_20)) (portRef A (instanceRef r_d_inst_0_RNIBRO6C2_31)) (portRef B (instanceRef r_a_ticc_RNO_0)) (portRef B (instanceRef un1_write_reg30_1_3_RNO_1)) (portRef B (instanceRef r_d_annul_RNIR7A74)) )) (net (rename eres2_iv_1_3 "eres2_iv_1[3]") (joined (portRef Y (instanceRef r_e_op1_RNISFLG4_3)) (portRef C (instanceRef r_e_op1_RNIKS6E12_3)) )) (net (rename dbgi_m_8 "dbgi_m[8]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIP4L32)) (portRef A (instanceRef r_e_op1_RNISFLG4_3)) )) (net (rename op1_m_3 "op1_m[3]") (joined (portRef Y (instanceRef r_e_op1_RNIE1UB_3)) (portRef B (instanceRef r_e_op1_RNISFLG4_3)) )) (net (rename cpi_m_0_395 "cpi_m_0[395]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIL9212)) (portRef C (instanceRef r_e_op1_RNISFLG4_3)) )) (net ADD_30x30_fast_I279_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I279_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_0_21)) )) (net (rename dpc_21 "dpc[21]") (joined (portRef Q (instanceRef r_d_pc_21)) (portRef B (instanceRef un6_fe_npc_2_I_122)) (portRef B (instanceRef un6_fe_npc_2_I_125)) (portRef B (instanceRef un6_fe_npc_2_I_132)) (portRef D (instanceRef r_a_ctrl_pc_21)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I19_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I19_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I279_Y_0_0)) )) (net xc_wreg_2_sqmuxa_0 (joined (portRef Y (instanceRef r_w_s_et_RNIHA77)) (portRef A (instanceRef r_w_s_et_RNI6PFTR)) )) (net ADD_30x30_fast_I236_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_Y)) )) (net ADD_30x30_fast_I94_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I94_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I140_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_Y_0)) )) (net I148_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I148_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_Y_0)) )) (net ADD_33x33_fast_I259_Y_3 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I322_Y_0)) )) (net I155_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I155_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_3)) )) (net ADD_33x33_fast_I259_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_3)) )) (net I211_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I211_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_3)) )) (net N496 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I37_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_1)) )) (net ADD_33x33_fast_I259_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_Y_1)) )) (net (rename aluresult_1_iv_7_4 "aluresult_1_iv_7[4]") (joined (portRef Y (instanceRef r_m_y_RNIMVK671_4)) (portRef A (instanceRef r_m_y_RNIUPEV02_4)) )) (net (rename aluresult_1_iv_5_4 "aluresult_1_iv_5[4]") (joined (portRef Y (instanceRef r_m_y_RNIBGM65_4)) (portRef A (instanceRef r_m_y_RNIMVK671_4)) )) (net (rename aluresult_1_iv_4_4 "aluresult_1_iv_4[4]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIOS9HC_4)) (portRef B (instanceRef r_m_y_RNIMVK671_4)) )) (net (rename shiftin_17_m_5 "shiftin_17_m[5]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJIKEL)) (portRef C (instanceRef r_m_y_RNIMVK671_4)) )) (net (rename y_4 "y[4]") (joined (portRef Q (instanceRef r_m_y_4)) (portRef D (instanceRef r_x_y_4)) (portRef A (instanceRef r_m_y_RNO_4_3)) (portRef A (instanceRef r_m_y_RNO_2_4)) (portRef A (instanceRef r_m_y_RNIBGM65_4)) )) (net (rename logicout_m_0_4 "logicout_m_0[4]") (joined (portRef Y (instanceRef r_e_aluop_RNI2C2T3_0)) (portRef C (instanceRef r_m_y_RNIBGM65_4)) )) (net (rename aluresult_1_iv_3_4 "aluresult_1_iv_3[4]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIF84R7_4)) (portRef A (instanceRef r_e_ctrl_pc_RNIOS9HC_4)) )) (net (rename bpdata_m_4 "bpdata_m[4]") (joined (portRef Y (instanceRef r_x_result_RNI9K5M4_4)) (portRef B (instanceRef r_e_ctrl_pc_RNIOS9HC_4)) )) (net (rename aluresult_1_iv_1_4 "aluresult_1_iv_1[4]") (joined (portRef Y (instanceRef r_w_s_wim_RNIFTUJ4_4)) (portRef A (instanceRef r_e_ctrl_pc_RNIF84R7_4)) )) (net (rename cpi_m_149 "cpi_m[149]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI6M0L_4)) (portRef B (instanceRef r_e_ctrl_pc_RNIF84R7_4)) )) (net (rename tt_m_0_0 "tt_m_0[0]") (joined (portRef Y (instanceRef r_w_s_tt_RNIQK4I2_0)) (portRef C (instanceRef r_e_ctrl_pc_RNIF84R7_4)) )) (net (rename wim_m_0_4 "wim_m_0[4]") (joined (portRef Y (instanceRef r_w_s_wim_RNI38RJ2_4)) (portRef A (instanceRef r_w_s_wim_RNIFTUJ4_4)) )) (net (rename ex_op2_m_4 "ex_op2_m[4]") (joined (portRef Y (instanceRef r_e_op2_RNIGOA21_4)) (portRef C (instanceRef r_w_s_wim_RNIFTUJ4_4)) )) (net ADD_30x30_fast_I271_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I271_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_0_13)) )) (net (rename dpc_13 "dpc[13]") (joined (portRef Q (instanceRef r_d_pc_13)) (portRef B (instanceRef un6_fe_npc_2_I_66)) (portRef C (instanceRef un6_fe_npc_2_I_69)) (portRef D (instanceRef r_a_ctrl_pc_13)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I11_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I11_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I271_Y_0_0)) )) (net (rename inst_0_11 "inst_0[11]") (joined (portRef Q (instanceRef r_d_inst_0_11)) (portRef D (instanceRef r_a_ctrl_inst_11)) (portRef B (instanceRef r_d_inst_0_RNO_0_11)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I11_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I11_P0N)) (portRef B (instanceRef r_a_imm_RNO_11)) (portRef A (instanceRef r_a_imm_RNO_21)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I271_Y_0_0)) )) (net ADD_30x30_fast_I281_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I281_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_7_23)) )) (net (rename dpc_23 "dpc[23]") (joined (portRef Q (instanceRef r_d_pc_23)) (portRef B (instanceRef un6_fe_npc_2_I_136)) (portRef B (instanceRef un6_fe_npc_2_I_139)) (portRef B (instanceRef un6_fe_npc_2_I_146)) (portRef A (instanceRef un6_fe_npc_2_I_159)) (portRef D (instanceRef r_a_ctrl_pc_23)) (portRef B (instanceRef r_d_pc_RNO_23)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I21_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I21_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I100_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I281_Y_0_0)) )) (net ADD_33x33_fast_I262_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0)) )) (net N_50 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I40_Y_i_o3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I98_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0_a3_0_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0_0)) )) (net N502 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I43_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I105_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0_0)) )) (net N498 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I39_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_1)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0_0)) )) (net (rename rstate_ns_i_0_1 "rstate_ns_i_0[1]") (joined (portRef Y (instanceRef r_w_s_et_RNI355PT)) (portRef C (instanceRef r_x_rstate_0_RNI8OHCQ1_1)) )) (net N_7698 (joined (portRef Y (instanceRef rp_pwd_RNINQ7ES)) (portRef B (instanceRef r_x_rstate_0_RNI8OHCQ1_1)) (portRef A (instanceRef r_w_s_et_RNI355PT)) )) (net N_8674_tz (joined (portRef Y (instanceRef r_w_s_et_RNI6UQ6)) (portRef B (instanceRef r_w_s_et_RNI355PT)) )) (net N_7740 (joined (portRef Y (instanceRef r_x_rstate_RNI6C241_0)) (portRef C (instanceRef r_w_s_et_RNI355PT)) )) (net un18_hold_pc_3_1 (joined (portRef Y (instanceRef r_d_annul_RNIHOPH5)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNI01TEH)) )) (net hold_pc_7 (joined (portRef Y (instanceRef r_d_annul_RNID4OG1)) (portRef A (instanceRef r_d_annul_RNIHOPH5)) )) (net ADD_30x30_fast_I232_un1_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_un1_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_un1_Y)) )) (net N507 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I87_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I190_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I140_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_un1_Y_0)) )) (net N515 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I95_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I149_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I148_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I190_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_un1_Y_0)) )) (net N583 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I157_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_un1_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_un1_Y_0)) )) (net (rename aluresult_1_iv_9_5 "aluresult_1_iv_9[5]") (joined (portRef Y (instanceRef r_e_jmpl_RNIDUTQR1)) (portRef A (instanceRef r_e_ldbp2_1_RNI7UO842)) )) (net (rename shiftin_17_m_6 "shiftin_17_m[6]") (joined (portRef Y (instanceRef r_e_jmpl_RNICBL0L)) (portRef A (instanceRef r_e_jmpl_RNIDUTQR1)) )) (net (rename aluresult_1_iv_7_5 "aluresult_1_iv_7[5]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIE0KBH_0)) (portRef B (instanceRef r_e_jmpl_RNIDUTQR1)) )) (net (rename shiftin_17_m_0_5 "shiftin_17_m_0[5]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJIKEL_0)) (portRef C (instanceRef r_e_jmpl_RNIDUTQR1)) )) (net N_382 (joined (portRef Y (instanceRef r_e_aluop_0_RNI543B3_0)) (portRef A (instanceRef r_e_aluop_0_RNIE0KBH_0)) )) (net (rename aluresult_1_iv_4_5 "aluresult_1_iv_4[5]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIINM08_5)) (portRef B (instanceRef r_e_aluop_0_RNIE0KBH_0)) )) (net (rename aluresult_1_iv_6_5 "aluresult_1_iv_6[5]") (joined (portRef Y (instanceRef r_m_y_RNIN4QV5_5)) (portRef C (instanceRef r_e_aluop_0_RNIE0KBH_0)) )) (net N_386 (joined (portRef Y (instanceRef r_m_y_RNIA4K91_5)) (portRef C (instanceRef r_m_y_RNIN4QV5_5)) )) (net (rename aluresult_1_iv_2_5 "aluresult_1_iv_2[5]") (joined (portRef Y (instanceRef comb_alu_select_aluresult_1_iv_9_0_a2_0_RNIP4B96_5)) (portRef A (instanceRef r_e_ctrl_pc_RNIINM08_5)) )) (net N_383 (joined (portRef Y (instanceRef r_e_op2_RNIISA21_5)) (portRef B (instanceRef r_e_ctrl_pc_RNIINM08_5)) )) (net N_380 (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI7M0L_5)) (portRef C (instanceRef r_e_ctrl_pc_RNIINM08_5)) )) (net N_384 (joined (portRef Y (instanceRef r_w_s_tt_RNIRO4I2_1)) (portRef B (instanceRef comb_alu_select_aluresult_1_iv_9_0_a2_0_RNIP4B96_5)) )) (net (rename aluresult_1_iv_0_5 "aluresult_1_iv_0[5]") (joined (portRef Y (instanceRef r_e_aluop_RNI05KH3_1)) (portRef C (instanceRef comb_alu_select_aluresult_1_iv_9_0_a2_0_RNIP4B96_5)) )) (net (rename aluresult_1_iv_9_0_a2_2_2_5 "aluresult_1_iv_9_0_a2_2_2[5]") (joined (portRef Y (instanceRef r_w_s_wim_RNIBL7S1_5)) (portRef A (instanceRef r_e_aluop_RNI05KH3_1)) )) (net ADD_30x30_fast_I266_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I266_Y_0_0)) (portRef B (instanceRef r_f_pc_RNO_5_8)) (portRef B (instanceRef r_x_rstate_0_RNIOTOEK1_1)) )) (net (rename inst_0_6 "inst_0[6]") (joined (portRef Q (instanceRef r_d_inst_0_6)) (portRef D (instanceRef r_a_ctrl_inst_6)) (portRef B (instanceRef r_d_inst_0_RNO_0_6)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I6_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I6_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I74_Y)) (portRef A (instanceRef r_a_imm_RNO_16)) (portRef A (instanceRef r_a_imm_RNO_6)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I266_Y_0_0)) )) (net (rename dpc_8 "dpc[8]") (joined (portRef Q (instanceRef r_d_pc_8)) (portRef B (instanceRef un6_fe_npc_2_I_31)) (portRef C (instanceRef un6_fe_npc_2_I_37)) (portRef A (instanceRef un6_fe_npc_2_I_41)) (portRef A (instanceRef un6_fe_npc_2_I_59)) (portRef D (instanceRef r_a_ctrl_pc_8)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I6_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I6_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I74_Y)) (portRef B (instanceRef r_d_pc_RNO_8)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I266_Y_0_0)) )) (net (rename eres2_iv_0_1 "eres2_iv_0[1]") (joined (portRef Y (instanceRef r_e_op1_RNIVA0D2_1)) (portRef C (instanceRef r_e_op1_RNI1OL1V1_1)) )) (net (rename op1_1 "op1[1]") (joined (portRef Q (instanceRef r_e_op1_1)) (portRef A (instanceRef r_e_op1_RNI43B4_1)) (portRef A (instanceRef r_e_op1_RNIC1UB_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I2_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I2_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I2_G0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_m4_i_0)) (portRef A (instanceRef r_e_op1_RNIVA0D2_1)) )) (net (rename cpi_m_393 "cpi_m[393]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIJ9212)) (portRef C (instanceRef r_e_op1_RNIVA0D2_1)) )) (net branch_1_sqmuxa_a0_1_1 (joined (portRef Y (instanceRef r_a_bp_RNIKFN48_1)) (portRef A (instanceRef r_x_rstate_0_RNIHE30A1_1)) )) (net branch_1_sqmuxa_a0_1_0 (joined (portRef Y (instanceRef r_e_ctrl_annul_RNIDOP2A)) (portRef B (instanceRef r_x_rstate_0_RNIHE30A1_1)) )) (net (rename rstate_0_RNIVPN36_1 "rstate_0_RNIVPN36[1]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIVPN36_1)) (portRef D (instanceRef r_x_annul_all)) (portRef B (instanceRef r_m_ctrl_wreg_RNO)) (portRef C (instanceRef r_e_ctrl_wreg_RNO)) (portRef C (instanceRef r_e_ctrl_wicc_RNO)) (portRef B (instanceRef r_m_ctrl_wicc_RNO)) (portRef C (instanceRef r_d_inull_RNI7AAMA1)) (portRef B (instanceRef r_m_ctrl_annul_RNO)) (portRef B (instanceRef r_x_ctrl_wicc_RNO)) (portRef B (instanceRef r_d_inull_RNI5TU301)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIVQKIN)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNI9JB9A)) (portRef B (instanceRef r_a_ctrl_annul_RNIEV9AA)) (portRef B (instanceRef r_e_ctrl_annul_RNIDOP2A)) (portRef C (instanceRef r_d_pv_RNIARP4F)) (portRef (member rstate_0_rnivpn36 0)) )) (net rfe_0_1 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNII4H6E_5)) (portRef C (instanceRef r_e_ctrl_rd_RNIEA1231_5)) )) (net wreg_1_5_0 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNI557G4_5)) (portRef A (instanceRef r_e_ctrl_rd_RNIGJF7J_5)) (portRef A (instanceRef r_e_ctrl_rd_RNIGJF7J_0_5)) (portRef A (instanceRef r_e_ctrl_rd_RNII4H6E_5)) )) (net wreg_1_4_0 (joined (portRef Y (instanceRef comb_op_find_un1_r_e_ctrl_rd_0_0_RNIHIND4)) (portRef B (instanceRef r_e_ctrl_rd_RNIGJF7J_5)) (portRef B (instanceRef r_e_ctrl_rd_RNIGJF7J_0_5)) (portRef B (instanceRef r_e_ctrl_rd_RNII4H6E_5)) )) (net rfe_0_0 (joined (portRef Y (instanceRef r_d_inst_0_RNISCI85_23)) (portRef C (instanceRef r_e_ctrl_rd_RNII4H6E_5)) )) (net ldcheck1_i (joined (portRef Y (instanceRef r_d_inst_0_RNIOTBI1_23)) (portRef C (instanceRef r_a_ctrl_rd_RNI5NULB_5)) (portRef A (instanceRef r_d_inst_0_RNISCI85_23)) )) (net annul_1_0_0 (joined (portRef Y (instanceRef r_e_ctrl_annul_RNIP73H)) (portRef B (instanceRef r_e_ctrl_annul_RNI5LDBF1)) )) (net N_3998_3 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIFC0E_30)) (portRef B (instanceRef r_m_dci_write_RNO_0)) (portRef B (instanceRef r_m_casa_RNO)) (portRef C (instanceRef r_m_dci_signed_RNO)) (portRef C (instanceRef r_e_ctrl_annul_RNIBD8V)) (portRef C (instanceRef r_m_dci_read_RNO_2)) (portRef B (instanceRef r_m_dci_lock_RNO_2)) (portRef C (instanceRef r_x_ctrl_rd_RNIN6NA1_0)) (portRef C (instanceRef r_m_dci_size_RNO_1_1)) (portRef C (instanceRef r_m_dci_size_RNO_1_0)) (portRef B (instanceRef r_e_ctrl_annul_RNI8PG01)) (portRef B (instanceRef r_e_ctrl_annul_RNIP73H)) )) (net (rename eres2_iv_0_0 "eres2_iv_0[0]") (joined (portRef Y (instanceRef r_e_op1_RNITA0D2_0)) (portRef A (instanceRef r_e_op1_RNIJR2SP1_0)) )) (net (rename op1_0 "op1[0]") (joined (portRef Q (instanceRef r_e_op1_0)) (portRef A (instanceRef r_e_op1_RNIB1UB_0)) (portRef A (instanceRef r_e_op1_RNI2VA4_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_0_m1_e)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_m1)) (portRef B (instanceRef r_e_op2_RNIU5U5_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I1_P0N_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_a0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I1_P0N_a0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I1_G0N_a0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_0_0_tz)) (portRef A (instanceRef r_e_op1_RNITA0D2_0)) )) (net (rename cpi_m_392 "cpi_m[392]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNII9212)) (portRef C (instanceRef r_e_op1_RNITA0D2_0)) )) (net ADD_30x30_fast_I243_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I243_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I243_Y)) )) (net ADD_30x30_fast_I243_un1_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I243_un1_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I243_Y_0)) )) (net N501 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I84_Y)) (portRef A (instanceRef r_x_rstate_0_RNISK06G1_1)) (portRef A (instanceRef r_f_pc_RNO_5_4)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I136_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I184_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I222_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I243_Y_0)) )) (net N588 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I162_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I196_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I243_Y_0)) )) (net debug_1075_e_0 (joined (portRef Y (instanceRef r_x_debug_RNO_5)) (portRef C (instanceRef r_x_debug_RNO_1)) )) (net ADD_33x33_fast_I262_Y_0_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I319_Y_0)) )) (net N_50_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I40_Y_i_o3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I98_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_a3_0_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_0)) )) (net N502_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I43_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I105_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_0)) )) (net N498_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I39_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_0)) )) (net irqen_0 (joined (portRef Y (instanceRef r_m_irqen_RNO_0)) (portRef A (instanceRef r_m_irqen_RNO)) )) (net ADD_33x33_fast_I262_Y_0_a3_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0_a3_0_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0)) )) (net ADD_33x33_fast_I262_Y_0_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I319_Y_0)) )) (net N_50_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I40_Y_i_o3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I98_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_a3_0_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_0)) )) (net N502_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I43_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I105_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_0)) )) (net N498_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I39_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_0)) )) (net ADD_30x30_fast_I240_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_Y)) )) (net N598 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I172_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I219_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_Y_0)) )) (net N582 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I156_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I190_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_Y_0)) )) (net ADD_33x33_fast_I306_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I306_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I306_Y_0)) )) (net (rename op2_15 "op2[15]") (joined (portRef Q (instanceRef r_e_op2_15)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I16_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I16_P0N)) (portRef A (instanceRef r_e_op2_RNI57JF_15)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I306_Y_0_0)) )) (net (rename op1_RNI2THD_15 "op1_RNI2THD[15]") (joined (portRef Y (instanceRef r_e_op1_RNI2THD_15)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I16_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I16_P0N)) (portRef A (instanceRef r_e_op2_RNI745T_0_15)) (portRef B (instanceRef r_e_op2_RNI745T_15)) (portRef C (instanceRef r_e_aluop_RNIRV051_2)) (portRef A (instanceRef r_x_result_RNI31KD3_15)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I306_Y_0_1)) (portRef B (instanceRef r_e_op1_RNI6NEO1_15)) (portRef B (instanceRef r_e_shleft_0_RNI5TOD1)) (portRef A (instanceRef r_e_shleft_0_RNIQ85L)) (portRef C (instanceRef r_e_aluop_1_RNI2T0V_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I306_Y_0_0)) )) (net ADD_30x30_fast_I264_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I264_Y_0_0)) (portRef B (instanceRef r_x_rstate_0_RNIGKEJI1_1)) (portRef B (instanceRef r_f_pc_RNO_6_6)) )) (net (rename dpc_6 "dpc[6]") (joined (portRef Q (instanceRef r_d_pc_6)) (portRef B (instanceRef un6_fe_npc_2_I_20)) (portRef C (instanceRef un6_fe_npc_2_I_23)) (portRef B (instanceRef un6_fe_npc_2_I_27)) (portRef B (instanceRef un6_fe_npc_2_I_34)) (portRef D (instanceRef r_a_ctrl_pc_6)) (portRef B (instanceRef r_d_pc_RNO_6)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I78_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I4_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I4_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I264_Y_0_0)) )) (net (rename cpi_i_43 "cpi_i[43]") (joined (portRef Y (instanceRef r_d_inst_0_RNIUGAI_4)) (portRef B (instanceRef un46_ra_I_1)) (portRef B (instanceRef un46_ra_I_9)) (portRef A (instanceRef r_a_imm_RNO_4)) (portRef A (instanceRef r_d_inst_0_RNIRTK41_4)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I78_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I4_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I4_G0N)) (portRef A (instanceRef r_a_imm_RNO_14)) (portRef A (instanceRef r_d_inst_0_RNIOHK41_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I264_Y_0_0)) )) (net ADD_33x33_fast_I259_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_un1_Y)) )) (net N559 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I96_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I211_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I155_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_un1_Y_0)) )) (net N567 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I104_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I164_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I211_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_un1_Y_0)) )) (net N641_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I172_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I267_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I267_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_un1_Y_0)) )) (net ADD_33x33_fast_I318_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I318_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I318_Y_0)) )) (net (rename op2_27 "op2[27]") (joined (portRef Q (instanceRef r_e_op2_27)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I28_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I28_P0N)) (portRef A (instanceRef r_e_op2_RNIDJJF_27)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I318_Y_0_0)) )) (net (rename op1_RNIA9ID_27 "op1_RNIA9ID[27]") (joined (portRef Y (instanceRef r_e_op1_RNIA9ID_27)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I28_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I28_P0N)) (portRef A (instanceRef r_x_result_RNIQVSC3_27)) (portRef A (instanceRef r_e_op2_RNINS5T_0_27)) (portRef B (instanceRef r_e_op2_RNINS5T_27)) (portRef C (instanceRef r_e_aluop_RNIBO151_2)) (portRef B (instanceRef r_e_op1_RNIE3FO1_27)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I28_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I28_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I318_Y_0_1)) (portRef A (instanceRef r_e_shleft_1_RNI3L5L)) (portRef B (instanceRef r_e_shleft_0_RNID9PD1)) (portRef A (instanceRef r_e_aluop_1_RNIIL1V_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I318_Y_0_0)) )) (net annul_current_3_sqmuxa_0 (joined (portRef Y (instanceRef r_d_annul_RNIP2H4_1)) (portRef A (instanceRef r_d_annul_RNIR7A74_0)) )) (net (rename aluresult_2_iv_8_2 "aluresult_2_iv_8[2]") (joined (portRef Y (instanceRef r_e_cwp_RNIC3CD71_2)) (portRef A (instanceRef r_e_jmpl_RNINR1DV1)) )) (net (rename aluresult_2_iv_6_2 "aluresult_2_iv_6[2]") (joined (portRef Y (instanceRef r_m_y_RNIHCK05_2)) (portRef A (instanceRef r_e_cwp_RNIC3CD71_2)) )) (net (rename aluresult_2_iv_5_2 "aluresult_2_iv_5[2]") (joined (portRef Y (instanceRef r_e_cwp_RNILSMMD_2)) (portRef B (instanceRef r_e_cwp_RNIC3CD71_2)) )) (net (rename shiftin_17_m_3 "shiftin_17_m[3]") (joined (portRef Y (instanceRef r_e_jmpl_RNI6Q0MK)) (portRef C (instanceRef r_e_cwp_RNIC3CD71_2)) )) (net (rename y_m_1_2 "y_m_1[2]") (joined (portRef Y (instanceRef r_m_y_RNI74K91_2)) (portRef A (instanceRef r_m_y_RNIHCK05_2)) )) (net (rename logicout_m_0_2 "logicout_m_0[2]") (joined (portRef Y (instanceRef r_e_aluop_RNIA80N3_0)) (portRef B (instanceRef r_m_y_RNIHCK05_2)) )) (net (rename aluresult_2_iv_3_2 "aluresult_2_iv_3[2]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIORQF6_2)) (portRef A (instanceRef r_e_cwp_RNILSMMD_2)) )) (net (rename cwp_m_2 "cwp_m[2]") (joined (portRef Y (instanceRef r_e_cwp_RNI1FVF2_2)) (portRef B (instanceRef r_e_cwp_RNILSMMD_2)) )) (net (rename bpdata_m_2 "bpdata_m[2]") (joined (portRef Y (instanceRef r_x_result_RNISHSM4_2)) (portRef C (instanceRef r_e_cwp_RNILSMMD_2)) )) (net (rename aluresult_2_iv_1_2 "aluresult_2_iv_1[2]") (joined (portRef Y (instanceRef r_w_s_wim_RNI9LUJ4_2)) (portRef A (instanceRef r_e_ctrl_pc_RNIORQF6_2)) )) (net (rename cpi_m_147 "cpi_m[147]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI4M0L_2)) (portRef B (instanceRef r_e_ctrl_pc_RNIORQF6_2)) )) (net (rename aluresult_2_iv_0_2 "aluresult_2_iv_0[2]") (joined (portRef Y (instanceRef r_w_s_wim_RNIT4KH3_2)) (portRef A (instanceRef r_w_s_wim_RNI9LUJ4_2)) )) (net (rename ex_op2_m_2 "ex_op2_m[2]") (joined (portRef Y (instanceRef r_e_op2_RNICGA21_2)) (portRef B (instanceRef r_w_s_wim_RNI9LUJ4_2)) )) (net (rename wim_2 "wim[2]") (joined (portRef Q (instanceRef r_w_s_wim_2)) (portRef A (instanceRef r_w_s_wim_RNICII81_2)) (portRef A (instanceRef r_w_s_wim_RNI8I9TT_2)) (portRef A (instanceRef r_w_s_wim_RNIT4KH3_2)) )) (net werr_0_0 (joined (portRef Y (instanceRef r_m_werr_RNO_0)) (portRef A (instanceRef r_m_werr_RNO)) )) (net werr (joined (portRef Y (instanceRef r_m_werr_RNIA2H4)) (portRef A (instanceRef r_w_s_dwt_RNI1BFH)) (portRef A (instanceRef r_m_werr_RNO_0)) )) (net enaddr_1_sqmuxa_1_0_0 (joined (portRef Y (instanceRef r_e_ctrl_annul_RNI8PG01)) (portRef A (instanceRef r_e_ctrl_annul_RNIOU68E1)) )) (net ADD_33x33_fast_I259_Y_3_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I322_Y_0)) )) (net N640 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I171_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I267_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_3)) )) (net N625 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I156_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_3)) )) (net ADD_33x33_fast_I259_Y_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_2)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_3)) )) (net ADD_33x33_fast_I95_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I95_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_2)) )) (net ADD_33x33_fast_I259_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_2)) )) (net I155_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I155_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_2)) )) (net N484_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I30_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_Y_0)) )) (net ADD_33x33_fast_I303_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I303_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I303_Y_0)) )) (net (rename op2_12 "op2[12]") (joined (portRef Q (instanceRef r_e_op2_12)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I67_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I68_Y)) (portRef A (instanceRef r_e_op2_RNIN2OP_12)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I70_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I69_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I303_Y_0_0)) )) (net (rename op1_RNISGHD_12 "op1_RNISGHD[12]") (joined (portRef Y (instanceRef r_e_op1_RNISGHD_12)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I67_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I68_Y)) (portRef A (instanceRef r_e_op2_RNIJJ971_0_12)) (portRef B (instanceRef r_e_op2_RNIJJ971_12)) (portRef C (instanceRef r_e_aluop_RNI7F5F1_2)) (portRef A (instanceRef r_x_result_RNISURC3_12)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I303_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I303_Y_0)) (portRef B (instanceRef r_e_shleft_1_RNI0HOD1)) (portRef A (instanceRef r_e_shleft_1_RNILS4L)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I70_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I69_Y)) (portRef C (instanceRef r_e_aluop_0_RNIDC591_1)) (portRef B (instanceRef r_e_op1_RNI1QIO5_12)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I303_Y_0_0)) )) (net ADD_33x33_fast_I259_Y_3_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I322_Y_0)) )) (net N640_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I171_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I267_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_3)) )) (net N625_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I156_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_3)) )) (net ADD_33x33_fast_I259_Y_2_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_2)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_3)) )) (net I95_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I95_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_2)) )) (net ADD_33x33_fast_I259_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_2)) )) (net I155_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I155_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_Y_2)) )) (net debug_1_sqmuxa_2_0 (joined (portRef Y (instanceRef r_x_debug_RNO_3)) (portRef A (instanceRef r_x_debug_RNO_1)) )) (net (rename aluresult_1_iv_6_3 "aluresult_1_iv_6[3]") (joined (portRef Y (instanceRef r_m_y_RNI48JS21_3)) (portRef A (instanceRef r_e_jmpl_RNI1G54S1)) )) (net (rename aluresult_1_iv_4_3 "aluresult_1_iv_4[3]") (joined (portRef Y (instanceRef r_m_y_RNIK7LK4_3)) (portRef A (instanceRef r_m_y_RNI48JS21_3)) )) (net (rename aluresult_1_iv_3_3 "aluresult_1_iv_3[3]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIIDSV9_3)) (portRef B (instanceRef r_m_y_RNI48JS21_3)) )) (net (rename shiftin_17_m_4 "shiftin_17_m[4]") (joined (portRef Y (instanceRef r_e_jmpl_RNIUI18K)) (portRef C (instanceRef r_m_y_RNI48JS21_3)) )) (net (rename y_m_1_3 "y_m_1[3]") (joined (portRef Y (instanceRef r_m_y_RNI84K91_3)) (portRef A (instanceRef r_m_y_RNIK7LK4_3)) )) (net (rename logicout_m_0_3 "logicout_m_0[3]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIC31B3_0)) (portRef B (instanceRef r_m_y_RNIK7LK4_3)) )) (net (rename aluresult_1_iv_2_3 "aluresult_1_iv_2[3]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNIIFV85_3)) (portRef A (instanceRef r_e_ctrl_pc_RNIIDSV9_3)) )) (net (rename bpdata_m_3 "bpdata_m[3]") (joined (portRef Y (instanceRef r_x_result_RNI0USM4_3)) (portRef B (instanceRef r_e_ctrl_pc_RNIIDSV9_3)) )) (net (rename ex_op2_m_3 "ex_op2_m[3]") (joined (portRef Y (instanceRef r_e_op2_RNIFKA21_3)) (portRef A (instanceRef r_e_ctrl_pc_RNIIFV85_3)) )) (net (rename aluresult_1_iv_0_3 "aluresult_1_iv_0[3]") (joined (portRef Y (instanceRef r_w_s_wim_RNIU4KH3_3)) (portRef B (instanceRef r_e_ctrl_pc_RNIIFV85_3)) )) (net (rename cpi_m_148 "cpi_m[148]") (joined (portRef Y (instanceRef r_e_ctrl_pc_RNI5M0L_3)) (portRef C (instanceRef r_e_ctrl_pc_RNIIFV85_3)) )) (net (rename wim_3 "wim[3]") (joined (portRef Q (instanceRef r_w_s_wim_3)) (portRef A (instanceRef r_w_s_wim_RNIDII81_3)) (portRef A (instanceRef r_w_s_wim_RNIAM9TT_3)) (portRef A (instanceRef r_w_s_wim_RNIU4KH3_3)) )) (net ADD_33x33_fast_I314_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I314_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I314_Y_0)) )) (net (rename op2_23 "op2[23]") (joined (portRef Q (instanceRef r_e_op2_23)) (portRef A (instanceRef r_e_op2_RNIVAOP_23)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I24_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I24_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I314_Y_0_0)) )) (net (rename op1_RNI2PHD_23 "op1_RNI2PHD[23]") (joined (portRef Y (instanceRef r_e_op1_RNI2PHD_23)) (portRef A (instanceRef r_x_result_RNIAFSC3_23)) (portRef A (instanceRef r_e_op2_RNI14A71_0_23)) (portRef B (instanceRef r_e_op2_RNI14A71_23)) (portRef C (instanceRef r_e_aluop_RNILV5F1_2)) (portRef A (instanceRef r_e_shleft_RNI7QEF)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I314_Y_0_1)) (portRef B (instanceRef r_e_shleft_1_RNI6POD1)) (portRef B (instanceRef r_e_op1_RNI6JEO1_23)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I24_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I24_G0N)) (portRef A (instanceRef r_e_aluop_1_RNISS591_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I314_Y_0_0)) )) (net ADD_30x30_fast_I265_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I265_Y_0_0)) (portRef B (instanceRef r_x_rstate_0_RNIE816L1_1)) (portRef B (instanceRef r_f_pc_RNO_6_7)) )) (net (rename inst_0_5 "inst_0[5]") (joined (portRef Q (instanceRef r_d_inst_0_5)) (portRef D (instanceRef r_a_ctrl_inst_5)) (portRef B (instanceRef r_d_inst_0_RNO_0_5)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I5_G0N)) (portRef A (instanceRef r_a_imm_RNO_15)) (portRef A (instanceRef r_a_imm_RNO_5)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I5_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I132_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I265_Y_0_0)) )) (net (rename dpc_7 "dpc[7]") (joined (portRef Q (instanceRef r_d_pc_7)) (portRef B (instanceRef un6_fe_npc_2_I_24)) (portRef C (instanceRef un6_fe_npc_2_I_30)) (portRef C (instanceRef un6_fe_npc_2_I_34)) (portRef D (instanceRef r_a_ctrl_pc_7)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I5_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I5_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I132_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I265_Y_0_0)) )) (net ADD_33x33_fast_I262_Y_0_a3_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_a3_0_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_a3)) )) (net N503_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I44_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I106_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I105_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_a3_0_0)) )) (net ADD_33x33_fast_I262_Y_0_a3_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_a3_0_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_a3)) )) (net ADD_33x33_fast_I317_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I317_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I317_Y_0)) )) (net (rename op2_26 "op2[26]") (joined (portRef Q (instanceRef r_e_op2_26)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I27_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I27_P0N)) (portRef A (instanceRef r_e_op2_RNIBFJF_26)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I317_Y_0_0)) )) (net (rename op1_RNI85ID_26 "op1_RNI85ID[26]") (joined (portRef Y (instanceRef r_e_op1_RNI85ID_26)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I27_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I27_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I317_Y_0_1)) (portRef S (instanceRef r_e_op1_RNIUD632_26)) (portRef A (instanceRef r_x_result_RNIMRSC3_26)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I27_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I27_G0N)) (portRef B (instanceRef r_e_shleft_RNIOQ281)) (portRef A (instanceRef r_e_shleft_RNID6FF)) (portRef B (instanceRef r_e_op1_RNICVEO1_26)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I317_Y_0_0)) )) (net ADD_30x30_fast_I240_un1_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_un1_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_Y)) )) (net N599 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I173_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I219_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_un1_Y_0)) )) (net I157_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I157_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_3)) )) (net ADD_33x33_fast_I260_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_3)) )) (net I213_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I213_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_3)) )) (net N495 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I36_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I98_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_1)) )) (net ADD_33x33_fast_I260_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_1)) )) (net N481_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I29_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_Y_0)) )) (net ADD_33x33_fast_I159_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I159_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y_2)) )) (net ADD_33x33_fast_I261_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y_2)) )) (net I215_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I215_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y_2)) )) (net N500 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I41_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I103_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y_0)) )) (net N497 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I38_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I96_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I100_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_Y_0)) )) (net (rename aluresult_2_iv_7_1 "aluresult_2_iv_7[1]") (joined (portRef Y (instanceRef r_e_ldbp2_RNI1KEEK)) (portRef B (instanceRef r_e_jmpl_RNIBG9RR1)) )) (net (rename bpdata_m_1 "bpdata_m[1]") (joined (portRef Y (instanceRef r_x_result_RNIO5SM4_1)) (portRef A (instanceRef r_e_ldbp2_RNI1KEEK)) )) (net (rename aluresult_2_iv_4_1 "aluresult_2_iv_4[1]") (joined (portRef Y (instanceRef r_e_ldbp2_RNIHVUGA)) (portRef B (instanceRef r_e_ldbp2_RNI1KEEK)) )) (net (rename aluresult_2_iv_6_1 "aluresult_2_iv_6[1]") (joined (portRef Y (instanceRef r_m_y_RNIOEJ65_1)) (portRef C (instanceRef r_e_ldbp2_RNI1KEEK)) )) (net (rename logicout_1 "logicout[1]") (joined (portRef Y (instanceRef r_e_aluop_RNINTPG3_0)) (portRef B (instanceRef r_m_y_RNO_1)) (portRef A (instanceRef r_m_icc_RNO_8_2)) (portRef A (instanceRef r_m_y_RNIOEJ65_1)) )) (net (rename y_m_1_1 "y_m_1[1]") (joined (portRef Y (instanceRef r_m_y_RNI64K91_1)) (portRef C (instanceRef r_m_y_RNIOEJ65_1)) )) (net (rename eaddress_1 "eaddress[1]") (joined (portRef Y (instanceRef r_e_ldbp2_RNI520Q1)) (portRef A (instanceRef r_e_ldbp2_RNIJGOI2)) (portRef B (instanceRef r_e_ldbp2_RNIHVUGA)) )) (net (rename aluresult_2_iv_3_1 "aluresult_2_iv_3[1]") (joined (portRef Y (instanceRef r_e_cwp_RNIHGPA8_1)) (portRef C (instanceRef r_e_ldbp2_RNIHVUGA)) )) (net (rename aluresult_2_iv_2_1 "aluresult_2_iv_2[1]") (joined (portRef Y (instanceRef r_w_s_wim_RNIH1QQ5_1)) (portRef A (instanceRef r_e_cwp_RNIHGPA8_1)) )) (net (rename cwp_m_1 "cwp_m[1]") (joined (portRef Y (instanceRef r_e_cwp_RNI0FVF2_1)) (portRef B (instanceRef r_e_cwp_RNIHGPA8_1)) )) (net (rename ex_op2_m_1 "ex_op2_m[1]") (joined (portRef Y (instanceRef r_e_op2_RNIACA21_1)) (portRef A (instanceRef r_w_s_wim_RNIH1QQ5_1)) )) (net (rename aluresult_2_iv_0_1 "aluresult_2_iv_0[1]") (joined (portRef Y (instanceRef r_w_s_wim_RNIS4KH3_1)) (portRef B (instanceRef r_w_s_wim_RNIH1QQ5_1)) )) (net (rename wim_1 "wim[1]") (joined (portRef Q (instanceRef r_w_s_wim_1)) (portRef A (instanceRef r_w_s_wim_RNIBII81_1)) (portRef A (instanceRef r_w_s_wim_RNI6E9TT_1)) (portRef A (instanceRef r_w_s_wim_RNIS4KH3_1)) )) (net ADD_33x33_fast_I263_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_Y_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I318_Y_0)) )) (net N648 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I179_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I271_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_Y_1)) )) (net N633 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I164_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_Y_1)) )) (net ADD_33x33_fast_I263_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_Y_1)) )) (net N574 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I111_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I171_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_Y_0)) )) (net N566 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I103_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I155_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_Y_0)) )) (net enaddr_1_sqmuxa_0 (joined (portRef Y (instanceRef r_e_ctrl_cnt_RNIDM631_0_0)) (portRef A (instanceRef r_e_ctrl_cnt_RNITRSAE1_0)) )) (net read3 (joined (portRef Y (instanceRef r_e_ctrl_cnt_RNIBT47_0)) (portRef B (instanceRef r_e_ctrl_annul_RNIBD8V)) (portRef A (instanceRef r_e_ctrl_cnt_RNIDM631_0_0)) )) (net un7_casaen (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI2P1S_22)) (portRef B (instanceRef r_e_ctrl_cnt_RNIDM631_0)) (portRef B (instanceRef r_e_ctrl_cnt_RNIDM631_0_0)) )) (net (rename aluresult_1_iv_7_0 "aluresult_1_iv_7[0]") (joined (portRef Y (instanceRef r_e_jmpl_RNI6I9O21)) (portRef A (instanceRef r_e_jmpl_RNIMG2FN1)) )) (net (rename shiftin_17_0 "shiftin_17[0]") (joined (portRef Y (instanceRef r_e_shcnt_RNI7AJUH_1)) (portRef A (instanceRef r_e_jmpl_RNI6I9O21)) )) (net (rename aluresult_1_iv_6_0 "aluresult_1_iv_6[0]") (joined (portRef Y (instanceRef r_m_y_RNI2GF1G_0)) (portRef C (instanceRef r_e_jmpl_RNI6I9O21)) )) (net (rename bpdata_m_0 "bpdata_m[0]") (joined (portRef Y (instanceRef r_x_result_RNIKPRM4_0)) (portRef A (instanceRef r_m_y_RNI2GF1G_0)) )) (net (rename aluresult_1_iv_3_0 "aluresult_1_iv_3[0]") (joined (portRef Y (instanceRef r_e_cwp_RNIJFMU7_0)) (portRef B (instanceRef r_m_y_RNI2GF1G_0)) )) (net (rename aluresult_1_iv_5_0 "aluresult_1_iv_5[0]") (joined (portRef Y (instanceRef r_m_y_RNIR6TB3_0)) (portRef C (instanceRef r_m_y_RNI2GF1G_0)) )) (net (rename y_0_0 "y_0[0]") (joined (portRef Q (instanceRef r_m_y_0)) (portRef D (instanceRef r_x_y_0)) (portRef A (instanceRef r_m_y_RNIA6NV_0)) (portRef A (instanceRef r_m_y_RNIR6TB3_0)) )) (net (rename logicout_m_0_0 "logicout_m_0[0]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIM2922_0)) (portRef C (instanceRef r_m_y_RNIR6TB3_0)) )) (net (rename aluresult_1_iv_1_0 "aluresult_1_iv_1[0]") (joined (portRef Y (instanceRef r_e_ldbp2_RNI40IM4)) (portRef A (instanceRef r_e_cwp_RNIJFMU7_0)) )) (net (rename ex_op2_m_0 "ex_op2_m[0]") (joined (portRef Y (instanceRef r_e_op2_RNIG05O_0)) (portRef B (instanceRef r_e_cwp_RNIJFMU7_0)) )) (net (rename cwp_m_0 "cwp_m[0]") (joined (portRef Y (instanceRef r_e_cwp_RNIVEVF2_0)) (portRef C (instanceRef r_e_cwp_RNIJFMU7_0)) )) (net (rename eaddress_0 "eaddress[0]") (joined (portRef Y (instanceRef r_e_ldbp2_RNIEEOO)) (portRef B (instanceRef r_e_ldbp2_RNIJGOI2)) (portRef B (instanceRef r_e_ldbp2_RNI40IM4)) )) (net (rename aluresult_1_iv_0_0 "aluresult_1_iv_0[0]") (joined (portRef Y (instanceRef r_w_s_wim_RNIR4KH3_0)) (portRef C (instanceRef r_e_ldbp2_RNI40IM4)) )) (net (rename wim_m_0_0 "wim_m_0[0]") (joined (portRef Y (instanceRef r_w_s_wim_RNIV7RJ2_0)) (portRef B (instanceRef r_w_s_wim_RNIR4KH3_0)) )) (net rstate_tr5_0 (joined (portRef Y (instanceRef rp_pwd_RNIVODI4)) (portRef C (instanceRef rp_pwd_RNINQ7ES)) )) (net un65_pwrd (joined (portRef Y (instanceRef rp_pwd_RNI3OPR3)) (portRef A (instanceRef rp_pwd_RNI6P854)) (portRef B (instanceRef r_x_ctrl_trap_RNILE8MR)) (portRef B (instanceRef rp_pwd_RNIMKUJR)) (portRef B (instanceRef r_x_ctrl_trap_RNILE8MR_0)) (portRef A (instanceRef rp_pwd_RNIMKUJR_0)) (portRef A (instanceRef r_m_ctrl_trap_RNI5NHN6)) (portRef A (instanceRef rp_pwd_RNIVODI4)) )) (net rstate_tr5_1_0 (joined (portRef Y (instanceRef r_x_rstate_RNI864D_0)) (portRef A (instanceRef r_x_ctrl_wicc_RNIF3E1U)) (portRef B (instanceRef r_x_ctrl_wicc_RNIICA9S)) (portRef B (instanceRef rp_pwd_RNINQ7ES)) (portRef B (instanceRef rp_pwd_RNIVODI4)) )) (net N_7697 (joined (portRef Y (instanceRef r_x_rstate_0_RNIKQF9_1)) (portRef C (instanceRef rp_pwd_RNIVODI4)) )) (net rstate_4_0 (joined (portRef Y (instanceRef r_x_debug_RNO_7)) (portRef C (instanceRef r_x_debug_RNO_4)) )) (net debug_2_sqmuxa_0 (joined (portRef Y (instanceRef r_x_debug_RNO_8)) (portRef A (instanceRef r_x_debug_RNO_7)) )) (net de_inull_1_0 (joined (portRef Y (instanceRef r_d_inull_RNO_5)) (portRef A (instanceRef r_d_inull_RNO_2)) )) (net rett (joined (portRef Q (instanceRef r_a_ctrl_rett)) (portRef A (instanceRef r_e_ctrl_rett_RNO)) (portRef B (instanceRef r_x_ctrl_rett_RNIHNQB)) (portRef B (instanceRef r_d_inull_RNO_5)) )) (net trap_0 (joined (portRef Q (instanceRef r_a_ctrl_trap)) (portRef B (instanceRef r_e_jmpl_RNO)) (portRef B (instanceRef r_e_ctrl_trap_RNO)) (portRef A (instanceRef r_a_ctrl_trap_RNIFQU8)) (portRef A (instanceRef r_e_ctrl_tt_RNO_2_0)) (portRef B (instanceRef r_e_ctrl_tt_RNO_3_4)) (portRef B (instanceRef r_e_ctrl_trap_RNO_2)) (portRef A (instanceRef r_e_ctrl_tt_RNO_1_1)) (portRef C (instanceRef r_d_inull_RNO_5)) )) (net ADD_33x33_fast_I259_un1_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_un1_Y)) )) (net N641_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I172_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I267_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I267_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_un1_Y_0)) )) (net ADD_33x33_fast_I267_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I267_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I314_Y_0)) )) (net N656 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I187_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I243_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I267_Y_0)) )) (net N640_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I171_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I211_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I267_Y_0)) )) (net I157_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I157_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_3)) )) (net ADD_33x33_fast_I260_Y_1_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_3)) )) (net I213_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I213_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_3)) )) (net N495_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I36_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I98_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_1)) )) (net ADD_33x33_fast_I260_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_1)) )) (net N481_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I29_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_Y_0)) )) (net ADD_33x33_fast_I308_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I308_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I308_Y_0)) )) (net (rename op2_17 "op2[17]") (joined (portRef Q (instanceRef r_e_op2_17)) (portRef A (instanceRef r_e_op2_RNI1NOP_17)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I18_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I18_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I308_Y_0_0)) )) (net (rename op1_RNI65ID_17 "op1_RNI65ID[17]") (joined (portRef Y (instanceRef r_e_op1_RNI65ID_17)) (portRef A (instanceRef r_e_op2_RNI7SA71_0_17)) (portRef B (instanceRef r_e_op2_RNI7SA71_17)) (portRef C (instanceRef r_e_aluop_RNIRN6F1_2)) (portRef A (instanceRef r_x_result_RNIGJSC3_17)) (portRef B (instanceRef r_e_op1_RNIAVEO1_17)) (portRef B (instanceRef r_e_shleft_RNIMQ281)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I308_Y_0_1)) (portRef A (instanceRef r_e_shleft_0_RNIUG5L)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I18_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I18_G0N)) (portRef A (instanceRef r_e_aluop_1_RNI2L691_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I121_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I121_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I308_Y_0_0)) )) (net ADD_30x30_fast_I262_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I262_Y_0_0)) (portRef B (instanceRef r_x_rstate_0_RNISK06G1_1)) (portRef B (instanceRef r_f_pc_RNO_5_4)) )) (net (rename dpc_4 "dpc[4]") (joined (portRef Q (instanceRef r_d_pc_4)) (portRef B (instanceRef un6_fe_npc_2_I_9)) (portRef C (instanceRef un6_fe_npc_2_I_12)) (portRef C (instanceRef un6_fe_npc_2_I_16)) (portRef C (instanceRef un6_fe_npc_2_I_149)) (portRef D (instanceRef r_a_ctrl_pc_4)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I2_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I2_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I82_Y)) (portRef B (instanceRef r_d_pc_RNO_4)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I262_Y_0_0)) )) (net N_44 (joined (portRef Y (instanceRef r_d_inst_0_RNIS8AI_2)) (portRef D (instanceRef r_a_rfa2_2)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I2_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I2_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I82_Y)) (portRef A (instanceRef r_a_rfa2_RNI5PF11_2)) (portRef B (instanceRef r_m_ctrl_rd_RNII8JL_2)) (portRef B (instanceRef r_e_ctrl_rd_RNIA8JL_2)) (portRef B (instanceRef r_a_ctrl_rd_RNI68JL_2)) (portRef A (instanceRef r_a_imm_RNO_12)) (portRef B (instanceRef r_a_imm_RNO_2)) (portRef B (instanceRef r_d_inst_0_RNINDK41_1)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I262_Y_0_0)) )) (net N605 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I179_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I222_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I243_un1_Y_0)) )) (net ADD_30x30_fast_I263_Y_0_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I263_Y_0_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I263_Y_0)) )) (net ADD_33x33_fast_I264_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_Y_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I317_Y_0)) )) (net N650 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I181_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_Y_1)) )) (net ADD_33x33_fast_I264_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_Y_1)) )) (net N569 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I106_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I158_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I166_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_Y_0)) )) (net N576 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I113_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I173_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_Y_0)) )) (net N568 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I105_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I157_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I264_Y_0)) )) (net un23_exbpmiss_1 (joined (portRef Y (instanceRef r_d_pv_RNINH8A)) (portRef A (instanceRef r_d_pv_RNI0SND4)) )) (net un51_casaen (joined (portRef Y (instanceRef r_d_cnt_RNIFET3_0_0)) (portRef A (instanceRef r_d_inst_0_RNIP25D_24)) (portRef B (instanceRef r_d_inst_0_RNIKI1A_21)) (portRef B (instanceRef r_d_cnt_RNIU26G_0)) (portRef A (instanceRef r_d_pv_RNINH8A)) )) (net N652 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I183_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I273_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y_1)) )) (net N637 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I168_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y_1)) )) (net ADD_33x33_fast_I265_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y_1)) )) (net I167_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I167_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y_0)) )) (net I107_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I107_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I159_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y_0)) )) (net N504 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I45_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I103_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I159_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_Y_0)) )) (net icc_0_sqmuxa_1_29 (joined (portRef Y (instanceRef r_m_icc_RNO_7_2)) (portRef C (instanceRef r_m_icc_RNO_4_2)) )) (net icc_0_sqmuxa_1_18 (joined (portRef Y (instanceRef r_m_icc_RNO_14_2)) (portRef A (instanceRef r_m_icc_RNO_7_2)) )) (net icc_0_sqmuxa_1_17 (joined (portRef Y (instanceRef r_m_icc_RNO_15_2)) (portRef B (instanceRef r_m_icc_RNO_7_2)) )) (net icc_0_sqmuxa_1_26 (joined (portRef Y (instanceRef r_m_icc_RNO_16_2)) (portRef C (instanceRef r_m_icc_RNO_7_2)) )) (net icc_0_sqmuxa_1_28 (joined (portRef Y (instanceRef r_m_icc_RNO_5_2)) (portRef A (instanceRef r_m_icc_RNO_4_2)) )) (net icc_0_sqmuxa_1_14 (joined (portRef Y (instanceRef r_m_icc_RNO_8_2)) (portRef A (instanceRef r_m_icc_RNO_5_2)) )) (net icc_0_sqmuxa_1_13 (joined (portRef Y (instanceRef r_m_icc_RNO_9_2)) (portRef B (instanceRef r_m_icc_RNO_5_2)) )) (net icc_0_sqmuxa_1_24 (joined (portRef Y (instanceRef r_m_icc_RNO_10_2)) (portRef C (instanceRef r_m_icc_RNO_5_2)) )) (net icc_0_sqmuxa_1_27 (joined (portRef Y (instanceRef r_m_icc_RNO_6_2)) (portRef B (instanceRef r_m_icc_RNO_4_2)) )) (net icc_0_sqmuxa_1_10 (joined (portRef Y (instanceRef r_m_icc_RNO_11_2)) (portRef A (instanceRef r_m_icc_RNO_6_2)) )) (net icc_0_sqmuxa_1_9 (joined (portRef Y (instanceRef r_m_icc_RNO_12_2)) (portRef B (instanceRef r_m_icc_RNO_6_2)) )) (net icc_0_sqmuxa_1_22 (joined (portRef Y (instanceRef r_m_icc_RNO_13_2)) (portRef C (instanceRef r_m_icc_RNO_6_2)) )) (net icc_0_sqmuxa_1_6 (joined (portRef Y (instanceRef r_m_icc_RNO_22_2)) (portRef A (instanceRef r_m_icc_RNO_16_2)) )) (net icc_0_sqmuxa_1_5 (joined (portRef Y (instanceRef r_m_icc_RNO_23_2)) (portRef B (instanceRef r_m_icc_RNO_16_2)) )) (net icc_0_sqmuxa_1_20 (joined (portRef Y (instanceRef r_m_icc_RNO_24_2)) (portRef C (instanceRef r_m_icc_RNO_16_2)) )) (net icc_0_sqmuxa_1_16 (joined (portRef Y (instanceRef r_m_icc_RNO_17_2)) (portRef A (instanceRef r_m_icc_RNO_10_2)) )) (net (rename logicout_20 "logicout[20]") (joined (portRef Y (instanceRef r_e_aluop_RNIT9NB4_0)) (portRef A (instanceRef r_e_aluop_RNIOMSN4_0)) (portRef A (instanceRef r_e_aluadd_RNI4QOJF4)) (portRef B (instanceRef r_m_y_RNO_20)) (portRef C (instanceRef r_m_icc_RNO_10_2)) )) (net icc_0_sqmuxa_1_12 (joined (portRef Y (instanceRef r_m_icc_RNO_18_2)) (portRef A (instanceRef r_m_icc_RNO_13_2)) )) (net (rename logicout_4 "logicout[4]") (joined (portRef Y (instanceRef r_e_aluop_RNI7VSG3_0)) (portRef A (instanceRef r_e_aluop_RNI2C2T3_0)) (portRef B (instanceRef r_m_y_RNO_4)) (portRef B (instanceRef r_m_icc_RNO_13_2)) )) (net (rename logicout_3 "logicout[3]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIHMRU2_0)) (portRef A (instanceRef r_m_y_RNO_2_3)) (portRef A (instanceRef r_e_aluop_0_RNIC31B3_0)) (portRef C (instanceRef r_m_icc_RNO_13_2)) )) (net icc_0_sqmuxa_1_8 (joined (portRef Y (instanceRef r_m_icc_RNO_25_2)) (portRef A (instanceRef r_m_icc_RNO_24_2)) )) (net (rename logicout_18 "logicout[18]") (joined (portRef Y (instanceRef r_e_aluop_RNINFUH4_0)) (portRef A (instanceRef r_e_aluop_RNIIS3U4_0)) (portRef B (instanceRef r_m_y_RNO_18)) (portRef B (instanceRef r_m_icc_RNO_24_2)) )) (net (rename logicout_17 "logicout[17]") (joined (portRef Y (instanceRef r_e_aluop_RNI7EIQ5_0)) (portRef A (instanceRef r_m_y_RNO_2_17)) (portRef A (instanceRef r_e_aluop_RNI2RN66_0)) (portRef C (instanceRef r_m_icc_RNO_24_2)) )) (net icc_0_sqmuxa_1_4 (joined (portRef Y (instanceRef r_m_icc_RNO_19_2)) (portRef A (instanceRef r_m_icc_RNO_14_2)) )) (net (rename logicout_10 "logicout[10]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIB2B85_0)) (portRef A (instanceRef r_e_aluop_0_RNI6FGK5_0)) (portRef B (instanceRef r_m_y_RNO_10)) (portRef B (instanceRef r_m_icc_RNO_14_2)) )) (net (rename logicout_9 "logicout[9]") (joined (portRef Y (instanceRef r_e_aluop_RNIN2D82_0)) (portRef A (instanceRef r_e_aluop_RNIIFIK2_0)) (portRef B (instanceRef r_m_y_RNO_9)) (portRef C (instanceRef r_m_icc_RNO_14_2)) )) (net icc_0_sqmuxa_1_0 (joined (portRef Y (instanceRef r_m_icc_RNO_20_2)) (portRef A (instanceRef r_m_icc_RNO_15_2)) )) (net icc_0_sqmuxa_1_2 (joined (portRef Y (instanceRef r_m_icc_RNO_21_2)) (portRef B (instanceRef r_m_icc_RNO_15_2)) )) (net (rename logicout_5 "logicout[5]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIANTU2_0)) (portRef A (instanceRef r_e_aluop_0_RNI543B3_0)) (portRef B (instanceRef r_m_y_RNO_5)) (portRef C (instanceRef r_m_icc_RNO_15_2)) )) (net (rename logicout_23 "logicout[23]") (joined (portRef Y (instanceRef r_e_aluop_RNIFDFQ5_0)) (portRef A (instanceRef r_e_aluop_RNIAQK66_0)) (portRef A (instanceRef r_e_aluop_RNI765V97_0)) (portRef B (instanceRef r_m_y_RNO_23)) (portRef A (instanceRef r_m_icc_RNO_17_2)) )) (net (rename logicout_22 "logicout[22]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIN4E85_0)) (portRef A (instanceRef r_e_aluop_0_RNIIHJK5_0)) (portRef A (instanceRef r_m_icc_RNO_1_2)) (portRef B (instanceRef r_m_y_RNO_22)) (portRef B (instanceRef r_m_icc_RNO_8_2)) )) (net N_25 (joined (portRef Y (instanceRef r_e_op1_RNIGE0C2_28)) (portRef B (instanceRef r_e_alusel_RNIBR5O2_0)) (portRef A (instanceRef r_m_y_RNO_2_28)) (portRef A (instanceRef r_m_icc_RNO_9_2)) )) (net (rename logicout_0 "logicout[0]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIRL3M1_0)) (portRef A (instanceRef r_e_aluop_0_RNI86832_0)) (portRef A (instanceRef r_e_aluop_0_RNIM2922_0)) (portRef B (instanceRef r_m_icc_RNO_9_2)) )) (net N_10_0 (joined (portRef Y (instanceRef r_e_op2_RNIS3BB2_19)) (portRef A (instanceRef r_m_y_RNO_2_19)) (portRef B (instanceRef r_e_alusel_RNINGGN2_0)) (portRef A (instanceRef r_m_icc_RNO_18_2)) )) (net N_22 (joined (portRef Y (instanceRef r_e_op1_RNIUD632_26)) (portRef A (instanceRef r_m_y_RNO_2_26)) (portRef B (instanceRef r_e_alusel_RNIPQBF2_0)) (portRef B (instanceRef r_m_icc_RNO_18_2)) )) (net (rename logicout_30 "logicout[30]") (joined (portRef Y (instanceRef r_e_aluop_RNITAOB4_0)) (portRef A (instanceRef r_m_y_RNO_2_30)) (portRef A (instanceRef r_e_aluop_RNIONTN4_0)) (portRef A (instanceRef r_m_icc_RNO_11_2)) )) (net (rename logicout_2 "logicout[2]") (joined (portRef Y (instanceRef r_e_aluop_RNIFRQA3_0)) (portRef A (instanceRef r_e_aluop_RNIA80N3_0)) (portRef B (instanceRef r_m_y_RNO_2)) (portRef B (instanceRef r_m_icc_RNO_11_2)) )) (net (rename logicout_27 "logicout[27]") (joined (portRef Y (instanceRef r_e_aluop_RNI7GUH4_0)) (portRef A (instanceRef r_e_aluop_RNI2T3U4_0)) (portRef A (instanceRef r_m_y_RNO_2_27)) (portRef A (instanceRef r_m_icc_RNO_12_2)) )) (net (rename logicout_29 "logicout[29]") (joined (portRef Y (instanceRef r_e_aluop_RNI7H0I4_0)) (portRef A (instanceRef r_e_aluop_RNI2U5U4_0)) (portRef B (instanceRef r_m_y_RNO_29)) (portRef B (instanceRef r_m_icc_RNO_12_2)) )) (net (rename logicout_24 "logicout[24]") (joined (portRef Y (instanceRef r_e_aluop_RNI3VD35_0)) (portRef A (instanceRef r_e_aluop_RNIUBJF5_0)) (portRef B (instanceRef r_m_y_RNO_24)) (portRef A (instanceRef r_m_icc_RNO_25_2)) )) (net (rename logicout_25 "logicout[25]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIR5H85_0)) (portRef A (instanceRef r_m_y_RNO_2_25)) (portRef A (instanceRef r_e_aluop_0_RNIMIMK5_0)) (portRef B (instanceRef r_m_icc_RNO_25_2)) )) (net (rename logicout_16 "logicout[16]") (joined (portRef Y (instanceRef r_e_aluop_RNI3EHQ5_0)) (portRef A (instanceRef r_e_aluop_RNIUQM66_0)) (portRef B (instanceRef r_m_y_RNO_16)) (portRef B (instanceRef r_m_icc_RNO_22_2)) )) (net (rename logicout_14 "logicout[14]") (joined (portRef Y (instanceRef r_e_aluop_RNINDQH4_0)) (portRef A (instanceRef r_e_aluop_RNIIQVT4_0)) (portRef B (instanceRef r_m_y_RNO_14)) (portRef B (instanceRef r_m_icc_RNO_23_2)) )) (net (rename logicout_12 "logicout[12]") (joined (portRef Y (instanceRef r_e_aluop_RNIT8DK5_0)) (portRef A (instanceRef r_e_aluop_RNIOLI06_0)) (portRef A (instanceRef r_m_y_RNO_2_12)) (portRef B (instanceRef r_m_icc_RNO_19_2)) )) (net (rename logicout_6 "logicout[6]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIVNUU2_0)) (portRef A (instanceRef r_e_aluop_0_RNIQ44B3_0)) (portRef B (instanceRef r_m_y_RNO_6)) (portRef A (instanceRef r_m_icc_RNO_21_2)) )) (net (rename logicout_8 "logicout[8]") (joined (portRef Y (instanceRef r_e_aluop_RNI711H3_0)) (portRef A (instanceRef r_e_aluop_RNI2E6T3_0)) (portRef B (instanceRef r_m_y_RNO_8)) (portRef B (instanceRef r_m_icc_RNO_21_2)) )) (net ADD_33x33_fast_I263_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_un1_Y)) )) (net N649 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I180_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I235_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I271_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_un1_Y_0)) )) (net I159_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I159_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y_2)) )) (net ADD_33x33_fast_I261_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y_2)) )) (net I215_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I215_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y_2)) )) (net N500_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I41_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I103_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y_0)) )) (net N497_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I38_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I96_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I160_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I159_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y_0)) )) (net N496_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I37_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I95_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_Y_0)) )) (net N642 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I173_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I268_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_3)) )) (net N627 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I158_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_3)) )) (net ADD_33x33_fast_I260_Y_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_2)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_3)) )) (net N561 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I98_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I158_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_2)) )) (net N568_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I105_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_2)) )) (net ADD_33x33_fast_I260_Y_1_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_2)) )) (net N495_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I36_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I98_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_Y_1)) )) (net trap_0_0 (joined (portRef Y (instanceRef r_e_ctrl_trap_RNIN5RI9)) (portRef B (instanceRef r_e_ctrl_trap_RNI3FF4C1)) )) (net trap_1 (joined (portRef Q (instanceRef r_e_ctrl_trap)) (portRef D (instanceRef r_m_ctrl_trap)) (portRef A (instanceRef r_e_ctrl_trap_RNISBSJ)) (portRef B (instanceRef r_e_ctrl_trap_RNIN5RI9)) )) (net ADD_33x33_fast_I305_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I305_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I305_Y_0)) )) (net (rename op2_14 "op2[14]") (joined (portRef Q (instanceRef r_e_op2_14)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I65_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I66_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I63_Y_0_a3)) (portRef A (instanceRef r_e_op2_RNI33JF_14)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I122_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I305_Y_0_0)) )) (net I159_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I159_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y_2)) )) (net ADD_33x33_fast_I261_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y_2)) )) (net I215_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I215_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y_2)) )) (net N500_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I41_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I103_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y_0)) )) (net N497_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I38_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I160_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I159_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I96_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y_0)) )) (net N496_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I37_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I95_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I261_Y_0)) )) (net ADD_33x33_fast_I263_Y_1_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_Y_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I318_Y_0)) )) (net N648_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I179_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I271_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_Y_1)) )) (net N633_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I164_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_Y_1)) )) (net ADD_33x33_fast_I263_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_Y_1)) )) (net N567_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I104_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I164_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I156_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_Y_0)) )) (net N574_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I111_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I171_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_Y_0)) )) (net N566_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I103_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I155_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_Y_0)) )) (net ADD_33x33_fast_I260_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_un1_Y)) )) (net N627_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I158_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I213_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_un1_Y_0)) )) (net N643 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I174_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I229_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I268_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_un1_Y_0)) )) (net ADD_33x33_fast_I261_un1_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_un1_Y)) )) (net N629_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I160_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I215_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_un1_Y_0)) )) (net N645_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I176_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I261_un1_Y_0)) )) (net N644 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I175_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I215_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_Y_0)) )) (net (rename un3_de_ren1_95 "un3_de_ren1[95]") (joined (portRef Y (instanceRef r_d_inst_0_RNIB9N22_17)) (portRef D (instanceRef r_a_rfa1_5)) (portRef A (instanceRef r_a_rfa1_RNIKLSH2_5)) (portRef A (instanceRef r_a_ctrl_rd_RNIT47G4_5)) (portRef A (instanceRef r_m_ctrl_rd_RNIL57G4_5)) (portRef A (instanceRef r_e_ctrl_rd_RNI557G4_5)) )) (net (rename rd_RNI9S6A2_6 "rd_RNI9S6A2[6]") (joined (portRef Y (instanceRef r_e_ctrl_rd_RNI9S6A2_6)) (portRef C (instanceRef r_e_ctrl_rd_RNI557G4_5)) )) (net wreg_1_1 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNI5P2A1_2)) (portRef B (instanceRef comb_op_find_un1_r_e_ctrl_rd_0_0_RNIHIND4)) )) (net (rename rd_RNIHLP12_4 "rd_RNIHLP12[4]") (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIHLP12_4)) (portRef C (instanceRef comb_op_find_un1_r_e_ctrl_rd_0_0_RNIHIND4)) )) (net (rename un3_de_ren1_92 "un3_de_ren1[92]") (joined (portRef Y (instanceRef r_d_inst_0_RNI3DOH_16)) (portRef D (instanceRef r_a_rfa1_2)) (portRef B (instanceRef r_a_ctrl_rd_RNIDC1L_2)) (portRef A (instanceRef r_a_rfa1_RNI9DT01_2)) (portRef B (instanceRef r_m_ctrl_rd_RNIPC1L_2)) (portRef A (instanceRef r_d_inst_0_RNI8QG31_16)) (portRef A (instanceRef r_e_ctrl_rd_RNI5P2A1_2)) )) (net (rename rd_2 "rd[2]") (joined (portRef Q (instanceRef r_e_ctrl_rd_2)) (portRef D (instanceRef r_m_ctrl_rd_2)) (portRef A (instanceRef r_e_ctrl_rd_RNIA8JL_2)) (portRef B (instanceRef r_x_ctrl_rd_RNIFVH6_2)) (portRef B (instanceRef r_e_ctrl_rd_RNI5P2A1_2)) )) (net (rename rd_RNIKC1L_3 "rd_RNIKC1L[3]") (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIKC1L_3)) (portRef C (instanceRef r_e_ctrl_rd_RNI5P2A1_2)) )) (net wreg_5 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIL57G4_5)) (portRef A (instanceRef r_m_ctrl_rd_RNIQRG9A_5)) )) (net (rename rd_0_5 "rd_0[5]") (joined (portRef Q (instanceRef r_m_ctrl_rd_5)) (portRef D (instanceRef r_x_ctrl_rd_5)) (portRef A (instanceRef r_m_ctrl_rd_RNIHE224_5)) (portRef B (instanceRef r_m_ctrl_rd_RNIL57G4_5)) )) (net (rename rd_RNIHS6A2_6 "rd_RNIHS6A2[6]") (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIHS6A2_6)) (portRef C (instanceRef r_m_ctrl_rd_RNIL57G4_5)) )) (net wreg_4 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNI5M9P5_4)) (portRef B (instanceRef r_m_ctrl_rd_RNIQRG9A_5)) )) (net wreg_3 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIC0GN3_0)) (portRef A (instanceRef r_m_ctrl_rd_RNI5M9P5_4)) )) (net (rename rd_RNIPLP12_4 "rd_RNIPLP12[4]") (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIPLP12_4)) (portRef B (instanceRef r_m_ctrl_rd_RNI5M9P5_4)) )) (net wreg_1_0_1 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNILP2A1_3)) (portRef A (instanceRef r_m_ctrl_rd_RNIC0GN3_0)) )) (net wreg_2_3 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIN6DD2_0)) (portRef B (instanceRef r_m_ctrl_rd_RNIC0GN3_0)) )) (net wreg_0_0 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIFCVQ_1)) (portRef C (instanceRef r_m_ctrl_rd_RNIN6DD2_0)) )) (net (rename un3_de_ren1_93 "un3_de_ren1[93]") (joined (portRef Y (instanceRef r_d_inst_0_RNI5DOH_17)) (portRef D (instanceRef r_a_rfa1_3)) (portRef B (instanceRef r_e_ctrl_rd_RNIKC1L_3)) (portRef A (instanceRef r_a_rfa1_RNICHT01_3)) (portRef B (instanceRef r_a_ctrl_rd_RNIGC1L_3)) (portRef B (instanceRef r_d_inst_0_RNI8QG31_16)) (portRef A (instanceRef r_m_ctrl_rd_RNILP2A1_3)) )) (net (rename rd_RNIPC1L_2 "rd_RNIPC1L[2]") (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIPC1L_2)) (portRef C (instanceRef r_m_ctrl_rd_RNILP2A1_3)) )) (net (rename un3_de_ren1_91 "un3_de_ren1[91]") (joined (portRef Y (instanceRef r_d_inst_0_RNI1DOH_15)) (portRef D (instanceRef r_a_rfa1_1)) (portRef B (instanceRef r_e_ctrl_rd_RNIEC1L_1)) (portRef A (instanceRef r_a_rfa1_RNI69T01_1)) (portRef B (instanceRef r_d_inst_0_RNISKLI2_18)) (portRef A (instanceRef r_a_ctrl_rd_RNINO2A1_1)) (portRef A (instanceRef r_m_ctrl_rd_RNIFCVQ_1)) )) (net (rename rd_0_1 "rd_0[1]") (joined (portRef Q (instanceRef r_m_ctrl_rd_1)) (portRef D (instanceRef r_x_ctrl_rd_1)) (portRef A (instanceRef r_m_ctrl_rd_RNIG4JL_1)) (portRef B (instanceRef r_m_ctrl_rd_RNIFCVQ_1)) )) (net rd_NE_4 (joined (portRef Y (instanceRef r_a_ctrl_rd_RNIT47G4_5)) (portRef B (instanceRef r_a_ctrl_rd_RNI2AVHA_5)) (portRef B (instanceRef r_a_ctrl_rd_RNI5NULB_5)) )) (net (rename rd_1_5 "rd_1[5]") (joined (portRef Q (instanceRef r_a_ctrl_rd_5)) (portRef D (instanceRef r_e_ctrl_rd_5)) (portRef A (instanceRef r_a_ctrl_rd_RNI5E224_5)) (portRef B (instanceRef r_a_ctrl_rd_RNIT47G4_5)) )) (net (rename rd_RNI5S6A2_6 "rd_RNI5S6A2[6]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNI5S6A2_6)) (portRef C (instanceRef r_a_ctrl_rd_RNIT47G4_5)) )) (net rd_NE_3 (joined (portRef Y (instanceRef r_a_ctrl_rd_RNIGKBJ5_0)) (portRef A (instanceRef r_a_ctrl_rd_RNI2AVHA_5)) (portRef A (instanceRef r_a_ctrl_rd_RNI5NULB_5)) )) (net rd_NE_1 (joined (portRef Y (instanceRef r_a_ctrl_rd_RNIC6F72_0)) (portRef A (instanceRef r_a_ctrl_rd_RNIGKBJ5_0)) )) (net rd_NE_0 (joined (portRef Y (instanceRef r_a_ctrl_rd_RNINO2A1_1)) (portRef B (instanceRef r_a_ctrl_rd_RNIGKBJ5_0)) )) (net (rename rd_RNIDLP12_4 "rd_RNIDLP12[4]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNIDLP12_4)) (portRef C (instanceRef r_a_ctrl_rd_RNIGKBJ5_0)) )) (net (rename rd_2_0 "rd_2[0]") (joined (portRef Q (instanceRef r_a_ctrl_rd_0)) (portRef D (instanceRef r_e_ctrl_rd_0)) (portRef A (instanceRef r_a_ctrl_rd_RNI20JL_0)) (portRef A (instanceRef r_a_ctrl_rd_RNIC6F72_0)) )) (net (rename rd_RNIGC1L_3 "rd_RNIGC1L[3]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNIGC1L_3)) (portRef C (instanceRef r_a_ctrl_rd_RNIC6F72_0)) )) (net (rename rd_1_1 "rd_1[1]") (joined (portRef Q (instanceRef r_a_ctrl_rd_1)) (portRef D (instanceRef r_e_ctrl_rd_1)) (portRef A (instanceRef r_a_ctrl_rd_RNI44JL_1)) (portRef B (instanceRef r_a_ctrl_rd_RNINO2A1_1)) )) (net (rename rd_RNIDC1L_2 "rd_RNIDC1L[2]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNIDC1L_2)) (portRef C (instanceRef r_a_ctrl_rd_RNINO2A1_1)) )) (net (rename edata2_0_iv_0_1 "edata2_0_iv_0[1]") (joined (portRef Y (instanceRef r_e_op1_RNIKU5R1_1)) (portRef C (instanceRef r_e_op1_RNIJVCB6_1)) )) (net (rename op1_RNI43B4_1 "op1_RNI43B4[1]") (joined (portRef Y (instanceRef r_e_op1_RNI43B4_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I2_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I2_P0N)) (portRef A (instanceRef r_e_op2_RNI3OSK_0_1)) (portRef B (instanceRef r_e_op2_RNI3OSK_1)) (portRef C (instanceRef r_e_aluop_RNINJOS_2)) (portRef A (instanceRef r_x_result_RNIRVH13_1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I292_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I292_Y_0)) (portRef B (instanceRef r_e_shleft_RNIKORU)) (portRef A (instanceRef r_e_shleft_0_RNISEUB)) (portRef A (instanceRef r_e_op1_RNI62M8_0)) (portRef A (instanceRef r_e_aluop_1_RNIUGOM_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I292_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3_1_0)) (portRef B (instanceRef r_e_op1_RNIKU5R1_1)) )) (net (rename op1_i_m_1 "op1_i_m[1]") (joined (portRef Y (instanceRef r_e_op1_RNIC1UB_1)) (portRef C (instanceRef r_e_op1_RNIKU5R1_1)) )) (net (rename edata2_0_iv_0_6 "edata2_0_iv_0[6]") (joined (portRef Y (instanceRef r_e_op1_RNI3J6R1_6)) (portRef C (instanceRef r_e_op1_RNIMGFB6_6)) )) (net (rename op1_i_m_6 "op1_i_m[6]") (joined (portRef Y (instanceRef r_e_op1_RNIH1UB_6)) (portRef C (instanceRef r_e_op1_RNI3J6R1_6)) )) (net (rename edata2_0_iv_0_7 "edata2_0_iv_0[7]") (joined (portRef Y (instanceRef r_e_op1_RNI6N6R1_7)) (portRef A (instanceRef r_e_op1_RNI2BOA6_7)) )) (net (rename op1_7 "op1[7]") (joined (portRef Q (instanceRef r_e_op1_7)) (portRef A (instanceRef r_e_op1_RNII1UB_7)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I8_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I8_G0N)) (portRef A (instanceRef r_e_op1_RNIGRB4_7)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I8_P0N_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I8_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I77_un1_Y_m1_e_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a0_0)) (portRef A (instanceRef r_e_op1_RNI6N6R1_7)) )) (net (rename ex_op1_i_m_7 "ex_op1_i_m[7]") (joined (portRef Y (instanceRef r_e_op1_RNIKL8F1_7)) (portRef C (instanceRef r_e_op1_RNI6N6R1_7)) )) (net ADD_33x33_fast_I263_Y_1_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_Y_1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I318_Y_0)) )) (net N648_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I179_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I271_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_Y_1)) )) (net N633_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I164_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_Y_1)) )) (net ADD_33x33_fast_I263_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_Y_1)) )) (net N574_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I111_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I171_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_Y_0)) )) (net N567_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I104_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I164_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I156_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_Y_0)) )) (net N566_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I103_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I155_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_Y_0)) )) (net ADD_33x33_fast_I273_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I273_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I308_Y_0)) )) (net ADD_33x33_fast_I273_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I273_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I273_Y_0)) )) (net N653 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I184_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I239_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I273_Y_0)) )) (net ADD_30x30_fast_I244_un1_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_un1_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_un1_Y)) )) (net N591 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I165_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I214_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_un1_Y_0)) )) (net N358 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I0_CO1)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I84_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I137_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I185_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I223_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I261_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_un1_Y_0)) )) (net N652_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I183_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I273_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y_1)) )) (net N637_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I168_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y_1)) )) (net ADD_33x33_fast_I265_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y_1)) )) (net N578 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I115_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I175_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y_0)) )) (net N571 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I108_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I168_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I160_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y_0)) )) (net N570 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I107_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I159_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_Y_0)) )) (net ADD_33x33_fast_I260_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_un1_Y_1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_un1_Y)) )) (net ADD_33x33_fast_I260_un1_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I229_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_un1_Y_1)) )) (net N627_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I158_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I213_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I260_un1_Y_1)) )) (net ADD_33x33_fast_I264_Y_1_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_Y_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I317_Y_0)) )) (net ADD_33x33_fast_I264_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_Y_1)) )) (net I221_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I221_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_Y_1)) )) (net N576_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I113_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I173_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_Y_0)) )) (net N569_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I106_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I158_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I166_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_Y_0)) )) (net N568_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I105_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I157_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_Y_0)) )) (net ADD_33x33_fast_I267_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I267_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I314_Y_0)) )) (net N656_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I187_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I243_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I267_Y_0)) )) (net ADD_33x33_fast_I272_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_Y_0)) )) (net ADD_33x33_fast_I267_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I267_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I314_Y_0)) )) (net N656_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I187_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I243_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I267_Y_0)) )) (net ADD_30x30_fast_I132_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I132_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I178_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I184_Y)) )) (net N370 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I4_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I132_Y_0)) )) (net trap_a0_0 (joined (portRef Y (instanceRef r_w_s_dwt_RNI6ST37)) (portRef A (instanceRef r_w_s_dwt_RNIQV06E)) )) (net annul_1_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNI5HEI6_1)) (portRef D (instanceRef r_x_ctrl_annul)) (portRef A (instanceRef r_m_ctrl_trap_RNIA8BK7)) (portRef B (instanceRef r_m_irqen_RNO)) (portRef B (instanceRef r_m_ctrl_trap_RNI4PLCE)) (portRef B (instanceRef r_m_ctrl_trap_RNII9JBK)) (portRef C (instanceRef r_m_ctrl_pv_RNIA6VC9)) (portRef B (instanceRef r_w_s_dwt_RNI6ST37)) (portRef A (instanceRef r_x_ctrl_tt_RNO_2_3)) (portRef annul_1_0) )) (net (rename xc_trap_address_iv_0_7 "xc_trap_address_iv_0[7]") (joined (portRef Y (instanceRef ir_addr_RNIS1CC2_7)) (portRef B (instanceRef r_f_pc_RNI4N6N8_7)) )) (net (rename addr_7 "addr[7]") (joined (portRef Q (instanceRef ir_addr_7)) (portRef B (instanceRef ir_addr_RNIE1O41_7)) (portRef B (instanceRef ir_addr_RNO_7)) (portRef B (instanceRef ir_addr_RNIS1CC2_7)) )) (net (rename xc_trap_address_4_m_7 "xc_trap_address_4_m[7]") (joined (portRef Y (instanceRef r_x_mexc_RNIKGL41)) (portRef C (instanceRef ir_addr_RNIS1CC2_7)) )) (net (rename xc_trap_address_iv_1_18 "xc_trap_address_iv_1[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_18)) (portRef A (instanceRef r_f_pc_RNO_4_18)) )) (net (rename addr_m_18 "addr_m[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_18)) (portRef A (instanceRef r_f_pc_RNO_8_18)) )) (net (rename tba_m_6 "tba_m[6]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_18)) (portRef B (instanceRef r_f_pc_RNO_8_18)) )) (net (rename cpi_m_410 "cpi_m[410]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_18)) (portRef C (instanceRef r_f_pc_RNO_8_18)) )) (net (rename xc_trap_address_iv_1_14 "xc_trap_address_iv_1[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_14)) (portRef A (instanceRef r_f_pc_RNO_4_14)) )) (net (rename addr_m_14 "addr_m[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_14)) (portRef A (instanceRef r_f_pc_RNO_8_14)) )) (net (rename tba_m_2 "tba_m[2]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_14)) (portRef B (instanceRef r_f_pc_RNO_8_14)) )) (net (rename cpi_m_406 "cpi_m[406]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_14)) (portRef C (instanceRef r_f_pc_RNO_8_14)) )) (net (rename xc_trap_address_iv_1_17 "xc_trap_address_iv_1[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_17)) (portRef A (instanceRef r_f_pc_RNO_7_17)) )) (net (rename addr_m_17 "addr_m[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_17)) (portRef A (instanceRef r_f_pc_RNO_10_17)) )) (net (rename tba_m_5 "tba_m[5]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_17)) (portRef B (instanceRef r_f_pc_RNO_10_17)) )) (net (rename cpi_m_409 "cpi_m[409]") (joined (portRef Y (instanceRef r_f_pc_RNO_15_17)) (portRef C (instanceRef r_f_pc_RNO_10_17)) )) (net (rename xc_trap_address_iv_1_9 "xc_trap_address_iv_1[9]") (joined (portRef Y (instanceRef ir_addr_RNIURBI5_9)) (portRef A (instanceRef r_f_pc_RNIE77N8_9)) )) (net (rename addr_m_9 "addr_m[9]") (joined (portRef Y (instanceRef ir_addr_RNIAHM71_9)) (portRef A (instanceRef ir_addr_RNIURBI5_9)) )) (net (rename xc_trap_address_4_m_9 "xc_trap_address_4_m[9]") (joined (portRef Y (instanceRef r_x_mexc_RNIOOL41)) (portRef B (instanceRef ir_addr_RNIURBI5_9)) )) (net (rename cpi_m_401 "cpi_m[401]") (joined (portRef Y (instanceRef r_x_rstate_RNISHV53_0)) (portRef C (instanceRef ir_addr_RNIURBI5_9)) )) (net (rename xc_trap_address_iv_0_4 "xc_trap_address_iv_0[4]") (joined (portRef Y (instanceRef ir_addr_RNIJLBC2_4)) (portRef B (instanceRef r_f_pc_RNILU5N8_4)) )) (net (rename xc_vectt_1_0 "xc_vectt_1[0]") (joined (portRef Y (instanceRef r_x_mexc_RNIAGPT)) (portRef B (instanceRef dsur_tt_RNO_0_0)) (portRef A (instanceRef r_w_s_tt_RNO_0_0)) (portRef B (instanceRef r_x_mexc_RNIM4JR1)) (portRef B (instanceRef r_x_mexc_RNIO8JR1)) (portRef A (instanceRef r_x_mexc_RNIQFVI2)) (portRef A (instanceRef ir_addr_RNIJLBC2_4)) )) (net (rename xc_trap_address_4_m_0_5 "xc_trap_address_4_m_0[5]") (joined (portRef Y (instanceRef r_w_s_svt_RNI4KR6)) (portRef B (instanceRef r_x_mexc_RNIKGL41)) (portRef B (instanceRef r_x_mexc_RNIOOL41)) (portRef B (instanceRef r_x_mexc_RNIICL41)) (portRef B (instanceRef r_x_mexc_RNIUPHT)) (portRef B (instanceRef r_x_mexc_RNIMKL41)) (portRef B (instanceRef r_x_result_RNIJRB11_6)) (portRef B (instanceRef ir_addr_RNIMPBC2_5)) (portRef B (instanceRef ir_addr_RNIJLBC2_4)) )) (net (rename addr_m_4 "addr_m[4]") (joined (portRef Y (instanceRef ir_addr_RNI5HM71_4)) (portRef C (instanceRef ir_addr_RNIJLBC2_4)) )) (net (rename xc_trap_address_iv_0_5 "xc_trap_address_iv_0[5]") (joined (portRef Y (instanceRef ir_addr_RNIMPBC2_5)) (portRef B (instanceRef r_f_pc_RNIQ66N8_5)) )) (net (rename xc_vectt_1_1 "xc_vectt_1[1]") (joined (portRef Y (instanceRef r_x_mexc_RNICKPT)) (portRef B (instanceRef dsur_tt_RNO_0_1)) (portRef A (instanceRef r_w_s_tt_RNO_0_1)) (portRef A (instanceRef r_x_mexc_RNIM4JR1)) (portRef B (instanceRef r_x_mexc_RNIA9DP2)) (portRef B (instanceRef r_x_result_RNIDS3M2_6)) (portRef A (instanceRef ir_addr_RNIMPBC2_5)) )) (net (rename addr_m_5 "addr_m[5]") (joined (portRef Y (instanceRef ir_addr_RNI6HM71_5)) (portRef C (instanceRef ir_addr_RNIMPBC2_5)) )) (net (rename xc_trap_address_iv_1_6 "xc_trap_address_iv_1[6]") (joined (portRef Y (instanceRef ir_addr_RNIIFBI5_6)) (portRef A (instanceRef r_f_pc_RNIVE6N8_6)) )) (net (rename addr_m_6 "addr_m[6]") (joined (portRef Y (instanceRef ir_addr_RNI7HM71_6)) (portRef A (instanceRef ir_addr_RNIIFBI5_6)) )) (net (rename xc_trap_address_4_m_6 "xc_trap_address_4_m[6]") (joined (portRef Y (instanceRef r_x_mexc_RNIICL41)) (portRef B (instanceRef ir_addr_RNIIFBI5_6)) )) (net (rename cpi_m_398 "cpi_m[398]") (joined (portRef Y (instanceRef r_x_rstate_RNIPHV53_0)) (portRef C (instanceRef ir_addr_RNIIFBI5_6)) )) (net (rename xc_trap_address_iv_1_11 "xc_trap_address_iv_1[11]") (joined (portRef Y (instanceRef ir_addr_RNIMNSH5_11)) (portRef A (instanceRef r_f_pc_RNIT7AN8_11)) )) (net (rename addr_m_11 "addr_m[11]") (joined (portRef Y (instanceRef ir_addr_RNIJQUB1_11)) (portRef A (instanceRef ir_addr_RNIMNSH5_11)) )) (net (rename xc_trap_address_4_m_11 "xc_trap_address_4_m[11]") (joined (portRef Y (instanceRef r_x_mexc_RNIUPHT)) (portRef B (instanceRef ir_addr_RNIMNSH5_11)) )) (net (rename cpi_m_403 "cpi_m[403]") (joined (portRef Y (instanceRef r_x_rstate_RNI53C83_0)) (portRef C (instanceRef ir_addr_RNIMNSH5_11)) )) (net (rename xc_trap_address_iv_1_12 "xc_trap_address_iv_1[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_12)) (portRef A (instanceRef r_f_pc_RNO_4_12)) )) (net (rename addr_m_12 "addr_m[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_12)) (portRef A (instanceRef r_f_pc_RNO_8_12)) )) (net (rename tba_m_0 "tba_m[0]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_12)) (portRef B (instanceRef r_f_pc_RNO_8_12)) )) (net (rename cpi_m_404 "cpi_m[404]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_12)) (portRef C (instanceRef r_f_pc_RNO_8_12)) )) (net (rename xc_trap_address_iv_1_21 "xc_trap_address_iv_1[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_21)) (portRef A (instanceRef r_f_pc_RNO_7_21)) )) (net (rename addr_m_21 "addr_m[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_21)) (portRef A (instanceRef r_f_pc_RNO_10_21)) )) (net (rename tba_m_9 "tba_m[9]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_21)) (portRef B (instanceRef r_f_pc_RNO_10_21)) )) (net (rename cpi_m_413 "cpi_m[413]") (joined (portRef Y (instanceRef r_f_pc_RNO_15_21)) (portRef C (instanceRef r_f_pc_RNO_10_21)) )) (net (rename xc_trap_address_iv_1_26 "xc_trap_address_iv_1[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_26)) (portRef A (instanceRef r_f_pc_RNO_4_26)) )) (net (rename addr_m_26 "addr_m[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_26)) (portRef A (instanceRef r_f_pc_RNO_8_26)) )) (net (rename tba_m_14 "tba_m[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_26)) (portRef B (instanceRef r_f_pc_RNO_8_26)) )) (net (rename cpi_m_418 "cpi_m[418]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_26)) (portRef C (instanceRef r_f_pc_RNO_8_26)) )) (net (rename xc_trap_address_iv_1_20 "xc_trap_address_iv_1[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_20)) (portRef A (instanceRef r_f_pc_RNO_4_20)) )) (net (rename addr_m_20 "addr_m[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_20)) (portRef A (instanceRef r_f_pc_RNO_8_20)) )) (net (rename tba_m_8 "tba_m[8]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_20)) (portRef B (instanceRef r_f_pc_RNO_8_20)) )) (net (rename cpi_m_412 "cpi_m[412]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_20)) (portRef C (instanceRef r_f_pc_RNO_8_20)) )) (net (rename xc_trap_address_iv_1_8 "xc_trap_address_iv_1[8]") (joined (portRef Y (instanceRef ir_addr_RNIQNBI5_8)) (portRef A (instanceRef r_f_pc_RNI9V6N8_8)) )) (net (rename addr_m_8 "addr_m[8]") (joined (portRef Y (instanceRef ir_addr_RNI9HM71_8)) (portRef A (instanceRef ir_addr_RNIQNBI5_8)) )) (net (rename xc_trap_address_4_m_8 "xc_trap_address_4_m[8]") (joined (portRef Y (instanceRef r_x_mexc_RNIMKL41)) (portRef B (instanceRef ir_addr_RNIQNBI5_8)) )) (net (rename cpi_m_400 "cpi_m[400]") (joined (portRef Y (instanceRef r_x_rstate_RNIRHV53_0)) (portRef C (instanceRef ir_addr_RNIQNBI5_8)) )) (net (rename xc_trap_address_iv_1_10 "xc_trap_address_iv_1[10]") (joined (portRef Y (instanceRef ir_addr_RNI9HML5_10)) (portRef A (instanceRef r_f_pc_RNIF14R8_10)) )) (net (rename addr_m_10 "addr_m[10]") (joined (portRef Y (instanceRef ir_addr_RNIIMUB1_10)) (portRef A (instanceRef ir_addr_RNI9HML5_10)) )) (net (rename xc_trap_address_4_m_10 "xc_trap_address_4_m[10]") (joined (portRef Y (instanceRef r_x_result_RNIJRB11_6)) (portRef B (instanceRef ir_addr_RNI9HML5_10)) )) (net (rename cpi_m_402 "cpi_m[402]") (joined (portRef Y (instanceRef r_x_rstate_RNI4VB83_0)) (portRef C (instanceRef ir_addr_RNI9HML5_10)) )) (net (rename xc_trap_address_iv_1_25 "xc_trap_address_iv_1[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_10_25)) (portRef A (instanceRef r_f_pc_RNO_7_25)) )) (net (rename addr_m_25 "addr_m[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_25)) (portRef A (instanceRef r_f_pc_RNO_10_25)) )) (net (rename tba_m_13 "tba_m[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_25)) (portRef B (instanceRef r_f_pc_RNO_10_25)) )) (net (rename cpi_m_417 "cpi_m[417]") (joined (portRef Y (instanceRef r_f_pc_RNO_15_25)) (portRef C (instanceRef r_f_pc_RNO_10_25)) )) (net (rename xc_trap_address_iv_1_29 "xc_trap_address_iv_1[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_29)) (portRef A (instanceRef r_f_pc_RNO_4_29)) )) (net (rename addr_m_29 "addr_m[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_29)) (portRef A (instanceRef r_f_pc_RNO_8_29)) )) (net (rename tba_m_17 "tba_m[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_29)) (portRef B (instanceRef r_f_pc_RNO_8_29)) )) (net (rename cpi_m_421 "cpi_m[421]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_29)) (portRef C (instanceRef r_f_pc_RNO_8_29)) )) (net (rename xc_trap_address_iv_0_3 "xc_trap_address_iv_0[3]") (joined (portRef Y (instanceRef ir_addr_RNIQ2MD4_3)) (portRef A (instanceRef r_f_pc_RNI4MGI7_3)) )) (net (rename addr_m_3 "addr_m[3]") (joined (portRef Y (instanceRef ir_addr_RNI4HM71_3)) (portRef A (instanceRef ir_addr_RNIQ2MD4_3)) )) (net (rename cpi_m_395 "cpi_m[395]") (joined (portRef Y (instanceRef r_x_rstate_RNIMHV53_0)) (portRef B (instanceRef ir_addr_RNIQ2MD4_3)) )) (net (rename xc_trap_address_iv_1_24 "xc_trap_address_iv_1[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_24)) (portRef A (instanceRef r_f_pc_RNO_4_24)) )) (net (rename addr_m_24 "addr_m[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_24)) (portRef A (instanceRef r_f_pc_RNO_8_24)) )) (net (rename tba_m_12 "tba_m[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_24)) (portRef B (instanceRef r_f_pc_RNO_8_24)) )) (net (rename cpi_m_416 "cpi_m[416]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_24)) (portRef C (instanceRef r_f_pc_RNO_8_24)) )) (net (rename xc_trap_address_iv_1_23 "xc_trap_address_iv_1[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_23)) (portRef A (instanceRef r_f_pc_RNO_4_23)) )) (net (rename addr_m_23 "addr_m[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_23)) (portRef A (instanceRef r_f_pc_RNO_8_23)) )) (net (rename tba_m_11 "tba_m[11]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_23)) (portRef B (instanceRef r_f_pc_RNO_8_23)) )) (net (rename cpi_m_415 "cpi_m[415]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_23)) (portRef C (instanceRef r_f_pc_RNO_8_23)) )) (net (rename xc_trap_address_iv_1_30 "xc_trap_address_iv_1[30]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_30)) (portRef A (instanceRef r_f_pc_RNO_4_30)) )) (net (rename addr_m_30 "addr_m[30]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_30)) (portRef A (instanceRef r_f_pc_RNO_8_30)) )) (net (rename tba_m_18 "tba_m[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_30)) (portRef B (instanceRef r_f_pc_RNO_8_30)) )) (net (rename cpi_m_422 "cpi_m[422]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_30)) (portRef C (instanceRef r_f_pc_RNO_8_30)) )) (net (rename xc_trap_address_iv_1_15 "xc_trap_address_iv_1[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_15)) (portRef A (instanceRef r_f_pc_RNO_4_15)) )) (net (rename addr_m_15 "addr_m[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_15)) (portRef A (instanceRef r_f_pc_RNO_8_15)) )) (net (rename tba_m_3 "tba_m[3]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_15)) (portRef B (instanceRef r_f_pc_RNO_8_15)) )) (net (rename cpi_m_407 "cpi_m[407]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_15)) (portRef C (instanceRef r_f_pc_RNO_8_15)) )) (net (rename xc_trap_address_iv_1_31 "xc_trap_address_iv_1[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_31)) (portRef A (instanceRef r_f_pc_RNO_4_31)) )) (net (rename addr_m_31 "addr_m[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_31)) (portRef A (instanceRef r_f_pc_RNO_8_31)) )) (net (rename tba_m_19 "tba_m[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_31)) (portRef B (instanceRef r_f_pc_RNO_8_31)) )) (net (rename cpi_m_423 "cpi_m[423]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_31)) (portRef C (instanceRef r_f_pc_RNO_8_31)) )) (net (rename xc_trap_address_iv_1_28 "xc_trap_address_iv_1[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_28)) (portRef A (instanceRef r_f_pc_RNO_10_28)) )) (net (rename ddata_28 "ddata[28]") (joined (portRef (member ddata 3)) (portRef A (instanceRef r_x_rstate_RNI682E_0)) (portRef B (instanceRef r_m_dci_enaddr_RNICNF32)) (portRef B (instanceRef r_w_s_tba_RNO_16)) (portRef B (instanceRef r_w_s_y_RNO_2_28)) (portRef B (instanceRef r_f_pc_RNO_12_28)) (portRef B (instanceRef ir_addr_RNO_1_28)) )) (net (rename xc_trap_address_iv_1_19 "xc_trap_address_iv_1[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_19)) (portRef A (instanceRef r_f_pc_RNO_4_19)) )) (net (rename xc_trap_address_iv_0_19 "xc_trap_address_iv_0[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_19)) (portRef C (instanceRef r_f_pc_RNO_8_19)) )) (net (rename addr_m_19 "addr_m[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_19)) (portRef C (instanceRef r_f_pc_RNO_12_19)) )) (net (rename xc_trap_address_iv_1_16 "xc_trap_address_iv_1[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_16)) (portRef A (instanceRef r_f_pc_RNO_4_16)) )) (net (rename addr_m_16 "addr_m[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_16)) (portRef A (instanceRef r_f_pc_RNO_8_16)) )) (net (rename tba_m_4 "tba_m[4]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_16)) (portRef B (instanceRef r_f_pc_RNO_8_16)) )) (net (rename cpi_m_408 "cpi_m[408]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_16)) (portRef C (instanceRef r_f_pc_RNO_8_16)) )) (net (rename xc_trap_address_iv_1_22 "xc_trap_address_iv_1[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_8_22)) (portRef A (instanceRef r_f_pc_RNO_4_22)) )) (net (rename addr_m_22 "addr_m[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_12_22)) (portRef A (instanceRef r_f_pc_RNO_8_22)) )) (net (rename tba_m_10 "tba_m[10]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_22)) (portRef B (instanceRef r_f_pc_RNO_8_22)) )) (net (rename cpi_m_414 "cpi_m[414]") (joined (portRef Y (instanceRef r_f_pc_RNO_14_22)) (portRef C (instanceRef r_f_pc_RNO_8_22)) )) (net I167_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I167_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_Y_1)) )) (net N570_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I107_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I159_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_Y_1)) )) (net I223_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I223_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_Y_1)) )) (net ADD_33x33_fast_I269_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_Y_0)) )) (net N644_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I175_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I215_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_Y_0)) )) (net (rename y_iv_0_1_31 "y_iv_0_1[31]") (joined (portRef Y (instanceRef r_m_y_RNO_0_31)) (portRef A (instanceRef r_m_y_RNO_31)) )) (net (rename y_31 "y[31]") (joined (portRef Q (instanceRef r_x_y_31)) (portRef B (instanceRef r_w_s_y_RNO_3_31)) (portRef B (instanceRef r_m_y_RNO_0_31)) )) (net N_347 (joined (portRef Y (instanceRef r_m_y_RNO_3_31)) (portRef C (instanceRef r_m_y_RNO_0_31)) )) (net (rename y_iv_0_0_31 "y_iv_0_0[31]") (joined (portRef Y (instanceRef r_m_y_RNO_1_31)) (portRef B (instanceRef r_m_y_RNO_31)) )) (net (rename y_0_31 "y_0[31]") (joined (portRef Q (instanceRef r_m_y_31)) (portRef D (instanceRef r_x_y_31)) (portRef A (instanceRef r_m_y_RNO_4_30)) (portRef A (instanceRef r_m_y_RNIP1O71_31)) (portRef A (instanceRef r_m_y_RNO_1_31)) )) (net N_349 (joined (portRef Y (instanceRef r_m_y_RNO_4_31)) (portRef C (instanceRef r_m_y_RNO_1_31)) )) (net ADD_33x33_fast_I264_Y_1_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_Y_1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I317_Y_0)) )) (net ADD_33x33_fast_I264_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_Y_1)) )) (net I221_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I221_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_Y_1)) )) (net N576_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I113_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I173_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_Y_0)) )) (net N569_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I106_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I158_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I166_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I264_Y_0)) )) (net ADD_33x33_fast_I269_un1_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_Y_0)) )) (net N645_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I176_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I231_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_Y_0)) )) (net N644_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I175_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I215_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_Y_0)) )) (net (rename cnt_0_0 "cnt_0[0]") (joined (portRef Q (instanceRef r_d_cnt_0)) (portRef D (instanceRef r_a_ctrl_cnt_0)) (portRef A (instanceRef r_d_cnt_RNIFET3_0_0)) (portRef B (instanceRef r_d_cnt_RNIM0KB_0)) (portRef B (instanceRef r_d_cnt_RNIFET3_0)) (portRef B (instanceRef r_d_cnt_RNIFET3_1_0)) (portRef B (instanceRef r_d_cnt_RNO_0)) (portRef A (instanceRef r_d_cnt_RNI9TF3_0)) (portRef S (instanceRef r_d_pv_RNO_6)) (portRef A (instanceRef r_d_pv_RNO_10)) )) (net (rename inst_29 "inst[29]") (joined (portRef Q (instanceRef r_e_ctrl_inst_29)) (portRef D (instanceRef r_m_ctrl_inst_29)) (portRef A (instanceRef r_e_ctrl_inst_RNI9AF34_29)) (portRef C (instanceRef r_d_pv_RNO_4)) (portRef B (instanceRef r_d_pv_RNO_10)) )) (net pv_12_i_a6_3_1 (joined (portRef Y (instanceRef r_d_pv_RNO_11)) (portRef C (instanceRef r_d_pv_RNO_10)) )) (net (rename edata2_0_iv_1_12 "edata2_0_iv_1[12]") (joined (portRef Y (instanceRef r_e_op1_RNI1QIO5_12)) (portRef C (instanceRef r_e_op1_RNIA4I4A_12)) )) (net (rename edata2_0_iv_0_8 "edata2_0_iv_0[8]") (joined (portRef Y (instanceRef r_e_op1_RNI1B1T3_8)) (portRef A (instanceRef r_e_op1_RNIR42D9_8)) )) (net (rename bpdata_i_m_2_0 "bpdata_i_m_2[0]") (joined (portRef Y (instanceRef r_x_result_RNIE93H3_0)) (portRef C (instanceRef r_x_result_RNIRJ927_8)) (portRef C (instanceRef r_e_op1_RNI1B1T3_8)) )) (net (rename edata2_0_iv_1_11 "edata2_0_iv_1[11]") (joined (portRef Y (instanceRef r_e_op1_RNILR9P5_11)) (portRef C (instanceRef r_e_op1_RNILN06A_11)) )) (net (rename edata2_0_iv_0_9 "edata2_0_iv_0[9]") (joined (portRef Y (instanceRef r_e_op1_RNI6N1T3_9)) (portRef A (instanceRef r_e_op1_RNI613D9_9)) )) (net (rename bpdata_i_m_2_1 "bpdata_i_m_2[1]") (joined (portRef Y (instanceRef r_x_result_RNIIL3H3_1)) (portRef C (instanceRef r_x_result_RNI3CA27_9)) (portRef C (instanceRef r_e_op1_RNI6N1T3_9)) )) (net (rename edata2_0_iv_0_10 "edata2_0_iv_0[10]") (joined (portRef Y (instanceRef r_e_op1_RNII4R04_10)) (portRef A (instanceRef r_e_op1_RNIF985A_10)) )) (net (rename bpdata_i_m_2_2 "bpdata_i_m_2[2]") (joined (portRef Y (instanceRef r_x_result_RNIM14H3_2)) (portRef C (instanceRef r_x_result_RNI0EHD7_10)) (portRef C (instanceRef r_e_op1_RNII4R04_10)) )) (net ADD_33x33_fast_I273_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I273_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I308_Y_0)) )) (net N653_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I184_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I273_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I273_Y_0)) )) (net ADD_33x33_fast_I271_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I271_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I310_Y_0)) )) (net N664_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I195_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I247_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I271_Y_0)) )) (net N649_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I180_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I271_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I271_Y_0)) )) (net ADD_33x33_fast_I268_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_Y)) )) (net N642_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I173_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I213_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_Y_0)) )) (net (rename y_iv_2_23 "y_iv_2[23]") (joined (portRef Y (instanceRef r_m_y_RNO_0_23)) (portRef C (instanceRef r_m_y_RNO_23)) )) (net (rename y_m_23 "y_m[23]") (joined (portRef Y (instanceRef r_m_y_RNO_1_23)) (portRef A (instanceRef r_m_y_RNO_0_23)) )) (net (rename y_m_0_23 "y_m_0[23]") (joined (portRef Y (instanceRef r_m_y_RNO_2_23)) (portRef B (instanceRef r_m_y_RNO_0_23)) )) (net (rename y_iv_0_23 "y_iv_0[23]") (joined (portRef Y (instanceRef r_m_y_RNO_3_23)) (portRef C (instanceRef r_m_y_RNO_0_23)) )) (net (rename y_23 "y[23]") (joined (portRef Q (instanceRef r_m_y_23)) (portRef D (instanceRef r_x_y_23)) (portRef A (instanceRef r_m_y_RNIQ9O71_23)) (portRef A (instanceRef r_m_y_RNO_1_22)) (portRef A (instanceRef r_m_y_RNO_3_23)) )) (net (rename y_m_24 "y_m[24]") (joined (portRef Y (instanceRef r_m_y_RNO_4_23)) (portRef C (instanceRef r_m_y_RNO_3_23)) )) (net (rename y_iv_2_20 "y_iv_2[20]") (joined (portRef Y (instanceRef r_m_y_RNO_0_20)) (portRef C (instanceRef r_m_y_RNO_20)) )) (net (rename y_m_21 "y_m[21]") (joined (portRef Y (instanceRef r_m_y_RNO_1_20)) (portRef A (instanceRef r_m_y_RNO_0_20)) )) (net (rename y_m_0_20 "y_m_0[20]") (joined (portRef Y (instanceRef r_m_y_RNO_2_20)) (portRef B (instanceRef r_m_y_RNO_0_20)) )) (net (rename y_iv_1_20 "y_iv_1[20]") (joined (portRef Y (instanceRef r_m_y_RNO_3_20)) (portRef C (instanceRef r_m_y_RNO_0_20)) )) (net (rename y_m_20 "y_m[20]") (joined (portRef Y (instanceRef r_m_y_RNO_4_20)) (portRef A (instanceRef r_m_y_RNO_3_20)) )) (net (rename y_m_2_20 "y_m_2[20]") (joined (portRef Y (instanceRef r_m_y_RNO_5_20)) (portRef B (instanceRef r_m_y_RNO_3_20)) )) (net (rename y_0_21 "y_0[21]") (joined (portRef Q (instanceRef r_w_s_y_21)) (portRef A (instanceRef r_w_s_y_RNINFF61_21)) (portRef B (instanceRef r_w_s_y_RNO_21)) (portRef B (instanceRef comb_logic_op_y_iv_RNO_1_21)) )) (net (rename y_m_2_21 "y_m_2[21]") (joined (portRef Y (instanceRef comb_logic_op_y_iv_RNO_3_21)) (portRef C (instanceRef comb_logic_op_y_iv_RNO_1_21)) )) (net (rename y_1_21 "y_1[21]") (joined (portRef Q (instanceRef r_m_y_21)) (portRef D (instanceRef r_x_y_21)) (portRef A (instanceRef r_m_y_RNIO1O71_21)) (portRef A (instanceRef r_m_y_RNO_1_20)) (portRef A (instanceRef comb_logic_op_y_iv_RNO_2_21)) )) (net (rename y_m_22 "y_m[22]") (joined (portRef Y (instanceRef comb_logic_op_y_iv_RNO_4_21)) (portRef C (instanceRef comb_logic_op_y_iv_RNO_2_21)) )) (net (rename y_iv_2_22 "y_iv_2[22]") (joined (portRef Y (instanceRef r_m_y_RNO_0_22)) (portRef C (instanceRef r_m_y_RNO_22)) )) (net (rename y_m_2_23 "y_m_2[23]") (joined (portRef Y (instanceRef r_m_y_RNO_1_22)) (portRef A (instanceRef r_m_y_RNO_0_22)) )) (net (rename y_m_0_22 "y_m_0[22]") (joined (portRef Y (instanceRef r_m_y_RNO_2_22)) (portRef B (instanceRef r_m_y_RNO_0_22)) )) (net (rename y_iv_1_22 "y_iv_1[22]") (joined (portRef Y (instanceRef r_m_y_RNO_3_22)) (portRef C (instanceRef r_m_y_RNO_0_22)) )) (net (rename y_0_22 "y_0[22]") (joined (portRef Q (instanceRef r_w_s_y_22)) (portRef A (instanceRef r_w_s_y_RNIOJF61_22)) (portRef B (instanceRef r_w_s_y_RNO_22)) (portRef B (instanceRef r_m_y_RNO_3_22)) )) (net (rename y_m_2_22 "y_m_2[22]") (joined (portRef Y (instanceRef r_m_y_RNO_4_22)) (portRef C (instanceRef r_m_y_RNO_3_22)) )) (net ADD_33x33_fast_I271_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I271_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I310_Y_0)) )) (net ADD_33x33_fast_I271_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I271_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I271_Y_0)) )) (net N665 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I196_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I247_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I271_Y_0)) )) (net (rename y_iv_2_1 "y_iv_2[1]") (joined (portRef Y (instanceRef r_m_y_RNO_0_1)) (portRef C (instanceRef r_m_y_RNO_1)) )) (net (rename y_m_1 "y_m[1]") (joined (portRef Y (instanceRef r_m_y_RNO_1_1)) (portRef A (instanceRef r_m_y_RNO_0_1)) )) (net (rename y_m_0_1 "y_m_0[1]") (joined (portRef Y (instanceRef r_m_y_RNO_2_1)) (portRef B (instanceRef r_m_y_RNO_0_1)) )) (net (rename y_iv_0_1 "y_iv_0[1]") (joined (portRef Y (instanceRef r_m_y_RNO_3_1)) (portRef C (instanceRef r_m_y_RNO_0_1)) )) (net (rename y_0_1 "y_0[1]") (joined (portRef Q (instanceRef r_m_y_1)) (portRef D (instanceRef r_x_y_1)) (portRef A (instanceRef r_m_y_RNI64K91_1)) (portRef A (instanceRef r_m_y_RNI84TF_1)) (portRef A (instanceRef r_m_y_RNO_3_1)) )) (net (rename y_m_2 "y_m[2]") (joined (portRef Y (instanceRef r_m_y_RNO_4_1)) (portRef C (instanceRef r_m_y_RNO_3_1)) )) (net (rename y_iv_0_1_0 "y_iv_0_1[0]") (joined (portRef Y (instanceRef r_x_y_RNIA2QG1_0)) (portRef A (instanceRef r_m_y_RNISEPJ4_0)) )) (net (rename y_1_0 "y_1[0]") (joined (portRef Q (instanceRef r_x_y_0)) (portRef A (instanceRef r_w_s_y_RNO_3_0)) (portRef A (instanceRef r_x_y_RNIA2QG1_0)) )) (net N_472 (joined (portRef Y (instanceRef r_w_s_y_RNIA8FQ_0)) (portRef C (instanceRef r_x_y_RNIA2QG1_0)) )) (net (rename y_iv_0_0_0 "y_iv_0_0[0]") (joined (portRef Y (instanceRef r_m_y_RNIA6NV_0)) (portRef B (instanceRef r_m_y_RNISEPJ4_0)) )) (net N_485 (joined (portRef Y (instanceRef r_m_y_RNI84TF_1)) (portRef C (instanceRef r_m_y_RNIA6NV_0)) )) (net ADD_33x33_fast_I265_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I265_un1_Y)) )) (net ADD_33x33_fast_I273_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I308_Y_0)) )) (net I239_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I239_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_Y_0)) )) (net I183_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I183_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I223_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_Y_0)) )) (net N586 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I123_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I175_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I223_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_Y_0)) )) (net (rename y_iv_1_26 "y_iv_1[26]") (joined (portRef Y (instanceRef r_m_y_RNO_0_26)) (portRef A (instanceRef r_m_y_RNO_26)) )) (net (rename y_1_26 "y_1[26]") (joined (portRef Q (instanceRef r_w_s_y_26)) (portRef A (instanceRef r_w_s_y_RNIS3G61_26)) (portRef B (instanceRef r_w_s_y_RNO_26)) (portRef B (instanceRef r_m_y_RNO_0_26)) )) (net (rename y_m_26 "y_m[26]") (joined (portRef Y (instanceRef r_m_y_RNO_3_26)) (portRef C (instanceRef r_m_y_RNO_0_26)) )) (net (rename y_iv_0_26 "y_iv_0[26]") (joined (portRef Y (instanceRef r_m_y_RNO_1_26)) (portRef B (instanceRef r_m_y_RNO_26)) )) (net (rename y_m_27 "y_m[27]") (joined (portRef Y (instanceRef r_m_y_RNO_4_26)) (portRef C (instanceRef r_m_y_RNO_1_26)) )) (net (rename y_iv_1_28 "y_iv_1[28]") (joined (portRef Y (instanceRef r_m_y_RNO_0_28)) (portRef A (instanceRef r_m_y_RNO_28)) )) (net (rename y_m_28 "y_m[28]") (joined (portRef Y (instanceRef r_m_y_RNO_3_28)) (portRef A (instanceRef r_m_y_RNO_0_28)) )) (net (rename y_m_0_28 "y_m_0[28]") (joined (portRef Y (instanceRef r_m_y_RNO_4_28)) (portRef B (instanceRef r_m_y_RNO_0_28)) )) (net (rename y_iv_0_28 "y_iv_0[28]") (joined (portRef Y (instanceRef r_m_y_RNO_1_28)) (portRef B (instanceRef r_m_y_RNO_28)) )) (net (rename y_0_28 "y_0[28]") (joined (portRef Q (instanceRef r_m_y_28)) (portRef D (instanceRef r_x_y_28)) (portRef A (instanceRef r_m_y_RNIVTO71_28)) (portRef A (instanceRef r_m_y_RNO_4_27)) (portRef A (instanceRef r_m_y_RNO_1_28)) )) (net (rename y_m_29 "y_m[29]") (joined (portRef Y (instanceRef r_m_y_RNO_5_28)) (portRef C (instanceRef r_m_y_RNO_1_28)) )) (net (rename y_iv_1_19 "y_iv_1[19]") (joined (portRef Y (instanceRef r_m_y_RNO_0_19)) (portRef A (instanceRef r_m_y_RNO_19)) )) (net (rename y_0_19 "y_0[19]") (joined (portRef Q (instanceRef r_w_s_y_19)) (portRef A (instanceRef r_w_s_y_RNIUFG61_19)) (portRef B (instanceRef r_w_s_y_RNO_19)) (portRef B (instanceRef r_m_y_RNO_0_19)) )) (net (rename y_m_19 "y_m[19]") (joined (portRef Y (instanceRef r_m_y_RNO_3_19)) (portRef C (instanceRef r_m_y_RNO_0_19)) )) (net (rename y_iv_0_19 "y_iv_0[19]") (joined (portRef Y (instanceRef r_m_y_RNO_1_19)) (portRef B (instanceRef r_m_y_RNO_19)) )) (net (rename y_m_3_20 "y_m_3[20]") (joined (portRef Y (instanceRef r_m_y_RNO_4_19)) (portRef C (instanceRef r_m_y_RNO_1_19)) )) (net (rename edata2_0_iv_1_17 "edata2_0_iv_1[17]") (joined (portRef Y (instanceRef r_e_op1_RNIK7L46_17)) (portRef A (instanceRef r_e_op1_RNISIA5A_17)) )) (net (rename bpdata_i_m_17 "bpdata_i_m[17]") (joined (portRef Y (instanceRef r_x_result_RNI79ES3_17)) (portRef A (instanceRef r_e_op1_RNIK7L46_17)) )) (net (rename op1_i_m_17 "op1_i_m[17]") (joined (portRef Y (instanceRef r_e_op1_RNI3VNF_0_17)) (portRef B (instanceRef r_e_op1_RNIK7L46_17)) )) (net (rename ex_op1_i_m_17 "ex_op1_i_m[17]") (joined (portRef Y (instanceRef r_e_op1_RNIAVEO1_17)) (portRef C (instanceRef r_e_op1_RNIK7L46_17)) )) (net (rename edata2_0_iv_1_21 "edata2_0_iv_1[21]") (joined (portRef Y (instanceRef r_e_op1_RNIK4B56_21)) (portRef A (instanceRef r_e_op1_RNIHAA5A_21)) )) (net (rename bpdata_i_m_21 "bpdata_i_m[21]") (joined (portRef Y (instanceRef r_x_result_RNIKI5T3_21)) (portRef A (instanceRef r_e_op1_RNIK4B56_21)) )) (net (rename op1_i_m_21 "op1_i_m[21]") (joined (portRef Y (instanceRef r_e_op1_RNIU6NF_21)) (portRef B (instanceRef r_e_op1_RNIK4B56_21)) )) (net (rename ex_op1_i_m_21 "ex_op1_i_m[21]") (joined (portRef Y (instanceRef r_e_op1_RNI2BEO1_21)) (portRef C (instanceRef r_e_op1_RNIK4B56_21)) )) (net (rename edata2_0_iv_1_19 "edata2_0_iv_1[19]") (joined (portRef Y (instanceRef r_e_op1_RNI20M46_19)) (portRef A (instanceRef r_e_op1_RNII3C5A_19)) )) (net (rename bpdata_i_m_19 "bpdata_i_m[19]") (joined (portRef Y (instanceRef r_x_result_RNIFHES3_19)) (portRef A (instanceRef r_e_op1_RNI20M46_19)) )) (net (rename op1_i_m_19 "op1_i_m[19]") (joined (portRef Y (instanceRef r_e_op1_RNI57OF_0_19)) (portRef B (instanceRef r_e_op1_RNI20M46_19)) )) (net (rename ex_op1_i_m_19 "ex_op1_i_m[19]") (joined (portRef Y (instanceRef r_e_op1_RNIE7FO1_19)) (portRef C (instanceRef r_e_op1_RNI20M46_19)) )) (net (rename edata2_0_iv_1_23 "edata2_0_iv_1[23]") (joined (portRef Y (instanceRef r_e_op1_RNI77K46_23)) (portRef A (instanceRef r_e_op1_RNIC5K4A_23)) )) (net (rename bpdata_i_m_23 "bpdata_i_m[23]") (joined (portRef Y (instanceRef r_x_result_RNI15ES3_23)) (portRef A (instanceRef r_e_op1_RNI77K46_23)) )) (net (rename op1_i_m_23 "op1_i_m[23]") (joined (portRef Y (instanceRef r_e_op1_RNI0FNF_23)) (portRef B (instanceRef r_e_op1_RNI77K46_23)) )) (net (rename ex_op1_i_m_23 "ex_op1_i_m[23]") (joined (portRef Y (instanceRef r_e_op1_RNI6JEO1_23)) (portRef C (instanceRef r_e_op1_RNI77K46_23)) )) (net (rename edata2_0_iv_0_16 "edata2_0_iv_0[16]") (joined (portRef Y (instanceRef r_e_op1_RNI506C4_16)) (portRef A (instanceRef r_e_op1_RNIHQ95A_16)) )) (net (rename op1_16 "op1[16]") (joined (portRef Q (instanceRef r_e_op1_16)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I17_G0N)) (portRef A (instanceRef r_e_op1_RNI2RNF_16)) (portRef A (instanceRef r_e_op1_RNI41ID_16)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I17_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I17_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I60_Ytt_m1_0_a2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i_a4)) (portRef B (instanceRef r_e_op1_RNI506C4_16)) )) (net (rename bpdata_i_m_16 "bpdata_i_m[16]") (joined (portRef Y (instanceRef r_x_result_RNI35ES3_16)) (portRef C (instanceRef r_e_op1_RNI506C4_16)) )) (net (rename edata2_0_iv_1_20 "edata2_0_iv_1[20]") (joined (portRef Y (instanceRef r_e_op1_RNIDOA56_20)) (portRef A (instanceRef r_e_op1_RNI6I95A_20)) )) (net (rename bpdata_i_m_20 "bpdata_i_m[20]") (joined (portRef Y (instanceRef r_x_result_RNIGE5T3_20)) (portRef A (instanceRef r_e_op1_RNIDOA56_20)) )) (net (rename op1_i_m_20 "op1_i_m[20]") (joined (portRef Y (instanceRef r_e_op1_RNIT2NF_20)) (portRef B (instanceRef r_e_op1_RNIDOA56_20)) )) (net (rename ex_op1_i_m_20 "ex_op1_i_m[20]") (joined (portRef Y (instanceRef r_e_op1_RNI07EO1_20)) (portRef C (instanceRef r_e_op1_RNIDOA56_20)) )) (net (rename edata2_0_iv_1_22 "edata2_0_iv_1[22]") (joined (portRef Y (instanceRef r_e_op1_RNI0RJ46_22)) (portRef A (instanceRef r_e_op1_RNIS2B5A_22)) )) (net (rename bpdata_i_m_22 "bpdata_i_m[22]") (joined (portRef Y (instanceRef r_x_result_RNIT0ES3_22)) (portRef A (instanceRef r_e_op1_RNI0RJ46_22)) )) (net (rename op1_i_m_22 "op1_i_m[22]") (joined (portRef Y (instanceRef r_e_op1_RNIVANF_22)) (portRef B (instanceRef r_e_op1_RNI0RJ46_22)) )) (net (rename ex_op1_i_m_22 "ex_op1_i_m[22]") (joined (portRef Y (instanceRef r_e_op1_RNI4FEO1_22)) (portRef C (instanceRef r_e_op1_RNI0RJ46_22)) )) (net (rename y_iv_1_3 "y_iv_1[3]") (joined (portRef Y (instanceRef r_m_y_RNO_0_3)) (portRef A (instanceRef r_m_y_RNO_3)) )) (net (rename y_0_3 "y_0[3]") (joined (portRef Q (instanceRef r_w_s_y_3)) (portRef A (instanceRef r_w_s_y_RNI7IG91_3)) (portRef B (instanceRef r_w_s_y_RNO_3)) (portRef B (instanceRef r_m_y_RNO_0_3)) )) (net (rename y_m_3 "y_m[3]") (joined (portRef Y (instanceRef r_m_y_RNO_3_3)) (portRef C (instanceRef r_m_y_RNO_0_3)) )) (net (rename y_iv_0_3 "y_iv_0[3]") (joined (portRef Y (instanceRef r_m_y_RNO_1_3)) (portRef B (instanceRef r_m_y_RNO_3)) )) (net (rename y_1_3 "y_1[3]") (joined (portRef Q (instanceRef r_m_y_3)) (portRef D (instanceRef r_x_y_3)) (portRef A (instanceRef r_m_y_RNO_1_2)) (portRef A (instanceRef r_m_y_RNI84K91_3)) (portRef A (instanceRef r_m_y_RNO_1_3)) )) (net (rename y_m_4 "y_m[4]") (joined (portRef Y (instanceRef r_m_y_RNO_4_3)) (portRef C (instanceRef r_m_y_RNO_1_3)) )) (net (rename y_iv_2_2 "y_iv_2[2]") (joined (portRef Y (instanceRef r_m_y_RNO_0_2)) (portRef C (instanceRef r_m_y_RNO_2)) )) (net (rename y_m_0_3 "y_m_0[3]") (joined (portRef Y (instanceRef r_m_y_RNO_1_2)) (portRef A (instanceRef r_m_y_RNO_0_2)) )) (net (rename y_m_0_2 "y_m_0[2]") (joined (portRef Y (instanceRef r_m_y_RNO_2_2)) (portRef B (instanceRef r_m_y_RNO_0_2)) )) (net (rename y_iv_1_2 "y_iv_1[2]") (joined (portRef Y (instanceRef r_m_y_RNO_3_2)) (portRef C (instanceRef r_m_y_RNO_0_2)) )) (net (rename y_2 "y[2]") (joined (portRef Q (instanceRef r_x_y_2)) (portRef A (instanceRef r_w_s_y_RNO_1_2)) (portRef A (instanceRef r_m_y_RNO_3_2)) )) (net (rename y_m_2_2 "y_m_2[2]") (joined (portRef Y (instanceRef r_m_y_RNO_4_2)) (portRef C (instanceRef r_m_y_RNO_3_2)) )) (net (rename y_iv_2_4 "y_iv_2[4]") (joined (portRef Y (instanceRef r_m_y_RNO_0_4)) (portRef C (instanceRef r_m_y_RNO_4)) )) (net (rename y_m_5 "y_m[5]") (joined (portRef Y (instanceRef r_m_y_RNO_1_4)) (portRef A (instanceRef r_m_y_RNO_0_4)) )) (net (rename y_m_0_0_4 "y_m_0_0[4]") (joined (portRef Y (instanceRef r_m_y_RNO_2_4)) (portRef B (instanceRef r_m_y_RNO_0_4)) )) (net (rename y_iv_1_4 "y_iv_1[4]") (joined (portRef Y (instanceRef r_m_y_RNO_3_4)) (portRef C (instanceRef r_m_y_RNO_0_4)) )) (net (rename y_0_4 "y_0[4]") (joined (portRef Q (instanceRef r_w_s_y_4)) (portRef A (instanceRef r_w_s_y_RNI8IG91_4)) (portRef B (instanceRef r_w_s_y_RNO_4)) (portRef B (instanceRef r_m_y_RNO_3_4)) )) (net (rename y_m_1_4 "y_m_1[4]") (joined (portRef Y (instanceRef r_m_y_RNO_4_4)) (portRef C (instanceRef r_m_y_RNO_3_4)) )) (net ADD_33x33_fast_I272_un1_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I272_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I272_Y_0)) )) (net N667 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I198_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I248_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I272_Y_0)) )) (net N650_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I181_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I221_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I272_Y_0)) )) (net un9_rabpmiss_1 (joined (portRef Y (instanceRef r_d_annul_RNI17OB)) (portRef A (instanceRef r_d_annul_RNIRK1K4)) )) (net un9_rabpmiss_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIDG9A_29)) (portRef A (instanceRef r_d_annul_RNI17OB)) )) (net (rename inst_1_29 "inst_1[29]") (joined (portRef Q (instanceRef r_a_ctrl_inst_29)) (portRef D (instanceRef r_e_ctrl_inst_29)) (portRef B (instanceRef r_a_ctrl_inst_RNIBI6R_29)) (portRef A (instanceRef r_a_ctrl_inst_RNIDG9A_29)) )) (net N552 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I249_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I205_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I151_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I292_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I273_un1_Y_0)) )) (net N603 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I140_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I249_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I199_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I192_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I273_un1_Y_0)) )) (net N611 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I148_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I249_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I205_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I273_un1_Y_0)) )) (net (rename y_iv_2_18 "y_iv_2[18]") (joined (portRef Y (instanceRef r_m_y_RNO_0_18)) (portRef C (instanceRef r_m_y_RNO_18)) )) (net (rename y_m_0_19 "y_m_0[19]") (joined (portRef Y (instanceRef r_m_y_RNO_1_18)) (portRef A (instanceRef r_m_y_RNO_0_18)) )) (net (rename y_m_0_0_18 "y_m_0_0[18]") (joined (portRef Y (instanceRef r_m_y_RNO_2_18)) (portRef B (instanceRef r_m_y_RNO_0_18)) )) (net (rename y_iv_1_18 "y_iv_1[18]") (joined (portRef Y (instanceRef r_m_y_RNO_3_18)) (portRef C (instanceRef r_m_y_RNO_0_18)) )) (net (rename y_18 "y[18]") (joined (portRef Q (instanceRef r_w_s_y_18)) (portRef A (instanceRef r_w_s_y_RNITBG61_18)) (portRef B (instanceRef r_w_s_y_RNO_18)) (portRef B (instanceRef r_m_y_RNO_3_18)) )) (net (rename y_m_18 "y_m[18]") (joined (portRef Y (instanceRef r_m_y_RNO_4_18)) (portRef C (instanceRef r_m_y_RNO_3_18)) )) (net (rename y_iv_2_24 "y_iv_2[24]") (joined (portRef Y (instanceRef r_m_y_RNO_0_24)) (portRef C (instanceRef r_m_y_RNO_24)) )) (net (rename y_m_25 "y_m[25]") (joined (portRef Y (instanceRef r_m_y_RNO_1_24)) (portRef A (instanceRef r_m_y_RNO_0_24)) )) (net (rename y_m_0_0_24 "y_m_0_0[24]") (joined (portRef Y (instanceRef r_m_y_RNO_2_24)) (portRef B (instanceRef r_m_y_RNO_0_24)) )) (net (rename y_iv_1_24 "y_iv_1[24]") (joined (portRef Y (instanceRef r_m_y_RNO_3_24)) (portRef C (instanceRef r_m_y_RNO_0_24)) )) (net (rename y_24 "y[24]") (joined (portRef Q (instanceRef r_w_s_y_24)) (portRef A (instanceRef r_w_s_y_RNIQRF61_24)) (portRef B (instanceRef r_w_s_y_RNO_24)) (portRef B (instanceRef r_m_y_RNO_3_24)) )) (net (rename y_m_2_24 "y_m_2[24]") (joined (portRef Y (instanceRef r_m_y_RNO_4_24)) (portRef C (instanceRef r_m_y_RNO_3_24)) )) (net (rename y_iv_2_10 "y_iv_2[10]") (joined (portRef Y (instanceRef r_m_y_RNO_0_10)) (portRef C (instanceRef r_m_y_RNO_10)) )) (net (rename y_m_11 "y_m[11]") (joined (portRef Y (instanceRef r_m_y_RNO_1_10)) (portRef A (instanceRef r_m_y_RNO_0_10)) )) (net (rename y_m_0_10 "y_m_0[10]") (joined (portRef Y (instanceRef r_m_y_RNO_2_10)) (portRef B (instanceRef r_m_y_RNO_0_10)) )) (net (rename y_iv_1_10 "y_iv_1[10]") (joined (portRef Y (instanceRef r_m_y_RNO_3_10)) (portRef C (instanceRef r_m_y_RNO_0_10)) )) (net (rename y_m_10 "y_m[10]") (joined (portRef Y (instanceRef r_m_y_RNO_4_10)) (portRef A (instanceRef r_m_y_RNO_3_10)) )) (net (rename y_m_2_10 "y_m_2[10]") (joined (portRef Y (instanceRef r_m_y_RNO_5_10)) (portRef B (instanceRef r_m_y_RNO_3_10)) )) (net (rename y_iv_1_25 "y_iv_1[25]") (joined (portRef Y (instanceRef r_m_y_RNO_0_25)) (portRef A (instanceRef r_m_y_RNO_25)) )) (net (rename y_25 "y[25]") (joined (portRef Q (instanceRef r_w_s_y_25)) (portRef A (instanceRef r_w_s_y_RNIRVF61_25)) (portRef B (instanceRef r_w_s_y_RNO_25)) (portRef B (instanceRef r_m_y_RNO_0_25)) )) (net (rename y_m_2_25 "y_m_2[25]") (joined (portRef Y (instanceRef r_m_y_RNO_3_25)) (portRef C (instanceRef r_m_y_RNO_0_25)) )) (net (rename y_iv_0_25 "y_iv_0[25]") (joined (portRef Y (instanceRef r_m_y_RNO_1_25)) (portRef B (instanceRef r_m_y_RNO_25)) )) (net (rename y_0_25 "y_0[25]") (joined (portRef Q (instanceRef r_m_y_25)) (portRef D (instanceRef r_x_y_25)) (portRef A (instanceRef r_m_y_RNO_1_24)) (portRef A (instanceRef r_m_y_RNISHO71_25)) (portRef A (instanceRef r_m_y_RNO_1_25)) )) (net (rename y_m_0_26 "y_m_0[26]") (joined (portRef Y (instanceRef r_m_y_RNO_4_25)) (portRef C (instanceRef r_m_y_RNO_1_25)) )) (net (rename y_iv_2_6 "y_iv_2[6]") (joined (portRef Y (instanceRef r_m_y_RNO_0_6)) (portRef C (instanceRef r_m_y_RNO_6)) )) (net (rename y_m_7 "y_m[7]") (joined (portRef Y (instanceRef r_m_y_RNO_1_6)) (portRef A (instanceRef r_m_y_RNO_0_6)) )) (net (rename y_m_0_6 "y_m_0[6]") (joined (portRef Y (instanceRef r_m_y_RNO_2_6)) (portRef B (instanceRef r_m_y_RNO_0_6)) )) (net (rename y_iv_1_6 "y_iv_1[6]") (joined (portRef Y (instanceRef r_m_y_RNO_3_6)) (portRef C (instanceRef r_m_y_RNO_0_6)) )) (net (rename y_0_6 "y_0[6]") (joined (portRef Q (instanceRef r_w_s_y_6)) (portRef A (instanceRef r_w_s_y_RNIAIG91_6)) (portRef B (instanceRef r_w_s_y_RNO_6)) (portRef B (instanceRef r_m_y_RNO_3_6)) )) (net (rename y_m_6 "y_m[6]") (joined (portRef Y (instanceRef r_m_y_RNO_4_6)) (portRef C (instanceRef r_m_y_RNO_3_6)) )) (net (rename y_iv_1_12 "y_iv_1[12]") (joined (portRef Y (instanceRef r_m_y_RNO_0_12)) (portRef A (instanceRef r_m_y_RNO_12)) )) (net (rename y_0_12 "y_0[12]") (joined (portRef Q (instanceRef r_w_s_y_12)) (portRef A (instanceRef r_w_s_y_RNINJF61_12)) (portRef B (instanceRef r_w_s_y_RNO_12)) (portRef B (instanceRef r_m_y_RNO_0_12)) )) (net (rename y_m_12 "y_m[12]") (joined (portRef Y (instanceRef r_m_y_RNO_3_12)) (portRef C (instanceRef r_m_y_RNO_0_12)) )) (net (rename y_iv_0_12 "y_iv_0[12]") (joined (portRef Y (instanceRef r_m_y_RNO_1_12)) (portRef B (instanceRef r_m_y_RNO_12)) )) (net (rename y_1_12 "y_1[12]") (joined (portRef Q (instanceRef r_m_y_12)) (portRef D (instanceRef r_x_y_12)) (portRef A (instanceRef r_m_y_RNIO5O71_12)) (portRef A (instanceRef r_m_y_RNO_4_11)) (portRef A (instanceRef r_m_y_RNO_1_12)) )) (net (rename y_m_13 "y_m[13]") (joined (portRef Y (instanceRef r_m_y_RNO_4_12)) (portRef C (instanceRef r_m_y_RNO_1_12)) )) (net (rename y_iv_2_16 "y_iv_2[16]") (joined (portRef Y (instanceRef r_m_y_RNO_0_16)) (portRef C (instanceRef r_m_y_RNO_16)) )) (net (rename y_m_16 "y_m[16]") (joined (portRef Y (instanceRef r_m_y_RNO_1_16)) (portRef A (instanceRef r_m_y_RNO_0_16)) )) (net (rename y_m_0_16 "y_m_0[16]") (joined (portRef Y (instanceRef r_m_y_RNO_2_16)) (portRef B (instanceRef r_m_y_RNO_0_16)) )) (net (rename y_iv_0_16 "y_iv_0[16]") (joined (portRef Y (instanceRef r_m_y_RNO_3_16)) (portRef C (instanceRef r_m_y_RNO_0_16)) )) (net (rename y_0_16 "y_0[16]") (joined (portRef Q (instanceRef r_m_y_16)) (portRef D (instanceRef r_x_y_16)) (portRef A (instanceRef r_m_y_RNISLO71_16)) (portRef A (instanceRef r_m_y_RNO_4_15)) (portRef A (instanceRef r_m_y_RNO_3_16)) )) (net (rename y_m_17 "y_m[17]") (joined (portRef Y (instanceRef r_m_y_RNO_4_16)) (portRef C (instanceRef r_m_y_RNO_3_16)) )) (net (rename y_iv_1_11 "y_iv_1[11]") (joined (portRef Y (instanceRef r_m_y_RNO_0_11)) (portRef A (instanceRef r_m_y_RNO_11)) )) (net (rename y_0_11 "y_0[11]") (joined (portRef Q (instanceRef r_w_s_y_11)) (portRef B (instanceRef r_w_s_y_RNO_11)) (portRef A (instanceRef r_w_s_y_RNIIEVA5_11)) (portRef B (instanceRef r_m_y_RNO_0_11)) )) (net (rename y_m_0_11 "y_m_0[11]") (joined (portRef Y (instanceRef r_m_y_RNO_3_11)) (portRef C (instanceRef r_m_y_RNO_0_11)) )) (net (rename y_iv_0_11 "y_iv_0[11]") (joined (portRef Y (instanceRef r_m_y_RNO_1_11)) (portRef B (instanceRef r_m_y_RNO_11)) )) (net (rename y_1_11 "y_1[11]") (joined (portRef Q (instanceRef r_m_y_11)) (portRef D (instanceRef r_x_y_11)) (portRef A (instanceRef r_m_y_RNO_1_10)) (portRef A (instanceRef r_m_y_RNIN1O71_11)) (portRef A (instanceRef r_m_y_RNO_1_11)) )) (net (rename y_m_0_12 "y_m_0[12]") (joined (portRef Y (instanceRef r_m_y_RNO_4_11)) (portRef C (instanceRef r_m_y_RNO_1_11)) )) (net (rename y_iv_1_30 "y_iv_1[30]") (joined (portRef Y (instanceRef r_m_y_RNO_0_30)) (portRef A (instanceRef r_m_y_RNO_30)) )) (net (rename y_30 "y[30]") (joined (portRef Q (instanceRef r_w_s_y_30)) (portRef A (instanceRef r_w_s_y_RNINBF61_30)) (portRef B (instanceRef r_w_s_y_RNO_30)) (portRef B (instanceRef r_m_y_RNO_0_30)) )) (net (rename y_m_30 "y_m[30]") (joined (portRef Y (instanceRef r_m_y_RNO_3_30)) (portRef C (instanceRef r_m_y_RNO_0_30)) )) (net (rename y_iv_0_30 "y_iv_0[30]") (joined (portRef Y (instanceRef r_m_y_RNO_1_30)) (portRef B (instanceRef r_m_y_RNO_30)) )) (net (rename y_0_30 "y_0[30]") (joined (portRef Q (instanceRef r_m_y_30)) (portRef D (instanceRef r_x_y_30)) (portRef A (instanceRef r_m_y_RNIOTN71_30)) (portRef A (instanceRef r_m_y_RNO_1_29)) (portRef A (instanceRef r_m_y_RNO_1_30)) )) (net (rename y_m_31 "y_m[31]") (joined (portRef Y (instanceRef r_m_y_RNO_4_30)) (portRef C (instanceRef r_m_y_RNO_1_30)) )) (net (rename y_iv_2_8 "y_iv_2[8]") (joined (portRef Y (instanceRef r_m_y_RNO_0_8)) (portRef C (instanceRef r_m_y_RNO_8)) )) (net (rename y_m_9 "y_m[9]") (joined (portRef Y (instanceRef r_m_y_RNO_1_8)) (portRef A (instanceRef r_m_y_RNO_0_8)) )) (net (rename y_m_0_8 "y_m_0[8]") (joined (portRef Y (instanceRef r_m_y_RNO_2_8)) (portRef B (instanceRef r_m_y_RNO_0_8)) )) (net (rename y_iv_1_8 "y_iv_1[8]") (joined (portRef Y (instanceRef r_m_y_RNO_3_8)) (portRef C (instanceRef r_m_y_RNO_0_8)) )) (net (rename y_8 "y[8]") (joined (portRef Q (instanceRef r_w_s_y_8)) (portRef A (instanceRef r_w_s_y_RNICIG91_8)) (portRef B (instanceRef r_w_s_y_RNO_8)) (portRef B (instanceRef r_m_y_RNO_3_8)) )) (net (rename y_m_8 "y_m[8]") (joined (portRef Y (instanceRef r_m_y_RNO_4_8)) (portRef C (instanceRef r_m_y_RNO_3_8)) )) (net (rename y_iv_2_9 "y_iv_2[9]") (joined (portRef Y (instanceRef r_m_y_RNO_0_9)) (portRef C (instanceRef r_m_y_RNO_9)) )) (net (rename y_m_3_10 "y_m_3[10]") (joined (portRef Y (instanceRef r_m_y_RNO_1_9)) (portRef A (instanceRef r_m_y_RNO_0_9)) )) (net (rename y_m_0_0_9 "y_m_0_0[9]") (joined (portRef Y (instanceRef r_m_y_RNO_2_9)) (portRef B (instanceRef r_m_y_RNO_0_9)) )) (net (rename y_iv_1_9 "y_iv_1[9]") (joined (portRef Y (instanceRef r_m_y_RNO_3_9)) (portRef C (instanceRef r_m_y_RNO_0_9)) )) (net (rename y_0_9 "y_0[9]") (joined (portRef Q (instanceRef r_w_s_y_9)) (portRef A (instanceRef r_w_s_y_RNIDIG91_9)) (portRef B (instanceRef r_w_s_y_RNO_9)) (portRef B (instanceRef r_m_y_RNO_3_9)) )) (net (rename y_m_1_9 "y_m_1[9]") (joined (portRef Y (instanceRef r_m_y_RNO_4_9)) (portRef C (instanceRef r_m_y_RNO_3_9)) )) (net (rename y_iv_1_27 "y_iv_1[27]") (joined (portRef Y (instanceRef r_m_y_RNO_0_27)) (portRef A (instanceRef r_m_y_RNO_27)) )) (net (rename y_0_27 "y_0[27]") (joined (portRef Q (instanceRef r_w_s_y_27)) (portRef A (instanceRef r_w_s_y_RNIT7G61_27)) (portRef B (instanceRef r_w_s_y_RNO_27)) (portRef B (instanceRef r_m_y_RNO_0_27)) )) (net (rename y_m_0_27 "y_m_0[27]") (joined (portRef Y (instanceRef r_m_y_RNO_3_27)) (portRef C (instanceRef r_m_y_RNO_0_27)) )) (net (rename y_iv_0_27 "y_iv_0[27]") (joined (portRef Y (instanceRef r_m_y_RNO_1_27)) (portRef B (instanceRef r_m_y_RNO_27)) )) (net (rename y_1_27 "y_1[27]") (joined (portRef Q (instanceRef r_m_y_27)) (portRef D (instanceRef r_x_y_27)) (portRef A (instanceRef r_m_y_RNIUPO71_27)) (portRef A (instanceRef r_m_y_RNO_4_26)) (portRef A (instanceRef r_m_y_RNO_1_27)) )) (net (rename y_m_2_28 "y_m_2[28]") (joined (portRef Y (instanceRef r_m_y_RNO_4_27)) (portRef C (instanceRef r_m_y_RNO_1_27)) )) (net (rename y_iv_1_15 "y_iv_1[15]") (joined (portRef Y (instanceRef r_m_y_RNO_0_15)) (portRef A (instanceRef r_m_y_RNO_15)) )) (net wy_RNIR5IJ_1 (joined (portRef Y (instanceRef r_m_ctrl_wy_RNIR5IJ_1)) (portRef A (instanceRef r_m_y_RNO_4_10)) (portRef A (instanceRef r_m_y_RNO_4_2)) (portRef A (instanceRef r_m_y_RNO_2_16)) (portRef A (instanceRef r_m_y_RNO_3_31)) (portRef A (instanceRef r_m_y_RNO_4_29)) (portRef A (instanceRef r_m_y_RNO_3_13)) (portRef A (instanceRef r_m_y_RNO_4_17)) (portRef A (instanceRef r_m_y_RNO_14_7)) (portRef A (instanceRef r_w_s_y_RNIA8FQ_0)) (portRef A (instanceRef r_m_y_RNO_2_23)) (portRef A (instanceRef r_m_y_RNO_3_28)) (portRef A (instanceRef r_m_y_RNO_4_20)) (portRef A (instanceRef r_m_y_RNO_2_5)) (portRef A (instanceRef r_m_y_RNO_2_1)) (portRef A (instanceRef r_m_y_RNO_1_14)) (portRef A (instanceRef r_m_y_RNO_0_15)) )) (net (rename y_15 "y[15]") (joined (portRef Q (instanceRef r_w_s_y_15)) (portRef A (instanceRef r_w_s_y_RNIQVF61_15)) (portRef B (instanceRef r_w_s_y_RNO_15)) (portRef B (instanceRef r_m_y_RNO_0_15)) )) (net (rename y_m_15 "y_m[15]") (joined (portRef Y (instanceRef r_m_y_RNO_3_15)) (portRef C (instanceRef r_m_y_RNO_0_15)) )) (net (rename y_iv_0_15 "y_iv_0[15]") (joined (portRef Y (instanceRef r_m_y_RNO_1_15)) (portRef B (instanceRef r_m_y_RNO_15)) )) (net (rename y_0_15 "y_0[15]") (joined (portRef Q (instanceRef r_m_y_15)) (portRef D (instanceRef r_x_y_15)) (portRef A (instanceRef r_m_y_RNIRHO71_15)) (portRef A (instanceRef r_m_y_RNO_4_14)) (portRef A (instanceRef r_m_y_RNO_1_15)) )) (net (rename y_m_2_16 "y_m_2[16]") (joined (portRef Y (instanceRef r_m_y_RNO_4_15)) (portRef C (instanceRef r_m_y_RNO_1_15)) )) (net (rename y_iv_2_5 "y_iv_2[5]") (joined (portRef Y (instanceRef r_m_y_RNO_0_5)) (portRef C (instanceRef r_m_y_RNO_5)) )) (net (rename y_m_0_5 "y_m_0[5]") (joined (portRef Y (instanceRef r_m_y_RNO_1_5)) (portRef A (instanceRef r_m_y_RNO_0_5)) )) (net (rename y_m_1_5 "y_m_1[5]") (joined (portRef Y (instanceRef r_m_y_RNO_2_5)) (portRef B (instanceRef r_m_y_RNO_0_5)) )) (net (rename y_iv_0_5 "y_iv_0[5]") (joined (portRef Y (instanceRef r_m_y_RNO_3_5)) (portRef C (instanceRef r_m_y_RNO_0_5)) )) (net (rename y_0_5 "y_0[5]") (joined (portRef Q (instanceRef r_m_y_5)) (portRef D (instanceRef r_x_y_5)) (portRef A (instanceRef r_m_y_RNO_1_4)) (portRef A (instanceRef r_m_y_RNIA4K91_5)) (portRef A (instanceRef r_m_y_RNO_3_5)) )) (net y08 (joined (portRef Y (instanceRef r_m_ctrl_wy_RNI8E1D)) (portRef B (instanceRef r_m_y_RNO_2_18)) (portRef B (instanceRef r_m_y_RNO_2_24)) (portRef B (instanceRef r_m_y_RNO_2_10)) (portRef B (instanceRef r_m_y_RNO_2_6)) (portRef B (instanceRef r_m_y_RNO_2_2)) (portRef B (instanceRef r_m_y_RNO_2_29)) (portRef B (instanceRef r_m_y_RNO_11_7)) (portRef B (instanceRef r_m_y_RNO_2_20)) (portRef B (instanceRef r_m_y_RNO_2_8)) (portRef B (instanceRef r_m_y_RNO_2_9)) (portRef B (instanceRef r_m_y_RNO_2_4)) (portRef B (instanceRef r_m_y_RNO_2_22)) (portRef B (instanceRef r_m_y_RNO_3_14)) (portRef B (instanceRef r_m_y_RNO_1_13)) (portRef B (instanceRef r_m_y_RNO_1_17)) (portRef B (instanceRef r_m_y_RNO_3_5)) )) (net (rename y_m_2_6 "y_m_2[6]") (joined (portRef Y (instanceRef r_m_y_RNO_4_5)) (portRef C (instanceRef r_m_y_RNO_3_5)) )) (net (rename y_iv_0_1_17 "y_iv_0_1[17]") (joined (portRef Y (instanceRef r_m_y_RNO_0_17)) (portRef A (instanceRef r_m_y_RNO_17)) )) (net N_370 (joined (portRef Y (instanceRef r_m_y_RNO_3_17)) (portRef A (instanceRef r_m_y_RNO_0_17)) )) (net N_372 (joined (portRef Y (instanceRef r_m_y_RNO_4_17)) (portRef B (instanceRef r_m_y_RNO_0_17)) )) (net (rename y_iv_0_0_17 "y_iv_0_0[17]") (joined (portRef Y (instanceRef r_m_y_RNO_1_17)) (portRef B (instanceRef r_m_y_RNO_17)) )) (net (rename y_0_17 "y_0[17]") (joined (portRef Q (instanceRef r_m_y_17)) (portRef D (instanceRef r_x_y_17)) (portRef A (instanceRef r_m_y_RNITPO71_17)) (portRef A (instanceRef r_m_y_RNO_4_16)) (portRef A (instanceRef r_m_y_RNO_1_17)) )) (net N_374 (joined (portRef Y (instanceRef r_m_y_RNO_5_17)) (portRef C (instanceRef r_m_y_RNO_1_17)) )) (net (rename y_iv_0_1_13 "y_iv_0_1[13]") (joined (portRef Y (instanceRef r_m_y_RNO_0_13)) (portRef A (instanceRef r_m_y_RNO_13)) )) (net N_363 (joined (portRef Y (instanceRef r_m_y_RNO_3_13)) (portRef C (instanceRef r_m_y_RNO_0_13)) )) (net (rename y_iv_0_0_13 "y_iv_0_0[13]") (joined (portRef Y (instanceRef r_m_y_RNO_1_13)) (portRef B (instanceRef r_m_y_RNO_13)) )) (net (rename y_0_13 "y_0[13]") (joined (portRef Q (instanceRef r_m_y_13)) (portRef D (instanceRef r_x_y_13)) (portRef A (instanceRef r_m_y_RNO_4_12)) (portRef A (instanceRef r_m_y_RNIP9O71_13)) (portRef A (instanceRef r_m_y_RNO_1_13)) )) (net N_365 (joined (portRef Y (instanceRef r_m_y_RNO_4_13)) (portRef C (instanceRef r_m_y_RNO_1_13)) )) (net (rename y_iv_0_2_29 "y_iv_0_2[29]") (joined (portRef Y (instanceRef r_m_y_RNO_0_29)) (portRef C (instanceRef r_m_y_RNO_29)) )) (net N_354 (joined (portRef Y (instanceRef r_m_y_RNO_1_29)) (portRef A (instanceRef r_m_y_RNO_0_29)) )) (net N_353 (joined (portRef Y (instanceRef r_m_y_RNO_2_29)) (portRef B (instanceRef r_m_y_RNO_0_29)) )) (net (rename y_iv_0_1_29 "y_iv_0_1[29]") (joined (portRef Y (instanceRef r_m_y_RNO_3_29)) (portRef C (instanceRef r_m_y_RNO_0_29)) )) (net N_352 (joined (portRef Y (instanceRef r_m_y_RNO_4_29)) (portRef C (instanceRef r_m_y_RNO_3_29)) )) (net (rename y_iv_0_2_14 "y_iv_0_2[14]") (joined (portRef Y (instanceRef r_m_y_RNO_0_14)) (portRef C (instanceRef r_m_y_RNO_14)) )) (net N_340 (joined (portRef Y (instanceRef r_m_y_RNO_1_14)) (portRef A (instanceRef r_m_y_RNO_0_14)) )) (net N_339 (joined (portRef Y (instanceRef r_m_y_RNO_2_14)) (portRef B (instanceRef r_m_y_RNO_0_14)) )) (net (rename y_iv_0_0_14 "y_iv_0_0[14]") (joined (portRef Y (instanceRef r_m_y_RNO_3_14)) (portRef C (instanceRef r_m_y_RNO_0_14)) )) (net (rename y_0_14 "y_0[14]") (joined (portRef Q (instanceRef r_m_y_14)) (portRef D (instanceRef r_x_y_14)) (portRef A (instanceRef r_m_y_RNIQDO71_14)) (portRef A (instanceRef r_m_y_RNO_4_13)) (portRef A (instanceRef r_m_y_RNO_3_14)) )) (net N_342 (joined (portRef Y (instanceRef r_m_y_RNO_4_14)) (portRef C (instanceRef r_m_y_RNO_3_14)) )) (net ADD_33x33_fast_I265_un1_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_un1_Y)) )) (net N587 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I124_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I176_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I183_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I239_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_un1_Y_0)) )) (net N595 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I132_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I192_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I191_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I239_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_un1_Y_0)) )) (net N637_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I168_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I223_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I265_un1_Y_0)) )) (net (rename tt_0_1 "tt_0[1]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_1_1)) (portRef B (instanceRef r_e_ctrl_tt_RNO_1)) )) (net ADD_33x33_fast_I272_un1_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I272_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I272_Y_0)) )) (net N650_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I181_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I221_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I272_Y_0)) )) (net ADD_33x33_fast_I268_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_un1_Y)) )) (net N593 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I130_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I244_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I189_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I182_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_un1_Y_0)) )) (net N585 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I122_Y_m2_0_a2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I182_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I181_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I229_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_un1_Y_0)) )) (net (rename edata2_iv_0_27 "edata2_iv_0[27]") (joined (portRef Y (instanceRef r_e_op1_RNILK6C4_27)) (portRef A (instanceRef r_e_op1_RNI6CVID_27)) )) (net edata_2_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_1_RNINLHF_1)) (portRef A (instanceRef r_x_result_RNIKJ6T3_29)) (portRef A (instanceRef r_x_result_RNIQQ5T3_30)) (portRef A (instanceRef r_x_result_RNI79ES3_17)) (portRef A (instanceRef r_x_result_RNIKI5T3_21)) (portRef A (instanceRef r_e_aluop_0_RNIDB3V_1)) (portRef A (instanceRef r_e_aluop_1_RNI41LE1_1)) (portRef A (instanceRef r_x_result_RNIDHES3_26)) (portRef A (instanceRef r_x_result_RNI39ES3_31)) (portRef A (instanceRef r_x_result_RNIFHES3_19)) (portRef A (instanceRef r_x_result_RNILPES3_28)) (portRef A (instanceRef r_x_result_RNI436T3_25)) (portRef A (instanceRef r_x_result_RNI15ES3_23)) (portRef A (instanceRef r_x_result_RNIT0ES3_22)) (portRef A (instanceRef r_x_result_RNIGE5T3_20)) (portRef A (instanceRef r_x_result_RNIBDES3_18)) (portRef A (instanceRef r_x_result_RNI35ES3_16)) (portRef A (instanceRef r_e_op1_RNI6S5C4_24)) (portRef A (instanceRef r_e_op1_RNILK6C4_27)) )) (net (rename op1_i_m_27 "op1_i_m[27]") (joined (portRef Y (instanceRef r_e_op1_RNI4VNF_27)) (portRef C (instanceRef r_e_op1_RNILK6C4_27)) )) (net (rename edata2_iv_2_30 "edata2_iv_2[30]") (joined (portRef Y (instanceRef r_e_op1_RNIS8B56_30)) (portRef A (instanceRef r_e_op1_RNINDMJD_30)) )) (net (rename bpdata_i_m_30 "bpdata_i_m[30]") (joined (portRef Y (instanceRef r_x_result_RNIQQ5T3_30)) (portRef A (instanceRef r_e_op1_RNIS8B56_30)) )) (net (rename op1_i_m_30 "op1_i_m[30]") (joined (portRef Y (instanceRef r_e_op1_RNIU2NF_30)) (portRef B (instanceRef r_e_op1_RNIS8B56_30)) )) (net (rename ex_op1_i_m_30 "ex_op1_i_m[30]") (joined (portRef Y (instanceRef r_e_op1_RNI4BEO1_30)) (portRef C (instanceRef r_e_op1_RNIS8B56_30)) )) (net (rename edata2_iv_2_29 "edata2_iv_2[29]") (joined (portRef Y (instanceRef r_e_op1_RNIC6E56_29)) (portRef A (instanceRef r_e_op1_RNI451JD_29)) )) (net (rename bpdata_i_m_29 "bpdata_i_m[29]") (joined (portRef Y (instanceRef r_x_result_RNIKJ6T3_29)) (portRef A (instanceRef r_e_op1_RNIC6E56_29)) )) (net (rename op1_i_m_29 "op1_i_m[29]") (joined (portRef Y (instanceRef r_e_op1_RNI67OF_29)) (portRef B (instanceRef r_e_op1_RNIC6E56_29)) )) (net (rename ex_op1_i_m_29 "ex_op1_i_m[29]") (joined (portRef Y (instanceRef r_e_op1_RNIIBFO1_29)) (portRef C (instanceRef r_e_op1_RNIC6E56_29)) )) (net (rename edata2_iv_0_31 "edata2_iv_0[31]") (joined (portRef Y (instanceRef r_e_op1_RNI2G5C4_31)) (portRef A (instanceRef r_e_op1_RNIGU7ID_31)) )) (net (rename op1_31 "op1[31]") (joined (portRef Q (instanceRef r_e_op1_31)) (portRef A (instanceRef r_e_op1_RNIV6NF_31)) (portRef A (instanceRef r_e_op1_RNI2LHD_31)) (portRef B (instanceRef r_e_op1_RNI2G5C4_31)) )) (net (rename bpdata_i_m_31 "bpdata_i_m[31]") (joined (portRef Y (instanceRef r_x_result_RNI39ES3_31)) (portRef C (instanceRef r_e_op1_RNI2G5C4_31)) )) (net (rename edata2_iv_0_28 "edata2_iv_0[28]") (joined (portRef Y (instanceRef r_e_op1_RNIQS6C4_28)) (portRef A (instanceRef r_e_op1_RNIVSGHD_28)) )) (net (rename bpdata_i_m_28 "bpdata_i_m[28]") (joined (portRef Y (instanceRef r_x_result_RNILPES3_28)) (portRef C (instanceRef r_e_op1_RNIQS6C4_28)) )) (net (rename edata2_iv_2_25 "edata2_iv_2[25]") (joined (portRef Y (instanceRef r_e_op1_RNIGLC56_25)) (portRef A (instanceRef r_e_op1_RNIJ1N7D_25)) )) (net (rename bpdata_i_m_25 "bpdata_i_m[25]") (joined (portRef Y (instanceRef r_x_result_RNI436T3_25)) (portRef A (instanceRef r_e_op1_RNIGLC56_25)) )) (net (rename op1_i_m_25 "op1_i_m[25]") (joined (portRef Y (instanceRef r_e_op1_RNI2NNF_25)) (portRef B (instanceRef r_e_op1_RNIGLC56_25)) )) (net (rename ex_op1_i_m_25 "ex_op1_i_m[25]") (joined (portRef Y (instanceRef r_e_op1_RNIAREO1_25)) (portRef C (instanceRef r_e_op1_RNIGLC56_25)) )) (net (rename edata2_iv_1_25 "edata2_iv_1[25]") (joined (portRef Y (instanceRef r_x_result_RNI3CA27_9)) (portRef B (instanceRef r_e_op1_RNIJ1N7D_25)) )) (net (rename edata2_iv_2_26 "edata2_iv_2[26]") (joined (portRef Y (instanceRef r_e_op1_RNISBL46_26)) (portRef A (instanceRef r_e_op1_RNISP6ID_26)) )) (net (rename bpdata_i_m_26 "bpdata_i_m[26]") (joined (portRef Y (instanceRef r_x_result_RNIDHES3_26)) (portRef A (instanceRef r_e_op1_RNISBL46_26)) )) (net (rename op1_i_m_26 "op1_i_m[26]") (joined (portRef Y (instanceRef r_e_op1_RNI3RNF_26)) (portRef B (instanceRef r_e_op1_RNISBL46_26)) )) (net (rename ex_op1_i_m_26 "ex_op1_i_m[26]") (joined (portRef Y (instanceRef r_e_op1_RNICVEO1_26)) (portRef C (instanceRef r_e_op1_RNISBL46_26)) )) (net (rename edata2_iv_1_26 "edata2_iv_1[26]") (joined (portRef Y (instanceRef r_x_result_RNI0EHD7_10)) (portRef B (instanceRef r_e_op1_RNISP6ID_26)) )) (net (rename edata2_iv_1_24 "edata2_iv_1[24]") (joined (portRef Y (instanceRef r_x_result_RNIRJ927_8)) (portRef C (instanceRef r_e_op1_RNI97U6D_24)) )) (net (rename edata2_iv_0_24 "edata2_iv_0[24]") (joined (portRef Y (instanceRef r_e_op1_RNI6S5C4_24)) (portRef A (instanceRef r_e_op1_RNI97U6D_24)) )) (net (rename op1_i_m_24 "op1_i_m[24]") (joined (portRef Y (instanceRef r_e_op1_RNI1JNF_24)) (portRef C (instanceRef r_e_op1_RNI6S5C4_24)) )) (net rdata_4_sqmuxa_0 (joined (portRef Y (instanceRef r_x_laddr_RNIDN857_0_1)) (portRef A (instanceRef r_x_laddr_RNISJPQE_1)) )) (net N_284 (joined (portRef Y (instanceRef r_x_laddr_RNISPJH3_1)) (portRef A (instanceRef r_x_laddr_RNIDN857_1)) (portRef B (instanceRef r_x_laddr_RNIDN857_1_1)) (portRef A (instanceRef r_x_laddr_RNIDN857_0_1)) )) (net I205_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I205_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I245_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I296_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_un1_Y_0)) )) (net N610 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I147_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I199_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I245_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I296_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_un1_Y_0)) )) (net N661 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I192_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I245_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I269_un1_Y_0)) )) (net bp (joined (portRef Q (instanceRef r_a_bp)) (portRef A (instanceRef r_e_bp_RNO)) (portRef A (instanceRef r_a_ctrl_inst_RNIBI6R_29)) (portRef A (instanceRef r_e_bp_RNITK18)) (portRef A (instanceRef r_a_bp_RNIHG6I)) )) (net not_valid (joined (portRef Y (instanceRef r_a_ctrl_wicc_RNI0ERB)) (portRef B (instanceRef r_a_ctrl_wicc_RNIETIP)) (portRef B (instanceRef r_d_inst_0_RNIE0IP1_25)) (portRef A (instanceRef r_a_bp_RNO)) (portRef B (instanceRef r_d_inst_0_0_0_RNI84IJ_21)) (portRef C (instanceRef r_a_bp_RNIHG6I)) )) (net N667_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I198_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I248_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I272_un1_Y_0)) )) (net (rename edata2_0_iv_1_18 "edata2_0_iv_1[18]") (joined (portRef Y (instanceRef r_e_op1_RNIRJL46_18)) (portRef A (instanceRef r_e_op1_RNI7BB5A_18)) )) (net (rename bpdata_i_m_18 "bpdata_i_m[18]") (joined (portRef Y (instanceRef r_x_result_RNIBDES3_18)) (portRef A (instanceRef r_e_op1_RNIRJL46_18)) )) (net (rename op1_i_m_18 "op1_i_m[18]") (joined (portRef Y (instanceRef r_e_op1_RNI43OF_0_18)) (portRef B (instanceRef r_e_op1_RNIRJL46_18)) )) (net (rename ex_op1_i_m_18 "ex_op1_i_m[18]") (joined (portRef Y (instanceRef r_e_op1_RNIC3FO1_18)) (portRef C (instanceRef r_e_op1_RNIRJL46_18)) )) (net I205_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I205_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I245_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I296_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_un1_Y_0)) )) (net N610_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I147_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I199_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I245_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I296_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_un1_Y_0)) )) (net N661_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I192_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I245_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I269_un1_Y_0)) )) (net ADD_33x33_fast_I273_un1_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_un1_Y)) )) (net N603_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I140_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I192_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I199_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I249_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_un1_Y_0)) )) (net N611_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I148_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I205_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I249_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_un1_Y_0)) )) (net N552_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I93_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I205_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I292_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I151_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I249_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_un1_Y_0)) )) (net N614 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I151_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I203_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I247_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I294_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I271_un1_Y_0)) )) (net N649_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I180_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I235_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I271_un1_Y_0)) )) (net un1_rs1_1 (joined (portRef Y (instanceRef r_d_inst_0_RNINDK41_1)) (portRef B (instanceRef r_d_inst_0_RNICCJR2_3)) )) (net un1_rs1_0 (joined (portRef Y (instanceRef r_d_inst_0_RNIOHK41_0)) (portRef A (instanceRef r_d_inst_0_RNICCJR2_3)) )) (net un92_dbgm_2 (joined (portRef Y (instanceRef comb_dbgexc_un82_dbgm_RNIF5JE7)) (portRef A (instanceRef comb_dbgexc_un82_dbgm_RNIGRLEN)) (portRef B (instanceRef comb_dbgexc_un82_dbgm_RNIJS4ON)) )) (net un92_dbgm_1 (joined (portRef Y (instanceRef r_w_s_et_RNIFL587)) (portRef C (instanceRef comb_dbgexc_un82_dbgm_RNIF5JE7)) )) (net un87_dbgm_6 (joined (portRef Y (instanceRef r_x_mexc_RNISCJE4)) (portRef A (instanceRef dsur_err_RNO_2)) (portRef A (instanceRef r_w_s_et_RNIFL587)) )) (net un87_dbgm_5 (joined (portRef Y (instanceRef r_x_result_RNIDS3M2_6)) (portRef B (instanceRef dsur_err_RNO_2)) (portRef B (instanceRef r_w_s_et_RNIFL587)) )) (net un92_dbgm_0 (joined (portRef Y (instanceRef r_w_s_et_RNI6CE3)) (portRef C (instanceRef r_w_s_et_RNIFL587)) )) (net berror (joined (portRef berror) (portRef A (instanceRef r_w_s_et_RNI6CE3)) )) (net btrapa (joined (portRef btrapa) (portRef C (instanceRef r_w_s_et_RNI6CE3)) )) (net (rename tt_i_0_2 "tt_i_0[2]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_1_2)) (portRef C (instanceRef r_e_ctrl_tt_RNO_2)) )) (net exc (joined (portRef Y (instanceRef r_a_ctrl_pv_RNIOGNA)) (portRef C (instanceRef r_e_ctrl_tt_RNO_3_0)) (portRef A (instanceRef r_e_ctrl_tt_RNO_4_5)) (portRef A (instanceRef r_e_ctrl_tt_RNO_2_1)) (portRef A (instanceRef r_e_ctrl_tt_RNO_3_3)) (portRef B (instanceRef r_e_ctrl_tt_RNO_2_4)) (portRef B (instanceRef r_e_ctrl_trap_RNO_1)) (portRef A (instanceRef r_e_ctrl_tt_RNO_1_2)) )) (net N_8146 (joined (portRef Y (instanceRef r_a_su_RNIRVDR3)) (portRef B (instanceRef r_e_ctrl_tt_RNO_0_2)) (portRef B (instanceRef r_e_ctrl_tt_RNO_1_2)) )) (net N_252 (joined (portRef Y (instanceRef r_a_ctrl_trap_RNIFQU8)) (portRef B (instanceRef r_e_ctrl_rett_RNO)) (portRef C (instanceRef r_e_ctrl_tt_RNO_3_3)) (portRef C (instanceRef r_e_ctrl_tt_RNO_2_5)) (portRef C (instanceRef r_e_ctrl_tt_RNO_1_2)) )) (net trap_1_2 (joined (portRef Y (instanceRef r_e_ctrl_trap_RNO_1)) (portRef B (instanceRef r_e_ctrl_trap_RNO_0)) )) (net trap_1_0 (joined (portRef Y (instanceRef r_e_ctrl_trap_RNO_2)) (portRef A (instanceRef r_e_ctrl_trap_RNO_1)) )) (net N_8160 (joined (portRef Y (instanceRef r_a_wovf_RNIO7N5)) (portRef B (instanceRef r_a_ticc_RNI4MH8)) (portRef B (instanceRef r_e_ctrl_tt_RNO_2_2)) (portRef C (instanceRef r_e_ctrl_tt_RNO_2_4)) (portRef C (instanceRef r_e_ctrl_trap_RNO_1)) )) (net ticc (joined (portRef Q (instanceRef r_a_ticc)) (portRef A (instanceRef r_e_ctrl_tt_RNO_3_1)) (portRef B (instanceRef r_e_ctrl_tt_RNO_3_0)) (portRef A (instanceRef r_a_ticc_RNI4MH8)) (portRef A (instanceRef r_e_ctrl_tt_RNO_2_2)) (portRef A (instanceRef r_e_ctrl_tt_RNO_3_4)) (portRef A (instanceRef r_e_ctrl_trap_RNO_2)) )) (net (rename tt_i_1_5 "tt_i_1[5]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_0_5)) (portRef A (instanceRef r_e_ctrl_tt_RNO_5)) )) (net (rename tt_i_0_5 "tt_i_0[5]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_2_5)) (portRef A (instanceRef r_e_ctrl_tt_RNO_0_5)) )) (net N_320 (joined (portRef Y (instanceRef r_a_su_RNIHOKQ5)) (portRef B (instanceRef r_a_su_RNIRL3OM)) (portRef B (instanceRef r_e_ctrl_tt_RNO_0_5)) )) (net N_517 (joined (portRef Y (instanceRef r_a_su_RNIV8BD1)) (portRef B (instanceRef r_e_ctrl_tt_RNO_1_3)) (portRef A (instanceRef r_e_ctrl_tt_RNO_2_5)) )) (net N577 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I114_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I173_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I166_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I229_un1_Y_0)) )) (net (rename data_0_iv_6_6 "data_0_iv_6[6]") (joined (portRef Y (instanceRef r_f_pc_RNI9G1K3_6)) (portRef C (instanceRef ir_addr_RNILS0CC_6)) )) (net (rename y_m_0_0_6 "y_m_0_0[6]") (joined (portRef Y (instanceRef r_w_s_y_RNIAIG91_6)) (portRef A (instanceRef r_f_pc_RNI9G1K3_6)) )) (net (rename pc_m_0_6 "pc_m_0[6]") (joined (portRef Y (instanceRef r_f_pc_RNIOMK31_6)) (portRef B (instanceRef r_f_pc_RNI9G1K3_6)) )) (net (rename tt_m_2 "tt_m[2]") (joined (portRef Y (instanceRef r_w_s_tt_RNI77S61_2)) (portRef C (instanceRef r_f_pc_RNI9G1K3_6)) )) (net (rename data_0_iv_5_6 "data_0_iv_5[6]") (joined (portRef Y (instanceRef r_w_s_ps_RNIVA7J7)) (portRef A (instanceRef ir_addr_RNILS0CC_6)) )) (net ps_m (joined (portRef Y (instanceRef r_w_s_ps_RNI22M41)) (portRef A (instanceRef r_w_s_ps_RNIVA7J7)) )) (net (rename data_0_iv_3_6 "data_0_iv_3[6]") (joined (portRef Y (instanceRef dsur_asi_RNIJNRI3_6)) (portRef C (instanceRef r_w_s_ps_RNIVA7J7)) )) (net (rename tt_m_1_2 "tt_m_1[2]") (joined (portRef Y (instanceRef dsur_tt_RNI3B161_2)) (portRef A (instanceRef dsur_asi_RNIJNRI3_6)) )) (net (rename wim_m_6 "wim_m[6]") (joined (portRef Y (instanceRef r_w_s_wim_RNIGII81_6)) (portRef B (instanceRef dsur_asi_RNIJNRI3_6)) )) (net (rename asi_m_6 "asi_m[6]") (joined (portRef Y (instanceRef dsur_asi_RNI0Q741_6)) (portRef C (instanceRef dsur_asi_RNIJNRI3_6)) )) (net (rename data_0_iv_5_7 "data_0_iv_5[7]") (joined (portRef Y (instanceRef r_f_pc_RNICO1K3_7)) (portRef C (instanceRef ir_addr_RNI36U5B_7)) )) (net (rename y_m_0_7 "y_m_0[7]") (joined (portRef Y (instanceRef r_w_s_y_RNIBIG91_7)) (portRef A (instanceRef r_f_pc_RNICO1K3_7)) )) (net (rename pc_m_0_7 "pc_m_0[7]") (joined (portRef Y (instanceRef r_f_pc_RNIPQK31_7)) (portRef B (instanceRef r_f_pc_RNICO1K3_7)) )) (net (rename tt_m_3 "tt_m[3]") (joined (portRef Y (instanceRef r_w_s_tt_RNI8BS61_3)) (portRef C (instanceRef r_f_pc_RNICO1K3_7)) )) (net (rename data_0_iv_4_7 "data_0_iv_4[7]") (joined (portRef Y (instanceRef r_x_data_0_RNI9C4D6_7)) (portRef A (instanceRef ir_addr_RNI36U5B_7)) )) (net (rename data_0_iv_0_7 "data_0_iv_0[7]") (joined (portRef Y (instanceRef r_x_data_0_RNICPLR2_7)) (portRef A (instanceRef r_x_data_0_RNI9C4D6_7)) )) (net (rename tt_m_1_3 "tt_m_1[3]") (joined (portRef Y (instanceRef dsur_tt_RNI4B161_3)) (portRef B (instanceRef r_x_data_0_RNI9C4D6_7)) )) (net (rename data_0_iv_2_7 "data_0_iv_2[7]") (joined (portRef Y (instanceRef r_w_s_s_RNIP7DB2)) (portRef C (instanceRef r_x_data_0_RNI9C4D6_7)) )) (net (rename data_1_sqmuxaZ0Z_3 "data_1_sqmuxa_3") (joined (portRef Y (instanceRef data_1_sqmuxa_3)) (portRef B (instanceRef r_w_s_pil_RNI2II81_0)) (portRef B (instanceRef r_w_s_icc_RNISFF81_0)) (portRef B (instanceRef r_w_s_ps_RNI22M41)) (portRef B (instanceRef r_w_s_et_RNIO1M41)) (portRef B (instanceRef r_w_s_pil_RNI3II81_1)) (portRef B (instanceRef r_w_s_icc_RNITFF81_1)) (portRef B (instanceRef r_w_s_cwp_RNIOLP81_1)) (portRef B (instanceRef r_w_s_cwp_RNINLP81_0)) (portRef B (instanceRef r_w_s_icc_RNIUFF81_2)) (portRef B (instanceRef r_w_s_icc_RNIVFF81_3)) (portRef B (instanceRef r_w_s_cwp_RNILV0D2_2)) (portRef B (instanceRef r_x_data_0_RNIMJ214_31)) (portRef B (instanceRef r_x_data_0_RNIUB214_28)) (portRef B (instanceRef r_x_data_0_RNIOB214_25)) (portRef B (instanceRef r_x_data_0_RNIMB214_24)) (portRef B (instanceRef r_x_data_0_RNIKJ214_30)) (portRef B (instanceRef r_w_s_pil_RNILPPC2_2)) (portRef B (instanceRef r_w_s_pil_RNINPPC2_3)) (portRef B (instanceRef r_x_data_0_RNI0C214_29)) (portRef B (instanceRef r_w_s_s_RNIP7DB2)) )) (net (rename asi_m_7 "asi_m[7]") (joined (portRef Y (instanceRef dsur_asi_RNI1U741_7)) (portRef C (instanceRef r_w_s_s_RNIP7DB2)) )) (net (rename rfo_mZ0Z_7 "rfo_m[7]") (joined (portRef Y (instanceRef rfo_m_7)) (portRef C (instanceRef r_x_data_0_RNICPLR2_7)) )) (net (rename data_0_iv_6_5 "data_0_iv_6[5]") (joined (portRef Y (instanceRef r_f_pc_RNI681K3_5)) (portRef C (instanceRef ir_addr_RNI280CC_5)) )) (net (rename y_m_0_0_5 "y_m_0_0[5]") (joined (portRef Y (instanceRef r_w_s_y_RNI9IG91_5)) (portRef A (instanceRef r_f_pc_RNI681K3_5)) )) (net (rename pc_m_0_5 "pc_m_0[5]") (joined (portRef Y (instanceRef r_f_pc_RNINIK31_5)) (portRef B (instanceRef r_f_pc_RNI681K3_5)) )) (net (rename tt_m_1 "tt_m[1]") (joined (portRef Y (instanceRef r_w_s_tt_RNI63S61_1)) (portRef C (instanceRef r_f_pc_RNI681K3_5)) )) (net (rename data_0_iv_5_5 "data_0_iv_5[5]") (joined (portRef Y (instanceRef r_x_data_0_RNIGU6J7_5)) (portRef A (instanceRef ir_addr_RNI280CC_5)) )) (net et_m (joined (portRef Y (instanceRef r_w_s_et_RNIO1M41)) (portRef A (instanceRef r_x_data_0_RNIGU6J7_5)) )) (net (rename data_0_iv_0_5 "data_0_iv_0[5]") (joined (portRef Y (instanceRef r_x_data_0_RNI89LR2_5)) (portRef B (instanceRef r_x_data_0_RNIGU6J7_5)) )) (net (rename data_0_iv_3_5 "data_0_iv_3[5]") (joined (portRef Y (instanceRef dsur_asi_RNIGJRI3_5)) (portRef C (instanceRef r_x_data_0_RNIGU6J7_5)) )) (net (rename tt_m_0_1 "tt_m_0[1]") (joined (portRef Y (instanceRef dsur_tt_RNI2B161_1)) (portRef A (instanceRef dsur_asi_RNIGJRI3_5)) )) (net (rename wim_m_5 "wim_m[5]") (joined (portRef Y (instanceRef r_w_s_wim_RNIFII81_5)) (portRef B (instanceRef dsur_asi_RNIGJRI3_5)) )) (net (rename asi_m_5 "asi_m[5]") (joined (portRef Y (instanceRef dsur_asi_RNIVL741_5)) (portRef C (instanceRef dsur_asi_RNIGJRI3_5)) )) (net (rename rfo_mZ0Z_5 "rfo_m[5]") (joined (portRef Y (instanceRef rfo_m_5)) (portRef C (instanceRef r_x_data_0_RNI89LR2_5)) )) (net (rename tt_5_4 "tt_5[4]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_0_4)) (portRef B (instanceRef r_e_ctrl_tt_RNO_4)) )) (net (rename tt_4_4 "tt_4[4]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_1_4)) (portRef A (instanceRef r_e_ctrl_tt_RNO_0_4)) )) (net N_328 (joined (portRef Y (instanceRef r_a_su_RNINOSP9)) (portRef B (instanceRef r_e_ctrl_tt_RNO_2_0)) (portRef B (instanceRef r_e_ctrl_tt_RNO_0_4)) )) (net N_451 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIFC1L_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIOH5D2_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIO02A1_20)) (portRef A (instanceRef r_a_ctrl_inst_RNI6PBR1_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIP42A1_21)) (portRef C (instanceRef r_e_ctrl_tt_RNO_3_5)) (portRef A (instanceRef r_e_alusel_RNO_2_1)) (portRef B (instanceRef r_e_alusel_RNO_0_0)) (portRef A (instanceRef r_e_ctrl_tt_RNO_1_4)) )) (net N_421_1 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI9O0L_22)) (portRef A (instanceRef r_e_ctrl_tt_RNO_3_5)) (portRef B (instanceRef r_e_ctrl_tt_RNO_1_4)) )) (net (rename tt_3_4 "tt_3[4]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_2_4)) (portRef C (instanceRef r_e_ctrl_tt_RNO_1_4)) )) (net (rename tt_1_4 "tt_1[4]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_3_4)) (portRef A (instanceRef r_e_ctrl_tt_RNO_2_4)) )) (net (rename data_0_iv_3_14 "data_0_iv_3[15]") (joined (portRef Y (instanceRef r_w_s_tba_RNISQFH2_3)) (portRef data_0_iv_3_14) )) (net (rename tba_3 "tba[3]") (joined (portRef Q (instanceRef r_w_s_tba_3)) (portRef A (instanceRef r_w_s_tba_RNIKLNJ2_3)) (portRef A (instanceRef r_w_s_tba_RNO_0_3)) (portRef A (instanceRef r_f_pc_RNO_13_15)) (portRef A (instanceRef r_w_s_tba_RNISQFH2_3)) )) (net (rename addr_m_0_15 "addr_m_0[15]") (joined (portRef Y (instanceRef ir_addr_RNITQ091_15)) (portRef C (instanceRef r_w_s_tba_RNISQFH2_3)) )) (net (rename data_0_iv_2_15 "data_0_iv_2[15]") (joined (portRef Y (instanceRef r_f_pc_RNICR595_15)) (portRef data_0_iv_2_15) )) (net (rename pc_m_0_15 "pc_m_0[15]") (joined (portRef Y (instanceRef r_f_pc_RNIM7741_15)) (portRef A (instanceRef r_f_pc_RNICR595_15)) )) (net (rename data_0_iv_0_15 "data_0_iv_0[15]") (joined (portRef Y (instanceRef r_x_data_0_RNISJEU2_15)) (portRef B (instanceRef r_f_pc_RNICR595_15)) )) (net (rename y_m_0_15 "y_m_0[15]") (joined (portRef Y (instanceRef r_w_s_y_RNIQVF61_15)) (portRef C (instanceRef r_f_pc_RNICR595_15)) )) (net (rename data_0_m_0_15 "data_0_m_0[15]") (joined (portRef Y (instanceRef r_x_data_0_RNIV1EC_15)) (portRef A (instanceRef r_x_data_0_RNISJEU2_15)) )) (net (rename rfo_mZ0Z_15 "rfo_m[15]") (joined (portRef Y (instanceRef rfo_m_15)) (portRef B (instanceRef r_x_data_0_RNISJEU2_15)) )) (net (rename data_0_iv_3_12 "data_0_iv_3[13]") (joined (portRef Y (instanceRef r_w_s_tba_RNIOIFH2_1)) (portRef data_0_iv_3_12) )) (net (rename tba_1 "tba[1]") (joined (portRef Q (instanceRef r_w_s_tba_1)) (portRef A (instanceRef r_w_s_tba_RNIILNJ2_1)) (portRef A (instanceRef r_w_s_tba_RNO_0_1)) (portRef A (instanceRef r_f_pc_RNO_16_13)) (portRef A (instanceRef r_w_s_tba_RNIOIFH2_1)) )) (net (rename addr_m_0_13 "addr_m_0[13]") (joined (portRef Y (instanceRef ir_addr_RNIRI091_13)) (portRef C (instanceRef r_w_s_tba_RNIOIFH2_1)) )) (net (rename data_0_iv_2_13 "data_0_iv_2[13]") (joined (portRef Y (instanceRef r_f_pc_RNI4J595_13)) (portRef data_0_iv_2_13) )) (net (rename pc_m_0_13 "pc_m_0[13]") (joined (portRef Y (instanceRef r_f_pc_RNIK7741_13)) (portRef A (instanceRef r_f_pc_RNI4J595_13)) )) (net (rename data_0_iv_0_13 "data_0_iv_0[13]") (joined (portRef Y (instanceRef r_x_data_0_RNIOJEU2_13)) (portRef B (instanceRef r_f_pc_RNI4J595_13)) )) (net (rename y_m_0_13 "y_m_0[13]") (joined (portRef Y (instanceRef r_w_s_y_RNIONF61_13)) (portRef C (instanceRef r_f_pc_RNI4J595_13)) )) (net (rename rfo_mZ0Z_13 "rfo_m[13]") (joined (portRef Y (instanceRef rfo_m_13)) (portRef C (instanceRef r_x_data_0_RNIOJEU2_13)) )) (net (rename data_0_iv_4_29 "data_0_iv_4[29]") (joined (portRef Y (instanceRef r_w_s_tba_RNIMGBH2_17)) (portRef C (instanceRef r_f_pc_RNIIO5T8_29)) )) (net (rename addr_m_0_29 "addr_m_0[29]") (joined (portRef Y (instanceRef ir_addr_RNI2B191_29)) (portRef C (instanceRef r_w_s_tba_RNIMGBH2_17)) )) (net (rename data_0_iv_2_29 "data_0_iv_2[29]") (joined (portRef Y (instanceRef r_f_pc_RNISRNA2_29)) (portRef A (instanceRef r_f_pc_RNIIO5T8_29)) )) (net (rename y_m_0_29 "y_m_0[29]") (joined (portRef Y (instanceRef r_w_s_y_RNIVFG61_29)) (portRef C (instanceRef r_f_pc_RNISRNA2_29)) )) (net (rename data_0_iv_1_29 "data_0_iv_1[29]") (joined (portRef Y (instanceRef r_x_data_0_RNI0C214_29)) (portRef B (instanceRef r_f_pc_RNIIO5T8_29)) )) (net (rename data_0_iv_0_29 "data_0_iv_0[29]") (joined (portRef Y (instanceRef r_x_data_0_RNIBSEU2_29)) (portRef A (instanceRef r_x_data_0_RNI0C214_29)) )) (net (rename data_0_m_0_29 "data_0_m_0[29]") (joined (portRef Y (instanceRef r_x_data_0_RNI66EC_29)) (portRef C (instanceRef r_x_data_0_RNIBSEU2_29)) )) (net (rename data_0_iv_5_11 "data_0_iv_5[11]") (joined (portRef Y (instanceRef r_w_s_tt_RNI56TF2_7)) (portRef C (instanceRef r_w_s_y_RNIEEM7A_11)) )) (net (rename addr_m_0_11 "addr_m_0[11]") (joined (portRef Y (instanceRef ir_addr_RNIPA091_11)) (portRef C (instanceRef r_w_s_tt_RNI56TF2_7)) )) (net (rename data_0_iv_3_11 "data_0_iv_3[11]") (joined (portRef Y (instanceRef r_w_s_y_RNIIEVA5_11)) (portRef A (instanceRef r_w_s_y_RNIEEM7A_11)) )) (net (rename data_0_iv_1_11 "data_0_iv_1[11]") (joined (portRef Y (instanceRef dsur_tt_RNISUF44_7)) (portRef C (instanceRef r_w_s_y_RNIIEVA5_11)) )) (net (rename data_0_iv_2_11 "data_0_iv_2[11]") (joined (portRef Y (instanceRef r_w_s_pil_RNINPPC2_3)) (portRef B (instanceRef r_w_s_y_RNIEEM7A_11)) )) (net (rename pil_3 "pil[3]") (joined (portRef Q (instanceRef r_w_s_pil_3)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_6)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_9)) (portRef A (instanceRef r_w_s_pil_RNIQ7RJ2_3)) (portRef B (instanceRef r_w_s_pil_RNO_0_3)) (portRef A (instanceRef r_w_s_pil_RNINPPC2_3)) )) (net (rename pc_m_0_11 "pc_m_0[11]") (joined (portRef Y (instanceRef r_f_pc_RNII7741_11)) (portRef C (instanceRef r_w_s_pil_RNINPPC2_3)) )) (net (rename rfo_mZ0Z_11 "rfo_m[11]") (joined (portRef Y (instanceRef rfo_m_11)) (portRef A (instanceRef dsur_tt_RNISUF44_7)) )) (net (rename data_0_m_0_11 "data_0_m_0[11]") (joined (portRef Y (instanceRef r_x_data_0_RNIR1EC_11)) (portRef B (instanceRef dsur_tt_RNISUF44_7)) )) (net (rename tt_m_7 "tt_m[7]") (joined (portRef Y (instanceRef dsur_tt_RNI8B161_7)) (portRef C (instanceRef dsur_tt_RNISUF44_7)) )) (net (rename data_0_iv_5_10 "data_0_iv_5[10]") (joined (portRef Y (instanceRef r_w_s_tt_RNI3USF2_6)) (portRef C (instanceRef r_w_s_y_RNI62M7A_10)) )) (net (rename addr_m_0_10 "addr_m_0[10]") (joined (portRef Y (instanceRef ir_addr_RNIO6091_10)) (portRef C (instanceRef r_w_s_tt_RNI3USF2_6)) )) (net (rename data_0_iv_3_10 "data_0_iv_3[10]") (joined (portRef Y (instanceRef r_w_s_y_RNIEAVA5_10)) (portRef A (instanceRef r_w_s_y_RNI62M7A_10)) )) (net (rename y_0_10 "y_0[10]") (joined (portRef Q (instanceRef r_w_s_y_10)) (portRef B (instanceRef r_m_y_RNO_4_10)) (portRef B (instanceRef r_w_s_y_RNO_10)) (portRef A (instanceRef r_w_s_y_RNIEAVA5_10)) )) (net (rename data_0_iv_1_10 "data_0_iv_1[10]") (joined (portRef Y (instanceRef dsur_tt_RNIPUF44_6)) (portRef C (instanceRef r_w_s_y_RNIEAVA5_10)) )) (net (rename data_0_iv_2_10 "data_0_iv_2[10]") (joined (portRef Y (instanceRef r_w_s_pil_RNILPPC2_2)) (portRef B (instanceRef r_w_s_y_RNI62M7A_10)) )) (net (rename pil_2 "pil[2]") (joined (portRef Q (instanceRef r_w_s_pil_2)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_5)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_8)) (portRef B (instanceRef r_w_s_pil_RNO_0_2)) (portRef A (instanceRef r_w_s_pil_RNIP7RJ2_2)) (portRef A (instanceRef r_w_s_pil_RNILPPC2_2)) )) (net (rename pc_m_0_10 "pc_m_0[10]") (joined (portRef Y (instanceRef r_f_pc_RNIH7741_10)) (portRef C (instanceRef r_w_s_pil_RNILPPC2_2)) )) (net (rename tt_m_6 "tt_m[6]") (joined (portRef Y (instanceRef dsur_tt_RNI7B161_6)) (portRef A (instanceRef dsur_tt_RNIPUF44_6)) )) (net (rename data_0_iv_0_10 "data_0_iv_0[10]") (joined (portRef Y (instanceRef r_x_data_0_RNIIJEU2_10)) (portRef B (instanceRef dsur_tt_RNIPUF44_6)) )) (net (rename rfo_mZ0Z_10 "rfo_m[10]") (joined (portRef Y (instanceRef rfo_m_10)) (portRef C (instanceRef r_x_data_0_RNIIJEU2_10)) )) (net (rename data_0_iv_3_18 "data_0_iv_3[19]") (joined (portRef Y (instanceRef r_w_s_tba_RNI4BGH2_7)) (portRef data_0_iv_3_18) )) (net (rename addr_m_0_19 "addr_m_0[19]") (joined (portRef Y (instanceRef ir_addr_RNI1B191_19)) (portRef C (instanceRef r_w_s_tba_RNI4BGH2_7)) )) (net (rename data_0_iv_2_19 "data_0_iv_2[19]") (joined (portRef Y (instanceRef r_f_pc_RNITB695_19)) (portRef data_0_iv_2_19) )) (net (rename pc_m_0_19 "pc_m_0[19]") (joined (portRef Y (instanceRef r_f_pc_RNIQ7741_19)) (portRef A (instanceRef r_f_pc_RNITB695_19)) )) (net (rename data_0_iv_0_19 "data_0_iv_0[19]") (joined (portRef Y (instanceRef r_x_data_0_RNI5KEU2_19)) (portRef B (instanceRef r_f_pc_RNITB695_19)) )) (net (rename y_m_0_0_19 "y_m_0_0[19]") (joined (portRef Y (instanceRef r_w_s_y_RNIUFG61_19)) (portRef C (instanceRef r_f_pc_RNITB695_19)) )) (net (rename data_0_m_0_19 "data_0_m_0[19]") (joined (portRef Y (instanceRef r_x_data_0_RNI32EC_19)) (portRef A (instanceRef r_x_data_0_RNI5KEU2_19)) )) (net (rename rfo_mZ0Z_19 "rfo_m[19]") (joined (portRef Y (instanceRef rfo_m_19)) (portRef B (instanceRef r_x_data_0_RNI5KEU2_19)) )) (net (rename data_0_iv_4_14 "data_0_iv_4[14]") (joined (portRef Y (instanceRef r_w_s_tba_RNIQMFH2_2)) (portRef C (instanceRef r_f_pc_RNIGBN39_14)) )) (net (rename addr_m_0_14 "addr_m_0[14]") (joined (portRef Y (instanceRef ir_addr_RNISM091_14)) (portRef C (instanceRef r_w_s_tba_RNIQMFH2_2)) )) (net (rename data_0_iv_2_14 "data_0_iv_2[14]") (joined (portRef Y (instanceRef r_f_pc_RNIE3NA2_14)) (portRef A (instanceRef r_f_pc_RNIGBN39_14)) )) (net (rename y_m_0_14 "y_m_0[14]") (joined (portRef Y (instanceRef r_w_s_y_RNIPRF61_14)) (portRef C (instanceRef r_f_pc_RNIE3NA2_14)) )) (net (rename data_0_iv_1_14 "data_0_iv_1[14]") (joined (portRef Y (instanceRef r_w_s_dwt_RNI8HG74)) (portRef B (instanceRef r_f_pc_RNIGBN39_14)) )) (net dwt_m (joined (portRef Y (instanceRef r_w_s_dwt_RNIET191)) (portRef A (instanceRef r_w_s_dwt_RNI8HG74)) )) (net (rename data_0_m_0_14 "data_0_m_0[14]") (joined (portRef Y (instanceRef r_x_data_0_RNIU1EC_14)) (portRef B (instanceRef r_w_s_dwt_RNI8HG74)) )) (net (rename rfo_mZ0Z_14 "rfo_m[14]") (joined (portRef Y (instanceRef rfo_m_14)) (portRef C (instanceRef r_w_s_dwt_RNI8HG74)) )) (net (rename data_0_iv_4Z0Z_21 "data_0_iv_4[21]") (joined (portRef Y (instanceRef r_w_s_tba_RNIVAFH2_9)) (portRef C (instanceRef r_f_pc_RNI3I439_21)) )) (net (rename addr_m_0_21 "addr_m_0[21]") (joined (portRef Y (instanceRef ir_addr_RNIQA091_21)) (portRef C (instanceRef r_w_s_tba_RNIVAFH2_9)) )) (net (rename data_0_iv_2_21 "data_0_iv_2[21]") (joined (portRef Y (instanceRef r_f_pc_RNICRMA2_21)) (portRef A (instanceRef r_f_pc_RNI3I439_21)) )) (net (rename y_m_0_0_21 "y_m_0_0[21]") (joined (portRef Y (instanceRef r_w_s_y_RNINFF61_21)) (portRef C (instanceRef r_f_pc_RNICRMA2_21)) )) (net (rename data_0_iv_1_21 "data_0_iv_1[21]") (joined (portRef Y (instanceRef r_w_s_icc_RNIOBU64_1)) (portRef B (instanceRef r_f_pc_RNI3I439_21)) )) (net (rename rfo_mZ0Z_21 "rfo_m[21]") (joined (portRef Y (instanceRef rfo_m_21)) (portRef A (instanceRef r_w_s_icc_RNIOBU64_1)) )) (net (rename data_0_m_0_21 "data_0_m_0[21]") (joined (portRef Y (instanceRef r_x_data_0_RNIU5EC_21)) (portRef B (instanceRef r_w_s_icc_RNIOBU64_1)) )) (net (rename icc_m_0_1 "icc_m_0[1]") (joined (portRef Y (instanceRef r_w_s_icc_RNITFF81_1)) (portRef C (instanceRef r_w_s_icc_RNIOBU64_1)) )) (net (rename data_0_iv_4_23 "data_0_iv_4[30]") (joined (portRef Y (instanceRef r_w_s_tba_RNIFGAH2_18)) (portRef data_0_iv_4_23) )) (net (rename addr_m_0_30 "addr_m_0[30]") (joined (portRef Y (instanceRef ir_addr_RNIQ6091_30)) (portRef C (instanceRef r_w_s_tba_RNIFGAH2_18)) )) (net (rename data_0_iv_3_29 "data_0_iv_3[30]") (joined (portRef Y (instanceRef r_f_pc_RNI2FPB6_30)) (portRef data_0_iv_3_29) )) (net (rename y_m_0_0_30 "y_m_0_0[30]") (joined (portRef Y (instanceRef r_w_s_y_RNINBF61_30)) (portRef A (instanceRef r_f_pc_RNI2FPB6_30)) )) (net (rename pc_m_0_30 "pc_m_0[30]") (joined (portRef Y (instanceRef r_f_pc_RNINF741_30)) (portRef B (instanceRef r_f_pc_RNI2FPB6_30)) )) (net (rename data_0_iv_1_30 "data_0_iv_1[30]") (joined (portRef Y (instanceRef r_x_data_0_RNIKJ214_30)) (portRef C (instanceRef r_f_pc_RNI2FPB6_30)) )) (net (rename data_0_iv_0_30 "data_0_iv_0[30]") (joined (portRef Y (instanceRef r_x_data_0_RNIV3FU2_30)) (portRef A (instanceRef r_x_data_0_RNIKJ214_30)) )) (net (rename rfo_mZ0Z_30 "rfo_m[30]") (joined (portRef Y (instanceRef rfo_m_30)) (portRef C (instanceRef r_x_data_0_RNIV3FU2_30)) )) (net (rename data_0_iv_3_16 "data_0_iv_3[17]") (joined (portRef Y (instanceRef r_w_s_tba_RNI03GH2_5)) (portRef data_0_iv_3_16) )) (net (rename addr_m_0_17 "addr_m_0[17]") (joined (portRef Y (instanceRef ir_addr_RNIV2191_17)) (portRef C (instanceRef r_w_s_tba_RNI03GH2_5)) )) (net (rename data_0_iv_2_17 "data_0_iv_2[17]") (joined (portRef Y (instanceRef r_f_pc_RNIK3695_17)) (portRef data_0_iv_2_17) )) (net (rename pc_m_0_17 "pc_m_0[17]") (joined (portRef Y (instanceRef r_f_pc_RNIO7741_17)) (portRef A (instanceRef r_f_pc_RNIK3695_17)) )) (net (rename data_0_iv_0_17 "data_0_iv_0[17]") (joined (portRef Y (instanceRef r_x_data_0_RNI0KEU2_17)) (portRef B (instanceRef r_f_pc_RNIK3695_17)) )) (net (rename y_m_0_17 "y_m_0[17]") (joined (portRef Y (instanceRef r_w_s_y_RNIS7G61_17)) (portRef C (instanceRef r_f_pc_RNIK3695_17)) )) (net (rename rfo_mZ0Z_17 "rfo_m[17]") (joined (portRef Y (instanceRef rfo_m_17)) (portRef C (instanceRef r_x_data_0_RNI0KEU2_17)) )) (net (rename data_0_iv_6_4 "data_0_iv_6[4]") (joined (portRef Y (instanceRef ir_addr_RNIN65E6_4)) (portRef C (instanceRef r_w_s_tt_RNI1I97B_0)) )) (net (rename data_0_iv_2_4 "data_0_iv_2[4]") (joined (portRef Y (instanceRef r_x_data_0_RNI4JSV3_4)) (portRef A (instanceRef ir_addr_RNIN65E6_4)) )) (net (rename y_m_0_1_4 "y_m_0_1[4]") (joined (portRef Y (instanceRef r_w_s_y_RNI8IG91_4)) (portRef B (instanceRef ir_addr_RNIN65E6_4)) )) (net (rename addr_m_0_4 "addr_m_0[4]") (joined (portRef Y (instanceRef ir_addr_RNIB1O41_4)) (portRef C (instanceRef ir_addr_RNIN65E6_4)) )) (net (rename data_0_iv_3_4 "data_0_iv_3[4]") (joined (portRef Y (instanceRef r_f_pc_RNI5C8I3_4)) (portRef B (instanceRef r_w_s_tt_RNI1I97B_0)) )) (net (rename tt_m_0 "tt_m[0]") (joined (portRef Y (instanceRef dsur_tt_RNI1B161_0)) (portRef A (instanceRef r_f_pc_RNI5C8I3_4)) )) (net (rename wim_m_4 "wim_m[4]") (joined (portRef Y (instanceRef r_w_s_wim_RNIEII81_4)) (portRef B (instanceRef r_f_pc_RNI5C8I3_4)) )) (net (rename pc_m_0_4 "pc_m_0[4]") (joined (portRef Y (instanceRef r_f_pc_RNIMEK31_4)) (portRef C (instanceRef r_f_pc_RNI5C8I3_4)) )) (net (rename data_0_iv_0_4 "data_0_iv_0[4]") (joined (portRef Y (instanceRef r_x_data_0_RNI61LR2_4)) (portRef A (instanceRef r_x_data_0_RNI4JSV3_4)) )) (net (rename asi_m_4 "asi_m[4]") (joined (portRef Y (instanceRef dsur_asi_RNIUH741_4)) (portRef B (instanceRef r_x_data_0_RNI4JSV3_4)) )) (net (rename rfo_mZ0Z_4 "rfo_m[4]") (joined (portRef Y (instanceRef rfo_m_4)) (portRef C (instanceRef r_x_data_0_RNI61LR2_4)) )) (net (rename data_0_iv_5_9 "data_0_iv_5[9]") (joined (portRef Y (instanceRef r_w_s_tt_RNIQKKB2_5)) (portRef C (instanceRef r_f_pc_RNIRG43A_9)) )) (net (rename tt_5 "tt[5]") (joined (portRef Q (instanceRef r_w_s_tt_5)) (portRef A (instanceRef r_w_s_tt_RNIV85I2_5)) (portRef B (instanceRef r_w_s_tt_RNO_0_5)) (portRef B (instanceRef r_x_intack_RNO_1)) (portRef A (instanceRef r_w_s_tt_RNIQKKB2_5)) )) (net (rename addr_m_0_9 "addr_m_0[9]") (joined (portRef Y (instanceRef ir_addr_RNIG1O41_9)) (portRef C (instanceRef r_w_s_tt_RNIQKKB2_5)) )) (net (rename data_0_iv_3_9 "data_0_iv_3[9]") (joined (portRef Y (instanceRef r_x_data_0_RNI378B5_9)) (portRef A (instanceRef r_f_pc_RNIRG43A_9)) )) (net (rename data_0_iv_0_9 "data_0_iv_0[9]") (joined (portRef Y (instanceRef r_x_data_0_RNIG9MR2_9)) (portRef A (instanceRef r_x_data_0_RNI378B5_9)) )) (net (rename tt_m_5 "tt_m[5]") (joined (portRef Y (instanceRef dsur_tt_RNI6B161_5)) (portRef B (instanceRef r_x_data_0_RNI378B5_9)) )) (net (rename y_m_0_1_9 "y_m_0_1[9]") (joined (portRef Y (instanceRef r_w_s_y_RNIDIG91_9)) (portRef C (instanceRef r_x_data_0_RNI378B5_9)) )) (net (rename data_0_iv_2_9 "data_0_iv_2[9]") (joined (portRef Y (instanceRef r_f_pc_RNIUK7C2_9)) (portRef B (instanceRef r_f_pc_RNIRG43A_9)) )) (net (rename pil_m_1 "pil_m[1]") (joined (portRef Y (instanceRef r_w_s_pil_RNI3II81_1)) (portRef C (instanceRef r_f_pc_RNIUK7C2_9)) )) (net (rename rfo_mZ0Z_9 "rfo_m[9]") (joined (portRef Y (instanceRef rfo_m_9)) (portRef C (instanceRef r_x_data_0_RNIG9MR2_9)) )) (net (rename data_0_iv_4_17 "data_0_iv_4[24]") (joined (portRef Y (instanceRef r_w_s_tba_RNIC8AH2_12)) (portRef data_0_iv_4_17) )) (net (rename tba_12 "tba[12]") (joined (portRef Q (instanceRef r_w_s_tba_12)) (portRef A (instanceRef r_w_s_tba_RNO_0_12)) (portRef A (instanceRef r_w_s_tba_RNI47IJ2_12)) (portRef A (instanceRef r_f_pc_RNO_13_24)) (portRef A (instanceRef r_w_s_tba_RNIC8AH2_12)) )) (net (rename addr_m_0_24 "addr_m_0[24]") (joined (portRef Y (instanceRef ir_addr_RNITM091_24)) (portRef C (instanceRef r_w_s_tba_RNIC8AH2_12)) )) (net (rename data_0_iv_3_23 "data_0_iv_3[24]") (joined (portRef Y (instanceRef r_f_pc_RNI8JPB6_24)) (portRef data_0_iv_3_23) )) (net (rename y_m_0_1_24 "y_m_0_1[24]") (joined (portRef Y (instanceRef r_w_s_y_RNIQRF61_24)) (portRef A (instanceRef r_f_pc_RNI8JPB6_24)) )) (net (rename pc_m_0_24 "pc_m_0[24]") (joined (portRef Y (instanceRef r_f_pc_RNIOB741_24)) (portRef B (instanceRef r_f_pc_RNI8JPB6_24)) )) (net (rename data_0_iv_1_24 "data_0_iv_1[24]") (joined (portRef Y (instanceRef r_x_data_0_RNIMB214_24)) (portRef C (instanceRef r_f_pc_RNI8JPB6_24)) )) (net (rename data_0_iv_0_24 "data_0_iv_0[24]") (joined (portRef Y (instanceRef r_x_data_0_RNI1SEU2_24)) (portRef A (instanceRef r_x_data_0_RNIMB214_24)) )) (net (rename data_0_m_0_24 "data_0_m_0[24]") (joined (portRef Y (instanceRef r_x_data_0_RNI16EC_24)) (portRef C (instanceRef r_x_data_0_RNI1SEU2_24)) )) (net (rename data_0_iv_4_25 "data_0_iv_4[25]") (joined (portRef Y (instanceRef r_w_s_tba_RNIEGAH2_13)) (portRef C (instanceRef r_f_pc_RNIQ74T8_25)) )) (net (rename tba_13 "tba[13]") (joined (portRef Q (instanceRef r_w_s_tba_13)) (portRef A (instanceRef r_w_s_tba_RNI5BIJ2_13)) (portRef A (instanceRef r_w_s_tba_RNO_0_13)) (portRef A (instanceRef r_f_pc_RNO_14_25)) (portRef A (instanceRef r_w_s_tba_RNIEGAH2_13)) )) (net (rename addr_m_0_25 "addr_m_0[25]") (joined (portRef Y (instanceRef ir_addr_RNIUQ091_25)) (portRef C (instanceRef r_w_s_tba_RNIEGAH2_13)) )) (net (rename data_0_iv_2_25 "data_0_iv_2[25]") (joined (portRef Y (instanceRef r_f_pc_RNIKBNA2_25)) (portRef A (instanceRef r_f_pc_RNIQ74T8_25)) )) (net (rename y_m_0_0_25 "y_m_0_0[25]") (joined (portRef Y (instanceRef r_w_s_y_RNIRVF61_25)) (portRef C (instanceRef r_f_pc_RNIKBNA2_25)) )) (net (rename data_0_iv_1_25 "data_0_iv_1[25]") (joined (portRef Y (instanceRef r_x_data_0_RNIOB214_25)) (portRef B (instanceRef r_f_pc_RNIQ74T8_25)) )) (net (rename data_0_iv_0_25 "data_0_iv_0[25]") (joined (portRef Y (instanceRef r_x_data_0_RNI3SEU2_25)) (portRef A (instanceRef r_x_data_0_RNIOB214_25)) )) (net (rename data_0_m_0_25 "data_0_m_0[25]") (joined (portRef Y (instanceRef r_x_data_0_RNI26EC_25)) (portRef C (instanceRef r_x_data_0_RNI3SEU2_25)) )) (net (rename data_0_iv_3Z0Z_16 "data_0_iv_3[16]") (joined (portRef Y (instanceRef r_w_s_tba_RNIUUFH2_4)) (portRef C (instanceRef r_w_s_y_RNIEULQ7_16)) )) (net (rename addr_m_0_16 "addr_m_0[16]") (joined (portRef Y (instanceRef ir_addr_RNIUU091_16)) (portRef C (instanceRef r_w_s_tba_RNIUUFH2_4)) )) (net (rename data_0_iv_1_16 "data_0_iv_1[16]") (joined (portRef Y (instanceRef r_f_pc_RNILRL24_16)) (portRef A (instanceRef r_w_s_y_RNIEULQ7_16)) )) (net (rename rfo_mZ0Z_16 "rfo_m[16]") (joined (portRef Y (instanceRef rfo_m_16)) (portRef A (instanceRef r_f_pc_RNILRL24_16)) )) (net (rename data_0_m_0_16 "data_0_m_0[16]") (joined (portRef Y (instanceRef r_x_data_0_RNI02EC_16)) (portRef B (instanceRef r_f_pc_RNILRL24_16)) )) (net (rename pc_m_0_16 "pc_m_0[16]") (joined (portRef Y (instanceRef r_f_pc_RNIN7741_16)) (portRef C (instanceRef r_f_pc_RNILRL24_16)) )) (net (rename data_0_iv_4_21 "data_0_iv_4[28]") (joined (portRef Y (instanceRef r_w_s_tba_RNIK8BH2_16)) (portRef data_0_iv_4_21) )) (net (rename data_3_sqmuxaZ0Z_1 "data_3_sqmuxa_1") (joined (portRef Y (instanceRef data_3_sqmuxa_1)) (portRef A (instanceRef r_w_s_tt_RNI8BS61_3)) (portRef A (instanceRef r_w_s_tt_RNI77S61_2)) (portRef A (instanceRef r_w_s_tt_RNI63S61_1)) (portRef A (instanceRef r_w_s_tt_RNI5VR61_0)) (portRef B (instanceRef r_w_s_tt_RNIOGKB2_4)) (portRef B (instanceRef r_w_s_tba_RNI27GH2_6)) (portRef B (instanceRef r_w_s_tba_RNI8O9H2_10)) (portRef B (instanceRef r_w_s_tba_RNIHOAH2_19)) (portRef B (instanceRef r_w_s_tba_RNIGOAH2_14)) (portRef B (instanceRef r_w_s_tba_RNIT6FH2_8)) (portRef B (instanceRef r_w_s_tba_RNIA0AH2_11)) (portRef B (instanceRef r_w_s_tba_RNII0BH2_15)) (portRef B (instanceRef r_w_s_tba_RNIMEFH2_0)) (portRef B (instanceRef r_w_s_tba_RNIK8BH2_16)) )) (net (rename addr_m_0_28 "addr_m_0[28]") (joined (portRef Y (instanceRef ir_addr_RNI17191_28)) (portRef C (instanceRef r_w_s_tba_RNIK8BH2_16)) )) (net (rename data_0_iv_3_27 "data_0_iv_3[28]") (joined (portRef Y (instanceRef r_f_pc_RNIO3QB6_28)) (portRef data_0_iv_3_27) )) (net (rename y_m_0_0_28 "y_m_0_0[28]") (joined (portRef Y (instanceRef r_w_s_y_RNIUBG61_28)) (portRef A (instanceRef r_f_pc_RNIO3QB6_28)) )) (net (rename pc_m_0_28 "pc_m_0[28]") (joined (portRef Y (instanceRef r_f_pc_RNISB741_28)) (portRef B (instanceRef r_f_pc_RNIO3QB6_28)) )) (net (rename data_0_iv_1_28 "data_0_iv_1[28]") (joined (portRef Y (instanceRef r_x_data_0_RNIUB214_28)) (portRef C (instanceRef r_f_pc_RNIO3QB6_28)) )) (net (rename data_0_iv_0_28 "data_0_iv_0[28]") (joined (portRef Y (instanceRef r_x_data_0_RNI9SEU2_28)) (portRef A (instanceRef r_x_data_0_RNIUB214_28)) )) (net (rename data_0_m_0_28 "data_0_m_0[28]") (joined (portRef Y (instanceRef r_x_data_0_RNI56EC_28)) (portRef C (instanceRef r_x_data_0_RNI9SEU2_28)) )) (net (rename data_0_iv_4_12 "data_0_iv_4[12]") (joined (portRef Y (instanceRef r_w_s_tba_RNIMEFH2_0)) (portRef C (instanceRef dsur_err_RNIK7BT8)) )) (net (rename tba_0 "tba[0]") (joined (portRef Q (instanceRef r_w_s_tba_0)) (portRef A (instanceRef r_w_s_tba_RNIHLNJ2_0)) (portRef A (instanceRef r_f_pc_RNO_13_12)) (portRef A (instanceRef r_w_s_tba_RNO_0_0)) (portRef A (instanceRef r_w_s_tba_RNIMEFH2_0)) )) (net (rename addr_m_0_12 "addr_m_0[12]") (joined (portRef Y (instanceRef ir_addr_RNIQE091_12)) (portRef C (instanceRef r_w_s_tba_RNIMEFH2_0)) )) (net (rename data_0_iv_2_12 "data_0_iv_2[12]") (joined (portRef Y (instanceRef r_f_pc_RNIARMA2_12)) (portRef A (instanceRef dsur_err_RNIK7BT8)) )) (net (rename y_m_0_0_12 "y_m_0_0[12]") (joined (portRef Y (instanceRef r_w_s_y_RNINJF61_12)) (portRef C (instanceRef r_f_pc_RNIARMA2_12)) )) (net (rename data_0_iv_1_12 "data_0_iv_1[12]") (joined (portRef Y (instanceRef dsur_err_RNIKT414)) (portRef B (instanceRef dsur_err_RNIK7BT8)) )) (net err (joined (portRef Q (instanceRef dsur_err)) (portRef A (instanceRef dsur_err_RNO_0)) (portRef A (instanceRef dsur_err_RNIKT414)) )) (net (rename data_8_sqmuxaZ0 "data_8_sqmuxa") (joined (portRef Y (instanceRef data_8_sqmuxa)) (portRef B (instanceRef dsur_tt_RNI2B161_1)) (portRef B (instanceRef dsur_tt_RNI3B161_2)) (portRef B (instanceRef dsur_tt_RNI1B161_0)) (portRef B (instanceRef dsur_tt_RNI4B161_3)) (portRef B (instanceRef dsur_tt_RNI5B161_4)) (portRef B (instanceRef dsur_tt_RNI6B161_5)) (portRef B (instanceRef dsur_tt_RNI8B161_7)) (portRef B (instanceRef dsur_tt_RNI7B161_6)) (portRef B (instanceRef dsur_err_RNIKT414)) )) (net (rename data_0_iv_0_12 "data_0_iv_0[12]") (joined (portRef Y (instanceRef r_x_data_0_RNIMJEU2_12)) (portRef C (instanceRef dsur_err_RNIKT414)) )) (net (rename rfo_mZ0Z_12 "rfo_m[12]") (joined (portRef Y (instanceRef rfo_m_12)) (portRef C (instanceRef r_x_data_0_RNIMJEU2_12)) )) (net (rename data_0_iv_3Z0Z_27 "data_0_iv_3[27]") (joined (portRef Y (instanceRef r_w_s_tba_RNII0BH2_15)) (portRef C (instanceRef r_f_pc_RNIHGHQ7_27)) )) (net (rename addr_m_0_27 "addr_m_0[27]") (joined (portRef Y (instanceRef ir_addr_RNI03191_27)) (portRef C (instanceRef r_w_s_tba_RNII0BH2_15)) )) (net (rename data_0_iv_1_27 "data_0_iv_1[27]") (joined (portRef Y (instanceRef r_f_pc_RNI28M24_27)) (portRef A (instanceRef r_f_pc_RNIHGHQ7_27)) )) (net (rename data_0_iv_0_27 "data_0_iv_0[27]") (joined (portRef Y (instanceRef r_x_data_0_RNI7SEU2_27)) (portRef C (instanceRef r_f_pc_RNI28M24_27)) )) (net (rename data_0_0_27 "data_0_0[27]") (joined (portRef Q (instanceRef r_x_data_0_27)) (portRef A (instanceRef r_x_data_0_RNIPVG8_27)) (portRef B (instanceRef r_e_op1_RNIA9ID_27)) (portRef B (instanceRef r_x_result_RNI6VED_27)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I28_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I28_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I28_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I28_G0N)) (portRef A (instanceRef r_x_data_0_RNO_1_27)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I318_Y_0_1)) (portRef A (instanceRef r_x_data_0_RNI7SEU2_27)) )) (net (rename rfo_mZ0Z_27 "rfo_m[27]") (joined (portRef Y (instanceRef rfo_m_27)) (portRef C (instanceRef r_x_data_0_RNI7SEU2_27)) )) (net (rename data_0_iv_4Z0Z_23 "data_0_iv_4[23]") (joined (portRef Y (instanceRef r_w_s_tba_RNIA0AH2_11)) (portRef C (instanceRef r_f_pc_RNIOFV29_23)) )) (net (rename tba_11 "tba[11]") (joined (portRef Q (instanceRef r_w_s_tba_11)) (portRef A (instanceRef r_w_s_tba_RNI33IJ2_11)) (portRef A (instanceRef r_f_pc_RNO_13_23)) (portRef A (instanceRef r_w_s_tba_RNO_0_11)) (portRef A (instanceRef r_w_s_tba_RNIA0AH2_11)) )) (net (rename addr_m_0_23 "addr_m_0[23]") (joined (portRef Y (instanceRef ir_addr_RNISI091_23)) (portRef C (instanceRef r_w_s_tba_RNIA0AH2_11)) )) (net (rename data_0_iv_2_23 "data_0_iv_2[23]") (joined (portRef Y (instanceRef r_f_pc_RNIG3NA2_23)) (portRef A (instanceRef r_f_pc_RNIOFV29_23)) )) (net (rename y_m_0_0_23 "y_m_0_0[23]") (joined (portRef Y (instanceRef r_w_s_y_RNIPNF61_23)) (portRef C (instanceRef r_f_pc_RNIG3NA2_23)) )) (net (rename data_0_iv_1_23 "data_0_iv_1[23]") (joined (portRef Y (instanceRef r_w_s_icc_RNIUBU64_3)) (portRef B (instanceRef r_f_pc_RNIOFV29_23)) )) (net (rename rfo_mZ0Z_23 "rfo_m[23]") (joined (portRef Y (instanceRef rfo_m_23)) (portRef A (instanceRef r_w_s_icc_RNIUBU64_3)) )) (net (rename data_0_m_0_23 "data_0_m_0[23]") (joined (portRef Y (instanceRef r_x_data_0_RNI06EC_23)) (portRef B (instanceRef r_w_s_icc_RNIUBU64_3)) )) (net (rename icc_m_0_3 "icc_m_0[3]") (joined (portRef Y (instanceRef r_w_s_icc_RNIVFF81_3)) (portRef C (instanceRef r_w_s_icc_RNIUBU64_3)) )) (net (rename data_0_iv_4_20 "data_0_iv_4[20]") (joined (portRef Y (instanceRef r_w_s_tba_RNIT6FH2_8)) (portRef C (instanceRef r_f_pc_RNIS9439_20)) )) (net (rename tba_8 "tba[8]") (joined (portRef Q (instanceRef r_w_s_tba_8)) (portRef A (instanceRef r_w_s_tba_RNIPLNJ2_8)) (portRef A (instanceRef r_w_s_tba_RNO_0_8)) (portRef A (instanceRef r_f_pc_RNO_13_20)) (portRef A (instanceRef r_w_s_tba_RNIT6FH2_8)) )) (net (rename addr_m_0_20 "addr_m_0[20]") (joined (portRef Y (instanceRef ir_addr_RNIP6091_20)) (portRef C (instanceRef r_w_s_tba_RNIT6FH2_8)) )) (net (rename data_0_iv_2_20 "data_0_iv_2[20]") (joined (portRef Y (instanceRef r_f_pc_RNIANMA2_20)) (portRef A (instanceRef r_f_pc_RNIS9439_20)) )) (net (rename y_m_0_0_20 "y_m_0_0[20]") (joined (portRef Y (instanceRef r_w_s_y_RNIMBF61_20)) (portRef C (instanceRef r_f_pc_RNIANMA2_20)) )) (net (rename data_0_iv_1_20 "data_0_iv_1[20]") (joined (portRef Y (instanceRef r_w_s_icc_RNILBU64_0)) (portRef B (instanceRef r_f_pc_RNIS9439_20)) )) (net (rename rfo_mZ0Z_20 "rfo_m[20]") (joined (portRef Y (instanceRef rfo_m_20)) (portRef A (instanceRef r_w_s_icc_RNILBU64_0)) )) (net (rename data_0_m_0_20 "data_0_m_0[20]") (joined (portRef Y (instanceRef r_x_data_0_RNIT5EC_20)) (portRef B (instanceRef r_w_s_icc_RNILBU64_0)) )) (net (rename icc_m_0_0 "icc_m_0[0]") (joined (portRef Y (instanceRef r_w_s_icc_RNISFF81_0)) (portRef C (instanceRef r_w_s_icc_RNILBU64_0)) )) (net (rename data_0_iv_4_26 "data_0_iv_4[26]") (joined (portRef Y (instanceRef r_w_s_tba_RNIGOAH2_14)) (portRef C (instanceRef r_w_s_y_RNI0K4T8_26)) )) (net (rename tba_14 "tba[14]") (joined (portRef Q (instanceRef r_w_s_tba_14)) (portRef A (instanceRef r_w_s_tba_RNO_0_14)) (portRef A (instanceRef r_w_s_tba_RNI6FIJ2_14)) (portRef A (instanceRef r_f_pc_RNO_13_26)) (portRef A (instanceRef r_w_s_tba_RNIGOAH2_14)) )) (net (rename addr_m_0_26 "addr_m_0[26]") (joined (portRef Y (instanceRef ir_addr_RNIVU091_26)) (portRef C (instanceRef r_w_s_tba_RNIGOAH2_14)) )) (net (rename data_0_iv_2_26 "data_0_iv_2[26]") (joined (portRef Y (instanceRef r_f_pc_RNIKN955_26)) (portRef A (instanceRef r_w_s_y_RNI0K4T8_26)) )) (net (rename data_0_iv_0_26 "data_0_iv_0[26]") (joined (portRef Y (instanceRef r_x_data_0_RNIOL1F1_26)) (portRef A (instanceRef r_f_pc_RNIKN955_26)) )) (net (rename rfo_mZ0Z_26 "rfo_m[26]") (joined (portRef Y (instanceRef rfo_m_26)) (portRef B (instanceRef r_f_pc_RNIKN955_26)) )) (net (rename pc_m_0_26 "pc_m_0[26]") (joined (portRef Y (instanceRef r_f_pc_RNIQB741_26)) (portRef C (instanceRef r_f_pc_RNIKN955_26)) )) (net (rename data_0_m_0_26 "data_0_m_0[26]") (joined (portRef Y (instanceRef r_x_data_0_RNI36EC_26)) (portRef A (instanceRef r_x_data_0_RNIOL1F1_26)) )) (net (rename data_10_sqmuxaZ0 "data_10_sqmuxa") (joined (portRef Y (instanceRef data_10_sqmuxa)) (portRef B (instanceRef r_w_s_dwt_RNIET191)) (portRef C (instanceRef r_x_data_0_RNIBDK91_1)) (portRef C (instanceRef r_x_data_0_RNICHK91_2)) (portRef B (instanceRef r_x_data_0_RNIOL1F1_26)) )) (net (rename data_0_iv_4_24 "data_0_iv_4[31]") (joined (portRef Y (instanceRef r_w_s_tba_RNIHOAH2_19)) (portRef data_0_iv_4_24) )) (net (rename tba_19 "tba[19]") (joined (portRef Q (instanceRef r_w_s_tba_19)) (portRef A (instanceRef r_w_s_tba_RNO_0_19)) (portRef A (instanceRef r_w_s_tba_RNIB3JJ2_19)) (portRef A (instanceRef r_f_pc_RNO_13_31)) (portRef A (instanceRef r_w_s_tba_RNIHOAH2_19)) )) (net (rename addr_m_0_31 "addr_m_0[31]") (joined (portRef Y (instanceRef ir_addr_RNIRA091_31)) (portRef C (instanceRef r_w_s_tba_RNIHOAH2_19)) )) (net (rename data_0_iv_3_30 "data_0_iv_3[31]") (joined (portRef Y (instanceRef r_f_pc_RNI6JPB6_31)) (portRef data_0_iv_3_30) )) (net (rename y_m_0_0_31 "y_m_0_0[31]") (joined (portRef Y (instanceRef r_w_s_y_RNIOFF61_31)) (portRef A (instanceRef r_f_pc_RNI6JPB6_31)) )) (net (rename pc_m_0_31 "pc_m_0[31]") (joined (portRef Y (instanceRef r_f_pc_RNIOF741_31)) (portRef B (instanceRef r_f_pc_RNI6JPB6_31)) )) (net (rename data_0_iv_1_31 "data_0_iv_1[31]") (joined (portRef Y (instanceRef r_x_data_0_RNIMJ214_31)) (portRef C (instanceRef r_f_pc_RNI6JPB6_31)) )) (net (rename data_0_iv_0_31 "data_0_iv_0[31]") (joined (portRef Y (instanceRef r_x_data_0_RNI14FU2_31)) (portRef A (instanceRef r_x_data_0_RNIMJ214_31)) )) (net (rename data_0_0_31 "data_0_0[31]") (joined (portRef Q (instanceRef r_x_data_0_31)) (portRef A (instanceRef r_x_data_0_RNI96HK_31)) (portRef B (instanceRef r_e_op1_RNI2LHD_31)) (portRef A (instanceRef r_x_data_0_RNO_1_31)) (portRef B (instanceRef r_x_result_RNI07FD_31)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I322_Y_0_1)) (portRef A (instanceRef r_x_data_0_RNIDN9E_31)) (portRef A (instanceRef r_x_data_0_RNI14FU2_31)) )) (net (rename rfo_mZ0Z_31 "rfo_m[31]") (joined (portRef Y (instanceRef rfo_m_31)) (portRef C (instanceRef r_x_data_0_RNI14FU2_31)) )) (net (rename data_0_iv_4_22 "data_0_iv_4[22]") (joined (portRef Y (instanceRef r_w_s_tba_RNI8O9H2_10)) (portRef C (instanceRef r_f_pc_RNIH3V29_22)) )) (net (rename tba_10 "tba[10]") (joined (portRef Q (instanceRef r_w_s_tba_10)) (portRef A (instanceRef r_f_pc_RNO_13_22)) (portRef A (instanceRef r_w_s_tba_RNI2VHJ2_10)) (portRef A (instanceRef r_w_s_tba_RNO_0_10)) (portRef A (instanceRef r_w_s_tba_RNI8O9H2_10)) )) (net (rename addr_m_0_22 "addr_m_0[22]") (joined (portRef Y (instanceRef ir_addr_RNIRE091_22)) (portRef C (instanceRef r_w_s_tba_RNI8O9H2_10)) )) (net (rename data_0_iv_2_22 "data_0_iv_2[22]") (joined (portRef Y (instanceRef r_f_pc_RNIEVMA2_22)) (portRef A (instanceRef r_f_pc_RNIH3V29_22)) )) (net (rename y_m_0_0_22 "y_m_0_0[22]") (joined (portRef Y (instanceRef r_w_s_y_RNIOJF61_22)) (portRef C (instanceRef r_f_pc_RNIEVMA2_22)) )) (net (rename data_0_iv_1_22 "data_0_iv_1[22]") (joined (portRef Y (instanceRef r_w_s_icc_RNIRBU64_2)) (portRef B (instanceRef r_f_pc_RNIH3V29_22)) )) (net (rename rfo_mZ0Z_22 "rfo_m[22]") (joined (portRef Y (instanceRef rfo_m_22)) (portRef A (instanceRef r_w_s_icc_RNIRBU64_2)) )) (net (rename data_0_m_0_22 "data_0_m_0[22]") (joined (portRef Y (instanceRef r_x_data_0_RNIV5EC_22)) (portRef B (instanceRef r_w_s_icc_RNIRBU64_2)) )) (net (rename icc_m_0_2 "icc_m_0[2]") (joined (portRef Y (instanceRef r_w_s_icc_RNIUFF81_2)) (portRef C (instanceRef r_w_s_icc_RNIRBU64_2)) )) (net (rename data_0_iv_3Z0Z_18 "data_0_iv_3[18]") (joined (portRef Y (instanceRef r_w_s_tba_RNI27GH2_6)) (portRef C (instanceRef r_w_s_y_RNIREMQ7_18)) )) (net (rename addr_m_0_18 "addr_m_0[18]") (joined (portRef Y (instanceRef ir_addr_RNI07191_18)) (portRef C (instanceRef r_w_s_tba_RNI27GH2_6)) )) (net (rename data_0_iv_1_18 "data_0_iv_1[18]") (joined (portRef Y (instanceRef r_f_pc_RNISRL24_18)) (portRef A (instanceRef r_w_s_y_RNIREMQ7_18)) )) (net (rename rfo_mZ0Z_18 "rfo_m[18]") (joined (portRef Y (instanceRef rfo_m_18)) (portRef A (instanceRef r_f_pc_RNISRL24_18)) )) (net (rename data_0_m_0_18 "data_0_m_0[18]") (joined (portRef Y (instanceRef r_x_data_0_RNI22EC_18)) (portRef B (instanceRef r_f_pc_RNISRL24_18)) )) (net (rename pc_m_0_18 "pc_m_0[18]") (joined (portRef Y (instanceRef r_f_pc_RNIP7741_18)) (portRef C (instanceRef r_f_pc_RNISRL24_18)) )) (net (rename data_0_iv_5_8 "data_0_iv_5[8]") (joined (portRef Y (instanceRef r_w_s_tt_RNIOGKB2_4)) (portRef C (instanceRef r_f_pc_RNIJ043A_8)) )) (net (rename tt_4 "tt[4]") (joined (portRef Q (instanceRef r_w_s_tt_4)) (portRef A (instanceRef r_w_s_tt_RNIU45I2_4)) (portRef B (instanceRef r_w_s_tt_RNO_0_4)) (portRef A (instanceRef r_x_intack_RNO_1)) (portRef A (instanceRef r_w_s_tt_RNIOGKB2_4)) )) (net (rename addr_m_0_8 "addr_m_0[8]") (joined (portRef Y (instanceRef ir_addr_RNIF1O41_8)) (portRef C (instanceRef r_w_s_tt_RNIOGKB2_4)) )) (net (rename data_0_iv_3_8 "data_0_iv_3[8]") (joined (portRef Y (instanceRef r_x_data_0_RNIVU7B5_8)) (portRef A (instanceRef r_f_pc_RNIJ043A_8)) )) (net (rename data_0_iv_0_8 "data_0_iv_0[8]") (joined (portRef Y (instanceRef r_x_data_0_RNIE1MR2_8)) (portRef A (instanceRef r_x_data_0_RNIVU7B5_8)) )) (net (rename tt_m_4 "tt_m[4]") (joined (portRef Y (instanceRef dsur_tt_RNI5B161_4)) (portRef B (instanceRef r_x_data_0_RNIVU7B5_8)) )) (net (rename y_m_0_0_8 "y_m_0_0[8]") (joined (portRef Y (instanceRef r_w_s_y_RNICIG91_8)) (portRef C (instanceRef r_x_data_0_RNIVU7B5_8)) )) (net (rename data_0_iv_2_8 "data_0_iv_2[8]") (joined (portRef Y (instanceRef r_f_pc_RNISG7C2_8)) (portRef B (instanceRef r_f_pc_RNIJ043A_8)) )) (net (rename fpc_8 "fpc[8]") (joined (portRef Q (instanceRef r_f_pc_8)) (portRef B (instanceRef un6_fe_npc_0_I_31)) (portRef C (instanceRef un6_fe_npc_0_I_37)) (portRef A (instanceRef un6_fe_npc_0_I_41)) (portRef A (instanceRef un6_fe_npc_0_I_59)) (portRef B (instanceRef r_f_pc_RNIF7R43_8)) (portRef A (instanceRef r_d_pc_RNO_8)) (portRef A (instanceRef r_f_pc_RNIJJTR8_8)) (portRef A (instanceRef r_f_pc_RNIBE81E_8)) (portRef B (instanceRef r_f_pc_RNISG7C2_8)) (portRef A (instanceRef r_f_pc_RNIPES5E1_8)) (portRef (member fpc 23)) )) (net (rename pil_m_0 "pil_m[0]") (joined (portRef Y (instanceRef r_w_s_pil_RNI2II81_0)) (portRef C (instanceRef r_f_pc_RNISG7C2_8)) )) (net (rename rfo_mZ0Z_8 "rfo_m[8]") (joined (portRef Y (instanceRef rfo_m_8)) (portRef C (instanceRef r_x_data_0_RNIE1MR2_8)) )) (net enaddr (joined (portRef Q (instanceRef r_m_dci_enaddr)) (portRef A (instanceRef dsur_crdy_RNO_1_1)) (portRef C (instanceRef r_m_dci_enaddr_RNIU3FB1_0)) (portRef B (instanceRef r_m_dci_enaddr_RNIU3FB1)) (portRef B (instanceRef dsur_crdy_RNO_5_2)) (portRef enaddr) )) (net rs1_2 (joined (portRef Y (instanceRef r_d_inst_0_RNISKLI2_18)) (portRef A (instanceRef r_d_inst_0_RNI4F6M3_16)) )) (net (rename rs1_4 "rs1[4]") (joined (portRef Y (instanceRef r_d_inst_0_RNI7DOH_18)) (portRef A (instanceRef r_d_inst_0_RNISKLI2_18)) )) (net rs1_1 (joined (portRef Y (instanceRef r_d_inst_0_RNI8QG31_16)) (portRef B (instanceRef r_d_inst_0_RNI4F6M3_16)) )) (net (rename y_iv_0_4_7 "y_iv_0_4[7]") (joined (portRef Y (instanceRef r_m_y_RNO_2_7)) (portRef C (instanceRef r_m_y_RNO_7)) )) (net N_403_i (joined (portRef Y (instanceRef r_m_y_RNO_5_7)) (portRef A (instanceRef r_m_y_RNO_2_7)) )) (net (rename y_iv_0_2_7 "y_iv_0_2[7]") (joined (portRef Y (instanceRef r_m_y_RNO_6_7)) (portRef B (instanceRef r_m_y_RNO_2_7)) )) (net N_404 (joined (portRef Y (instanceRef r_m_y_RNO_7_7)) (portRef C (instanceRef r_m_y_RNO_2_7)) )) (net N_356 (joined (portRef Y (instanceRef r_m_y_RNO_10_7)) (portRef A (instanceRef r_m_y_RNO_6_7)) )) (net N_355 (joined (portRef Y (instanceRef r_m_y_RNO_11_7)) (portRef B (instanceRef r_m_y_RNO_6_7)) )) (net (rename y_iv_0_1_7 "y_iv_0_1[7]") (joined (portRef Y (instanceRef r_m_y_RNO_12_7)) (portRef C (instanceRef r_m_y_RNO_6_7)) )) (net N_407 (joined (portRef Y (instanceRef r_m_y_RNO_13_7)) (portRef A (instanceRef r_m_y_RNO_12_7)) )) (net N_408 (joined (portRef Y (instanceRef r_m_y_RNO_14_7)) (portRef B (instanceRef r_m_y_RNO_12_7)) )) (net N616_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I293_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I248_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I204_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I272_un1_Y_0)) )) (net N651_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I182_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I237_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I272_un1_Y_0)) )) (net ADD_33x33_fast_I293_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I293_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I293_Y_0)) )) (net (rename op2_2 "op2[2]") (joined (portRef Q (instanceRef r_e_op2_2)) (portRef A (instanceRef r_e_op2_RNI1PHG_2)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I3_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I90_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I89_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I146_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I293_Y_0_0)) )) (net (rename op1_RNI67B4_2 "op1_RNI67B4[2]") (joined (portRef Y (instanceRef r_e_op1_RNI67B4_2)) (portRef A (instanceRef r_x_result_RNIVBI13_2)) (portRef A (instanceRef r_e_op2_RNI70TK_0_2)) (portRef B (instanceRef r_e_op2_RNI70TK_2)) (portRef C (instanceRef r_e_aluop_RNIRROS_2)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I293_Y_0_1)) (portRef B (instanceRef r_e_op1_RNIA18F1_2)) (portRef B (instanceRef r_e_shleft_0_RNI97I41)) (portRef A (instanceRef r_e_shleft_0_RNIUIUB)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I3_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I90_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I89_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I87_Y_0_a3)) (portRef A (instanceRef r_e_aluop_0_RNI1POM_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I146_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I146_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I293_Y_0_0)) )) (net (rename tt_0_o2_0_0 "tt_0_o2_0[0]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_2_0)) (portRef C (instanceRef r_e_ctrl_tt_RNO_0_0)) )) (net (rename data_0_iv_6_2 "data_0_iv_6[2]") (joined (portRef Y (instanceRef r_f_pc_RNI3QSH3_2)) (portRef C (instanceRef r_w_s_cwp_RNIRCO5B_2)) )) (net (rename y_m_0_0_2 "y_m_0_0[2]") (joined (portRef Y (instanceRef r_w_s_y_RNI6IG91_2)) (portRef A (instanceRef r_f_pc_RNI3QSH3_2)) )) (net (rename pc_m_0_2 "pc_m_0[2]") (joined (portRef Y (instanceRef r_f_pc_RNIK6K31_2)) (portRef B (instanceRef r_f_pc_RNI3QSH3_2)) )) (net (rename addr_m_0_2 "addr_m_0[2]") (joined (portRef Y (instanceRef ir_addr_RNI91O41_2)) (portRef C (instanceRef r_f_pc_RNI3QSH3_2)) )) (net (rename data_0_iv_3_2 "data_0_iv_3[2]") (joined (portRef Y (instanceRef r_w_s_cwp_RNILV0D2_2)) (portRef A (instanceRef r_w_s_cwp_RNIRCO5B_2)) )) (net (rename asi_m_2 "asi_m[2]") (joined (portRef Y (instanceRef dsur_asi_RNIS9741_2)) (portRef C (instanceRef r_w_s_cwp_RNILV0D2_2)) )) (net (rename data_0_iv_2_2 "data_0_iv_2[2]") (joined (portRef Y (instanceRef r_x_data_0_RNI3JQ65_2)) (portRef B (instanceRef r_w_s_cwp_RNIRCO5B_2)) )) (net (rename wim_m_2 "wim_m[2]") (joined (portRef Y (instanceRef r_w_s_wim_RNICII81_2)) (portRef A (instanceRef r_x_data_0_RNI3JQ65_2)) )) (net (rename rfo_mZ0Z_2 "rfo_m[2]") (joined (portRef Y (instanceRef rfo_m_2)) (portRef B (instanceRef r_x_data_0_RNI3JQ65_2)) )) (net (rename data_0_iv_0_2 "data_0_iv_0[2]") (joined (portRef Y (instanceRef r_x_data_0_RNICHK91_2)) (portRef C (instanceRef r_x_data_0_RNI3JQ65_2)) )) (net (rename data_0_2 "data_0[2]") (joined (portRef Q (instanceRef r_x_data_0_2)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I3_G0N)) (portRef B (instanceRef r_x_result_RNIFDBB_2)) (portRef B (instanceRef r_e_op1_RNI67B4_2)) (portRef A (instanceRef r_x_data_0_RNO_4_2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I293_Y_0_1)) (portRef A (instanceRef r_x_data_0_RNI3FS8_2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_m4)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_m4_i_a4_0)) (portRef A (instanceRef r_x_data_0_RNILU42_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I87_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I3_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I146_Y_0)) (portRef A (instanceRef r_x_data_0_RNICHK91_2)) )) (net (rename data_0_iv_3_3 "data_0_iv_3[3]") (joined (portRef Y (instanceRef r_x_data_0_RNIOTND5_3)) (portRef A (instanceRef dsur_asi_RNIKNBQ8_3)) )) (net (rename data_0_iv_0_3 "data_0_iv_0[3]") (joined (portRef Y (instanceRef r_x_data_0_RNI4PKR2_3)) (portRef A (instanceRef r_x_data_0_RNIOTND5_3)) )) (net (rename wim_m_3 "wim_m[3]") (joined (portRef Y (instanceRef r_w_s_wim_RNIDII81_3)) (portRef B (instanceRef r_x_data_0_RNIOTND5_3)) )) (net (rename y_m_0_0_3 "y_m_0_0[3]") (joined (portRef Y (instanceRef r_w_s_y_RNI7IG91_3)) (portRef C (instanceRef r_x_data_0_RNIOTND5_3)) )) (net (rename data_0_iv_2_3 "data_0_iv_2[3]") (joined (portRef Y (instanceRef dsur_asi_RNIIOR72_3)) (portRef B (instanceRef dsur_asi_RNIKNBQ8_3)) )) (net (rename asi_3 "asi[3]") (joined (portRef Q (instanceRef dsur_asi_3)) (portRef A (instanceRef dsur_asi_RNO_0_3)) (portRef A (instanceRef r_m_dci_asi_RNO_0_3)) (portRef A (instanceRef dsur_asi_RNIH083_0_3)) (portRef A (instanceRef dsur_asi_RNIH083_3)) (portRef A (instanceRef dsur_asi_RNIIOR72_3)) )) (net (rename data_9_sqmuxaZ0Z_1 "data_9_sqmuxa_1") (joined (portRef Y (instanceRef data_9_sqmuxa_1)) (portRef B (instanceRef dsur_asi_RNI1U741_7)) (portRef B (instanceRef dsur_asi_RNIS9741_2)) (portRef B (instanceRef dsur_asi_RNI0Q741_6)) (portRef B (instanceRef dsur_asi_RNIVL741_5)) (portRef B (instanceRef dsur_asi_RNIUH741_4)) (portRef B (instanceRef dsur_asi_RNIR5741_1)) (portRef B (instanceRef dsur_asi_RNIQ1741_0)) (portRef B (instanceRef dsur_asi_RNIIOR72_3)) )) (net (rename pc_m_0_3 "pc_m_0[3]") (joined (portRef Y (instanceRef r_f_pc_RNILAK31_3)) (portRef C (instanceRef dsur_asi_RNIIOR72_3)) )) (net (rename data_0_3 "data_0[3]") (joined (portRef Q (instanceRef r_x_data_0_3)) (portRef A (instanceRef r_x_data_0_RNO_4_3)) (portRef B (instanceRef r_x_result_RNIHLBB_3)) (portRef B (instanceRef r_e_op1_RNI8BB4_3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I4_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I4_G0N)) (portRef A (instanceRef r_x_data_0_RNI4JS8_3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I294_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I294_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I4_P0N_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_a4)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I4_P0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I85_un1_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_0_0)) (portRef A (instanceRef r_x_data_0_RNI4PKR2_3)) )) (net (rename rfo_mZ0Z_3 "rfo_m[3]") (joined (portRef Y (instanceRef rfo_m_3)) (portRef C (instanceRef r_x_data_0_RNI4PKR2_3)) )) (net un55_dbgm_1 (joined (portRef Y (instanceRef r_x_mexc_RNID80J2)) (portRef B (instanceRef r_x_mexc_RNIVQ9KF)) )) (net btrape (joined (portRef btrape) (portRef A (instanceRef r_x_mexc_RNID80J2)) )) (net un75_dbgm (joined (portRef Y (instanceRef r_x_mexc_RNI65KR1)) (portRef B (instanceRef r_x_mexc_RNID80J2)) )) (net xc_vectt14 (joined (portRef Y (instanceRef r_x_mexc_RNIQ5MM)) (portRef B (instanceRef dsur_tt_RNO_0_7)) (portRef A (instanceRef r_w_s_tt_RNO_0_7)) (portRef B (instanceRef r_x_result_RNIF7GQ_6)) (portRef A (instanceRef r_x_mexc_RNIUPHT)) (portRef A (instanceRef comb_dbgexc_un82_dbgm_RNO_2)) (portRef B (instanceRef r_x_mexc_RNI03CN)) (portRef C (instanceRef r_x_mexc_RNID80J2)) )) (net ADD_33x33_fast_I200_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I200_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I200_Y)) )) (net N549 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I151_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I205_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I200_Y_0)) )) (net N545 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I86_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I144_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I205_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I200_Y_0)) )) (net mresult2_0_sqmuxa_0 (joined (portRef Y (instanceRef r_m_dci_enaddr_RNIU3FB1_0)) (portRef B (instanceRef r_m_dci_enaddr_RNIUOL32)) (portRef B (instanceRef r_m_dci_enaddr_RNIVSL32)) (portRef B (instanceRef r_m_dci_enaddr_RNIFSR02)) (portRef B (instanceRef r_m_dci_enaddr_RNIGSR02)) (portRef B (instanceRef r_m_dci_enaddr_RNISGL32)) (portRef B (instanceRef r_m_dci_enaddr_RNIP4L32)) (portRef B (instanceRef r_m_dci_enaddr_RNI9SR02)) (portRef B (instanceRef r_m_dci_enaddr_RNIASR02)) (portRef B (instanceRef r_m_dci_enaddr_RNIBSR02)) (portRef B (instanceRef r_m_dci_enaddr_RNIDSR02)) (portRef B (instanceRef r_m_dci_enaddr_RNIESR02)) (portRef B (instanceRef r_m_dci_enaddr_RNIHSR02)) (portRef B (instanceRef r_m_dci_enaddr_RNIISR02)) (portRef B (instanceRef r_m_dci_enaddr_RNITKL32)) (portRef B (instanceRef r_m_dci_enaddr_RNIQ8L32)) (portRef B (instanceRef r_m_dci_enaddr_RNIO0L32)) (portRef B (instanceRef r_m_dci_enaddr_RNICSR02)) (portRef B (instanceRef r_m_dci_enaddr_RNIRCL32)) )) (net denable (joined (portRef denable) (portRef A (instanceRef r_e_ctrl_inst_RNIJ56L1_21)) (portRef B (instanceRef r_m_dci_dsuen_RNI9L801)) (portRef B (instanceRef comb_diagwr_un139_dbgunit)) (portRef C (instanceRef dsur_crdy_RNO_1)) (portRef B (instanceRef dsur_crdy_RNO_0_2)) (portRef A (instanceRef r_x_rstate_RNIHI881_0)) (portRef A (instanceRef r_m_dci_enaddr_RNIU3FB1_0)) (portRef A (instanceRef r_m_dci_enaddr_RNIU3FB1)) )) (net err_4_0 (joined (portRef Y (instanceRef dsur_err_RNO_2)) (portRef C (instanceRef dsur_err_RNO_1)) )) (net ADD_33x33_fast_I145_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I145_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I145_Y)) )) (net (rename op2_5 "op2[5]") (joined (portRef Q (instanceRef r_e_op2_5)) (portRef A (instanceRef r_e_op2_RNI75IG_5)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I6_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I6_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I296_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I145_Y_0)) )) (net (rename op1_RNICJB4_5 "op1_RNICJB4[5]") (joined (portRef Y (instanceRef r_e_op1_RNICJB4_5)) (portRef C (instanceRef r_e_aluop_0_RNIEHPM_2)) (portRef B (instanceRef r_e_op2_RNIJOTK_5)) (portRef A (instanceRef r_e_op2_RNIJOTK_0_5)) (portRef B (instanceRef r_e_shleft_1_RNIGJI41)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I296_Y_0_1)) (portRef B (instanceRef r_e_op1_RNIGD8F1_5)) (portRef A (instanceRef r_x_result_RNIGQR03_5)) (portRef A (instanceRef r_e_shleft_0_RNI4VUB)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I84_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I6_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I6_G0N)) (portRef A (instanceRef r_e_aluop_0_RNIDHPM_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I296_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I145_Y_0)) )) (net N409 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I5_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I145_Y_0)) )) (net asi_1_sqmuxa_0 (joined (portRef Y (instanceRef vdsu_asi_1_sqmuxa_0)) (portRef B (instanceRef r_x_rstate_RNIRUCN2_0)) )) (net ADD_33x33_fast_I137_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I137_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I137_Y)) )) (net I75_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I75_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I137_Y_0)) )) (net N424 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I10_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I73_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I137_Y_0)) )) (net N616_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3_1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I293_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I248_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I204_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I272_un1_Y_0)) )) (net N667_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I198_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I248_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I272_un1_Y_0)) )) (net (rename tt_i_3_3 "tt_i_3[3]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_0_3)) (portRef A (instanceRef r_e_ctrl_tt_RNO_3)) )) (net N_415 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_2_3)) (portRef A (instanceRef r_e_ctrl_tt_RNO_0_3)) )) (net (rename tt_i_0_3 "tt_i_0[3]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_3_3)) (portRef B (instanceRef r_e_ctrl_tt_RNO_0_3)) )) (net N_416 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_4_3)) (portRef C (instanceRef r_e_ctrl_tt_RNO_0_3)) )) (net (rename tt_i_2_3 "tt_i_2[3]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_1_3)) (portRef B (instanceRef r_e_ctrl_tt_RNO_3)) )) (net N_414 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_5_3)) (portRef A (instanceRef r_e_ctrl_tt_RNO_1_3)) )) (net N_8166 (joined (portRef Y (instanceRef r_a_ticc_RNI4MH8)) (portRef B (instanceRef r_e_ctrl_tt_RNO_4_5)) (portRef B (instanceRef r_e_ctrl_tt_RNO_3_3)) )) (net rstate_11_0_0 (joined (portRef Y (instanceRef r_w_s_et_RNIKBMG)) (portRef C (instanceRef r_x_rstate_RNI0UHT2_0)) )) (net error_1_sqmuxa (joined (portRef Y (instanceRef r_w_s_et_RNI6JHB)) (portRef C (instanceRef rp_error_RNO_0)) (portRef B (instanceRef r_w_s_et_RNIKBMG)) )) (net (rename data_0_iv_3_1 "data_0_iv_3[1]") (joined (portRef Y (instanceRef dsur_asi_RNIJR0D2_1)) (portRef C (instanceRef r_w_s_y_RNIOOBT8_1)) )) (net (rename cwp_m_0_1 "cwp_m_0[1]") (joined (portRef Y (instanceRef r_w_s_cwp_RNIOLP81_1)) (portRef A (instanceRef dsur_asi_RNIJR0D2_1)) )) (net (rename asi_m_1 "asi_m[1]") (joined (portRef Y (instanceRef dsur_asi_RNIR5741_1)) (portRef B (instanceRef dsur_asi_RNIJR0D2_1)) )) (net (rename data_0_iv_2_1 "data_0_iv_2[1]") (joined (portRef Y (instanceRef r_x_data_0_RNI0BQ65_1)) (portRef A (instanceRef r_w_s_y_RNIOOBT8_1)) )) (net (rename wim_m_1 "wim_m[1]") (joined (portRef Y (instanceRef r_w_s_wim_RNIBII81_1)) (portRef A (instanceRef r_x_data_0_RNI0BQ65_1)) )) (net (rename rfo_mZ0Z_1 "rfo_m[1]") (joined (portRef Y (instanceRef rfo_m_1)) (portRef B (instanceRef r_x_data_0_RNI0BQ65_1)) )) (net (rename data_0_iv_0_1 "data_0_iv_0[1]") (joined (portRef Y (instanceRef r_x_data_0_RNIBDK91_1)) (portRef C (instanceRef r_x_data_0_RNI0BQ65_1)) )) (net (rename data_0_0_1 "data_0_0[1]") (joined (portRef Q (instanceRef r_x_data_0_1)) (portRef A (instanceRef r_x_data_0_RNO_3_1)) (portRef B (instanceRef r_x_result_RNID5BB_1)) (portRef B (instanceRef r_e_op1_RNI43B4_1)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I292_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I292_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I2_P0N)) (portRef A (instanceRef r_x_data_0_RNI2BS8_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_0_m4)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_m1_e)) (portRef B (instanceRef r_x_data_0_RNILU42_1)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I2_P0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I2_G0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_m4_i_0)) (portRef A (instanceRef r_x_data_0_RNIBDK91_1)) )) (net (rename data_0_iv_2_0 "data_0_iv_2[0]") (joined (portRef Y (instanceRef dsur_asi_RNIHN0D2_0)) (portRef C (instanceRef r_w_s_y_RNITSNQ7_0)) )) (net (rename cwp_m_0_0 "cwp_m_0[0]") (joined (portRef Y (instanceRef r_w_s_cwp_RNINLP81_0)) (portRef A (instanceRef dsur_asi_RNIHN0D2_0)) )) (net (rename asi_m_0 "asi_m[0]") (joined (portRef Y (instanceRef dsur_asi_RNIQ1741_0)) (portRef B (instanceRef dsur_asi_RNIHN0D2_0)) )) (net (rename data_0_iv_1_0 "data_0_iv_1[0]") (joined (portRef Y (instanceRef r_x_data_0_RNI8J644_0)) (portRef A (instanceRef r_w_s_y_RNITSNQ7_0)) )) (net (rename rfo_mZ0Z_0 "rfo_m[0]") (joined (portRef Y (instanceRef rfo_m_0)) (portRef A (instanceRef r_x_data_0_RNI8J644_0)) )) (net (rename data_0_m_0_0 "data_0_m_0[0]") (joined (portRef Y (instanceRef r_x_data_0_RNILP07_0)) (portRef B (instanceRef r_x_data_0_RNI8J644_0)) )) (net (rename wim_m_0 "wim_m[0]") (joined (portRef Y (instanceRef r_w_s_wim_RNIAII81_0)) (portRef C (instanceRef r_x_data_0_RNI8J644_0)) )) (net ADD_33x33_fast_I113_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I113_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I113_Y)) )) (net N457 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I21_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I113_Y_0)) )) (net svt_m_0 (joined (portRef Y (instanceRef r_w_s_svt_RNO_1)) (portRef C (instanceRef r_w_s_svt_RNO_0)) )) (net svt (joined (portRef Q (instanceRef r_w_s_svt)) (portRef B (instanceRef r_w_s_svt_RNI4KR6)) (portRef A (instanceRef r_w_s_svt_RNO_1)) )) (net ADD_33x33_fast_I113_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I113_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I113_Y)) )) (net N457_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I21_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I113_Y_0)) )) (net ADD_33x33_fast_I113_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I113_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I113_Y)) )) (net N457_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I21_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I113_Y_0)) )) (net (rename tt_i_a2_0_0_2 "tt_i_a2_0_0[2]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_2_2)) (portRef A (instanceRef r_e_ctrl_tt_RNO_0_2)) )) (net ADD_33x33_fast_I137_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I137_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I197_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I189_un1_Y)) )) (net N421 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I9_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I77_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I137_Y_0)) )) (net ADD_33x33_fast_I121_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I121_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I121_Y)) )) (net N445 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I17_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I121_Y_0)) )) (net ADD_33x33_fast_I121_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I121_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I121_Y)) )) (net N445_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I17_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I121_Y_0)) )) (net ADD_33x33_fast_I122_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I122_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I122_Y)) )) (net N443 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I16_P0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I63_Y_0_a3)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I62_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I274_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I122_Y_0)) )) (net ADD_33x33_fast_I145_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_Y)) )) (net N409_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I5_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_Y_0)) )) (net ADD_33x33_fast_I130_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I130_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I130_Y)) )) (net N431_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I12_P0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I246_Y_0_a3)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I71_Y_0_a3)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I70_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I130_Y_0)) )) (net (rename alusel_i_0_2_0 "alusel_i_0_2[0]") (joined (portRef Y (instanceRef r_e_alusel_RNO_0_0)) (portRef A (instanceRef r_e_alusel_RNO_0)) )) (net (rename alusel_i_0_a2_1_0_0 "alusel_i_0_a2_1_0[0]") (joined (portRef Y (instanceRef r_e_alusel_RNO_1_0)) (portRef A (instanceRef r_e_alusel_RNO_0_0)) )) (net (rename alusel_i_0_1_0 "alusel_i_0_1[0]") (joined (portRef Y (instanceRef r_e_alusel_RNO_2_0)) (portRef C (instanceRef r_e_alusel_RNO_0_0)) )) (net N_456 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIHC1S_0_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIFL462_19)) (portRef B (instanceRef r_a_ctrl_inst_0_RNID22B1_21)) (portRef B (instanceRef r_a_ctrl_inst_0_RNID22B1_0_21)) (portRef A (instanceRef r_e_alusel_RNO_2_0)) )) (net N_296 (joined (portRef Y (instanceRef r_e_alusel_RNO_3_0)) (portRef B (instanceRef r_e_alusel_RNO_2_0)) )) (net N_295 (joined (portRef Y (instanceRef r_e_alusel_RNO_4_0)) (portRef C (instanceRef r_e_alusel_RNO_2_0)) )) (net (rename alusel_i_0_0_1_1 "alusel_i_0_0_1[1]") (joined (portRef Y (instanceRef r_e_alusel_RNO_0_1)) (portRef A (instanceRef r_e_alusel_RNO_1)) )) (net N_399 (joined (portRef Y (instanceRef r_e_alusel_RNO_1_1)) (portRef B (instanceRef r_e_alusel_RNO_0_1)) )) (net N_400 (joined (portRef Y (instanceRef r_e_alusel_RNO_2_1)) (portRef C (instanceRef r_e_alusel_RNO_0_1)) )) (net N_4486_i_i_o2_1 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIN0MA7_22)) (portRef A (instanceRef r_a_su_RNIRL3OM)) )) (net N_473 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNISK2A1_0_19)) (portRef A (instanceRef r_a_ctrl_inst_RNIQODL7_24)) (portRef A (instanceRef r_a_ctrl_inst_RNIN0MA7_22)) )) (net N_474 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIVL523_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIQODL7_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIN0MA7_22)) )) (net N_4486_i_i_o2_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNISLDU2_22)) (portRef C (instanceRef r_a_ctrl_inst_RNIN0MA7_22)) )) (net (rename inst_22 "inst[22]") (joined (portRef Q (instanceRef r_a_ctrl_inst_22)) (portRef D (instanceRef r_e_ctrl_inst_22)) (portRef A (instanceRef r_a_ctrl_inst_RNI7G0E_30)) (portRef B (instanceRef r_a_ctrl_inst_RNIJ02S_22)) (portRef A (instanceRef r_a_ctrl_inst_RNIHC1S_22)) (portRef C (instanceRef r_a_ctrl_inst_RNIJ02S_19)) (portRef B (instanceRef r_a_ctrl_inst_RNI7G0E_0_30)) (portRef A (instanceRef r_a_ctrl_inst_RNI9O0L_22)) (portRef B (instanceRef r_a_ctrl_inst_RNIEK1E_0_19)) (portRef A (instanceRef r_a_ctrl_inst_RNIA01E_24)) (portRef C (instanceRef r_a_ctrl_inst_RNIUI372_22)) (portRef C (instanceRef r_a_ctrl_inst_RNIT15I1_22)) (portRef A (instanceRef r_a_ctrl_inst_RNI4H3O1_22)) (portRef A (instanceRef r_e_alucin_RNO_7)) (portRef B (instanceRef r_a_ctrl_inst_RNIJO1S_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIEK1E_19)) (portRef A (instanceRef r_a_ctrl_cnt_RNIMSIA_1)) (portRef A (instanceRef r_a_ctrl_inst_RNISLDU2_22)) )) (net N_512 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIIG1S_0_23)) (portRef C (instanceRef r_a_ctrl_inst_RNIVD593_20)) (portRef B (instanceRef r_a_ctrl_inst_RNISLDU2_22)) )) (net N_419 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI6PBR1_21)) (portRef C (instanceRef r_a_ctrl_inst_RNISLDU2_22)) )) (net (rename tt_i_o2_2_2_5 "tt_i_o2_2_2[5]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIQODL7_24)) (portRef A (instanceRef r_a_ctrl_inst_RNIDL68H_24)) )) (net (rename tt_i_o2_2_1_5 "tt_i_o2_2_1[5]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIVD593_20)) (portRef C (instanceRef r_a_ctrl_inst_RNIQODL7_24)) )) (net N_410 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIN02A1_20)) (portRef A (instanceRef r_a_ctrl_inst_RNIVD593_20)) )) (net N_409 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIMS131_31)) (portRef B (instanceRef r_a_ctrl_inst_RNIVD593_20)) )) (net ADD_33x33_fast_I129_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I129_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I129_Y)) )) (net ADD_33x33_fast_I67_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I67_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I129_Y_0)) )) (net (rename size_m_1_0 "size_m_1[0]") (joined (portRef Y (instanceRef r_m_dci_size_RNO_0_0)) (portRef A (instanceRef r_m_dci_size_RNO_0)) )) (net N_4294_3 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIFK0E_22)) (portRef B (instanceRef r_m_dci_size_RNO_0_1)) (portRef A (instanceRef r_e_ctrl_inst_RNI2P1S_22)) (portRef A (instanceRef r_m_dci_lock_RNO_2)) (portRef A (instanceRef r_m_dci_size_RNO_0_0)) )) (net (rename inst_20 "inst[20]") (joined (portRef Q (instanceRef r_e_ctrl_inst_20)) (portRef D (instanceRef r_m_ctrl_inst_20)) (portRef A (instanceRef r_e_ctrl_inst_RNIKC1E_20)) (portRef A (instanceRef r_m_dci_size_RNO_0_1)) (portRef A (instanceRef r_m_dci_signed_RNO_1)) (portRef B (instanceRef r_e_ctrl_inst_RNIDC0E_20)) (portRef C (instanceRef r_m_dci_lock_RNO_0)) (portRef A (instanceRef r_e_ctrl_inst_RNIRK1L_20)) (portRef C (instanceRef r_e_ctrl_inst_RNI9OS11_20)) (portRef C (instanceRef r_e_ctrl_inst_RNIGOT11_20)) (portRef B (instanceRef r_e_ctrl_inst_RNIVC1S_20)) (portRef B (instanceRef r_m_dci_size_RNO_0_0)) )) (net (rename size_RNO_2_0 "size_RNO_2[0]") (joined (portRef Y (instanceRef r_m_dci_size_RNO_2_0)) (portRef C (instanceRef r_m_dci_size_RNO_0_0)) )) (net (rename size_m_0_0 "size_m_0[0]") (joined (portRef Y (instanceRef r_m_dci_size_RNO_1_0)) (portRef B (instanceRef r_m_dci_size_RNO_0)) )) (net (rename inst_1_22 "inst_1[22]") (joined (portRef Q (instanceRef r_e_ctrl_inst_22)) (portRef D (instanceRef r_m_ctrl_inst_22)) (portRef A (instanceRef r_e_ctrl_inst_RNIFK0E_22)) (portRef A (instanceRef r_e_ctrl_inst_RNII01E_22)) (portRef A (instanceRef r_e_ctrl_inst_RNIFK0E_0_22)) (portRef A (instanceRef r_e_ctrl_inst_RNIO41L_22)) (portRef B (instanceRef r_m_dci_write_RNO_2)) (portRef A (instanceRef r_m_dci_read_RNO_2)) (portRef A (instanceRef r_m_dci_signed_RNO_0)) (portRef B (instanceRef r_e_ctrl_inst_RNIMK1E_22)) (portRef A (instanceRef r_e_ctrl_inst_RNIHS0E_22)) (portRef A (instanceRef r_m_dci_size_RNO_1_0)) )) (net (rename inst_1_19 "inst_1[19]") (joined (portRef Q (instanceRef r_e_ctrl_inst_19)) (portRef D (instanceRef r_m_ctrl_inst_19)) (portRef B (instanceRef r_e_ctrl_inst_RNIKC1E_20)) (portRef C (instanceRef r_m_dci_size_RNO_0_1)) (portRef B (instanceRef r_m_dci_signed_RNO_1)) (portRef B (instanceRef r_m_dci_size_RNO_2_0)) (portRef A (instanceRef r_m_dci_lock_RNO_1)) (portRef B (instanceRef r_m_dci_lock_RNO_0)) (portRef A (instanceRef r_e_ctrl_inst_RNI28QA1_19)) (portRef B (instanceRef r_e_ctrl_inst_RNIRK1L_20)) (portRef B (instanceRef r_e_ctrl_inst_RNIOS1E_24)) (portRef B (instanceRef r_e_ctrl_inst_RNIOS1E_0_24)) (portRef B (instanceRef r_e_ctrl_inst_RNINO1E_23)) (portRef B (instanceRef r_e_ctrl_inst_RNIGOT11_20)) (portRef A (instanceRef r_e_ctrl_inst_RNIMK1E_22)) (portRef B (instanceRef r_m_dci_size_RNO_1_0)) )) (net (rename size_i_m_1_1 "size_i_m_1[1]") (joined (portRef Y (instanceRef r_m_dci_size_RNO_1_1)) (portRef B (instanceRef r_m_dci_size_RNO_1)) )) (net ex_sari_1_1_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIKC1E_20)) (portRef B (instanceRef r_x_data_0_RNI96HK_31)) (portRef A (instanceRef r_m_dci_size_RNO_1_1)) )) (net (rename inst_0_24 "inst_0[24]") (joined (portRef Q (instanceRef r_e_ctrl_inst_24)) (portRef D (instanceRef r_m_ctrl_inst_24)) (portRef B (instanceRef r_e_ctrl_inst_RNIJ41E_24)) (portRef C (instanceRef r_m_dci_size_RNO_2_0)) (portRef B (instanceRef r_m_dci_lock_RNO_1)) (portRef B (instanceRef r_e_ctrl_inst_RNII01E_22)) (portRef A (instanceRef r_e_ctrl_inst_RNIOS1E_24)) (portRef A (instanceRef r_e_ctrl_inst_RNIOS1E_0_24)) (portRef C (instanceRef r_m_dci_signed_RNO_0)) (portRef A (instanceRef r_e_ctrl_inst_RNI2P1S_24)) (portRef A (instanceRef r_e_ctrl_inst_RNIVC1S_20)) (portRef B (instanceRef r_m_dci_size_RNO_1_1)) )) (net N407 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I4_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I87_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I86_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I146_Y_0)) )) (net un1_icc_check5_1 (joined (portRef Y (instanceRef comb_lock_gen_icc_check6_RNIFP3Q)) (portRef A (instanceRef comb_lock_gen_icc_check6_RNIQU222)) )) (net icc_check9 (joined (portRef Y (instanceRef r_d_inst_0_0_0_RNI9MOA_21)) (portRef B (instanceRef r_d_inst_0_0_0_RNIQA2N_21)) (portRef B (instanceRef comb_lock_gen_icc_check6_RNIFP3Q)) )) (net N_8075 (joined (portRef Y (instanceRef r_d_inst_0_RNI5AL7_22)) (portRef A (instanceRef r_d_inst_0_RNIAINA_24)) (portRef C (instanceRef comb_lock_gen_icc_check6_RNIFP3Q)) )) (net ADD_33x33_fast_I138_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I138_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I138_Y)) )) (net (rename op2_6 "op2[6]") (joined (portRef Q (instanceRef r_e_op2_6)) (portRef A (instanceRef r_e_op2_RNIA9IG_6)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I82_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I81_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I7_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I297_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I138_Y_0)) )) (net N419_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I8_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I250_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I78_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I79_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I138_Y_0)) )) (net (rename tt_i_o2_0_2 "tt_i_o2_0[2]") (joined (portRef Y (instanceRef r_a_su_RNIU6BH2)) (portRef A (instanceRef r_a_su_RNIRVDR3)) )) (net N_477 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIHC1S_22)) (portRef B (instanceRef r_a_ctrl_inst_RNITO2A1_20)) (portRef A (instanceRef r_a_ctrl_inst_RNIS9523_19)) (portRef C (instanceRef r_a_ctrl_inst_RNIEQ6U3_22)) (portRef A (instanceRef r_a_su_RNIU6BH2)) )) (net (rename tt_i_a2_1_0_2 "tt_i_a2_1_0[2]") (joined (portRef Y (instanceRef r_a_su_RNIHH74)) (portRef B (instanceRef r_a_su_RNIU6BH2)) )) (net N_412 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIS82H1_30)) (portRef C (instanceRef r_a_ctrl_inst_RNIS9523_19)) (portRef A (instanceRef r_a_ctrl_inst_RNIEQ6U3_22)) (portRef C (instanceRef r_a_su_RNIU6BH2)) )) (net un87_dbgm_4 (joined (portRef Y (instanceRef r_x_mexc_RNIQFVI2)) (portRef A (instanceRef r_x_mexc_RNISCJE4)) )) (net (rename xc_vectt_1_5 "xc_vectt_1[5]") (joined (portRef Y (instanceRef r_x_mexc_RNIK4QT)) (portRef B (instanceRef dsur_tt_RNO_0_5)) (portRef A (instanceRef r_w_s_tt_RNO_0_5)) (portRef B (instanceRef r_x_mexc_RNI65KR1)) (portRef A (instanceRef r_x_mexc_RNI65KR1_0)) (portRef A (instanceRef r_x_mexc_RNIOOL41)) (portRef B (instanceRef comb_dbgexc_un82_dbgm_RNO_1)) (portRef C (instanceRef r_x_mexc_RNISCJE4)) )) (net (rename xc_vectt_1_4 "xc_vectt_1[4]") (joined (portRef Y (instanceRef r_x_mexc_RNII0QT)) (portRef B (instanceRef dsur_tt_RNO_0_4)) (portRef A (instanceRef r_w_s_tt_RNO_0_4)) (portRef A (instanceRef r_x_mexc_RNI65KR1)) (portRef B (instanceRef r_x_mexc_RNI65KR1_0)) (portRef A (instanceRef r_x_mexc_RNIMKL41)) (portRef B (instanceRef comb_dbgexc_un82_dbgm_RNO_2)) (portRef A (instanceRef r_x_result_RNIDS3M2_6)) )) (net (rename xc_vectt_1_6 "xc_vectt_1[6]") (joined (portRef Y (instanceRef r_x_result_RNIF7GQ_6)) (portRef A (instanceRef r_w_s_tt_RNO_0_6)) (portRef B (instanceRef dsur_tt_RNO_0_6)) (portRef A (instanceRef r_x_result_RNIJRB11_6)) (portRef C (instanceRef r_x_result_RNIDS3M2_6)) )) (net un87_dbgm_0 (joined (portRef Y (instanceRef r_x_mexc_RNI03CN)) (portRef B (instanceRef r_x_mexc_RNIQFVI2)) )) (net (rename xc_vectt_1_3 "xc_vectt_1[3]") (joined (portRef Y (instanceRef r_x_mexc_RNIGSPT)) (portRef B (instanceRef dsur_tt_RNO_0_3)) (portRef A (instanceRef r_w_s_tt_RNO_0_3)) (portRef A (instanceRef r_x_mexc_RNIKGL41)) (portRef C (instanceRef r_x_mexc_RNIA9DP2)) (portRef A (instanceRef r_x_mexc_RNIKP6N3)) (portRef C (instanceRef r_x_mexc_RNIEA1L4)) (portRef A (instanceRef comb_dbgexc_un82_dbgm_RNO_1)) (portRef C (instanceRef r_x_mexc_RNIQFVI2)) )) (net bsoft (joined (portRef bsoft) (portRef A (instanceRef r_x_mexc_RNI03CN)) )) (net ADD_33x33_fast_I129_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I129_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I129_Y)) )) (net N436 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I14_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I65_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I129_Y_0)) )) (net I67_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I67_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I129_Y_0)) )) (net bp_0 (joined (portRef Q (instanceRef r_e_bp)) (portRef B (instanceRef r_e_bp_RNITK18)) (portRef A (instanceRef r_e_bp_RNIP2B6)) )) (net ADD_33x33_fast_I146_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I146_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I204_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I198_Y)) )) (net N407_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I4_P0N_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I86_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I87_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I147_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I146_Y_0)) )) (net aluop_2_1_0_1 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIMT7T2_30)) (portRef A (instanceRef r_a_ctrl_inst_RNIKJDV5_30)) )) (net N_307_i (joined (portRef Y (instanceRef r_a_ctrl_cnt_RNI2F541_0)) (portRef A (instanceRef r_a_ctrl_inst_RNIMT7T2_30)) )) (net N_306 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI7C0E_0_30)) (portRef B (instanceRef r_a_ctrl_inst_RNIMT7T2_30)) )) (net N_305 (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNID22B1_0_21)) (portRef C (instanceRef r_a_ctrl_inst_RNIMT7T2_30)) )) (net (rename op2_1 "op2[1]") (joined (portRef Q (instanceRef r_e_op2_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I2_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I2_P0N)) (portRef A (instanceRef r_e_op2_RNIVKHG_1)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I292_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3_1_0)) )) (net ADD_33x33_fast_I91_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I91_un1_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_o3_1_0)) )) (net trap_0_4_3 (joined (portRef Y (instanceRef r_m_ctrl_trap_RNISG4H2)) (portRef C (instanceRef r_m_ctrl_trap_RNI5NHN6)) )) (net trap_0_4_1 (joined (portRef Y (instanceRef r_x_rstate_0_RNIQ27H1_1)) (portRef A (instanceRef r_m_ctrl_trap_RNISG4H2)) )) (net trap_RNI89CM (joined (portRef Y (instanceRef r_m_ctrl_trap_RNI89CM)) (portRef B (instanceRef r_m_ctrl_trap_RNISG4H2)) )) (net trap_RNIQ4H9 (joined (portRef Y (instanceRef r_m_ctrl_trap_RNIQ4H9)) (portRef C (instanceRef r_m_ctrl_trap_RNISG4H2)) )) (net (rename rstate_0_RNI3O0B_1 "rstate_0_RNI3O0B[1]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI3O0B_1)) (portRef A (instanceRef r_x_rstate_0_RNIQ27H1_1)) )) (net annul_RNI7RU8 (joined (portRef Y (instanceRef r_m_ctrl_annul_RNI7RU8)) (portRef B (instanceRef r_x_rstate_0_RNIQ27H1_1)) )) (net trap_RNIGF7T (joined (portRef Y (instanceRef r_m_ctrl_trap_RNIGF7T)) (portRef C (instanceRef r_x_rstate_0_RNIQ27H1_1)) )) (net aluop_1_1_0_0_2 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIPRLJ6_30)) (portRef C (instanceRef r_a_ctrl_inst_RNIUCPB8_20)) )) (net aluop_1_1_0_0_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNIRCBH3_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIPRLJ6_30)) )) (net N_389 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIPT6A1_30)) (portRef B (instanceRef r_a_ctrl_inst_RNIONJ48_30)) (portRef B (instanceRef r_a_ctrl_inst_RNIPRLJ6_30)) )) (net N_398 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI5H3O1_21)) (portRef C (instanceRef r_a_ctrl_inst_RNIPRLJ6_30)) )) (net aluop_1_1_0_0_a2_2 (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNI0B5T_21)) (portRef A (instanceRef r_a_ctrl_inst_0_RNIRCBH3_21)) )) (net N_454 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNICC1E_20)) (portRef A (instanceRef r_a_et_RNIOP971)) (portRef B (instanceRef r_a_ctrl_inst_RNIB94V1_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIQC2A1_31)) (portRef A (instanceRef r_e_ctrl_tt_RNO_4_3)) (portRef C (instanceRef r_a_ctrl_inst_RNI193H1_21)) (portRef B (instanceRef r_a_ctrl_inst_0_RNISL0F_1_21)) (portRef B (instanceRef r_a_ctrl_inst_0_RNIRCBH3_21)) )) (net (rename inst_RNIFL462_19 "inst_RNIFL462[19]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIFL462_19)) (portRef C (instanceRef r_a_ctrl_inst_0_RNIRCBH3_21)) )) (net aluop_0_1_0_0_2 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIQ8925_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIONJ48_30)) )) (net aluop_0_1_0_0_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI0R372_24)) (portRef A (instanceRef r_a_ctrl_inst_RNIQ8925_21)) )) (net N_392 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI193H1_21)) (portRef B (instanceRef r_a_ctrl_inst_RNIQ8925_21)) )) (net N_391 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIP42A1_21)) (portRef C (instanceRef r_a_ctrl_inst_RNIQ8925_21)) )) (net N_390 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIJO1S_24)) (portRef A (instanceRef r_a_ctrl_inst_RNI0R372_24)) )) (net N_388_i (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNID22B1_21)) (portRef B (instanceRef r_a_ctrl_inst_RNI0R372_24)) )) (net dwt_0_sqmuxa (joined (portRef Y (instanceRef s_dwt_0_sqmuxa)) (portRef A (instanceRef data_10_sqmuxa)) (portRef A (instanceRef r_x_rstate_RNIU02E1_0)) )) (net annul_all_1_1159_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNIJKCQ_1)) (portRef B (instanceRef r_x_rstate_0_RNIQK206_1)) )) (net N_4709 (joined (portRef Y (instanceRef r_x_ctrl_trap_RNI5S7L)) (portRef C (instanceRef comb_dbgexc_un82_dbgm_RNIJS4ON)) (portRef B (instanceRef r_x_rstate_0_RNIJKCQ_1)) )) (net (rename tt_0_o2_1_3_0 "tt_0_o2_1_3[0]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIEQ6U3_22)) (portRef C (instanceRef r_a_ctrl_inst_RNI970VD_30)) )) (net (rename inst_RNI153H1_23 "inst_RNI153H1[23]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI153H1_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIEQ6U3_22)) )) (net (rename tt_0_o2_1_0_0 "tt_0_o2_1_0[0]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIOBFG4_30)) (portRef B (instanceRef r_a_ctrl_inst_RNI970VD_30)) )) (net N_8151 (joined (portRef Y (instanceRef r_a_et_RNIOP971)) (portRef B (instanceRef r_a_ctrl_inst_RNIS1AE1_31)) (portRef A (instanceRef r_a_ctrl_inst_RNIOBFG4_30)) )) (net N_431 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI7G0E_30)) (portRef C (instanceRef r_a_ctrl_inst_RNICABA2_31)) (portRef B (instanceRef r_a_ctrl_inst_RNIBO0L_31)) (portRef A (instanceRef r_a_ctrl_inst_RNIB94V1_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIAO0L_21)) (portRef B (instanceRef r_a_ctrl_inst_RNIR82H1_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIO02A1_20)) (portRef C (instanceRef r_a_ctrl_inst_RNIE41S_20)) (portRef B (instanceRef r_a_ctrl_inst_RNIPG231_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIOBFG4_30)) )) (net N_8158 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIP15R2_13)) (portRef A (instanceRef r_a_ctrl_inst_RNIVL523_24)) (portRef C (instanceRef r_a_ctrl_inst_RNIOBFG4_30)) )) (net N_226 (joined (portRef Y (instanceRef r_d_inst_0_RNI66J4_22)) (portRef A (instanceRef r_d_inst_0_RNI5AL7_22)) (portRef A (instanceRef r_a_ctrl_wy_RNO_1)) (portRef A (instanceRef un1_write_reg30_1_3_RNO_1)) )) (net write_reg_1_sqmuxa (joined (portRef Y (instanceRef un1_write_reg30_1_3_RNO_4)) (portRef C (instanceRef un1_write_reg30_1_3_RNO_1)) )) (net un71_dbgm_0 (joined (portRef Y (instanceRef r_x_mexc_RNIO8JR1)) (portRef B (instanceRef r_x_mexc_RNIEA1L4)) )) (net (rename icco_0_a1_3_1_1 "icco_0_a1_3_1[1]") (joined (portRef Y (instanceRef r_e_op2_RNI4UTM_0)) (portRef B (instanceRef r_e_op2_RNIE0R84_0)) )) (net (rename icco_0_a1_3_0_1 "icco_0_a1_3_0[1]") (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI40793_24)) (portRef A (instanceRef r_e_op2_RNIE0R84_0)) )) (net (rename icc_7_m_2_1 "icc_7_m_2[1]") (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIVD3H1_24)) (portRef B (instanceRef r_e_ctrl_inst_RNI3EAQ4_24)) (portRef B (instanceRef r_e_ctrl_inst_RNIGJ5R2_24)) (portRef A (instanceRef r_e_ctrl_inst_RNI40793_24)) )) (net (rename y_iv_0_a2_2_0_7 "y_iv_0_a2_2_0[7]") (joined (portRef Y (instanceRef r_m_y_RNO_9_7)) (portRef A (instanceRef r_m_y_RNO_5_7)) )) (net (rename op1_RNIGRB4_7 "op1_RNIGRB4[7]") (joined (portRef Y (instanceRef r_e_op1_RNIGRB4_7)) (portRef B (instanceRef r_e_aluop_RNIV7P61_0)) (portRef A (instanceRef r_x_result_RNIOIS03_7)) (portRef C (instanceRef r_e_aluop_RNIBCTU_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I8_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I8_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I298_Y_0_1)) (portRef B (instanceRef r_e_aluop_0_RNI9K76_0)) (portRef A (instanceRef r_m_y_RNO_8_7)) (portRef B (instanceRef r_e_op1_RNIKL8F1_7)) (portRef B (instanceRef r_e_shleft_RNI0HSU)) (portRef A (instanceRef r_e_shleft_1_RNI97VB)) (portRef A (instanceRef r_m_y_RNO_4_7)) (portRef A (instanceRef r_e_aluop_0_RNIKVOK_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I298_Y_0)) (portRef B (instanceRef r_m_y_RNO_9_7)) )) (net N_4486_i_i_o2_2_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI7ID87_22)) (portRef A (instanceRef r_a_ctrl_inst_RNIJSOI9_31)) )) (net N_464 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI4H3O1_22)) (portRef A (instanceRef r_a_ctrl_inst_RNI7ID87_22)) )) (net N_264 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI31AG5_22)) (portRef A (instanceRef r_a_ctrl_inst_RNI970VD_30)) (portRef B (instanceRef r_a_ctrl_inst_RNI7ID87_22)) )) (net shcnt_1_sqmuxa_0_a2_0_a2_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNISL0F_1_21)) (portRef A (instanceRef r_a_ctrl_inst_0_RNIII2I1_21)) )) (net (rename tt_10_0_a3_0_3_i_o2_1_5 "tt_10_0_a3_0_3_i_o2_1[5]") (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNI59AN5_21)) (portRef C (instanceRef r_a_ctrl_inst_RNIMGKM9_24)) )) (net (rename tt_10_0_a3_0_i_a2_1_0_5 "tt_10_0_a3_0_i_a2_1_0[5]") (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNIQ50F_21)) (portRef A (instanceRef r_a_ctrl_inst_0_RNI59AN5_21)) )) (net N_202 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIB94V1_23)) (portRef B (instanceRef r_a_ctrl_inst_0_RNI59AN5_21)) )) (net (rename tt_10_0_a3_0_3_i_o2_0_5 "tt_10_0_a3_0_3_i_o2_0[5]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI0Q593_19)) (portRef C (instanceRef r_a_ctrl_inst_0_RNI59AN5_21)) )) (net N_201 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIJ02S_19)) (portRef A (instanceRef r_a_ctrl_inst_RNI0Q593_19)) )) (net N_509 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIIG1S_23)) (portRef A (instanceRef r_e_ctrl_tt_RNO_5_3)) (portRef B (instanceRef r_a_ctrl_inst_RNIQ1523_31)) (portRef B (instanceRef r_a_ctrl_inst_RNI0Q593_19)) )) (net N_466 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIR82H1_23)) (portRef C (instanceRef r_a_ctrl_inst_RNI0Q593_19)) )) (net ADD_33x33_fast_I130_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I130_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I130_Y)) )) (net N527 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I129_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I130_Y_0)) )) (net N431_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I12_P0N_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I70_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I246_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_un1_Y_m2_0_a2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I71_Y_0_a3_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I130_Y_0)) )) (net ADD_33x33_fast_I130_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I130_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I130_Y)) )) (net ADD_33x33_fast_I12_P0Ntt_m1_0_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I12_P0Ntt_m1_0_a2)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I70_Y_0_tz)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I130_Y_0)) )) (net (rename logicout_5_0_i_a2_0_7 "logicout_5_0_i_a2_0[7]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIBBHE_1)) (portRef C (instanceRef r_e_aluop_0_RNIKVOK_0)) )) (net ADD_33x33_fast_I147_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I147_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I147_Y)) )) (net N548 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I151_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I147_un1_Y_0)) )) (net su (joined (portRef Q (instanceRef r_a_su)) (portRef D (instanceRef r_e_su)) (portRef B (instanceRef r_a_su_RNIHOKQ5)) (portRef B (instanceRef r_a_su_RNINOSP9)) (portRef C (instanceRef r_e_ctrl_tt_RNO_5_3)) (portRef C (instanceRef r_a_su_RNIK4AV)) (portRef B (instanceRef r_e_ctrl_tt_RNO_5_5)) (portRef B (instanceRef r_e_ctrl_tt_RNO_4_3)) (portRef C (instanceRef r_e_ctrl_tt_RNO_6_3)) (portRef A (instanceRef r_a_su_RNIHH74)) )) (net un19_rd_0 (joined (portRef Y (instanceRef comb_rd_gen_un19_rd_RNO_0)) (portRef A (instanceRef comb_rd_gen_un19_rd_RNO)) )) (net (rename rd_0_2 "rd_0[2]") (joined (portRef Y (instanceRef r_d_inst_0_RNIBEJ4_27)) (portRef D (instanceRef r_a_ctrl_rd_2)) (portRef B (instanceRef comb_rd_gen_un19_rd_RNO)) )) (net N_58 (joined (portRef Y (instanceRef r_d_inst_0_RNIDEJ4_29)) (portRef B (instanceRef un68_ra_I_1)) (portRef B (instanceRef un68_ra_I_9)) (portRef B (instanceRef r_d_inst_0_RNIPS69_28)) (portRef C (instanceRef comb_rd_gen_un19_rd_RNO)) )) (net (rename inst_0_26 "inst_0[26]") (joined (portRef Q (instanceRef r_d_inst_0_26)) (portRef D (instanceRef r_a_ctrl_inst_26)) (portRef B (instanceRef r_d_inst_0_RNO_0_26)) (portRef A (instanceRef r_d_inst_0_RNI9423_25)) (portRef S (instanceRef r_d_inst_0_RNIT5TJ_26)) (portRef S (instanceRef r_d_inst_0_RNIU3LJ_26)) (portRef B (instanceRef r_a_ctrl_rd_RNO_1)) (portRef B (instanceRef r_d_inst_0_RNI7AJ4_26)) (portRef A (instanceRef r_d_inst_0_RNI1DOH_15)) (portRef A (instanceRef r_d_inst_0_RNIF6J4_25)) (portRef A (instanceRef comb_rd_gen_un19_rd_RNO_0)) )) (net ADD_33x33_fast_I206_Y_0_o3_1_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3_1_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3_1)) )) (net N397 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I1_G0N_a0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I93_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3_1_0)) )) (net ADD_33x33_fast_I2_P0N_m1_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I2_P0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I90_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_a3_1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3_1_0)) )) (net N400 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I2_G0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I89_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3_1_0)) )) (net (rename alusel_i_0_a2_6_0_0 "alusel_i_0_a2_6_0[0]") (joined (portRef Y (instanceRef r_a_ctrl_cnt_RNIMSIA_1)) (portRef A (instanceRef r_a_ctrl_cnt_RNI7RQQ3_1)) )) (net (rename cnt_1_1 "cnt_1[1]") (joined (portRef Q (instanceRef r_a_ctrl_cnt_1)) (portRef D (instanceRef r_e_ctrl_cnt_1)) (portRef A (instanceRef r_a_ctrl_cnt_RNI0BU9_0)) (portRef C (instanceRef r_a_ctrl_cnt_RNIIH6S_0)) (portRef A (instanceRef r_a_ctrl_cnt_RNIJ648_0)) (portRef A (instanceRef r_a_ctrl_cnt_RNI0BU9_0_0)) (portRef B (instanceRef r_e_alusel_RNO_5_0)) (portRef C (instanceRef r_a_ctrl_cnt_RNI615E_0)) (portRef A (instanceRef r_e_alusel_RNO_3_1)) (portRef B (instanceRef r_a_ctrl_cnt_RNIMSIA_1)) )) (net ADD_33x33_fast_I146_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I146_Y_1)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I146_Y)) )) (net ADD_33x33_fast_I4_P0N_N_2_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I4_P0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I86_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I146_Y_1)) )) (net ADD_33x33_fast_I5_P0N_m1_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I86_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I146_Y_1)) )) (net ADD_33x33_fast_I3_P0N_m1_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I3_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I90_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I89_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I146_Y_1)) )) (net (rename crdy_5_0_iv_0_2 "crdy_5_0_iv_0[2]") (joined (portRef Y (instanceRef dsur_crdy_RNO_1_2)) (portRef B (instanceRef dsur_crdy_RNO_2)) )) (net (rename crdy_1 "crdy[1]") (joined (portRef Q (instanceRef dsur_crdy_1)) (portRef B (instanceRef dsur_crdy_RNO_1_1)) (portRef A (instanceRef dsur_crdy_RNO_1_2)) )) (net N_4279 (joined (portRef Y (instanceRef dsur_crdy_RNO_3_2)) (portRef B (instanceRef dsur_crdy_RNO_1_2)) )) (net (rename crdy_2_m_2 "crdy_2_m[2]") (joined (portRef Y (instanceRef dsur_crdy_RNO_4_2)) (portRef C (instanceRef dsur_crdy_RNO_1_2)) )) (net (rename op2_0 "op2[0]") (joined (portRef Q (instanceRef r_e_op2_0)) (portRef A (instanceRef r_e_op2_RNI59C6_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_m4)) (portRef A (instanceRef r_e_op2_RNIU5U5_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I291_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3_1_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I91_un1_Y_0)) )) (net (rename op1_RNI2VA4_0 "op1_RNI2VA4[0]") (joined (portRef Y (instanceRef r_e_op1_RNI2VA4_0)) (portRef B (instanceRef r_e_op1_RNI6P7F1_0)) (portRef A (instanceRef r_x_result_RNINJH13_0)) (portRef A (instanceRef r_e_op2_RNI78NA_0_0)) (portRef C (instanceRef r_e_aluop_0_RNI21JC_2)) (portRef B (instanceRef r_e_op2_RNI78NA_0)) (portRef A (instanceRef r_e_shleft_RNI7086)) (portRef B (instanceRef r_e_shleft_0_RNISVFP)) (portRef B (instanceRef r_e_op1_RNI62M8_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I291_Y_0)) (portRef A (instanceRef r_e_aluop_0_RNI11JC_1)) (portRef C (instanceRef r_e_invop2_0_RNIQV5F)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3_1_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I91_un1_Y_0)) )) (net ADD_33x33_fast_I206_Y_0_a3_1_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3_1_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3_1)) )) (net alucin (joined (portRef Q (instanceRef r_e_alucin)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I93_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_m4)) (portRef C (instanceRef r_e_op2_RNIU5U5_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I291_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_a0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_a3_1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_a3_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_0_0_tz)) (portRef A (instanceRef r_e_alucin_RNI0313)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3_1_0)) )) (net (rename aluresult_1_iv_9_0_a2_0_5 "aluresult_1_iv_9_0_a2_0[5]") (joined (portRef Y (instanceRef r_w_s_tt_RNIQ39B_1)) (portRef A (instanceRef r_w_s_tt_RNIRO4I2_1)) )) (net (rename data_8_sqmuxaZ0Z_1 "data_8_sqmuxa_1") (joined (portRef Y (instanceRef data_8_sqmuxa_1)) (portRef A (instanceRef data_8_sqmuxa)) )) (net (rename data_8_sqmuxaZ0Z_0 "data_8_sqmuxa_0") (joined (portRef Y (instanceRef data_8_sqmuxa_0)) (portRef A (instanceRef data_8_sqmuxa_1)) )) (net te11_2 (joined (portRef Y (instanceRef data_9_sqmuxa_0)) (portRef A (instanceRef data_9_sqmuxa_1_0)) (portRef A (instanceRef data_0_sqmuxa_1)) (portRef B (instanceRef data_8_sqmuxa_1)) )) (net ADD_33x33_fast_I71_Y_0_a3_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I71_Y_0_a3_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I71_Y_0)) )) (net bpdata6_2 (joined (portRef Y (instanceRef r_x_ctrl_rd_RNISU3D_1)) (portRef A (instanceRef r_x_ctrl_rd_RNIN6NA1_0)) )) (net bpdata6_1 (joined (portRef Y (instanceRef r_x_ctrl_rd_RNICRIF_0)) (portRef B (instanceRef r_x_ctrl_rd_RNIN6NA1_0)) )) (net rd_4_i_0 (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIJVH6_4)) (portRef A (instanceRef r_x_ctrl_rd_RNIGU7Q_3)) )) (net rd_3_i_0 (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIHVH6_3)) (portRef B (instanceRef r_x_ctrl_rd_RNIGU7Q_3)) )) (net bpdata6_4 (joined (portRef Y (instanceRef r_x_ctrl_rd_RNICV3D_5)) (portRef C (instanceRef r_x_ctrl_rd_RNIGU7Q_3)) )) (net (rename rd_2_5 "rd_2[5]") (joined (portRef Q (instanceRef r_x_ctrl_rd_5)) (portRef A (instanceRef r_x_ctrl_rd_RNIOLD3S_5)) (portRef B (instanceRef r_x_ctrl_rd_RNICV3D_5)) )) (net rd_6_i_0 (joined (portRef Y (instanceRef r_x_ctrl_rd_RNINVH6_6)) (portRef C (instanceRef r_x_ctrl_rd_RNICV3D_5)) )) (net (rename rd_2_1 "rd_2[1]") (joined (portRef Q (instanceRef r_x_ctrl_rd_1)) (portRef A (instanceRef r_x_ctrl_rd_RNIKLD3S_1)) (portRef B (instanceRef r_x_ctrl_rd_RNISU3D_1)) )) (net rd_2_i_0 (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIFVH6_2)) (portRef C (instanceRef r_x_ctrl_rd_RNISU3D_1)) )) (net (rename rd_3_0 "rd_3[0]") (joined (portRef Q (instanceRef r_x_ctrl_rd_0)) (portRef B (instanceRef r_x_ctrl_rd_RNIJLD3S_0)) (portRef B (instanceRef r_x_ctrl_rd_RNICRIF_0)) )) (net bpdata6_0 (joined (portRef Y (instanceRef r_x_ctrl_wreg_RNI1S09)) (portRef C (instanceRef r_x_ctrl_rd_RNICRIF_0)) )) (net wreg_6 (joined (portRef Q (instanceRef r_x_ctrl_wreg)) (portRef A (instanceRef r_x_ctrl_wreg_RNIDRS0Q1)) (portRef A (instanceRef r_x_ctrl_wreg_RNI1S09)) )) (net (rename tt_i_o2_9_1_5 "tt_i_o2_9_1[5]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI5E693_24)) (portRef A (instanceRef r_a_ctrl_inst_RNI31AG5_22)) )) (net N_435 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIPG231_24)) (portRef A (instanceRef r_a_ctrl_inst_RNI5E693_24)) )) (net N_426 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIIG1S_24)) (portRef B (instanceRef r_a_ctrl_inst_RNI5E693_24)) )) (net N_425 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIQC2A1_19)) (portRef C (instanceRef r_a_ctrl_inst_RNI5E693_24)) )) (net (rename tt_i_o2_0_0_0_5 "tt_i_o2_0_0_0[5]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIQ1523_31)) (portRef A (instanceRef r_a_ctrl_inst_RNIGGCN5_31)) )) (net N_430 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIE41S_20)) (portRef B (instanceRef r_e_ctrl_tt_RNO_5_3)) (portRef A (instanceRef r_a_ctrl_inst_RNIQ1523_31)) )) (net N_447 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIQC2A1_31)) (portRef C (instanceRef r_a_ctrl_inst_RNIQ1523_31)) )) (net (rename crdy_0_2_1 "crdy_0_2[1]") (joined (portRef Y (instanceRef dsur_crdy_RNO_0_1)) (portRef A (instanceRef dsur_crdy_RNO_1)) )) (net (rename crdy_0_0_1 "crdy_0_0[1]") (joined (portRef Y (instanceRef dsur_crdy_RNO_1_1)) (portRef A (instanceRef dsur_crdy_RNO_0_1)) )) (net (rename inst_1_24 "inst_1[24]") (joined (portRef Q (instanceRef r_a_ctrl_inst_24)) (portRef D (instanceRef r_e_ctrl_inst_24)) (portRef A (instanceRef r_a_ctrl_inst_RNID01L_24)) (portRef A (instanceRef r_a_ctrl_inst_RNIFC1L_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIVL523_24)) (portRef A (instanceRef r_a_ctrl_inst_RNIB41E_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIFC1L_0_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIB41E_0_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIAS0E_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIA01E_24)) (portRef A (instanceRef r_a_ctrl_inst_RNIHC1S_24)) (portRef B (instanceRef r_e_alucin_RNO_7)) (portRef A (instanceRef r_a_ctrl_inst_RNIJO1S_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIIG1S_24)) (portRef C (instanceRef r_a_ctrl_inst_RNIPG231_24)) (portRef A (instanceRef r_a_ctrl_inst_0_RNIQ50F_21)) )) (net (rename inst_31 "inst[31]") (joined (portRef Q (instanceRef r_a_ctrl_inst_31)) (portRef D (instanceRef r_e_ctrl_inst_31)) (portRef B (instanceRef r_a_ctrl_inst_RNI7C0E_0_30)) (portRef B (instanceRef r_a_ctrl_inst_RNIPT6A1_30)) (portRef A (instanceRef r_a_ctrl_inst_RNI7C0E_2_30)) (portRef B (instanceRef r_a_ctrl_inst_RNID01L_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIFC1L_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIS1AE1_31)) (portRef A (instanceRef r_a_ctrl_inst_RNI7C0E_30)) (portRef A (instanceRef r_a_ctrl_inst_RNI9O0E_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIBO0L_31)) (portRef B (instanceRef r_a_ctrl_inst_RNIFC1L_0_23)) (portRef A (instanceRef r_a_ctrl_inst_RNI7C0E_1_30)) (portRef A (instanceRef r_a_ctrl_inst_RNIAS0E_24)) (portRef A (instanceRef r_a_ctrl_inst_RNIQC2A1_31)) (portRef B (instanceRef r_a_ctrl_inst_RNIMS131_31)) (portRef A (instanceRef r_e_alusel_RNO_4_0)) (portRef A (instanceRef r_e_alusel_RNO_5_0)) (portRef B (instanceRef r_a_ctrl_inst_0_RNIQ50F_21)) )) (net annul_4 (joined (portRef Y (instanceRef r_x_rstate_RNIEH5I4_0)) (portRef A (instanceRef r_x_rstate_0_RNI5HEI6_1)) )) (net annul_1_a0_1 (joined (portRef Y (instanceRef r_x_rstate_RNIUS912_0)) (portRef A (instanceRef r_x_rstate_RNIEH5I4_0)) )) (net annul_2_0 (joined (portRef Y (instanceRef r_x_rstate_RNIJTNO_0)) (portRef C (instanceRef r_x_rstate_RNIEH5I4_0)) )) (net annul_3 (joined (portRef Y (instanceRef r_x_rstate_0_RNINV802_1)) (portRef B (instanceRef r_x_rstate_0_RNI5HEI6_1)) )) (net (rename rstate_0_RNIRFBN_1 "rstate_0_RNIRFBN[1]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIRFBN_1)) (portRef A (instanceRef r_x_rstate_0_RNINV802_1)) )) (net pwd_RNINA851 (joined (portRef Y (instanceRef rp_pwd_RNINA851)) (portRef C (instanceRef r_x_rstate_0_RNINV802_1)) )) (net N_7694_3 (joined (portRef Y (instanceRef r_x_ctrl_trap_RNI2ROB)) (portRef A (instanceRef r_x_ctrl_trap_RNI5S7L)) (portRef C (instanceRef comb_dbgexc_un82_dbgm_RNIGRLEN)) (portRef C (instanceRef r_x_ctrl_trap_RNILE8MR_0)) (portRef B (instanceRef r_m_ctrl_trap_RNI89CM)) (portRef B (instanceRef r_x_rstate_RNO_0_0)) (portRef A (instanceRef r_x_rstate_RNIJTNO_0)) )) (net annul_0_0 (joined (portRef Y (instanceRef r_m_ctrl_annul_RNI0K78)) (portRef C (instanceRef r_x_rstate_RNIJTNO_0)) )) (net annul_5 (joined (portRef Q (instanceRef r_m_ctrl_annul)) (portRef B (instanceRef r_m_dci_lock_RNI09G7)) (portRef B (instanceRef r_x_ctrl_rett_RNO)) (portRef A (instanceRef r_m_ctrl_annul_RNI7RU8)) (portRef C (instanceRef r_m_ctrl_annul_RNI0K78)) )) (net pend_1 (joined (portRef Y (instanceRef r_m_irqen2_RNIGCLA)) (portRef A (instanceRef r_w_s_et_RNI7Q0K2)) )) (net irqen2 (joined (portRef Q (instanceRef r_m_irqen2)) (portRef A (instanceRef r_m_irqen2_RNIGCLA)) )) (net irqen (joined (portRef Q (instanceRef r_m_irqen)) (portRef D (instanceRef r_m_irqen2)) (portRef B (instanceRef r_m_irqen2_RNIGCLA)) )) (net pwd (joined (portRef Q (instanceRef ir_pwd)) (portRef C (instanceRef r_x_ctrl_pv_RNID9DP)) (portRef C (instanceRef r_m_irqen2_RNIGCLA)) )) (net jump_0_sqmuxa_1_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIFK0E_0_22)) (portRef C (instanceRef r_e_ctrl_inst_RNIIHVT1_20)) (portRef C (instanceRef r_e_ctrl_inst_RNIVC1S_20)) )) (net jump_1_sqmuxa_1_1 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNII01E_22)) (portRef C (instanceRef r_e_ctrl_inst_RNIIHVT1_0_20)) (portRef B (instanceRef r_e_ctrl_inst_RNIHI8M1_23)) (portRef C (instanceRef r_w_s_wim_RNIBL7S1_5)) (portRef A (instanceRef r_e_ctrl_inst_RNIVC1S_0_20)) )) (net aluresult_11_sqmuxa_4 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIDC0E_20)) (portRef C (instanceRef r_e_ctrl_inst_RNIID2A1_22)) (portRef B (instanceRef r_e_ctrl_inst_RNIVC1S_0_20)) )) (net (rename un6_ex_add_res_s0_0_0_1 "un6_ex_add_res_s0_0_0[1]") (joined (portRef Y (instanceRef r_e_alucin_RNI0313)) (portRef B (instanceRef r_e_invop2_0_RNIQV5F)) )) (net (rename data_0_0 "data_0[0]") (joined (portRef Q (instanceRef r_x_data_0_0)) (portRef A (instanceRef r_x_data_0_RNO_4_0)) (portRef B (instanceRef r_x_result_RNIBTAB_0)) (portRef B (instanceRef r_m_y_RNO_5_31)) (portRef A (instanceRef r_x_data_0_RNIAJ33_0)) (portRef B (instanceRef r_e_op1_RNI2VA4_0)) (portRef A (instanceRef r_x_data_0_RNILP07_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_0_m1_e)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_m1)) (portRef A (instanceRef r_x_data_0_RNIV8B2_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I1_P0N_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I1_P0N_a0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I1_G0N_a0)) (portRef B (instanceRef r_e_alucin_RNI0313)) )) (net trap_1_sqmuxa_1_0 (joined (portRef Y (instanceRef r_m_ctrl_cnt_RNIQA5L_0)) (portRef C (instanceRef trap_0_sqmuxa_4_RNIJB4S3)) )) (net (rename cnt_2_1 "cnt_2[1]") (joined (portRef Q (instanceRef r_m_ctrl_cnt_1)) (portRef A (instanceRef r_m_ctrl_cnt_RNIQA5L_0)) )) (net (rename cnt_1_0 "cnt_1[0]") (joined (portRef Q (instanceRef r_m_ctrl_cnt_0)) (portRef B (instanceRef r_m_ctrl_cnt_RNIQA5L_0)) )) (net un1_rett_inst_0_a3_0 (joined (portRef Y (instanceRef r_d_inull_RNO_8)) (portRef A (instanceRef r_d_inull_RNO_4)) )) (net N_215 (joined (portRef Y (instanceRef r_d_inst_0_RNI5023_0_19)) (portRef B (instanceRef un1_write_reg30_1_3_RNO_2)) (portRef B (instanceRef r_a_ctrl_rett_RNO)) (portRef C (instanceRef r_a_ctrl_wicc_RNO_3)) (portRef B (instanceRef r_d_inull_RNO_8)) )) (net dbreak (joined (portRef dbreak) (portRef A (instanceRef r_x_debug_RNO_0)) (portRef A (instanceRef r_a_step_RNI6M74)) (portRef A (instanceRef r_w_s_et_RNI6UQ6)) (portRef A (instanceRef r_x_rstate_0_RNIKQF9_1)) (portRef B (instanceRef dsur_err_RNO_1)) (portRef B (instanceRef r_x_debug_RNO_6)) (portRef B (instanceRef r_x_debug_RNO_8)) (portRef A (instanceRef r_x_debug_RNO_3)) )) (net (rename inst_2_19 "inst_2[19]") (joined (portRef Q (instanceRef r_a_ctrl_inst_19)) (portRef D (instanceRef r_e_ctrl_inst_19)) (portRef A (instanceRef r_a_ctrl_inst_RNICC1E_20)) (portRef B (instanceRef r_a_ctrl_inst_RNICC1E_0_20)) (portRef B (instanceRef r_a_ctrl_inst_RNIJ02S_19)) (portRef A (instanceRef r_a_ctrl_inst_RNIFK1L_19)) (portRef B (instanceRef r_a_ctrl_inst_RNICC1E_1_20)) (portRef A (instanceRef r_a_ctrl_inst_RNI053A1_19)) (portRef A (instanceRef r_a_ctrl_inst_RNIEK1E_0_19)) (portRef B (instanceRef r_a_ctrl_inst_RNIU83A1_19)) (portRef B (instanceRef r_a_ctrl_inst_RNIQG231_21)) (portRef C (instanceRef r_a_ctrl_inst_RNISK2A1_19)) (portRef B (instanceRef r_e_alusel_RNO_2_1)) (portRef A (instanceRef r_a_ctrl_inst_RNIEK1E_19)) (portRef A (instanceRef r_a_ctrl_inst_0_RNISL0F_0_21)) (portRef A (instanceRef r_a_ctrl_inst_0_RNISL0F_21)) (portRef A (instanceRef r_e_alusel_RNO_1_0)) )) (net (rename inst_30 "inst[30]") (joined (portRef Q (instanceRef r_a_ctrl_inst_30)) (portRef D (instanceRef r_e_ctrl_inst_30)) (portRef A (instanceRef r_a_ctrl_inst_RNI7C0E_0_30)) (portRef C (instanceRef r_a_ctrl_inst_RNIPT6A1_30)) (portRef B (instanceRef r_a_ctrl_inst_RNI7C0E_2_30)) (portRef B (instanceRef r_a_ctrl_inst_RNI7G0E_30)) (portRef C (instanceRef r_a_ctrl_inst_RNID01L_24)) (portRef B (instanceRef r_a_ctrl_inst_RNI7C0E_30)) (portRef A (instanceRef r_a_ctrl_inst_RNID01L_30)) (portRef A (instanceRef r_a_ctrl_inst_RNI7G0E_0_30)) (portRef B (instanceRef r_a_ctrl_inst_RNI7C0E_1_30)) (portRef A (instanceRef r_e_ctrl_tt_RNO_5_5)) (portRef A (instanceRef r_a_ctrl_inst_RNID01L_0_30)) (portRef A (instanceRef r_a_ctrl_inst_RNIIG1S_24)) (portRef C (instanceRef r_a_ctrl_inst_0_RNIUH0M_21)) (portRef A (instanceRef r_a_ctrl_inst_RNI580E_20)) (portRef B (instanceRef r_a_ctrl_cnt_RNI615E_0)) (portRef C (instanceRef r_e_alusel_RNO_1_0)) )) (net ld_i_a2_m7_0_a2_3 (joined (portRef Y (instanceRef r_m_ctrl_ld_RNIDFP11)) (portRef A (instanceRef r_m_ctrl_ld_RNI2PAO1)) )) (net ld_i_a2_m7_0_a2_1 (joined (portRef Y (instanceRef r_m_ctrl_ld_RNIQJFJ)) (portRef A (instanceRef r_m_ctrl_ld_RNIDFP11)) )) (net ld_i_a2_m7_0_a2_0 (joined (portRef Y (instanceRef r_m_ctrl_ld_RNIG6VD)) (portRef A (instanceRef r_m_ctrl_ld_RNIQJFJ)) )) (net me_size_1_1_m9_0_a2_2 (joined (portRef Y (instanceRef r_x_dci_size_RNIQ5P61_1)) (portRef A (instanceRef r_x_dci_size_RNIFFAT1_1)) )) (net me_size_1_1_m9_0_a2_0_0 (joined (portRef Y (instanceRef r_x_dci_size_RNI7AFO_1)) (portRef A (instanceRef r_x_dci_size_RNIQ5P61_1)) )) (net N_5719_1 (joined (portRef N_5719_1) (portRef B (instanceRef r_x_dci_size_RNIQ5P61_1)) (portRef B (instanceRef r_m_ctrl_ld_RNIDFP11)) )) (net me_size_1_1_m9_0_a2_3 (joined (portRef Y (instanceRef comb_me_size_1_1_m9_0_a2_3)) (portRef C (instanceRef r_x_dci_size_RNIQ5P61_1)) (portRef C (instanceRef r_m_ctrl_ld_RNIDFP11)) (portRef me_size_1_1_m9_0_a2_3) )) (net (rename size_0_1 "size_0[1]") (joined (portRef Q (instanceRef r_x_dci_size_1)) (portRef A (instanceRef r_x_dci_size_RNIEMS61_1)) (portRef A (instanceRef r_x_dci_size_RNIUK4V_1)) (portRef B (instanceRef r_x_dci_size_RNI7AFO_1)) )) (net intack_1 (joined (portRef Y (instanceRef r_x_intack_RNO_0)) (portRef A (instanceRef r_x_intack_RNO)) )) (net intack_0 (joined (portRef Y (instanceRef r_x_intack_RNO_1)) (portRef B (instanceRef r_x_intack_RNO)) )) (net (rename tt_i_a2_1_0_3 "tt_i_a2_1_0[3]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_6_3)) (portRef A (instanceRef r_e_ctrl_tt_RNO_2_3)) )) (net un28_casaen_5 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNITAMH_5)) (portRef A (instanceRef r_a_ctrl_inst_RNIT15I1_22)) (portRef A (instanceRef r_e_ctrl_tt_RNO_6_3)) )) (net un28_casaen_4 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNISAEP_10)) (portRef B (instanceRef r_a_ctrl_inst_RNIT15I1_22)) (portRef B (instanceRef r_e_ctrl_tt_RNO_6_3)) )) (net de_fins_hold_1_0 (joined (portRef Y (instanceRef r_e_bp_RNITK18)) (portRef A (instanceRef r_d_annul_RNIRT7K)) )) (net aluresult_13_sqmuxa_0_a2_1_1 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIRK1L_20)) (portRef A (instanceRef r_e_ctrl_inst_RNIHI8M1_23)) (portRef A (instanceRef r_w_s_wim_RNIBL7S1_5)) )) (net (rename aluresult_1_iv_9_0_a2_2_1_5 "aluresult_1_iv_9_0_a2_2_1[5]") (joined (portRef Y (instanceRef r_w_s_wim_RNIUV4P_5)) (portRef B (instanceRef r_w_s_wim_RNIBL7S1_5)) )) (net (rename wim_5 "wim[5]") (joined (portRef Q (instanceRef r_w_s_wim_5)) (portRef A (instanceRef r_w_s_wim_RNIFII81_5)) (portRef A (instanceRef r_w_s_wim_RNIEU9TT_5)) (portRef A (instanceRef r_w_s_wim_RNIUV4P_5)) )) (net ldcheck1_3 (joined (portRef Y (instanceRef r_d_inst_0_RNIRKK01_23)) (portRef A (instanceRef r_d_inst_0_RNIOTBI1_23)) )) (net (rename inst_0_RNIES9C_23 "inst_0_RNIES9C[23]") (joined (portRef Y (instanceRef r_d_inst_0_RNIES9C_23)) (portRef A (instanceRef r_d_inst_0_RNIRKK01_23)) )) (net ldcheck1_0 (joined (portRef Y (instanceRef r_d_inst_0_RNINSV2_31)) (portRef B (instanceRef r_d_inst_0_RNIRKK01_23)) )) (net (rename inst_0_RNIMRAH_23 "inst_0_RNIMRAH[23]") (joined (portRef Y (instanceRef r_d_inst_0_RNIMRAH_23)) (portRef C (instanceRef r_d_inst_0_RNIRKK01_23)) )) (net ldcheck1_2 (joined (portRef Y (instanceRef r_d_inst_0_RNIT8NH_23)) (portRef B (instanceRef r_d_inst_0_RNIOTBI1_23)) )) (net N_8054 (joined (portRef Y (instanceRef r_d_cnt_RNIATF3_1)) (portRef A (instanceRef r_d_inst_0_RNID5K9_24)) (portRef A (instanceRef r_d_inst_0_RNIT8NH_23)) )) (net ldcheck1_5_i_a6_0_0 (joined (portRef Y (instanceRef r_d_inst_0_RNI66J4_2_23)) (portRef B (instanceRef r_d_inst_0_RNIT8NH_23)) )) (net N_4288_i_0 (joined (portRef Y (instanceRef r_d_inst_0_RNID5K9_24)) (portRef C (instanceRef r_d_inst_0_RNIT8NH_23)) )) (net (rename un3_de_ren1_i_a3_0_30 "un3_de_ren1_i_a3_0[30]") (joined (portRef Y (instanceRef r_d_cnt_RNIH5K9_1)) (portRef A (instanceRef r_d_inst_0_RNIUGAI_4)) (portRef A (instanceRef r_d_inst_0_RNINB7E_23)) )) (net N_216 (joined (portRef Y (instanceRef r_d_inst_0_RNIV323_21)) (portRef B (instanceRef r_d_inst_0_RNI5AL7_22)) (portRef C (instanceRef r_d_inst_0_RNID5K9_24)) (portRef B (instanceRef r_d_inst_0_RNI42J4_19)) (portRef A (instanceRef r_d_cnt_RNIH5K9_1)) )) (net (rename un3_de_ren1_i_a3_1_0_30 "un3_de_ren1_i_a3_1_0[30]") (joined (portRef Y (instanceRef r_d_cnt_RNIDLF3_1)) (portRef B (instanceRef r_d_cnt_RNIH5K9_1)) )) (net un7_op_0_a3_0 (joined (portRef Y (instanceRef r_d_inst_0_RNI42J4_19)) (portRef A (instanceRef r_d_inst_0_RNIA869_19)) )) (net (rename daddr_22 "daddr[22]") (joined (portRef (member daddr 1)) (portRef B (instanceRef comb_diagread_un497_dbgunit)) (portRef C (instanceRef wr_0_sqmuxa_1)) (portRef B (instanceRef data_0_sqmuxa_0)) (portRef B (instanceRef comb_diagread_un497_dbgunit_0)) )) (net ADD_30x30_fast_I100_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I100_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I100_Y)) )) (net N418_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I20_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I100_Y_0)) )) (net (rename inst_0_m_1_26 "inst_0_m_1[26]") (joined (portRef Y (instanceRef r_d_cnt_RNIRCME_0_0)) (portRef A (instanceRef r_d_inst_0_RNIKQ4F1_14)) )) (net un10_op (joined (portRef Y (instanceRef r_d_cnt_RNIM0KB_0)) (portRef A (instanceRef r_d_cnt_RNIRCME_0)) (portRef A (instanceRef r_d_cnt_RNIRCME_0_0)) )) (net (rename inst_0_m_0_26 "inst_0_m_0[26]") (joined (portRef Y (instanceRef r_d_inst_0_RNIU27G_25)) (portRef B (instanceRef r_d_inst_0_RNIKQ4F1_14)) )) (net N_211 (joined (portRef Y (instanceRef r_d_inst_0_RNI4023_19)) (portRef A (instanceRef r_d_inst_0_RNI42J4_21)) (portRef C (instanceRef r_d_inst_0_RNIKI1A_21)) (portRef A (instanceRef r_d_inst_0_RNIU27G_25)) )) (net un14_op_1 (joined (portRef Y (instanceRef r_d_inst_0_0_0_RNIM0KB_21)) (portRef B (instanceRef r_d_inst_0_RNIU27G_25)) )) (net (rename inst_0_25 "inst_0[25]") (joined (portRef Q (instanceRef r_d_inst_0_25)) (portRef D (instanceRef r_a_ctrl_inst_25)) (portRef B (instanceRef r_d_inst_0_RNO_0_25)) (portRef B (instanceRef r_d_inst_0_RNI6AJ4_25)) (portRef B (instanceRef r_d_inst_0_RNI9423_25)) (portRef S (instanceRef r_d_inst_0_RNIVB391_25)) (portRef C (instanceRef r_d_inst_0_RNIQQ3D_25)) (portRef B (instanceRef r_d_inst_0_RNIF6J4_25)) (portRef C (instanceRef r_d_inst_0_RNIU27G_25)) )) (net ADD_30x30_fast_I108_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I108_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I108_Y)) )) (net (rename dpc_19 "dpc[19]") (joined (portRef Q (instanceRef r_d_pc_19)) (portRef B (instanceRef un6_fe_npc_2_I_105)) (portRef C (instanceRef un6_fe_npc_2_I_108)) (portRef D (instanceRef r_a_ctrl_pc_19)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I17_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I17_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I277_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I108_Y_0)) )) (net (rename inst_0_17 "inst_0[17]") (joined (portRef Q (instanceRef r_d_inst_0_17)) (portRef D (instanceRef r_a_ctrl_inst_17)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I17_P0N)) (portRef A (instanceRef r_a_imm_RNO_27)) (portRef B (instanceRef r_d_inst_0_RNI5DOH_17)) (portRef B (instanceRef r_d_inst_0_RNI7S13_17)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I17_G0N)) (portRef B (instanceRef r_d_inst_0_RNO_0_17)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I277_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I108_Y_0)) )) (net N406_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I16_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I108_Y_0)) )) (net aluop_2_1_0_a2_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNISL0F_21)) (portRef A (instanceRef r_a_ctrl_inst_0_RNID22B1_0_21)) )) (net (rename inst_1_20 "inst_1[20]") (joined (portRef Q (instanceRef r_a_ctrl_inst_20)) (portRef D (instanceRef r_e_ctrl_inst_20)) (portRef B (instanceRef r_a_ctrl_inst_RNICC1E_20)) (portRef A (instanceRef r_a_ctrl_inst_RNI5C0E_0_21)) (portRef A (instanceRef r_a_ctrl_inst_RNICC1E_0_20)) (portRef B (instanceRef r_a_ctrl_inst_RNI5C0E_21)) (portRef A (instanceRef r_a_ctrl_inst_RNICC1E_1_20)) (portRef C (instanceRef r_a_ctrl_inst_RNIO02A1_20)) (portRef A (instanceRef r_a_ctrl_inst_RNIE41S_20)) (portRef C (instanceRef r_a_ctrl_inst_RNIN02A1_20)) (portRef B (instanceRef r_a_ctrl_inst_RNI580E_20)) (portRef C (instanceRef r_a_ctrl_inst_0_RNISL0F_0_21)) (portRef B (instanceRef r_a_ctrl_inst_RNI9S0E_25)) (portRef B (instanceRef r_a_ctrl_inst_0_RNISL0F_21)) )) (net (rename tt_0_o2_3_0_0 "tt_0_o2_3_0[0]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIR42H1_13)) (portRef A (instanceRef r_a_ctrl_inst_RNIP15R2_13)) )) (net N_468 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI7C0E_30)) (portRef B (instanceRef r_a_ctrl_cnt_RNI7NUN_0)) (portRef A (instanceRef r_a_ctrl_cnt_RNIVN022_0)) (portRef B (instanceRef r_a_ctrl_inst_RNIUS2A1_25)) (portRef B (instanceRef r_e_alusel_RNO_1_1)) (portRef A (instanceRef r_a_ctrl_inst_RNIQC2A1_19)) (portRef A (instanceRef r_a_ctrl_inst_RNIR42H1_13)) )) (net (rename tt_0_a2_5_0_0 "tt_0_a2_5_0[0]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI901E_13)) (portRef B (instanceRef r_a_ctrl_inst_RNIR42H1_13)) )) (net N_495 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIBO0L_31)) (portRef B (instanceRef r_a_ctrl_inst_RNIHC1S_24)) (portRef C (instanceRef r_a_ctrl_inst_RNIR42H1_13)) )) (net ADD_30x30_fast_I124_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I124_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I124_Y)) )) (net (rename dpc_11 "dpc[11]") (joined (portRef Q (instanceRef r_d_pc_11)) (portRef B (instanceRef un6_fe_npc_2_I_52)) (portRef C (instanceRef un6_fe_npc_2_I_55)) (portRef B (instanceRef un6_fe_npc_2_I_65)) (portRef A (instanceRef un6_fe_npc_2_I_69)) (portRef D (instanceRef r_a_ctrl_pc_11)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I9_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I66_un1_Y)) (portRef B (instanceRef r_d_pc_RNO_11)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I269_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I124_Y_0)) )) (net (rename inst_0_9 "inst_0[9]") (joined (portRef Q (instanceRef r_d_inst_0_9)) (portRef D (instanceRef r_a_ctrl_inst_9)) (portRef B (instanceRef r_d_inst_0_RNO_0_9)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I9_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I66_un1_Y)) (portRef A (instanceRef r_a_imm_RNO_19)) (portRef A (instanceRef r_a_imm_RNO_9)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I269_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I124_Y_0)) )) (net un1_trap_0_sqmuxa_1_0 (joined (portRef Y (instanceRef r_m_result_RNIUQB33_0)) (portRef B (instanceRef trap_0_sqmuxa_4_RNIJB4S3)) )) (net trap55 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI5S3O1_24)) (portRef A (instanceRef r_m_result_RNIUQB33_0)) )) (net (rename maddress_0 "maddress[0]") (joined (portRef Q (instanceRef r_m_result_0)) (portRef D (instanceRef r_x_laddr_0)) (portRef D (instanceRef r_x_result_0)) (portRef A (instanceRef r_w_result_RNI7DO7_0)) (portRef A (instanceRef r_w_result_RNIJSCA_0)) (portRef A (instanceRef r_x_laddr_RNIQLJH3_0)) (portRef B (instanceRef r_d_cwp_RNO_2_0)) (portRef A (instanceRef r_m_result_RNIF7CB_1)) (portRef B (instanceRef r_m_result_RNIUQB33_0)) (portRef B (instanceRef comb_mem_trap_un1_r_m_result_0)) (portRef (member maddress 31)) )) (net trap_0_sqmuxa_3 (joined (portRef Y (instanceRef r_m_result_RNILLE71_1)) (portRef C (instanceRef r_m_result_RNIUQB33_0)) )) (net (rename icco_0_a3_1_4_1 "icco_0_a3_1_4[1]") (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIGJ5R2_24)) (portRef A (instanceRef r_e_alusel_RNI2FJL4_0)) )) (net (rename icco_0_a3_1_2_1 "icco_0_a3_1_2[1]") (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI2P1S_24)) (portRef A (instanceRef r_e_ctrl_inst_RNIGJ5R2_24)) )) (net un3_notag (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIFC0E_0_30)) (portRef B (instanceRef r_e_ctrl_trap_RNISBSJ)) (portRef C (instanceRef r_e_ctrl_inst_RNIVD3H1_24)) (portRef C (instanceRef r_e_ctrl_inst_RNIVD3H1_0_24)) (portRef B (instanceRef r_e_ctrl_inst_RNIID2A1_22)) (portRef C (instanceRef r_e_ctrl_inst_RNIGJ5R2_24)) )) (net (rename icco_0_a3_1_1_1 "icco_0_a3_1_1[1]") (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIHS0E_22)) (portRef B (instanceRef r_e_ctrl_inst_RNI2P1S_24)) )) (net (rename inst_2_21 "inst_2[21]") (joined (portRef Q (instanceRef r_e_ctrl_inst_21)) (portRef D (instanceRef r_m_ctrl_inst_21)) (portRef B (instanceRef r_e_ctrl_inst_RNIFK0E_22)) (portRef A (instanceRef r_e_ctrl_inst_RNIDC0E_20)) (portRef B (instanceRef r_e_ctrl_inst_RNIJ56L1_21)) (portRef A (instanceRef r_m_dci_size_RNO_2_0)) (portRef C (instanceRef r_m_dci_read_RNO_0)) (portRef B (instanceRef r_e_ctrl_inst_RNIFK0E_0_22)) (portRef B (instanceRef r_e_ctrl_inst_RNIO41L_22)) (portRef A (instanceRef r_m_dci_write_RNO_2)) (portRef B (instanceRef r_m_dci_read_RNO_2)) (portRef C (instanceRef r_e_ctrl_inst_RNIRK1L_20)) (portRef B (instanceRef r_e_ctrl_annul_RNIH33A)) (portRef B (instanceRef r_m_dci_signed_RNO_0)) (portRef B (instanceRef r_e_ctrl_inst_RNI9OS11_20)) (portRef C (instanceRef r_e_ctrl_inst_RNI2P1S_24)) )) (net lock_0 (joined (portRef Y (instanceRef r_m_dci_lock_RNO_2)) (portRef C (instanceRef r_m_dci_lock_RNO)) )) (net ADD_30x30_fast_I116_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I116_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I116_Y)) )) (net (rename dpc_15 "dpc[15]") (joined (portRef Q (instanceRef r_d_pc_15)) (portRef B (instanceRef un6_fe_npc_2_I_77)) (portRef B (instanceRef un6_fe_npc_2_I_80)) (portRef B (instanceRef un6_fe_npc_2_I_87)) (portRef D (instanceRef r_a_ctrl_pc_15)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I13_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I13_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I273_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I116_Y_0)) )) (net (rename inst_0_13 "inst_0[13]") (joined (portRef Q (instanceRef r_d_inst_0_13)) (portRef D (instanceRef r_a_ctrl_inst_13)) (portRef B (instanceRef r_d_inst_0_RNO_0_13)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I13_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I13_P0N)) (portRef A (instanceRef r_d_inst_0_RNI08FU3_13)) (portRef A (instanceRef r_d_inst_0_RNIDHU71_13)) (portRef A (instanceRef r_a_imm_RNO_23)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I273_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I116_Y_0)) )) (net N394 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I12_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I116_Y_0)) )) (net bicc_hold_4 (joined (portRef Y (instanceRef r_d_inst_0_0_0_RNI84IJ_21)) (portRef A (instanceRef r_d_inst_0_RNIUFD71_31)) )) (net bicc_hold_2 (joined (portRef Y (instanceRef r_d_inst_0_0_0_RNI8MM7_21)) (portRef A (instanceRef r_d_inst_0_0_0_RNI84IJ_21)) )) (net bicc_hold_3 (joined (portRef Y (instanceRef r_d_inst_0_RNIR026_31)) (portRef B (instanceRef r_d_inst_0_RNIUFD71_31)) )) (net (rename inst_0_0_24 "inst_0_0[24]") (joined (portRef Q (instanceRef r_d_inst_0_24)) (portRef D (instanceRef r_a_ctrl_inst_24)) (portRef B (instanceRef r_d_inst_0_RNO_0_24)) (portRef B (instanceRef r_a_ctrl_wicc_RNO_2)) (portRef B (instanceRef r_d_inst_0_RNI66J4_0_23)) (portRef A (instanceRef r_d_inst_0_RNI66J4_1_23)) (portRef B (instanceRef r_d_inst_0_RNI5AJ4_24)) (portRef B (instanceRef r_d_inst_0_RNI66J4_23)) (portRef A (instanceRef r_d_inst_0_RNI5423_23)) (portRef A (instanceRef r_d_inst_0_RNI2423_24)) (portRef B (instanceRef r_d_inst_0_RNI5823_24)) (portRef A (instanceRef r_a_ctrl_wicc_RNO_3)) (portRef B (instanceRef r_d_inst_0_RNI4423_24)) (portRef A (instanceRef r_d_inst_0_RNIAK79_0_24)) (portRef B (instanceRef r_d_inst_0_RNI2423_0_24)) (portRef B (instanceRef r_d_inst_0_RNIAK79_24)) (portRef C (instanceRef r_d_inst_0_RNI66J4_2_23)) (portRef B (instanceRef r_d_inst_0_RNIR026_31)) )) (net bicc_hold_1 (joined (portRef Y (instanceRef r_d_annul_RNILOV2)) (portRef C (instanceRef r_d_inst_0_RNIR026_31)) )) (net ldcheck2_2_sqmuxa_1_0 (joined (portRef Y (instanceRef r_d_inst_0_RNITFU4_22)) (portRef A (instanceRef r_d_inst_0_RNI703B_22)) )) (net ldcheck2_2_sqmuxa_1_3 (joined (portRef Y (instanceRef r_d_cnt_RNISDD3_1)) (portRef A (instanceRef r_e_ctrl_wreg_RNIIPDC)) (portRef B (instanceRef r_d_inst_0_RNITFU4_22)) )) (net data_3_sqmuxa_0_0 (joined (portRef Y (instanceRef data_3_sqmuxa_0)) (portRef A (instanceRef data_3_sqmuxa)) )) (net (rename data_3_sqmuxaZ0Z_0 "data_3_sqmuxa_0") (joined (portRef Y (instanceRef data_2_sqmuxa_0)) (portRef B (instanceRef data_2_sqmuxa)) (portRef A (instanceRef data_3_sqmuxa_0)) )) (net (rename daddr_5 "daddr[5]") (joined (portRef (member daddr 18)) (portRef B (instanceRef r_x_rstate_RNINRUM1_0)) (portRef B (instanceRef r_a_rfa1_RNIF0VD2_3)) (portRef C (instanceRef r_m_dci_enaddr_RNIRCL32)) (portRef A (instanceRef data_0_sqmuxa_1_0)) (portRef B (instanceRef data_9_sqmuxa_1_0)) (portRef B (instanceRef data_4_sqmuxa_0)) (portRef B (instanceRef data_5_sqmuxa_1_0)) (portRef B (instanceRef data_3_sqmuxa_0)) (portRef A (instanceRef data_8_sqmuxa_0)) )) (net (rename data_5_sqmuxaZ0Z_0 "data_5_sqmuxa_0") (joined (portRef Y (instanceRef data_5_sqmuxa_0)) (portRef A (instanceRef data_5_sqmuxa_1_0)) )) (net aluresult_13_sqmuxa_0_a2_1 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIHI8M1_23)) (portRef A (instanceRef r_e_aluop_RNIA5SD2_1)) )) (net aluresult_13_sqmuxa_0_a2_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI4T5J_23)) (portRef C (instanceRef r_e_ctrl_inst_RNIHI8M1_23)) )) (net ADD_33x33_fast_I82_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_0)) )) (net ADD_33x33_fast_I82_Y_a0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_0_1)) )) (net ADD_33x33_fast_I82_Y_a3 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_0_1)) )) (net ADD_33x33_fast_I82_Y_a1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_0_1)) )) (net ADD_33x33_fast_I68_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_0)) )) (net ADD_33x33_fast_I68_Y_a0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_0_0)) )) (net wy_1_0_a3_0_4_1 (joined (portRef Y (instanceRef r_a_ctrl_wy_RNO_2)) (portRef B (instanceRef r_a_ctrl_wy_RNO_1)) )) (net N_4106_3 (joined (portRef Y (instanceRef r_d_inst_0_RNIF423_29)) (portRef C (instanceRef r_d_inst_0_RNIKQ0Q_29)) (portRef C (instanceRef r_d_inst_0_RNIVGTN_29)) (portRef C (instanceRef r_d_inst_0_RNIA44S_29)) (portRef A (instanceRef r_a_ctrl_wy_RNO_2)) )) (net y_0_sqmuxa_3 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI05531_1_25)) (portRef A (instanceRef r_x_ctrl_inst_RNITR8R2_25)) )) (net y_0_sqmuxa_2 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI3F3L_0_27)) (portRef A (instanceRef r_x_ctrl_inst_RNI05531_1_25)) )) (net (rename inst_2_29 "inst_2[29]") (joined (portRef Q (instanceRef r_x_ctrl_inst_29)) (portRef A (instanceRef r_x_ctrl_inst_RNI3F3L_27)) (portRef A (instanceRef r_x_ctrl_inst_RNI3F3L_0_27)) )) (net (rename inst_27 "inst[27]") (joined (portRef Q (instanceRef r_x_ctrl_inst_27)) (portRef B (instanceRef r_x_ctrl_inst_RNI3F3L_27)) (portRef B (instanceRef r_x_ctrl_inst_RNI3F3L_0_27)) )) (net (rename inst_28 "inst[28]") (joined (portRef Q (instanceRef r_x_ctrl_inst_28)) (portRef C (instanceRef r_x_ctrl_inst_RNI3F3L_27)) (portRef C (instanceRef r_x_ctrl_inst_RNI3F3L_0_27)) )) (net un58_pwrd_3 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI05531_25)) (portRef B (instanceRef r_x_ctrl_inst_RNITR8R2_1_25)) (portRef A (instanceRef r_x_rstate_RNIUS912_0)) )) (net un65_pwrd_1 (joined (portRef Y (instanceRef r_x_ctrl_pv_RNID9DP)) (portRef C (instanceRef rp_pwd_RNI3OPR3)) (portRef B (instanceRef rp_pwd_RNINA851)) (portRef B (instanceRef r_x_rstate_RNIUS912_0)) )) (net ADD_33x33_fast_I145_un1_Y_m5_i_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i)) )) (net ADD_33x33_fast_I145_un1_Y_m5_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_a4)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_1)) )) (net (rename op1_3 "op1[3]") (joined (portRef Q (instanceRef r_e_op1_3)) (portRef A (instanceRef r_e_op1_RNIE1UB_3)) (portRef A (instanceRef r_e_op1_RNI8BB4_3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I4_G0N)) (portRef A (instanceRef r_e_op1_RNIE1UB_0_3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I4_P0N_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_a2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_a4)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I4_P0N_m1_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I85_un1_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_1)) )) (net ADD_33x33_fast_I145_un1_Y_m5_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i)) )) (net ADD_33x33_fast_I145_un1_Ytt_N_7 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Ytt_m3_i_a4)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I82_Y_m3_i)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_0)) )) (net ADD_33x33_fast_I5_P0Ntt_m1_0_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_P0Ntt_m1_0_a2)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I85_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_0)) )) (net ADD_33x33_fast_I79_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I137_un1_Y)) )) (net ADD_33x33_fast_I79_Y_0_a1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_1)) )) (net ADD_33x33_fast_I79_Y_0_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_1)) )) (net ADD_33x33_fast_I79_Y_0_a3_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a3_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_1)) )) (net ADD_33x33_fast_I69_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_0_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_0)) )) (net ADD_33x33_fast_I69_Y_0_tz (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_1_tz)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_0_0)) )) (net icc_check_bp_0 (joined (portRef Y (instanceRef r_d_annul_RNI3T16)) (portRef A (instanceRef r_d_annul_RNIEFND)) )) (net tmp_0 (joined (portRef Y (instanceRef r_d_inst_0_RNIF6J4_25)) (portRef A (instanceRef r_d_inst_0_RNIE0IP1_25)) (portRef B (instanceRef r_d_inst_0_RNIRAPD_23)) (portRef A (instanceRef r_d_annul_RNI3T16)) )) (net (rename alusel_i_0_0_a2_0_1 "alusel_i_0_0_a2_0[1]") (joined (portRef Y (instanceRef r_e_alusel_RNO_3_1)) (portRef A (instanceRef r_e_alusel_RNO_1_1)) )) (net N_167 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI5C0E_0_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIJ02S_19)) (portRef B (instanceRef r_a_ctrl_inst_RNIFK1L_19)) (portRef C (instanceRef r_e_alusel_RNO_3_0)) (portRef C (instanceRef r_a_ctrl_inst_RNIR82H1_23)) (portRef A (instanceRef r_a_ctrl_inst_RNISK2A1_19)) (portRef C (instanceRef r_a_ctrl_inst_RNIQC2A1_19)) (portRef B (instanceRef r_e_alusel_RNO_3_1)) )) (net ADD_33x33_fast_I85_un1_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_0)) )) (net (rename tt_0_a2_7_0_0 "tt_0_a2_7_0[0]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI9S0E_25)) (portRef A (instanceRef r_a_ctrl_inst_RNIUS2A1_25)) )) (net (rename inst_1_25 "inst_1[25]") (joined (portRef Q (instanceRef r_a_ctrl_inst_25)) (portRef D (instanceRef r_e_ctrl_inst_25)) (portRef S (instanceRef r_a_ctrl_inst_RNI9T2M3_25)) (portRef A (instanceRef r_a_ctrl_inst_RNI9S0E_25)) )) (net aluop_0_1_0_0_a2_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNISL0F_0_21)) (portRef A (instanceRef r_a_ctrl_inst_0_RNID22B1_21)) )) (net aluop_1_1_0_a2_0_0 (joined (portRef Y (instanceRef r_a_ctrl_cnt_RNI615E_0)) (portRef A (instanceRef r_a_ctrl_inst_0_RNI0B5T_21)) )) (net (rename cnt_2_0 "cnt_2[0]") (joined (portRef Q (instanceRef r_a_ctrl_cnt_0)) (portRef D (instanceRef r_e_ctrl_cnt_0)) (portRef C (instanceRef r_a_ctrl_cnt_RNI0BU9_0)) (portRef A (instanceRef r_a_ctrl_cnt_RNIIH6S_0)) (portRef C (instanceRef r_a_ctrl_cnt_RNIJ648_0)) (portRef C (instanceRef r_a_ctrl_cnt_RNI0BU9_0_0)) (portRef A (instanceRef r_a_ctrl_cnt_RNI615E_0)) )) (net annul_1_a1_0 (joined (portRef Y (instanceRef rp_pwd_RNIA1RB)) (portRef A (instanceRef rp_pwd_RNINA851)) )) (net (rename rstate_ns_i_a5_1_1_0_1 "rstate_ns_i_a5_1_1_0[1]") (joined (portRef Y (instanceRef r_w_s_et_RNI0SF2)) (portRef B (instanceRef r_w_s_et_RNI6UQ6)) )) (net un1_trap_0_sqmuxa_0 (joined (portRef Y (instanceRef r_m_icc_RNIJ0N92_1)) (portRef A (instanceRef trap_0_sqmuxa_RNI0MIV2)) )) (net trap_0_sqmuxa_1 (joined (portRef Y (instanceRef r_m_nalign_RNI0UR41)) (portRef A (instanceRef trap_0_sqmuxa_4_RNIIM0F5)) (portRef C (instanceRef r_m_icc_RNIJ0N92_1)) )) (net un53_casaen (joined (portRef Y (instanceRef r_d_cnt_RNIFET3_1_0)) (portRef B (instanceRef r_d_cnt_RNIDT4K_0)) (portRef C (instanceRef r_d_cnt_RNI2R7J_0)) (portRef B (instanceRef r_d_inst_0_RNIOSIB_21)) (portRef B (instanceRef r_d_annul_RNI35C5)) )) (net (rename tt_0_a2_6_1_0 "tt_0_a2_6_1[0]") (joined (portRef Y (instanceRef r_a_et_RNI718B)) (portRef B (instanceRef r_a_et_RNIOP971)) )) (net (rename inst_0_23 "inst_0[23]") (joined (portRef Q (instanceRef r_a_ctrl_inst_23)) (portRef D (instanceRef r_e_ctrl_inst_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIOC231_23)) (portRef C (instanceRef r_a_ctrl_inst_RNIFC1L_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIB41E_23)) (portRef B (instanceRef r_a_ctrl_inst_RNI9O0E_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIIG1S_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIIG1S_0_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIK42S_23)) (portRef B (instanceRef r_e_alusel_RNO_6_0)) (portRef C (instanceRef r_a_ctrl_inst_RNIFC1L_0_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIB41E_0_23)) (portRef C (instanceRef r_a_ctrl_inst_RNIJL4D2_23)) (portRef B (instanceRef r_a_ctrl_inst_RNIE41S_20)) (portRef B (instanceRef r_a_ctrl_inst_RNI901E_13)) (portRef A (instanceRef r_a_et_RNI718B)) )) (net et_1 (joined (portRef Q (instanceRef r_a_et)) (portRef D (instanceRef r_e_et)) (portRef B (instanceRef r_a_et_RNI718B)) )) (net (rename alusel_i_0_a2_0_0_0 "alusel_i_0_a2_0_0[0]") (joined (portRef Y (instanceRef r_e_alusel_RNO_5_0)) (portRef A (instanceRef r_e_alusel_RNO_3_0)) )) (net (rename tt_i_a2_17_0_5 "tt_i_a2_17_0[5]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIEK1E_19)) (portRef B (instanceRef r_a_ctrl_inst_RNIQC2A1_19)) )) (net un3_op_2 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIID2A1_22)) (portRef A (instanceRef r_e_ctrl_inst_RNI5I3O1_24)) )) (net un3_op_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIMK1E_22)) (portRef A (instanceRef r_e_ctrl_inst_RNIID2A1_22)) )) (net ldbp_0_a2_1 (joined (portRef Y (instanceRef r_e_ldbp1_RNO_0)) (portRef B (instanceRef r_e_ldbp1_RNO)) )) (net ADD_33x33_fast_I79_Y_0_a0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a0_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a0)) )) (net ADD_33x33_fast_I69_Y_a0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a0_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a0)) )) (net inst_3_0 (joined (portRef Y (instanceRef comb_mem_trap_trap54_RNO_1)) (portRef A (instanceRef comb_mem_trap_trap54_RNO_0)) )) (net inst_3_1 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI4D1E_0_19)) (portRef A (instanceRef comb_mem_trap_trap54_RNO)) (portRef B (instanceRef comb_mem_trap_trap54_RNO_0)) )) (net inst_1 (joined (portRef Y (instanceRef comb_mem_trap_trap54_RNO_2)) (portRef C (instanceRef comb_mem_trap_trap54_RNO_0)) )) (net ADD_33x33_fast_I69_un1_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0)) )) (net ADD_33x33_fast_I69_un1_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0_1)) )) (net (rename alusel_i_0_a2_3_0_0 "alusel_i_0_a2_3_0[0]") (joined (portRef Y (instanceRef r_a_ctrl_cnt_RNI0BU9_0_0)) (portRef B (instanceRef r_a_ctrl_cnt_RNIVN022_0)) )) (net (rename inst_13 "inst[13]") (joined (portRef Q (instanceRef r_a_ctrl_inst_13)) (portRef A (instanceRef r_a_ctrl_inst_RNIJ42S_13)) (portRef A (instanceRef r_a_ctrl_inst_RNI901E_13)) )) (net jump_0_sqmuxa_1_0_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIGOT11_20)) (portRef A (instanceRef r_e_ctrl_inst_RNIIHVT1_20)) )) (net jump_0_sqmuxa (joined (portRef Y (instanceRef r_e_ctrl_trap_RNISBSJ)) (portRef A (instanceRef r_e_ctrl_inst_RNI9OS11_20)) (portRef A (instanceRef r_e_ctrl_inst_RNIGOT11_20)) )) (net dwt_0_sqmuxa_0 (joined (portRef Y (instanceRef s_dwt_0_sqmuxa_0)) (portRef C (instanceRef s_dwt_0_sqmuxa)) )) (net trap_0_sqmuxa_3_0 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI8T1E_19)) (portRef A (instanceRef r_m_result_RNILLE71_1)) )) (net (rename inst_3_19 "inst_3[19]") (joined (portRef Q (instanceRef r_m_ctrl_inst_19)) (portRef D (instanceRef r_x_ctrl_inst_19)) (portRef B (instanceRef r_m_ctrl_inst_RNI4D1E_0_19)) (portRef B (instanceRef r_m_ctrl_inst_RNI4D1E_19)) (portRef B (instanceRef r_m_ctrl_inst_RNIO92L_19)) (portRef A (instanceRef r_m_ctrl_inst_RNI6E2S_23)) (portRef A (instanceRef r_m_ctrl_inst_RNI8T1E_19)) )) (net ADD_30x30_fast_I117_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I117_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I117_Y)) )) (net N392 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I11_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I64_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I220_Y_0_o3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I63_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I117_Y_0)) )) (net ADD_33x33_fast_I77_un1_Y_m1_e_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I77_un1_Y_m1_e_1)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I77_Y)) )) (net ADD_33x33_fast_I76_Y_m2_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I76_Y_m2_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I76_Y_m3_i)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I77_un1_Y_m1_e_1)) )) (net ADD_30x30_fast_I125_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I125_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I125_Y)) )) (net (rename dpc_9 "dpc[9]") (joined (portRef Q (instanceRef r_d_pc_9)) (portRef B (instanceRef un6_fe_npc_2_I_38)) (portRef B (instanceRef un6_fe_npc_2_I_41)) (portRef B (instanceRef un6_fe_npc_2_I_59)) (portRef D (instanceRef r_a_ctrl_pc_9)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I72_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I182_Y_0_o3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I70_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I71_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I267_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I125_Y_0)) )) (net (rename inst_0_7 "inst_0[7]") (joined (portRef Q (instanceRef r_d_inst_0_7)) (portRef D (instanceRef r_a_ctrl_inst_7)) (portRef B (instanceRef r_d_inst_0_RNO_0_7)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I72_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I182_Y_0_o3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I70_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I71_Y)) (portRef A (instanceRef r_a_imm_RNO_17)) (portRef A (instanceRef r_a_imm_RNO_7)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I267_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I125_Y_0)) )) (net N377 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I6_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I75_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I125_Y_0)) )) (net ADD_33x33_fast_I85_un1_Y_0_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I85_un1_Y_0_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I85_un1_Y_0)) )) (net (rename data_4_sqmuxaZ0Z_0 "data_4_sqmuxa_0") (joined (portRef Y (instanceRef data_4_sqmuxa_0)) (portRef B (instanceRef data_4_sqmuxa)) )) (net (rename daddr_4 "daddr[4]") (joined (portRef (member daddr 19)) (portRef B (instanceRef r_x_rstate_RNIMNUM1_0)) (portRef C (instanceRef r_m_dci_enaddr_RNIQ8L32)) (portRef B (instanceRef r_a_rfa1_RNIBOUD2_2)) (portRef B (instanceRef data_2_sqmuxa_0)) (portRef A (instanceRef data_9_sqmuxa_0)) (portRef A (instanceRef data_4_sqmuxa_0)) (portRef A (instanceRef data_5_sqmuxa_0)) )) (net un58_pwrd_1_1 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIEJ1S_30)) (portRef A (instanceRef r_x_ctrl_inst_RNITM3O1_20)) )) (net un58_pwrd_1_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIF32S_20)) (portRef B (instanceRef r_x_ctrl_inst_RNITM3O1_20)) )) (net y6_3 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNILL0E_0_22)) (portRef A (instanceRef r_x_ctrl_inst_RNI893A1_20)) (portRef A (instanceRef r_x_ctrl_inst_RNIF32S_20)) )) (net y9_3 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIQD1E_0_20)) (portRef A (instanceRef r_x_ctrl_inst_RNI893A1_22)) (portRef B (instanceRef r_x_ctrl_inst_RNIF32S_20)) )) (net icc_check8_1 (joined (portRef Y (instanceRef r_d_inst_0_RNI8446_19)) (portRef B (instanceRef r_d_inst_0_RNIRE4Q_19)) )) (net jump_1_sqmuxa_1_2 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI9OS11_20)) (portRef B (instanceRef r_e_ctrl_inst_RNIIHVT1_0_20)) )) (net jump_1_sqmuxa_1_1_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNINO1E_23)) (portRef A (instanceRef r_e_ctrl_inst_RNIIHVT1_0_20)) )) (net signed_1 (joined (portRef Y (instanceRef r_m_dci_signed_RNO_0)) (portRef A (instanceRef r_m_dci_signed_RNO)) )) (net aluop_2_1_0_a2_1_1 (joined (portRef Y (instanceRef r_a_ctrl_cnt_RNIJ648_0)) (portRef A (instanceRef r_a_ctrl_cnt_RNI2F541_0)) )) (net aluop_2_1_0_a2_1_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI580E_20)) (portRef B (instanceRef r_a_ctrl_cnt_RNI2F541_0)) )) (net ADD_33x33_fast_I11_G0N_m1_e_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_G0N_m1_e_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_Y)) )) (net un10_op_0_a3_0 (joined (portRef Y (instanceRef r_d_inst_0_0_0_RNI7IM7_21)) (portRef A (instanceRef r_d_cnt_RNIM0KB_0)) )) (net (rename inst_0_0_23 "inst_0_0[23]") (joined (portRef Q (instanceRef r_d_inst_0_23)) (portRef D (instanceRef r_a_ctrl_inst_23)) (portRef C (instanceRef r_a_ctrl_wicc_RNO_2)) (portRef A (instanceRef r_d_inst_0_RNI66J4_0_23)) (portRef C (instanceRef r_d_inst_0_RNI66J4_1_23)) (portRef B (instanceRef r_d_inst_0_RNO_0_23)) (portRef A (instanceRef r_d_inst_0_RNI66J4_23)) (portRef B (instanceRef r_d_inst_0_RNI5423_23)) (portRef B (instanceRef r_d_inst_0_RNIAO79_23)) (portRef A (instanceRef r_d_inst_0_RNI8IM7_23)) (portRef A (instanceRef r_d_inst_0_RNI3AJ4_23)) (portRef B (instanceRef r_d_inst_0_RNIES9C_23)) (portRef B (instanceRef r_d_inst_0_RNIBO9C_23)) (portRef B (instanceRef r_d_inst_0_RNI62J4_23)) (portRef B (instanceRef r_d_inst_0_RNI62J4_0_23)) (portRef A (instanceRef r_d_inst_0_RNI66J4_2_23)) )) (net ADD_33x33_fast_I73_un1_Y_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_un1_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_Y)) )) (net ADD_33x33_fast_I73_un1_Y_a0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_un1_Y_a0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I74_Y_m3_i)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_un1_Y_0_1)) )) (net icc_check_3_0_a3_1 (joined (portRef Y (instanceRef r_d_inst_0_RNI62J4_0_23)) (portRef A (instanceRef r_d_inst_0_RNIRAPD_23)) )) (net ADD_33x33_fast_I62_Y_m4_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I62_Y_m4_i_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I62_Y_0)) )) (net (rename data_0_15 "data_0[15]") (joined (portRef Q (instanceRef r_x_data_0_15)) (portRef A (instanceRef r_x_data_0_RNIKRG8_15)) (portRef B (instanceRef r_x_result_RNINC6E_15)) (portRef B (instanceRef r_e_op1_RNI2THD_15)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I16_P0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I16_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_G0N)) (portRef A (instanceRef r_x_data_0_RNO_2_15)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I306_Y_0_1)) (portRef A (instanceRef r_x_data_0_RNIV1EC_15)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_P0Ntt_m1_0_a2)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_P0N_m1_e)) (portRef B (instanceRef r_x_data_0_RNI73VC_15)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I122_Ytt_m2_0_a2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I62_Y_m4_i_0)) )) (net ADD_33x33_fast_I62_Y_N_9 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i_a4)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I62_Y_m4_i_0)) )) (net ADD_33x33_fast_I90_Y_m4_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_m4_i_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_0)) )) (net ADD_33x33_fast_I90_Y_N_10 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_m4_i_a4_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_m4_i_0)) )) (net force_a2_0 (joined (portRef Y (instanceRef r_e_ctrl_annul_RNIH33A)) (portRef A (instanceRef r_e_ctrl_annul_RNIBD8V)) )) (net (rename icc_7_m_2_0_1 "icc_7_m_2_0[1]") (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIOS1E_0_24)) (portRef A (instanceRef r_e_ctrl_inst_RNIVD3H1_24)) )) (net (rename icc_8_m_2_0_1 "icc_8_m_2_0[1]") (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIOS1E_24)) (portRef A (instanceRef r_e_ctrl_inst_RNIVD3H1_0_24)) )) (net N_224 (joined (portRef Y (instanceRef r_d_cnt_RNIFET3_0)) (portRef B (instanceRef r_d_inst_0_0_0_RNIM0KB_21)) )) (net icc_check7_1 (joined (portRef Y (instanceRef r_d_inst_0_RNIAK79_24)) (portRef C (instanceRef r_d_inst_0_RNIES9C_23)) (portRef C (instanceRef r_d_inst_0_RNIBO9C_23)) )) (net load_2_m_1_1 (joined (portRef Y (instanceRef r_m_dci_read_RNO_2)) (portRef B (instanceRef r_m_dci_read_RNO_0)) )) (net load_2_m_1_0 (joined (portRef Y (instanceRef r_m_dci_read_RNO_1)) (portRef A (instanceRef r_m_dci_read_RNO_0)) )) (net y11_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIJD0E_20)) (portRef C (instanceRef r_x_ctrl_inst_RNI893A1_0_20)) )) (net (rename inst_2_20 "inst_2[20]") (joined (portRef Q (instanceRef r_x_ctrl_inst_20)) (portRef A (instanceRef r_x_ctrl_inst_RNIQD1E_0_20)) (portRef C (instanceRef r_x_ctrl_inst_RNIE0331_20)) (portRef A (instanceRef r_x_ctrl_inst_RNIKH0E_22)) (portRef B (instanceRef r_x_ctrl_inst_RNIQD1E_20)) (portRef A (instanceRef r_x_ctrl_inst_RNIJD0E_20)) )) (net (rename crdy_2_m_1_2 "crdy_2_m_1[2]") (joined (portRef Y (instanceRef dsur_crdy_RNO_6_2)) (portRef C (instanceRef dsur_crdy_RNO_4_2)) )) (net diagrdy (joined (portRef diagrdy) (portRef A (instanceRef dsur_crdy_RNO_6_2)) )) (net un152_dbgunit_0 (joined (portRef Y (instanceRef comb_diagwr_un152_dbgunit_0)) (portRef A (instanceRef comb_diagwr_un152_dbgunit)) )) (net (rename daddr_21 "daddr[21]") (joined (portRef (member daddr 2)) (portRef B (instanceRef comb_diagread_un462_dbgunit)) (portRef A (instanceRef comb_diagwr_un147_dbgunit_1)) (portRef B (instanceRef comb_diagwr_un152_dbgunit_0)) (portRef B (instanceRef comb_diagread_un462_dbgunit_0)) )) (net (rename wr_0_sqmuxaZ0Z_1 "wr_0_sqmuxa_1") (joined (portRef Y (instanceRef wr_0_sqmuxa_1)) (portRef B (instanceRef addr_1_sqmuxa)) (portRef B (instanceRef r_x_rstate_RNI5V5I1_0)) )) (net (rename daddr_12 "daddr[12]") (joined (portRef (member daddr 11)) (portRef C (instanceRef r_m_dci_enaddr_RNIBSR02)) (portRef A (instanceRef wr_0_sqmuxa_1)) (portRef A (instanceRef data_0_sqmuxa_0)) )) (net (rename daddr_23 "daddr[23]") (joined (portRef (member daddr 0)) (portRef B (instanceRef wr_0_sqmuxa_1)) (portRef A (instanceRef comb_diagwr_un152_dbgunit_0)) )) (net un163_dbgunit_0 (joined (portRef Y (instanceRef comb_diagwr_un163_dbgunit_0)) (portRef B (instanceRef data_1_sqmuxa_2)) (portRef B (instanceRef s_dwt_0_sqmuxa)) )) (net (rename daddr_2 "daddr[2]") (joined (portRef (member daddr 21)) (portRef B (instanceRef r_x_rstate_RNIKFUM1_0)) (portRef A (instanceRef data_3_sqmuxa_1_0)) (portRef C (instanceRef r_m_dci_enaddr_RNIO0L32)) (portRef B (instanceRef r_a_rfa1_RNIOLAB3_0)) (portRef B (instanceRef data_0_sqmuxa_1_0)) (portRef A (instanceRef comb_diagwr_un163_dbgunit_0)) (portRef B (instanceRef data_8_sqmuxa_0)) )) (net (rename daddr_3 "daddr[3]") (joined (portRef (member daddr 20)) (portRef C (instanceRef r_m_dci_enaddr_RNIP4L32)) (portRef B (instanceRef r_x_rstate_RNILJUM1_0)) (portRef B (instanceRef r_a_rfa1_RNI7GUD2_1)) (portRef A (instanceRef data_2_sqmuxa_0)) (portRef B (instanceRef data_9_sqmuxa_0)) (portRef B (instanceRef comb_diagwr_un163_dbgunit_0)) (portRef B (instanceRef data_5_sqmuxa_0)) )) (net icc_check9_0_a3_0 (joined (portRef Y (instanceRef r_d_inst_0_RNI2423_0_24)) (portRef C (instanceRef r_d_inst_0_0_0_RNI9MOA_21)) )) (net (rename inst_3_20 "inst_3[20]") (joined (portRef Q (instanceRef r_m_ctrl_inst_20)) (portRef D (instanceRef r_x_ctrl_inst_20)) (portRef A (instanceRef r_m_ctrl_inst_RNI4D1E_0_19)) (portRef A (instanceRef r_m_ctrl_inst_RNIUG0E_20)) (portRef B (instanceRef r_m_ctrl_inst_RNI0P0E_20)) (portRef A (instanceRef r_m_ctrl_inst_RNI4D1E_19)) (portRef A (instanceRef r_m_ctrl_inst_RNILM231_21)) (portRef A (instanceRef r_m_ctrl_inst_RNIU91S_23)) )) (net (rename inst_1_23 "inst_1[23]") (joined (portRef Q (instanceRef r_m_ctrl_inst_23)) (portRef D (instanceRef r_x_ctrl_inst_23)) (portRef A (instanceRef r_m_ctrl_inst_RNI1T0E_23)) (portRef A (instanceRef comb_mem_trap_trap54_RNO_3)) (portRef B (instanceRef r_m_ctrl_inst_RNI6E2S_23)) (portRef B (instanceRef r_m_ctrl_inst_RNIU91S_23)) )) (net trap_0_sqmuxa_2_2 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNIVK0E_21)) (portRef C (instanceRef r_m_ctrl_inst_RNI6E2S_23)) (portRef C (instanceRef r_m_ctrl_inst_RNIU91S_23)) )) (net (rename icc_0_1 "icc_0[1]") (joined (portRef Q (instanceRef r_m_icc_1)) (portRef D (instanceRef r_x_icc_1)) (portRef A (instanceRef r_m_icc_RNID9LF2_1)) (portRef B (instanceRef r_m_ctrl_wicc_RNION9L)) (portRef B (instanceRef r_m_icc_RNIA6A3_1)) (portRef B (instanceRef r_m_icc_RNI88I3_3)) (portRef B (instanceRef r_m_icc_RNID8Q8_1)) (portRef B (instanceRef r_m_icc_RNIH8Q8_1)) (portRef A (instanceRef r_m_icc_RNILOP8_1)) )) (net pv_5 (joined (portRef Q (instanceRef r_m_ctrl_pv)) (portRef D (instanceRef r_x_ctrl_pv)) (portRef C (instanceRef r_a_ctrl_pv_RNI6GFJ)) (portRef A (instanceRef r_e_ctrl_pv_RNIKLVC)) (portRef B (instanceRef r_w_s_dwt_RNI1BFH)) (portRef B (instanceRef r_m_ctrl_pv_RNIA6VC9)) (portRef B (instanceRef r_m_ctrl_pv_RNIJQBC)) )) (net trap_0_sqmuxa_1_0 (joined (portRef Y (instanceRef r_m_nalign_RNIV7Q8)) (portRef B (instanceRef r_m_nalign_RNI0UR41)) )) (net nalign (joined (portRef Q (instanceRef r_m_nalign)) (portRef A (instanceRef r_m_nalign_RNIV7Q8)) )) (net write_3_0_a3_0_2_0 (joined (portRef Y (instanceRef r_m_dci_write_RNO_2)) (portRef A (instanceRef r_m_dci_write_RNO_1)) )) (net inst_2_0 (joined (portRef Y (instanceRef comb_mem_trap_trap54_RNO_3)) (portRef C (instanceRef comb_mem_trap_trap54_RNO)) )) (net y9_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNILL0E_22)) (portRef B (instanceRef r_x_ctrl_inst_RNI893A1_22)) )) (net (rename inst_2_22 "inst_2[22]") (joined (portRef Q (instanceRef r_x_ctrl_inst_22)) (portRef A (instanceRef r_x_ctrl_inst_RNILL0E_0_22)) (portRef B (instanceRef r_x_ctrl_inst_RNIKH0E_22)) (portRef A (instanceRef r_x_ctrl_inst_RNISL1E_22)) (portRef A (instanceRef r_x_ctrl_inst_RNILL0E_22)) )) (net y6_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIQD1E_20)) (portRef B (instanceRef r_x_ctrl_inst_RNI893A1_20)) )) (net inst_4_2 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNIO92L_19)) (portRef B (instanceRef r_m_ctrl_inst_RNILM231_21)) )) (net (rename inst_3_22 "inst_3[22]") (joined (portRef Q (instanceRef r_m_ctrl_inst_22)) (portRef D (instanceRef r_x_ctrl_inst_22)) (portRef A (instanceRef r_m_ctrl_inst_RNIVK0E_0_21)) (portRef B (instanceRef r_m_ctrl_inst_RNIUG0E_20)) (portRef A (instanceRef r_m_ctrl_inst_RNIVK0E_21)) (portRef B (instanceRef r_m_ctrl_inst_RNI1T0E_23)) (portRef A (instanceRef comb_mem_trap_trap54_RNO_1)) (portRef A (instanceRef r_m_ctrl_inst_RNIO92L_19)) )) (net un539_dbgunit_2 (joined (portRef Y (instanceRef dsur_asi_RNII2R4_0)) (portRef A (instanceRef dsur_asi_RNIQPUC_0)) )) (net (rename asi_2 "asi[2]") (joined (portRef Q (instanceRef dsur_asi_2)) (portRef A (instanceRef dsur_asi_RNIS9741_2)) (portRef A (instanceRef r_m_dci_asi_RNO_0_2)) (portRef A (instanceRef dsur_asi_RNO_0_2)) (portRef A (instanceRef dsur_asi_RNII2R4_0)) )) (net (rename asi_0 "asi[0]") (joined (portRef Q (instanceRef dsur_asi_0)) (portRef A (instanceRef dsur_asi_RNO_0_0)) (portRef A (instanceRef r_m_dci_asi_RNO_1_0)) (portRef A (instanceRef dsur_asi_RNIQ1741_0)) (portRef C (instanceRef dsur_asi_RNII2R4_0)) )) (net un539_dbgunit_1 (joined (portRef Y (instanceRef dsur_asi_RNIH083_3)) (portRef B (instanceRef dsur_asi_RNIQPUC_0)) )) (net (rename asi_4 "asi[4]") (joined (portRef Q (instanceRef dsur_asi_4)) (portRef A (instanceRef dsur_asi_RNO_0_4)) (portRef A (instanceRef r_m_dci_asi_RNO_0_4)) (portRef A (instanceRef dsur_asi_RNIUH741_4)) (portRef B (instanceRef dsur_asi_RNIH083_0_3)) (portRef B (instanceRef dsur_asi_RNIH083_3)) )) (net y10_3_0 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNISL1E_22)) (portRef B (instanceRef r_x_ctrl_inst_RNIE0331_20)) (portRef B (instanceRef r_x_ctrl_inst_RNI893A1_0_20)) )) (net (rename inst_8 "inst[8]") (joined (portRef Q (instanceRef r_a_ctrl_inst_8)) (portRef D (instanceRef r_e_ctrl_inst_8)) (portRef A (instanceRef r_a_ctrl_inst_RNITAMH_5)) )) (net un28_casaen_3 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIG5R8_7)) (portRef B (instanceRef r_a_ctrl_inst_RNITAMH_5)) )) (net (rename inst_5 "inst[5]") (joined (portRef Q (instanceRef r_a_ctrl_inst_5)) (portRef D (instanceRef r_e_ctrl_inst_5)) (portRef C (instanceRef r_a_ctrl_inst_RNITAMH_5)) )) (net un28_casaen_1 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIPUDB_12)) (portRef A (instanceRef r_a_ctrl_inst_RNISAEP_10)) )) (net (rename inst_11 "inst[11]") (joined (portRef Q (instanceRef r_a_ctrl_inst_11)) (portRef B (instanceRef r_a_ctrl_inst_RNISAEP_10)) )) (net (rename inst_10 "inst[10]") (joined (portRef Q (instanceRef r_a_ctrl_inst_10)) (portRef C (instanceRef r_a_ctrl_inst_RNISAEP_10)) )) (net (rename inst_7 "inst[7]") (joined (portRef Q (instanceRef r_a_ctrl_inst_7)) (portRef D (instanceRef r_e_ctrl_inst_7)) (portRef A (instanceRef r_a_ctrl_inst_RNIG5R8_7)) )) (net (rename inst_9 "inst[9]") (joined (portRef Q (instanceRef r_a_ctrl_inst_9)) (portRef D (instanceRef r_e_ctrl_inst_9)) (portRef B (instanceRef r_a_ctrl_inst_RNIG5R8_7)) )) (net (rename inst_6 "inst[6]") (joined (portRef Q (instanceRef r_a_ctrl_inst_6)) (portRef D (instanceRef r_e_ctrl_inst_6)) (portRef A (instanceRef r_a_ctrl_inst_RNIPUDB_12)) )) (net (rename inst_12 "inst[12]") (joined (portRef Q (instanceRef r_a_ctrl_inst_12)) (portRef B (instanceRef r_a_ctrl_inst_RNIPUDB_12)) )) (net tt_2 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNIB2K6_4)) (portRef C (instanceRef r_x_ctrl_tt_RNIL6SJ_0)) )) (net (rename tt_0_4 "tt_0[4]") (joined (portRef Q (instanceRef r_x_ctrl_tt_4)) (portRef B (instanceRef r_x_ctrl_tt_RNID10R_4)) (portRef A (instanceRef r_x_ctrl_tt_RNIB2K6_4)) )) (net (rename tt_0_5 "tt_0[5]") (joined (portRef Q (instanceRef r_x_ctrl_tt_5)) (portRef B (instanceRef r_x_ctrl_tt_RNIF50R_5)) (portRef B (instanceRef r_x_ctrl_tt_RNIB2K6_4)) )) (net tt_1 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNI72K6_2)) (portRef A (instanceRef r_x_ctrl_tt_RNIL6SJ_0)) )) (net (rename ttZ0Z_2 "tt[2]") (joined (portRef Q (instanceRef r_x_ctrl_tt_2)) (portRef B (instanceRef r_x_ctrl_tt_RNI9PVQ_2)) (portRef A (instanceRef r_x_ctrl_tt_RNI72K6_2)) )) (net (rename tt_3 "tt[3]") (joined (portRef Q (instanceRef r_x_ctrl_tt_3)) (portRef B (instanceRef r_x_ctrl_tt_RNIBTVQ_3)) (portRef B (instanceRef r_x_ctrl_tt_RNI72K6_2)) )) (net tt_0 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNI32K6_0)) (portRef B (instanceRef r_x_ctrl_tt_RNIL6SJ_0)) )) (net (rename ttZ0Z_0 "tt[0]") (joined (portRef Q (instanceRef r_x_ctrl_tt_0)) (portRef B (instanceRef r_x_ctrl_tt_RNI5HVQ_0)) (portRef A (instanceRef r_x_ctrl_tt_RNI32K6_0)) )) (net (rename ttZ0Z_1 "tt[1]") (joined (portRef Q (instanceRef r_x_ctrl_tt_1)) (portRef B (instanceRef r_x_ctrl_tt_RNI7LVQ_1)) (portRef B (instanceRef r_x_ctrl_tt_RNI32K6_0)) )) (net un335_dbgunit_1 (joined (portRef Y (instanceRef rp_pwd_RNIOOD8)) (portRef A (instanceRef rp_pwd_RNIU5UM)) )) (net pwd_0 (joined (portRef Q (instanceRef rp_pwd)) (portRef B (instanceRef rp_pwd_RNO_0)) (portRef A (instanceRef rp_pwd_RNI3OPR3)) (portRef A (instanceRef rp_pwd_RNIOOD8)) (portRef A (instanceRef rp_pwd_RNIA1RB)) (portRef pwd_0) )) (net un335_dbgunit_0 (joined (portRef Y (instanceRef rp_error_RNI6DGE)) (portRef B (instanceRef rp_pwd_RNIU5UM)) )) (net halt (joined (portRef halt) (portRef A (instanceRef rp_error_RNI6DGE)) )) (net error (joined (portRef Q (instanceRef rp_error)) (portRef A (instanceRef rp_error_RNO_0)) (portRef B (instanceRef r_x_nerror_RNO)) (portRef B (instanceRef rp_error_RNI6DGE)) )) (net un4_irl_1 (joined (portRef Y (instanceRef comb_irq_trap_un4_irl_1)) (portRef A (instanceRef comb_irq_trap_un3_irl)) )) (net un4_irl_0 (joined (portRef Y (instanceRef comb_irq_trap_un4_irl_0)) (portRef B (instanceRef comb_irq_trap_un3_irl)) )) (net rett_1 (joined (portRef Y (instanceRef r_x_ctrl_rett_RNIHNQB)) (portRef B (instanceRef r_x_annul_all_RNIPVOS)) )) (net rett_0 (joined (portRef Q (instanceRef r_x_ctrl_rett)) (portRef A (instanceRef r_m_dci_asi_RNO_2_0)) (portRef A (instanceRef r_x_ctrl_rett_RNIHNQB)) )) (net rett_0_0 (joined (portRef Y (instanceRef r_e_ctrl_rett_RNIANQB)) (portRef A (instanceRef r_x_annul_all_RNIPVOS)) )) (net rett_2 (joined (portRef Q (instanceRef r_e_ctrl_rett)) (portRef A (instanceRef r_m_ctrl_rett_RNO)) (portRef A (instanceRef r_e_ctrl_rett_RNIANQB)) )) (net rett_3 (joined (portRef Q (instanceRef r_m_ctrl_rett)) (portRef A (instanceRef r_x_ctrl_rett_RNO)) (portRef B (instanceRef r_e_ctrl_rett_RNIANQB)) )) (net N669 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I200_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I249_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I273_un1_Y)) )) (net N603_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I140_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I192_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I199_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I200_Y)) )) (net N552_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I151_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I292_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I249_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I273_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I205_un1_Y)) )) (net hold_pc_2_m (joined (portRef Y (instanceRef r_d_inull_RNIPRHA)) (portRef C (instanceRef r_d_inull_RNICHGG)) )) (net un1_addout_27 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIVND8JQ)) (portRef B (instanceRef r_e_ldbp2_1_RNIQ1LUSN1_0)) (portRef B (instanceRef r_m_casa_RNIG8P8JR1)) (portRef C (instanceRef r_e_ldbp2_1_RNIQ1LUSN1)) (portRef un1_addout_27) )) (net (rename eaddress_16 "eaddress[16]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIUVD9C1)) (portRef B (instanceRef r_f_pc_RNO_15_16)) (portRef B (instanceRef r_e_ldbp2_1_RNI9K3SK3)) (portRef A (instanceRef r_e_ldbp2_1_RNIVND8JQ)) (portRef B (instanceRef r_f_pc_RNO_2_16)) (portRef eaddress_16) )) (net un6_annul_1 (joined (portRef Y (instanceRef r_m_ctrl_pv_RNIA6VC9)) (portRef A (instanceRef r_x_ctrl_trap_RNO)) (portRef B (instanceRef r_m_ctrl_pv_RNI7M42O)) (portRef A (instanceRef r_e_ctrl_trap_RNIN5RI9)) (portRef un6_annul_1) )) (net ipend (joined (portRef Y (instanceRef r_w_s_et_RNI7Q0K2)) (portRef D (instanceRef r_x_ipend)) (portRef A (instanceRef r_m_ctrl_pv_RNIA6VC9)) )) (net ADD_33x33_fast_I93_Y_0_0_tz (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_0_0_tz)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_0)) )) (net N528 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I127_un1_Y)) )) (net ADD_33x33_fast_I69_Y_a0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_0)) )) (net I69_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_Y)) )) (net un1_rabpmiss (joined (portRef Y (instanceRef r_d_pv_RNI6TFN27)) (portRef A (instanceRef r_d_inst_0_RNIQCA01F_29)) )) (net N_8703_i_0 (joined (portRef Y (instanceRef r_d_pv_RNI2LL9K2)) (portRef A (instanceRef r_d_pv_RNIHGSQA6)) (portRef A (instanceRef r_d_pv_RNI6TFN27)) )) (net un1_rabpmisstt_N_10 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIES72J)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIET4H41)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNI6FM3C2)) (portRef B (instanceRef un1_rabpmisstt_b0_i_a3_RNILCJSN)) )) (net N440 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_m5_0_a3_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I66_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I65_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I122_Y_m2_0_a2)) )) (net ADD_33x33_fast_I122_Ytt_m2_0_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I122_Ytt_m2_0_a2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I122_Y_m2_0_a2)) )) (net N519_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I121_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I122_Y_m2_0_a2)) )) (net ADD_33x33_fast_I145_un1_Y_N_8_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_Y)) )) (net ADD_33x33_fast_I145_un1_Y_N_10 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i_a4)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_i)) )) (net N587_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I176_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I183_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I184_Y)) )) (net ADD_33x33_fast_I124_Y_N_10 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_m5_0_a3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_0)) )) (net N521 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I62_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I123_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I120_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I124_Y_0)) )) (net ADD_33x33_fast_I68_Y_a1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_0)) )) (net ADD_33x33_fast_I68_Y_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_a2)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I68_Y_0)) )) (net I131_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_un1_Y_m2_0_a2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_Y)) )) (net N532 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I135_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_un1_Y_m2_0_a2)) )) (net ADD_33x33_fast_I131_un1_Ytt_m2_0_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_un1_Ytt_m2_0_a2)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_un1_Y_m2_0_a2)) )) (net ADD_33x33_fast_I79_Y_0_a0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I79_Y_0_a0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I137_un1_Y)) )) (net N541 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I140_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I143_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I144_Y)) )) (net ADD_33x33_fast_I82_Y_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_a2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I82_Y_0)) )) (net ADD_33x33_fast_I60_Y_m4_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i)) (portRef S (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_0)) )) (net ADD_33x33_fast_I60_Y_N_11 (joined (portRef Y (instanceRef r_x_data_0_RNI93VC_17)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i)) )) (net ADD_33x33_fast_I60_Y_N_10 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i_a4_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i)) )) (net I85_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_Y)) )) (net ADD_33x33_fast_I85_un1_Y_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_a2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_0)) )) (net ADD_33x33_fast_I85_un1_Y_a1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_a1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_un1_Y_0)) )) (net I85_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I85_un1_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I85_Y)) )) (net (rename op1_2 "op1[2]") (joined (portRef Q (instanceRef r_e_op1_2)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I3_G0N)) (portRef A (instanceRef r_e_op1_RNID1UB_0_2)) (portRef A (instanceRef r_e_op1_RNI67B4_2)) (portRef A (instanceRef r_e_op1_RNID1UB_2)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_un1_Y_m5_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_m4_i_a4_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I3_P0N_m1_e)) )) (net (rename icco_0_a1_3_i_0_1 "icco_0_a1_3_i_0[1]") (joined (portRef Y (instanceRef r_e_op2_RNIE0R84_0)) (portRef B (instanceRef r_e_op2_RNIUDQ7I4_31)) )) (net (rename icco_0_a2_1_1 "icco_0_a2_1[1]") (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI3EAQ4_24)) (portRef A (instanceRef r_e_op2_RNIUDQ7I4_31)) )) (net N_5010 (joined (portRef Y (instanceRef r_e_aluop_RNIHOENB9_0)) (portRef B (instanceRef r_e_ctrl_wicc_RNIB7MIC9)) )) (net (rename op2_RNIRVFDD4_0 "op2_RNIRVFDD4[0]") (joined (portRef Y (instanceRef r_e_op2_RNIRVFDD4_0)) (portRef A (instanceRef r_e_aluop_RNIHOENB9_0)) )) (net (rename icco_0_3_1 "icco_0_3[1]") (joined (portRef Y (instanceRef r_e_op2_RNIUDQ7I4_31)) (portRef C (instanceRef r_e_aluop_RNIHOENB9_0)) )) (net (rename icc_2_1 "icc_2[1]") (joined (portRef Y (instanceRef r_e_op2_RNIDVK494_31)) (portRef C (instanceRef r_e_op2_RNIUDQ7I4_31)) (portRef C (instanceRef r_e_op2_RNIRVFDD4_0)) )) (net trap_2 (joined (portRef Y (instanceRef r_e_ctrl_trap_RNI3FF4C1)) (portRef C (instanceRef r_e_ctrl_cnt_RNITRSAE1_0)) (portRef B (instanceRef r_e_ctrl_annul_RNIOU68E1)) )) (net trap_RNII9JBK (joined (portRef Y (instanceRef r_m_ctrl_trap_RNII9JBK)) (portRef A (instanceRef r_e_ctrl_trap_RNI3FF4C1)) )) (net dwt_RNIQV06E (joined (portRef Y (instanceRef r_w_s_dwt_RNIQV06E)) (portRef C (instanceRef r_e_ctrl_trap_RNI3FF4C1)) )) (net trap_0_4 (joined (portRef Y (instanceRef r_m_ctrl_trap_RNI5NHN6)) (portRef C (instanceRef r_m_ctrl_trap_RNITF5LE)) (portRef B (instanceRef r_w_s_dwt_RNIQV06E)) (portRef C (instanceRef r_m_ctrl_trap_RNII9JBK)) (portRef trap_0_4) )) (net (rename size_RNIFFAT1_1 "size_RNIFFAT1[1]") (joined (portRef Y (instanceRef r_x_dci_size_RNIFFAT1_1)) (portRef S (instanceRef r_x_dci_size_RNIRQB34_1)) )) (net mexc_RNO_2 (joined (portRef Y (instanceRef r_x_mexc_RNO_2)) (portRef S (instanceRef r_x_mexc_RNO)) )) (net un1_addout_28_10 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNID6SO1P)) (portRef B (instanceRef r_e_ldbp2_1_RNI3L50AT)) (portRef B (instanceRef r_e_ldbp2_1_RNIQ1LUSN1)) (portRef un1_addout_28_10) )) (net N_184_i_0 (joined (portRef N_184_i_0) (portRef A (instanceRef r_m_ctrl_ld_RNIHU4Q)) (portRef S (instanceRef r_x_dci_size_RNIUK4V_1)) (portRef B (instanceRef r_m_ctrl_ld_RNI2PAO1)) (portRef B (instanceRef r_x_dci_size_RNIFFAT1_1)) )) (net hready_RNICLR2 (joined (portRef hready_RNICLR2) (portRef C (instanceRef r_m_ctrl_ld_RNI2PAO1)) (portRef C (instanceRef r_x_dci_size_RNIFFAT1_1)) )) (net ldlock2_1_RNIKLAP41 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIKLAP41)) (portRef S (instanceRef r_d_pv_RNI2LL9K2)) )) (net un1_rabpmisstt_b0_i_a3_0_0 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIAPJ54)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIES72J)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNI9JB9A)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNI8HA2P)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIKLAP41)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIR7N5E1)) (portRef un1_rabpmisstt_b0_i_a3_0_0) )) (net un18_hold_pc_2 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNI01TEH)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIET4H41)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIVQKIN)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNI9K8OR)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIHF0FR1)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNIKLAP41)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIBBVQ52)) (portRef un18_hold_pc_2) )) (net (rename icc_8_m_5_1 "icc_8_m_5[1]") (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIO41L_22)) (portRef B (instanceRef r_e_ctrl_inst_RNIVD3H1_24)) (portRef B (instanceRef r_e_ctrl_inst_RNIVD3H1_0_24)) )) (net (rename eaddress_28 "eaddress[28]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIEINAM3)) (portRef B (instanceRef r_f_pc_RNO_1_28)) (portRef B (instanceRef r_f_pc_RNO_9_28)) (portRef B (instanceRef r_e_ldbp2_1_RNI55HRA6)) (portRef B (instanceRef r_e_ldbp2_1_RNID6SO1P)) )) (net trap_a3_0 (joined (portRef Y (instanceRef r_m_ctrl_trap_RNI6EJA)) (portRef A (instanceRef r_m_ctrl_trap_RNI89CM)) (portRef B (instanceRef r_x_rstate_0_RNI3O0B_1)) (portRef B (instanceRef r_m_ctrl_trap_RNI5NHN6)) )) (net lock_i (joined (portRef Y (instanceRef r_m_dci_lock_RNO)) (portRef D (instanceRef r_m_dci_lock)) )) (net lock_1_0_a3_0_0 (joined (portRef Y (instanceRef r_m_dci_lock_RNO_0)) (portRef A (instanceRef r_m_dci_lock_RNO)) )) (net lock_1_0_a3_0 (joined (portRef Y (instanceRef r_m_dci_lock_RNO_1)) (portRef B (instanceRef r_m_dci_lock_RNO)) )) (net annul_6 (joined (portRef Y (instanceRef r_m_ctrl_trap_RNI4PLCE)) (portRef B (instanceRef trap_0_sqmuxa_4_RNIN4Q8I)) (portRef C (instanceRef trap_0_sqmuxa_RNINQC8L)) (portRef C (instanceRef r_m_ctrl_inst_RNI9DP4G_21)) (portRef B (instanceRef r_m_ctrl_trap_RNIT5RTB1)) )) (net ctrl_pv (joined (portRef Y (instanceRef r_a_ctrl_pv_RNO)) (portRef D (instanceRef r_a_ctrl_pv)) )) (net N584 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I121_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I173_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I181_Y)) )) (net N522_i (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I63_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I121_Y)) )) (net N519_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I60_Y_m3_i)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I174_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I182_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I181_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I121_Y)) )) (net N592 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I129_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I244_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I229_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I181_un1_Y)) )) (net I129_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I129_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I129_Y)) )) (net N593_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I130_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I182_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I190_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I189_un1_Y)) )) (net N428 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I11_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I130_Y)) )) (net I268_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I268_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I268_Y)) )) (net N659 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I190_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I244_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I268_un1_Y)) )) (net N643_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I174_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I229_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I268_un1_Y)) )) (net N674 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I204_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I297_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I244_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I268_un1_Y)) )) (net N782 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I268_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I313_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I266_Y_0_a3)) )) (net I229_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I229_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I268_Y)) )) (net N601_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I138_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I190_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I198_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I197_un1_Y)) )) (net N535_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I76_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I137_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I138_Y)) )) (net N608 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I145_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I204_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I197_un1_Y)) )) (net N546_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I87_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I145_Y)) )) (net I259_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I322_Y_0)) )) (net N796 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I243_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I274_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I306_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I259_un1_Y)) )) (net I271_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I271_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I271_Y)) )) (net N665_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I196_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I247_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I271_un1_Y)) )) (net N614_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I151_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I203_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I247_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I294_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I271_un1_Y)) )) (net N788 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I271_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I310_Y_0)) )) (net I235_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I235_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I271_Y)) )) (net N584_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I121_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I173_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I181_Y)) )) (net N522 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I63_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I121_Y)) )) (net N592_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I129_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I189_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I181_Y)) )) (net N530_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I71_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I129_Y)) )) (net N608_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I204_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I197_Y)) )) (net I145_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_Y)) )) (net I264_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I317_Y_0)) )) (net N635_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I166_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I221_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_un1_Y)) )) (net N811_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I248_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I301_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I264_un1_Y)) )) (net I268_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_Y)) )) (net N674_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I204_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I297_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I244_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_un1_Y)) )) (net N782_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I268_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I266_Y_0_a3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I313_Y_0)) )) (net N700 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y)) (portRef A (instanceRef r_f_pc_RNO_0_28)) )) (net I196_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I196_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I235_Y)) )) (net I234_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_Y)) )) (net N571_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I145_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I194_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_un1_Y)) )) (net N587_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I161_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I210_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I242_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_un1_Y)) )) (net N735 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I221_Y)) (portRef A (instanceRef r_f_pc_RNO_0_13)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I220_Y_0_o3)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_un1_Y)) )) (net N718 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I277_Y_0)) )) (net I244_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_Y)) )) (net N590 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I164_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I198_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_Y)) )) (net I214_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I214_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_Y)) )) (net (rename aluresult_3 "aluresult[3]") (joined (portRef Y (instanceRef r_e_jmpl_RNI1G54S1)) (portRef B (instanceRef r_e_op1_RNIKS6E12_3)) )) (net (rename shiftin_17_m_0_3 "shiftin_17_m_0[3]") (joined (portRef Y (instanceRef r_e_jmpl_RNI6Q0MK_0)) (portRef B (instanceRef r_e_jmpl_RNI1G54S1)) )) (net (rename un6_ex_add_res_m_4 "un6_ex_add_res_m[4]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNINDHH4)) (portRef C (instanceRef r_e_jmpl_RNI1G54S1)) )) (net (rename data_3 "data[8]") (joined (portRef Y (instanceRef r_f_pc_RNIJ043A_8)) (portRef data_3) )) (net (rename y_1_14 "y_1[14]") (joined (portRef Y (instanceRef r_w_s_y_RNO_14)) (portRef D (instanceRef r_w_s_y_14)) )) (net (rename y_2_14 "y_2[14]") (joined (portRef Q (instanceRef r_w_s_y_14)) (portRef A (instanceRef r_w_s_y_RNIPRF61_14)) (portRef B (instanceRef r_m_y_RNO_1_14)) (portRef B (instanceRef r_w_s_y_RNO_14)) )) (net (rename data_13 "data[18]") (joined (portRef Y (instanceRef r_w_s_y_RNIREMQ7_18)) (portRef data_13) )) (net (rename y_m_0_1_18 "y_m_0_1[18]") (joined (portRef Y (instanceRef r_w_s_y_RNITBG61_18)) (portRef B (instanceRef r_w_s_y_RNIREMQ7_18)) )) (net (rename data_17 "data[22]") (joined (portRef Y (instanceRef r_f_pc_RNIH3V29_22)) (portRef data_17) )) (net (rename edata2_0_iv_22 "edata2_0_iv[22]") (joined (portRef Y (instanceRef r_e_op1_RNIS2B5A_22)) (portRef (member edata2_0_iv 1)) )) (net (rename bpdata_i_m_1_6 "bpdata_i_m_1[6]") (joined (portRef Y (instanceRef r_x_result_RNIS7N04_6)) (portRef B (instanceRef r_e_op1_RNIS2B5A_22)) )) (net (rename edata2_0_iv_20 "edata2_0_iv[20]") (joined (portRef Y (instanceRef r_e_op1_RNI6I95A_20)) (portRef (member edata2_0_iv 3)) )) (net (rename bpdata_i_m_1_4 "bpdata_i_m_1[4]") (joined (portRef Y (instanceRef r_x_result_RNIPPUV3_4)) (portRef B (instanceRef r_e_op1_RNI6I95A_20)) )) (net (rename edata2_0_iv_18 "edata2_0_iv[18]") (joined (portRef Y (instanceRef r_e_op1_RNI7BB5A_18)) (portRef (member edata2_0_iv 5)) )) (net (rename bpdata_i_m_1_2 "bpdata_i_m_1[2]") (joined (portRef Y (instanceRef r_x_result_RNICNL04_2)) (portRef B (instanceRef r_e_op1_RNI7BB5A_18)) )) (net (rename edata2_0_iv_16 "edata2_0_iv[16]") (joined (portRef Y (instanceRef r_e_op1_RNIHQ95A_16)) (portRef (member edata2_0_iv 7)) )) (net (rename ex_op1_i_m_16 "ex_op1_i_m[16]") (joined (portRef Y (instanceRef r_e_op1_RNI8REO1_16)) (portRef B (instanceRef r_e_op1_RNIHQ95A_16)) )) (net (rename bpdata_i_m_1_0 "bpdata_i_m_1[0]") (joined (portRef Y (instanceRef r_x_result_RNI4VK04_0)) (portRef C (instanceRef r_e_op1_RNIHQ95A_16)) )) (net (rename y_1_9 "y_1[9]") (joined (portRef Y (instanceRef r_w_s_y_RNO_9)) (portRef D (instanceRef r_w_s_y_9)) )) (net (rename cpi_m_0_291 "cpi_m_0[291]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNICFV2S_8)) (portRef A (instanceRef r_x_ctrl_pc_RNI3M38Q1_8)) )) (net (rename cpi_m_360 "cpi_m[360]") (joined (portRef Y (instanceRef r_x_result_RNIFJHBS_8)) (portRef C (instanceRef r_x_ctrl_pc_RNI3M38Q1_8)) )) (net (rename data_i_3 "data_i[3]") (joined (portRef Y (instanceRef dsur_asi_RNIKNBQ8_3)) (portRef data_i_3) )) (net (rename addr_m_0_3 "addr_m_0[3]") (joined (portRef Y (instanceRef ir_addr_RNIA1O41_3)) (portRef C (instanceRef dsur_asi_RNIKNBQ8_3)) )) (net (rename edata2_0_iv_23 "edata2_0_iv[23]") (joined (portRef Y (instanceRef r_e_op1_RNIC5K4A_23)) (portRef (member edata2_0_iv 0)) )) (net (rename bpdata_i_m_1_7 "bpdata_i_m_1[7]") (joined (portRef Y (instanceRef r_x_result_RNI5UVV3_7)) (portRef B (instanceRef r_e_op1_RNIC5K4A_23)) )) (net (rename cpi_m_0_288 "cpi_m_0[288]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI9FV2S_5)) (portRef A (instanceRef r_x_ctrl_pc_RNIG7B7Q1_5)) )) (net (rename cpi_m_357 "cpi_m[357]") (joined (portRef Y (instanceRef r_x_result_RNIE5PAS_5)) (portRef C (instanceRef r_x_ctrl_pc_RNIG7B7Q1_5)) )) (net (rename edata2_iv_24 "edata2_iv[24]") (joined (portRef Y (instanceRef r_e_op1_RNI97U6D_24)) (portRef (member edata2_iv 7)) )) (net (rename ex_op1_i_m_24 "ex_op1_i_m[24]") (joined (portRef Y (instanceRef r_e_op1_RNI8NEO1_24)) (portRef B (instanceRef r_e_op1_RNI97U6D_24)) )) (net (rename edata2_0_iv_10 "edata2_0_iv[10]") (joined (portRef Y (instanceRef r_e_op1_RNIF985A_10)) (portRef (member edata2_0_iv 13)) )) (net (rename ex_op1_i_m_10 "ex_op1_i_m[10]") (joined (portRef Y (instanceRef r_e_op1_RNIS2EO1_10)) (portRef B (instanceRef r_e_op1_RNIF985A_10)) )) (net (rename bpdata_i_m_10 "bpdata_i_m[10]") (joined (portRef Y (instanceRef r_x_result_RNI12VB4_10)) (portRef C (instanceRef r_e_op1_RNIF985A_10)) )) (net (rename data_i_2 "data_i[2]") (joined (portRef Y (instanceRef r_w_s_cwp_RNIRCO5B_2)) (portRef data_i_2) )) (net (rename edata2_iv_26 "edata2_iv[26]") (joined (portRef Y (instanceRef r_e_op1_RNISP6ID_26)) (portRef (member edata2_iv 5)) )) (net (rename edata2_0_iv_9 "edata2_0_iv[9]") (joined (portRef Y (instanceRef r_e_op1_RNI613D9_9)) (portRef (member edata2_0_iv 14)) )) (net (rename ex_op1_i_m_9 "ex_op1_i_m[9]") (joined (portRef Y (instanceRef r_e_op1_RNIOT8F1_9)) (portRef B (instanceRef r_e_op1_RNI613D9_9)) )) (net (rename bpdata_i_m_9 "bpdata_i_m[9]") (joined (portRef Y (instanceRef r_x_result_RNI8CO04_9)) (portRef C (instanceRef r_e_op1_RNI613D9_9)) )) (net (rename data_21 "data[26]") (joined (portRef Y (instanceRef r_w_s_y_RNI0K4T8_26)) (portRef data_21) )) (net (rename y_m_0_0_26 "y_m_0_0[26]") (joined (portRef Y (instanceRef r_w_s_y_RNIS3G61_26)) (portRef B (instanceRef r_w_s_y_RNI0K4T8_26)) )) (net (rename data_15 "data[20]") (joined (portRef Y (instanceRef r_f_pc_RNIS9439_20)) (portRef data_15) )) (net (rename y_1_10 "y_1[10]") (joined (portRef Y (instanceRef r_w_s_y_RNO_10)) (portRef D (instanceRef r_w_s_y_10)) )) (net (rename y_1_25 "y_1[25]") (joined (portRef Y (instanceRef r_w_s_y_RNO_25)) (portRef D (instanceRef r_w_s_y_25)) )) (net (rename eres2_6 "eres2[6]") (joined (portRef Y (instanceRef r_e_op1_RNISB66D2_6)) (portRef D (instanceRef r_m_result_6)) (portRef A (instanceRef r_a_rsel1_3_RNIUL09D2_2)) (portRef A (instanceRef r_e_op2_RNO_5_6)) )) (net (rename aluresult_6 "aluresult[6]") (joined (portRef Y (instanceRef r_e_jmpl_RNI0J4S72)) (portRef B (instanceRef r_e_op1_RNISB66D2_6)) )) (net (rename shiftin_17_m_0_6 "shiftin_17_m_0[6]") (joined (portRef Y (instanceRef r_e_jmpl_RNICBL0L_0)) (portRef B (instanceRef r_e_jmpl_RNI0J4S72)) )) (net (rename un6_ex_add_res_m_7 "un6_ex_add_res_m[7]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIH0DT8)) (portRef C (instanceRef r_e_jmpl_RNI0J4S72)) )) (net (rename eres2_5 "eres2[5]") (joined (portRef Y (instanceRef r_e_op1_RNI9MEP82_5)) (portRef D (instanceRef r_m_result_5)) (portRef A (instanceRef r_a_rsel1_3_RNIB09S82_2)) (portRef A (instanceRef r_e_op2_RNO_5_5)) )) (net (rename aluresult_m_5 "aluresult_m[5]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI7UO842)) (portRef B (instanceRef r_e_op1_RNI9MEP82_5)) )) (net (rename data_18 "data[23]") (joined (portRef Y (instanceRef r_f_pc_RNIOFV29_23)) (portRef data_18) )) (net (rename y_1_20 "y_1[20]") (joined (portRef Y (instanceRef r_w_s_y_RNO_20)) (portRef D (instanceRef r_w_s_y_20)) )) (net (rename y_0_20 "y_0[20]") (joined (portRef Q (instanceRef r_w_s_y_20)) (portRef A (instanceRef r_w_s_y_RNIMBF61_20)) (portRef B (instanceRef r_m_y_RNO_4_20)) (portRef B (instanceRef r_w_s_y_RNO_20)) )) (net (rename data_22 "data[27]") (joined (portRef Y (instanceRef r_f_pc_RNIHGHQ7_27)) (portRef data_22) )) (net (rename y_m_0_0_27 "y_m_0_0[27]") (joined (portRef Y (instanceRef r_w_s_y_RNIT7G61_27)) (portRef B (instanceRef r_f_pc_RNIHGHQ7_27)) )) (net (rename data_7 "data[12]") (joined (portRef Y (instanceRef dsur_err_RNIK7BT8)) (portRef data_7) )) (net (rename edata2_0_iv_15 "edata2_0_iv[15]") (joined (portRef Y (instanceRef r_e_op1_RNI63C5A_15)) (portRef (member edata2_0_iv 8)) )) (net (rename ex_op1_i_m_15 "ex_op1_i_m[15]") (joined (portRef Y (instanceRef r_e_op1_RNI6NEO1_15)) (portRef B (instanceRef r_e_op1_RNI63C5A_15)) )) (net (rename bpdata_i_m_15 "bpdata_i_m[15]") (joined (portRef Y (instanceRef r_x_result_RNIGCNC4_15)) (portRef C (instanceRef r_e_op1_RNI63C5A_15)) )) (net (rename edata2_iv_25 "edata2_iv[25]") (joined (portRef Y (instanceRef r_e_op1_RNIJ1N7D_25)) (portRef (member edata2_iv 6)) )) (net (rename eres2_13 "eres2[13]") (joined (portRef Y (instanceRef r_e_op1_RNIEKK2A3_13)) (portRef D (instanceRef r_m_result_13)) (portRef A (instanceRef r_a_rsel1_0_RNIDUE5A3_2)) (portRef A (instanceRef r_e_op2_RNO_5_13)) )) (net (rename aluresult_13 "aluresult[13]") (joined (portRef Y (instanceRef r_e_jmpl_RNI696L43)) (portRef B (instanceRef r_e_op1_RNIEKK2A3_13)) )) (net (rename shiftin_17_m_0_13 "shiftin_17_m_0[13]") (joined (portRef Y (instanceRef r_e_jmpl_RNIPAIKO_0)) (portRef B (instanceRef r_e_jmpl_RNI696L43)) )) (net (rename un6_ex_add_res_m_14 "un6_ex_add_res_m[14]") (joined (portRef Y (instanceRef r_e_ldbp2_0_RNIDDEN01)) (portRef C (instanceRef r_e_jmpl_RNI696L43)) )) (net (rename addr_1_8 "addr_1[8]") (joined (portRef Y (instanceRef ir_addr_RNO_8)) (portRef D (instanceRef ir_addr_8)) )) (net (rename cpi_m_291 "cpi_m[291]") (joined (portRef Y (instanceRef ir_addr_RNO_0_8)) (portRef A (instanceRef ir_addr_RNO_8)) )) (net (rename addr_m_1_8 "addr_m_1[8]") (joined (portRef Y (instanceRef ir_addr_RNO_2_8)) (portRef C (instanceRef ir_addr_RNO_8)) )) (net (rename addr_1_28 "addr_1[28]") (joined (portRef Y (instanceRef ir_addr_RNO_28)) (portRef D (instanceRef ir_addr_28)) )) (net (rename cpi_m_311 "cpi_m[311]") (joined (portRef Y (instanceRef ir_addr_RNO_0_28)) (portRef A (instanceRef ir_addr_RNO_28)) )) (net (rename addr_m_1_28 "addr_m_1[28]") (joined (portRef Y (instanceRef ir_addr_RNO_2_28)) (portRef C (instanceRef ir_addr_RNO_28)) )) (net (rename data_i_16 "data_i[16]") (joined (portRef Y (instanceRef r_w_s_y_RNIEULQ7_16)) (portRef data_i_16) )) (net (rename y_m_0_0_16 "y_m_0_0[16]") (joined (portRef Y (instanceRef r_w_s_y_RNIR3G61_16)) (portRef B (instanceRef r_w_s_y_RNIEULQ7_16)) )) (net (rename edata2_iv_28 "edata2_iv[28]") (joined (portRef Y (instanceRef r_e_op1_RNIVSGHD_28)) (portRef (member edata2_iv 3)) )) (net (rename ex_op1_i_m_28 "ex_op1_i_m[28]") (joined (portRef Y (instanceRef r_e_op1_RNIG7FO1_28)) (portRef B (instanceRef r_e_op1_RNIVSGHD_28)) )) (net (rename addr_1_5 "addr_1[5]") (joined (portRef Y (instanceRef ir_addr_RNO_5)) (portRef D (instanceRef ir_addr_5)) )) (net (rename cpi_m_288 "cpi_m[288]") (joined (portRef Y (instanceRef ir_addr_RNO_0_5)) (portRef A (instanceRef ir_addr_RNO_5)) )) (net (rename addr_m_1_5 "addr_m_1[5]") (joined (portRef Y (instanceRef ir_addr_RNO_2_5)) (portRef C (instanceRef ir_addr_RNO_5)) )) (net (rename edata2_0_iv_19 "edata2_0_iv[19]") (joined (portRef Y (instanceRef r_e_op1_RNII3C5A_19)) (portRef (member edata2_0_iv 4)) )) (net (rename bpdata_i_m_1_3 "bpdata_i_m_1[3]") (joined (portRef Y (instanceRef r_x_result_RNIG3M04_3)) (portRef B (instanceRef r_e_op1_RNII3C5A_19)) )) (net (rename cpi_m_0_290 "cpi_m_0[290]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIBFV2S_7)) (portRef A (instanceRef r_x_ctrl_pc_RNIB7H1Q1_7)) )) (net (rename cpi_m_359 "cpi_m[359]") (joined (portRef Y (instanceRef r_x_result_RNIILPAS_7)) (portRef C (instanceRef r_x_ctrl_pc_RNIB7H1Q1_7)) )) (net (rename edata2_0_iv_11 "edata2_0_iv[11]") (joined (portRef Y (instanceRef r_e_op1_RNILN06A_11)) (portRef (member edata2_0_iv 12)) )) (net N_4022 (joined (portRef Y (instanceRef r_e_aluop_0_RNIDB3V_1)) (portRef B (instanceRef r_x_result_RNI40O04_8)) (portRef B (instanceRef r_x_result_RNIC8NC4_14)) (portRef B (instanceRef r_x_result_RNIGCNC4_15)) (portRef B (instanceRef r_x_result_RNI8CO04_9)) (portRef B (instanceRef r_x_result_RNI12VB4_10)) (portRef B (instanceRef r_x_result_RNI84NC4_13)) (portRef B (instanceRef r_e_op1_RNIA4I4A_12)) (portRef A (instanceRef r_e_op1_RNILN06A_11)) )) (net (rename data_20 "data[25]") (joined (portRef Y (instanceRef r_f_pc_RNIQ74T8_25)) (portRef data_20) )) (net (rename cpi_m_0_314 "cpi_m_0[314]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIOKI3S_31)) (portRef A (instanceRef r_x_ctrl_pc_RNI6AT3Q1_31)) )) (net (rename cpi_m_383 "cpi_m[383]") (joined (portRef Y (instanceRef r_x_result_RNIKSJDS_31)) (portRef C (instanceRef r_x_ctrl_pc_RNI6AT3Q1_31)) )) (net (rename aluresult_7 "aluresult[7]") (joined (portRef Y (instanceRef r_e_jmpl_RNI249D62)) (portRef B (instanceRef r_e_op1_RNI11BNB2_7)) )) (net (rename shiftin_17_m_0_7 "shiftin_17_m_0[7]") (joined (portRef Y (instanceRef r_e_jmpl_RNI1B87M_0)) (portRef B (instanceRef r_e_jmpl_RNI249D62)) )) (net (rename un6_ex_add_res_m_8 "un6_ex_add_res_m[8]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIFF8P9)) (portRef C (instanceRef r_e_jmpl_RNI249D62)) )) (net (rename edata2_iv_31 "edata2_iv[31]") (joined (portRef Y (instanceRef r_e_op1_RNIGU7ID_31)) (portRef (member edata2_iv 0)) )) (net un4_icc_m (joined (portRef Y (instanceRef r_e_op1_RNI6FEO1_31)) (portRef B (instanceRef r_e_op1_RNIGU7ID_31)) )) (net (rename addr_1_24 "addr_1[24]") (joined (portRef Y (instanceRef ir_addr_RNO_24)) (portRef D (instanceRef ir_addr_24)) )) (net (rename cpi_m_307 "cpi_m[307]") (joined (portRef Y (instanceRef ir_addr_RNO_0_24)) (portRef A (instanceRef ir_addr_RNO_24)) )) (net (rename addr_m_1_24 "addr_m_1[24]") (joined (portRef Y (instanceRef ir_addr_RNO_2_24)) (portRef C (instanceRef ir_addr_RNO_24)) )) (net (rename addr_1_17 "addr_1[17]") (joined (portRef Y (instanceRef ir_addr_RNO_17)) (portRef D (instanceRef ir_addr_17)) )) (net (rename cpi_m_300 "cpi_m[300]") (joined (portRef Y (instanceRef ir_addr_RNO_0_17)) (portRef A (instanceRef ir_addr_RNO_17)) )) (net (rename addr_m_1_17 "addr_m_1[17]") (joined (portRef Y (instanceRef ir_addr_RNO_2_17)) (portRef C (instanceRef ir_addr_RNO_17)) )) (net (rename data_0_d0 "data[5]") (joined (portRef Y (instanceRef ir_addr_RNI280CC_5)) (portRef data_0_d0) )) (net (rename addr_m_0_5 "addr_m_0[5]") (joined (portRef Y (instanceRef ir_addr_RNIC1O41_5)) (portRef B (instanceRef ir_addr_RNI280CC_5)) )) (net (rename edata2_0_iv_8 "edata2_0_iv[8]") (joined (portRef Y (instanceRef r_e_op1_RNIR42D9_8)) (portRef (member edata2_0_iv 15)) )) (net (rename ex_op1_i_m_8 "ex_op1_i_m[8]") (joined (portRef Y (instanceRef r_e_op1_RNIMP8F1_8)) (portRef B (instanceRef r_e_op1_RNIR42D9_8)) )) (net (rename bpdata_i_m_8 "bpdata_i_m[8]") (joined (portRef Y (instanceRef r_x_result_RNI40O04_8)) (portRef C (instanceRef r_e_op1_RNIR42D9_8)) )) (net (rename icc_1_3 "icc_1[3]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_3)) (portRef D (instanceRef r_w_s_icc_3)) )) (net icc_0_sqmuxa_1 (joined (portRef Y (instanceRef r_x_rstate_RNIRL9E01_0)) (portRef A (instanceRef r_w_s_icc_RNO_1)) (portRef A (instanceRef r_w_s_icc_RNO_2)) (portRef A (instanceRef r_w_s_icc_RNO_0)) (portRef A (instanceRef r_w_s_icc_RNO_3)) )) (net (rename icc_0_3 "icc_0[3]") (joined (portRef Q (instanceRef r_w_s_icc_3)) (portRef A (instanceRef r_x_icc_RNIFSID_3)) (portRef A (instanceRef r_w_s_icc_RNIVFF81_3)) (portRef B (instanceRef r_w_s_icc_RNO_3)) )) (net (rename icc_1_0 "icc_1[0]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_0)) (portRef D (instanceRef r_w_s_icc_0)) )) (net (rename icc_0_0 "icc_0[0]") (joined (portRef Q (instanceRef r_w_s_icc_0)) (portRef A (instanceRef r_w_s_icc_RNISFF81_0)) (portRef A (instanceRef r_m_icc_RNO_1_0)) (portRef B (instanceRef r_w_s_icc_RNO_0)) )) (net (rename data_4 "data[9]") (joined (portRef Y (instanceRef r_f_pc_RNIRG43A_9)) (portRef data_4) )) (net N_4294_2 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIJ41E_24)) (portRef B (instanceRef r_e_ctrl_inst_RNI2P1S_22)) (portRef A (instanceRef r_m_dci_lock_RNO_0)) (portRef A (instanceRef r_m_casa_RNO)) (portRef B (instanceRef r_e_ctrl_inst_RNI5I3O1_24)) (portRef B (instanceRef r_e_ctrl_inst_RNIIHVT1_20)) )) (net (rename addr_1_20 "addr_1[20]") (joined (portRef Y (instanceRef ir_addr_RNO_20)) (portRef D (instanceRef ir_addr_20)) )) (net (rename cpi_m_303 "cpi_m[303]") (joined (portRef Y (instanceRef ir_addr_RNO_0_20)) (portRef A (instanceRef ir_addr_RNO_20)) )) (net (rename addr_m_1_20 "addr_m_1[20]") (joined (portRef Y (instanceRef ir_addr_RNO_2_20)) (portRef C (instanceRef ir_addr_RNO_20)) )) (net (rename data_i_4 "data_i[4]") (joined (portRef Y (instanceRef r_w_s_tt_RNI1I97B_0)) (portRef data_i_4) )) (net (rename tt_m_1_0 "tt_m_1[0]") (joined (portRef Y (instanceRef r_w_s_tt_RNI5VR61_0)) (portRef A (instanceRef r_w_s_tt_RNI1I97B_0)) )) (net (rename cpi_m_0_300 "cpi_m_0[300]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNISCJ3S_17)) (portRef A (instanceRef r_x_ctrl_pc_RNI8CMFQ1_17)) )) (net (rename cpi_m_369 "cpi_m[369]") (joined (portRef Y (instanceRef r_x_result_RNIKCJDS_17)) (portRef C (instanceRef r_x_ctrl_pc_RNI8CMFQ1_17)) )) (net (rename addr_1_26 "addr_1[26]") (joined (portRef Y (instanceRef ir_addr_RNO_26)) (portRef D (instanceRef ir_addr_26)) )) (net (rename addr_26 "addr[26]") (joined (portRef Q (instanceRef ir_addr_26)) (portRef B (instanceRef ir_addr_RNIVU091_26)) (portRef B (instanceRef r_f_pc_RNO_12_26)) (portRef B (instanceRef ir_addr_RNO_26)) )) (net (rename data_16 "data[21]") (joined (portRef Y (instanceRef r_f_pc_RNI3I439_21)) (portRef data_16) )) (net (rename data_9 "data[14]") (joined (portRef Y (instanceRef r_f_pc_RNIGBN39_14)) (portRef data_9) )) (net icc_0_sqmuxa_1_i (joined (portRef Y (instanceRef r_m_icc_RNO_4_2)) (portRef B (instanceRef r_m_icc_RNO_3_2)) )) (net (rename edata2_0_iv_12 "edata2_0_iv[12]") (joined (portRef Y (instanceRef r_e_op1_RNIA4I4A_12)) (portRef (member edata2_0_iv 11)) )) (net (rename aluresult_31 "aluresult[31]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJ6IC27)) (portRef B (instanceRef r_m_casa_RNIA3U537)) (portRef B (instanceRef r_e_aluop_RNI765V97_0)) )) (net (rename shiftin_17_m_0_31 "shiftin_17_m_0[31]") (joined (portRef Y (instanceRef r_e_jmpl_RNIHT1IV_0)) (portRef B (instanceRef r_e_jmpl_RNIJ6IC27)) )) (net (rename un6_ex_add_res_m_32 "un6_ex_add_res_m[32]") (joined (portRef Y (instanceRef r_e_jmpl_RNI9GG984)) (portRef C (instanceRef r_e_jmpl_RNIJ6IC27)) )) (net (rename y_3_14 "y_3[14]") (joined (portRef Y (instanceRef r_m_y_RNO_14)) (portRef D (instanceRef r_m_y_14)) )) (net (rename edata2_0_iv_2 "edata2_0_iv[2]") (joined (portRef Y (instanceRef r_e_op1_RNIQFDB6_2)) (portRef (member edata2_0_iv 21)) )) (net (rename ex_op1_i_m_2 "ex_op1_i_m[2]") (joined (portRef Y (instanceRef r_e_op1_RNIA18F1_2)) (portRef A (instanceRef r_e_op1_RNIQFDB6_2)) )) (net (rename op1_i_m_2 "op1_i_m[2]") (joined (portRef Y (instanceRef r_e_op1_RNID1UB_0_2)) (portRef B (instanceRef r_e_op1_RNIQFDB6_2)) )) (net (rename bpdata_i_m_2 "bpdata_i_m[2]") (joined (portRef Y (instanceRef r_x_result_RNI3D7G4_2)) (portRef C (instanceRef r_e_op1_RNIQFDB6_2)) )) (net (rename edata2_0_iv_5 "edata2_0_iv[5]") (joined (portRef Y (instanceRef r_e_op1_RNIKANA6_5)) (portRef (member edata2_0_iv 18)) )) (net (rename ex_op1_i_m_5 "ex_op1_i_m[5]") (joined (portRef Y (instanceRef r_e_op1_RNIGD8F1_5)) (portRef A (instanceRef r_e_op1_RNIKANA6_5)) )) (net (rename op1_i_m_5 "op1_i_m[5]") (joined (portRef Y (instanceRef r_e_op1_RNIG1UB_5)) (portRef B (instanceRef r_e_op1_RNIKANA6_5)) )) (net (rename bpdata_i_m_5 "bpdata_i_m[5]") (joined (portRef Y (instanceRef r_x_result_RNIKRGF4_5)) (portRef C (instanceRef r_e_op1_RNIKANA6_5)) )) (net (rename edata2_0_iv_3 "edata2_0_iv[3]") (joined (portRef Y (instanceRef r_e_op1_RNI10EB6_3)) (portRef (member edata2_0_iv 20)) )) (net (rename ex_op1_i_m_3 "ex_op1_i_m[3]") (joined (portRef Y (instanceRef r_e_op1_RNIC58F1_3)) (portRef A (instanceRef r_e_op1_RNI10EB6_3)) )) (net (rename op1_i_m_3 "op1_i_m[3]") (joined (portRef Y (instanceRef r_e_op1_RNIE1UB_0_3)) (portRef B (instanceRef r_e_op1_RNI10EB6_3)) )) (net (rename bpdata_i_m_3 "bpdata_i_m[3]") (joined (portRef Y (instanceRef r_x_result_RNI7P7G4_3)) (portRef C (instanceRef r_e_op1_RNI10EB6_3)) )) (net (rename edata2_0_iv_4 "edata2_0_iv[4]") (joined (portRef Y (instanceRef r_e_op1_RNIDQMA6_4)) (portRef (member edata2_0_iv 19)) )) (net (rename ex_op1_i_m_4 "ex_op1_i_m[4]") (joined (portRef Y (instanceRef r_e_op1_RNIE98F1_4)) (portRef A (instanceRef r_e_op1_RNIDQMA6_4)) )) (net (rename op1_i_m_4 "op1_i_m[4]") (joined (portRef Y (instanceRef r_e_op1_RNIF1UB_4)) (portRef B (instanceRef r_e_op1_RNIDQMA6_4)) )) (net (rename bpdata_i_m_4 "bpdata_i_m[4]") (joined (portRef Y (instanceRef r_x_result_RNIGFGF4_4)) (portRef C (instanceRef r_e_op1_RNIDQMA6_4)) )) (net (rename un6_ex_add_res_s1_i_27 "un6_ex_add_res_s1_i[27]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I317_Y_0)) (portRef A (instanceRef r_e_ldbp2_0_RNIULJIH3)) )) (net (rename un6_ex_add_res_s1_i_20 "un6_ex_add_res_s1_i[20]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I310_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIQQ4H82)) )) (net (rename op1_RNIADID_19 "op1_RNIADID[19]") (joined (portRef Y (instanceRef r_e_op1_RNIADID_19)) (portRef A (instanceRef r_x_result_RNIORSC3_19)) (portRef S (instanceRef r_e_aluop_2_RNIA2PO_1)) (portRef S (instanceRef r_e_aluop_2_RNIA2PO_0_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I20_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I20_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I310_Y_0_1)) (portRef A (instanceRef r_e_shleft_RNIFEFF)) (portRef B (instanceRef r_e_op1_RNIE7FO1_19)) (portRef B (instanceRef r_e_shleft_0_RNIDDPD1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I310_Y_0)) )) (net (rename op2_19 "op2[19]") (joined (portRef Q (instanceRef r_e_op2_19)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I20_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I20_P0N)) (portRef A (instanceRef r_e_op2_RNI8VOP_19)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I310_Y_0)) )) (net (rename pc_1_31 "pc_1[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_31)) (portRef D (instanceRef r_f_pc_31)) )) (net (rename pc_4_m_i_31 "pc_4_m_i[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_31)) (portRef B (instanceRef r_f_pc_RNO_31)) )) (net (rename pc_1_28 "pc_1[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_28)) (portRef D (instanceRef r_f_pc_28)) )) (net (rename tmp_m_0_i_28 "tmp_m_0_i[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_28)) (portRef A (instanceRef r_f_pc_RNO_28)) )) (net N_5406_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_1_28)) (portRef B (instanceRef r_f_pc_RNO_28)) )) (net (rename pc_1_22 "pc_1[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_22)) (portRef D (instanceRef r_f_pc_22)) )) (net (rename pc_4_m_i_22 "pc_4_m_i[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_22)) (portRef B (instanceRef r_f_pc_RNO_22)) )) (net (rename pc_1_19 "pc_1[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_19)) (portRef D (instanceRef r_f_pc_19)) )) (net (rename pc_4_m_i_19 "pc_4_m_i[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_19)) (portRef B (instanceRef r_f_pc_RNO_19)) )) (net (rename pc_1_16 "pc_1[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_16)) (portRef D (instanceRef r_f_pc_16)) )) (net (rename pc_4_m_i_16 "pc_4_m_i[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_16)) (portRef B (instanceRef r_f_pc_RNO_16)) )) (net (rename pc_1_5 "pc_1[5]") (joined (portRef Y (instanceRef r_f_pc_RNO_5)) (portRef D (instanceRef r_f_pc_5)) )) (net (rename pc_4_m_i_5 "pc_4_m_i[5]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_5)) (portRef B (instanceRef r_f_pc_RNO_5)) )) (net (rename un6_ex_add_res_s1_i_6 "un6_ex_add_res_s1_i[6]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I296_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNI8M987)) )) (net N676 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I205_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I245_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I296_Y_0)) )) (net N581 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I155_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I204_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_un1_Y)) )) (net N597 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I171_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I218_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_un1_Y)) )) (net N612 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I184_Y)) (portRef A (instanceRef r_f_pc_RNO_5_8)) (portRef A (instanceRef r_x_rstate_0_RNIOTOEK1_1)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I218_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I239_un1_Y)) )) (net (rename un6_ex_add_res_s1_i_18 "un6_ex_add_res_s1_i[18]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I308_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIFABHV1)) )) (net I239_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I239_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I308_Y_0)) )) (net I273_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I273_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I308_Y_0)) )) (net I273_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I273_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I308_Y_0)) )) (net (rename un6_ex_add_res_s1_i_4 "un6_ex_add_res_s1_i[4]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I294_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIS0C54)) )) (net (rename op1_RNI8BB4_3 "op1_RNI8BB4[3]") (joined (portRef Y (instanceRef r_e_op1_RNI8BB4_3)) (portRef A (instanceRef r_e_op2_RNIC8TK_0_3)) (portRef B (instanceRef r_e_op2_RNIC8TK_3)) (portRef A (instanceRef r_x_result_RNI3OI13_3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I4_G0N)) (portRef C (instanceRef r_e_aluop_0_RNI71PM_2)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I4_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I4_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I294_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I294_Y_0)) (portRef A (instanceRef r_e_shleft_1_RNI1NUB)) (portRef B (instanceRef r_e_shleft_0_RNIBBI41)) (portRef B (instanceRef r_e_op1_RNIC58F1_3)) (portRef A (instanceRef r_e_aluop_1_RNI71PM_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I294_Y_0)) )) (net (rename op2_3 "op2[3]") (joined (portRef Q (instanceRef r_e_op2_3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I4_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I4_P0N)) (portRef A (instanceRef r_e_op2_RNI4THG_3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I294_Y_0)) )) (net (rename un6_ex_add_res_s1_i_32 "un6_ex_add_res_s1_i[32]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I322_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIE3BT74)) )) (net I259_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I322_Y_0)) )) (net N796_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I243_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I306_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I274_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I259_un1_Y)) )) (net N600 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I137_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I189_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I197_Y)) )) (net N538 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I79_Y_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I137_Y)) )) (net I259_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I322_Y_0)) )) (net N796_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I243_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I306_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I274_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I259_un1_Y)) )) (net N661_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I192_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I245_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I269_un1_Y)) )) (net N814_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I249_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I300_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I265_un1_Y)) )) (net N_51 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I319_Y_0)) )) (net N506 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I47_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I315_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I105_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_a3)) )) (net N_74 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I266_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I315_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I262_Y_0_a3)) )) (net (rename un6_ex_add_res_s1_i_29 "un6_ex_add_res_s1_i[29]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I319_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIEINAM3)) )) (net (rename op2_28 "op2[28]") (joined (portRef Q (instanceRef r_e_op2_28)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I29_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I29_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I37_Y)) (portRef A (instanceRef r_e_op2_RNIIB0K_28)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I319_Y_0)) )) (net N770 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I319_Y_0)) )) (net N778 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I266_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I315_Y_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I262_Y_0)) )) (net N_51_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I319_Y_0)) )) (net N506_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I47_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I315_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I105_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_a3)) )) (net N_74_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I266_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I315_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I262_Y_0_a3)) )) (net N511 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I52_Y_m3_i)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I114_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I113_Y)) )) (net (rename un6_ex_add_res_s1_i_15 "un6_ex_add_res_s1_i[15]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I305_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIH55181)) )) (net I244_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I244_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I305_Y_0)) )) (net N658_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I189_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I229_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I260_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I305_Y_0)) )) (net (rename un6_ex_add_res_s1_i_10 "un6_ex_add_res_s1_i[10]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I300_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIU4KBE)) )) (net (rename op2_9 "op2[9]") (joined (portRef Q (instanceRef r_e_op2_9)) (portRef A (instanceRef r_e_op2_RNINDD6_9)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I10_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I10_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I300_Y_0)) )) (net (rename aluresult_26 "aluresult[26]") (joined (portRef Y (instanceRef r_m_y_RNICGTO26_26)) (portRef B (instanceRef r_e_op1_RNIGNG566_26)) )) (net (rename shiftin_17_m_0_26 "shiftin_17_m_0[26]") (joined (portRef Y (instanceRef r_e_jmpl_RNILK72T_0)) (portRef B (instanceRef r_m_y_RNICGTO26_26)) )) (net (rename un6_ex_add_res_m_27 "un6_ex_add_res_m[27]") (joined (portRef Y (instanceRef r_e_ldbp2_0_RNIP2PUH3)) (portRef C (instanceRef r_m_y_RNICGTO26_26)) )) (net (rename size_i_m_i_0_1 "size_i_m_i_0[1]") (joined (portRef Y (instanceRef r_m_dci_size_RNO_1)) (portRef D (instanceRef r_m_dci_size_1)) )) (net N_4310 (joined (portRef Y (instanceRef r_m_dci_size_RNO_0_1)) (portRef A (instanceRef r_m_dci_size_RNO_1)) )) (net rfe1_i_m (joined (portRef Y (instanceRef r_a_rfe1_RNIHVJL1)) (portRef C (instanceRef r_a_rfe1_RNIV8FA61)) )) (net rfe1 (joined (portRef Q (instanceRef r_a_rfe1)) (portRef C (instanceRef r_a_rfe1_RNIHVJL1)) )) (net write_reg20_0_a3_0 (joined (portRef Y (instanceRef r_d_inst_0_RNI4423_24)) (portRef A (instanceRef r_d_inst_0_RNI9446_19)) (portRef B (instanceRef r_d_inst_0_RNID5K9_24)) )) (net mresult2_1_sqmuxa (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI9GSU1)) (portRef A (instanceRef r_m_dci_enaddr_RNIR9212)) (portRef A (instanceRef r_m_dci_enaddr_RNI77F32)) (portRef A (instanceRef r_m_dci_enaddr_RNIBNF32)) (portRef A (instanceRef r_m_dci_enaddr_RNI6RE32)) (portRef A (instanceRef r_m_dci_enaddr_RNIL9212)) (portRef A (instanceRef r_m_dci_enaddr_RNIQ9212)) (portRef A (instanceRef r_m_dci_enaddr_RNI6VE32)) (portRef A (instanceRef r_m_dci_enaddr_RNI9BF32)) (portRef A (instanceRef r_m_dci_enaddr_RNI9FF32)) (portRef A (instanceRef r_m_dci_enaddr_RNIAJF32)) (portRef A (instanceRef r_m_dci_enaddr_RNI4NE32)) (portRef A (instanceRef r_m_dci_enaddr_RNIJ9212)) (portRef A (instanceRef r_m_dci_enaddr_RNI4RE32)) (portRef A (instanceRef r_m_dci_enaddr_RNI5RE32)) (portRef A (instanceRef r_m_dci_enaddr_RNI8BF32)) (portRef A (instanceRef r_m_dci_enaddr_RNICRF32)) )) (net (rename data_2 "data[7]") (joined (portRef Y (instanceRef ir_addr_RNI36U5B_7)) (portRef data_2) )) (net (rename addr_m_0_7 "addr_m_0[7]") (joined (portRef Y (instanceRef ir_addr_RNIE1O41_7)) (portRef B (instanceRef ir_addr_RNI36U5B_7)) )) (net (rename data_0_sqmuxaZ0 "data_0_sqmuxa") (joined (portRef Y (instanceRef data_0_sqmuxa)) (portRef B (instanceRef rfo_m_8)) (portRef B (instanceRef rfo_m_3)) (portRef B (instanceRef rfo_m_31)) (portRef B (instanceRef rfo_m_7)) (portRef B (instanceRef rfo_m_26)) (portRef B (instanceRef rfo_m_20)) (portRef B (instanceRef rfo_m_23)) (portRef B (instanceRef rfo_m_13)) (portRef B (instanceRef rfo_m_16)) (portRef B (instanceRef rfo_m_5)) (portRef B (instanceRef rfo_m_2)) (portRef B (instanceRef rfo_m_11)) (portRef B (instanceRef rfo_m_9)) (portRef B (instanceRef rfo_m_4)) (portRef B (instanceRef rfo_m_17)) (portRef B (instanceRef rfo_m_1)) )) (net N_148_2 (joined (portRef N_148_2) (portRef A (instanceRef comb_diagread_un462_dbgunit)) (portRef B (instanceRef comb_diagwr_un152_dbgunit)) (portRef A (instanceRef comb_diagread_un462_dbgunit_0)) )) (net (rename edata2_0_iv_7 "edata2_0_iv[7]") (joined (portRef Y (instanceRef r_e_op1_RNI2BOA6_7)) (portRef (member edata2_0_iv 16)) )) (net (rename bpdata_i_m_7 "bpdata_i_m[7]") (joined (portRef Y (instanceRef r_x_result_RNISJHF4_7)) (portRef B (instanceRef r_e_op1_RNI2BOA6_7)) )) (net (rename un6_ex_add_res_s1_i_13 "un6_ex_add_res_s1_i[13]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I303_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIQOUTO)) )) (net N430_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I12_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I129_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I69_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I303_Y_0)) )) (net ADD_33x33_fast_I246_Y_0_a3 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I246_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I303_Y_0)) )) (net (rename un6_ex_add_res_s1_17 "un6_ex_add_res_s1[17]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I307_Y_0)) (portRef A (instanceRef r_e_ldbp2_1_RNIUVD9C1)) )) (net (rename op1_RNI41ID_16 "op1_RNI41ID[16]") (joined (portRef Y (instanceRef r_e_op1_RNI41ID_16)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I59_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I61_Y)) (portRef A (instanceRef r_e_op2_RNI6KA71_0_16)) (portRef B (instanceRef r_e_op2_RNI6KA71_16)) (portRef C (instanceRef r_e_aluop_RNIQF6F1_2)) (portRef A (instanceRef r_x_result_RNICFSC3_16)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I60_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I62_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I61_Y)) (portRef A (instanceRef r_e_shleft_RNI92FF)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I307_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I307_Y_0)) (portRef B (instanceRef r_e_shleft_0_RNI71PD1)) (portRef B (instanceRef r_e_op1_RNI8REO1_16)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I61_Y)) (portRef A (instanceRef r_e_aluop_1_RNI1D691_1)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I307_Y_0)) )) (net (rename op2_16 "op2[16]") (joined (portRef Q (instanceRef r_e_op2_16)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I59_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I60_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I62_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I61_Y)) (portRef A (instanceRef r_e_op2_RNI2JOP_16)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I307_Y_0)) )) (net N794_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I274_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I307_Y_0)) )) (net (rename un6_ex_add_res_s1_i_8 "un6_ex_add_res_s1_i[8]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I298_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIK23D9)) )) (net (rename op2_7 "op2[7]") (joined (portRef Q (instanceRef r_e_op2_7)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I8_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I8_G0N)) (portRef A (instanceRef r_e_op2_RNIMPPA_7)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I298_Y_0)) )) (net N672_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I203_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I250_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I243_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I267_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I298_Y_0)) )) (net (rename data_i_0 "data_i[0]") (joined (portRef Y (instanceRef r_w_s_y_RNITSNQ7_0)) (portRef data_i_0) )) (net (rename y_m_0_0_0 "y_m_0_0[0]") (joined (portRef Y (instanceRef r_w_s_y_RNI4IG91_0)) (portRef B (instanceRef r_w_s_y_RNITSNQ7_0)) )) (net de_inull_1 (joined (portRef Y (instanceRef r_d_inull_RNO_2)) (portRef C (instanceRef r_d_inull_RNO)) )) (net jmpl_1 (joined (portRef Y (instanceRef r_d_inull_RNO_6)) (portRef B (instanceRef r_d_inull_RNO_2)) )) (net annul_1_1 (joined (portRef Y (instanceRef r_a_ctrl_annul_RNIEV9AA)) (portRef D (instanceRef r_e_ctrl_annul)) (portRef C (instanceRef r_d_inull_RNO_2)) )) (net N_169 (joined (portRef Y (instanceRef r_d_inull_RNO_4)) (portRef C (instanceRef r_d_inull_RNO_1)) )) (net rfe (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIEA1231_5)) (portRef D (instanceRef r_a_rfe1)) (portRef A (instanceRef r_a_rfe1_RNIV8FA61)) )) (net rd (joined (portRef Y (instanceRef r_a_ctrl_rd_RNI2AVHA_5)) (portRef A (instanceRef r_e_ctrl_rd_RNIMCLF11_0_5)) (portRef A (instanceRef r_e_ctrl_rd_RNIMCLF11_5)) (portRef A (instanceRef r_e_ctrl_rd_RNIEA1231_5)) )) (net wreg_7 (joined (portRef Y (instanceRef r_m_ctrl_rd_RNIQRG9A_5)) (portRef C (instanceRef r_e_ctrl_rd_RNIGJF7J_5)) (portRef C (instanceRef r_e_ctrl_rd_RNIGJF7J_0_5)) (portRef B (instanceRef r_e_ctrl_rd_RNIEA1231_5)) )) (net un13_rs1opt (joined (portRef Y (instanceRef comb_regaddr_un13_rs1opt)) (portRef A (instanceRef r_d_inst_0_RNIKQ0Q_29)) (portRef A (instanceRef r_d_inst_0_RNIVGTN_29)) (portRef A (instanceRef r_d_inst_0_RNIA44S_29)) )) (net I_13_3 (joined (portRef Y (instanceRef un9_ra_I_13)) (portRef B (instanceRef r_d_inst_0_RNIKQ0Q_29)) (portRef A (instanceRef comb_regaddr_un13_rs1opt)) )) (net (rename DWACT_ADD_CI_0_partial_sum_0 "DWACT_ADD_CI_0_partial_sum[0]") (joined (portRef Y (instanceRef un9_ra_I_9)) (portRef B (instanceRef r_d_inst_0_RNIVGTN_29)) (portRef B (instanceRef comb_regaddr_un13_rs1opt)) )) (net I_14_3 (joined (portRef Y (instanceRef un9_ra_I_14)) (portRef B (instanceRef r_d_inst_0_RNIA44S_29)) (portRef C (instanceRef comb_regaddr_un13_rs1opt)) )) (net I263_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I263_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I318_Y_0)) )) (net I263_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I263_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I318_Y_0)) )) (net I263_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I318_Y_0)) )) (net N808_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I247_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I246_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I302_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I263_un1_Y)) )) (net (rename un6_ex_add_res_s1_i_28 "un6_ex_add_res_s1_i[28]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I318_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNI370VG3)) )) (net I271_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I271_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I310_Y_0)) )) (net N614_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I151_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I203_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I247_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I294_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I271_un1_Y)) )) (net N665_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I196_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I247_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I271_un1_Y)) )) (net N511_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I52_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I114_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I113_Y)) )) (net (rename un6_ex_add_res_s1_i_23 "un6_ex_add_res_s1_i[23]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I313_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNILQ5LS2)) )) (net N782_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I268_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I266_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I313_Y_0)) )) (net (rename edata2_0_iv_6 "edata2_0_iv[6]") (joined (portRef Y (instanceRef r_e_op1_RNIMGFB6_6)) (portRef (member edata2_0_iv 17)) )) (net (rename aluop_1_RNI41LE1_1 "aluop_1_RNI41LE1[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNI41LE1_1)) (portRef A (instanceRef r_x_result_RNISJHF4_7)) (portRef B (instanceRef r_x_result_RNI3D7G4_2)) (portRef B (instanceRef r_x_result_RNIKRGF4_5)) (portRef B (instanceRef r_x_result_RNIRK6G4_0)) (portRef B (instanceRef r_x_result_RNI7P7G4_3)) (portRef B (instanceRef r_x_result_RNIGFGF4_4)) (portRef A (instanceRef r_e_op1_RNIJVCB6_1)) (portRef A (instanceRef r_e_op1_RNIMGFB6_6)) )) (net (rename un6_ex_add_res_s1_i_7 "un6_ex_add_res_s1_i[7]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I297_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIMJ7H8)) )) (net N674_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I204_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I244_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I268_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I297_Y_0)) )) (net N629_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I160_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I215_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_un1_Y)) )) (net N802_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I245_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I304_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I261_un1_Y)) )) (net (rename pc_1_15 "pc_1[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_15)) (portRef D (instanceRef r_f_pc_15)) )) (net (rename pc_4_m_i_15 "pc_4_m_i[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_15)) (portRef B (instanceRef r_f_pc_RNO_15)) )) (net N729 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I219_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I232_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I273_Y_0)) )) (net (rename addr_1_23 "addr_1[23]") (joined (portRef Y (instanceRef ir_addr_RNO_23)) (portRef D (instanceRef ir_addr_23)) )) (net (rename cpi_m_306 "cpi_m[306]") (joined (portRef Y (instanceRef ir_addr_RNO_0_23)) (portRef A (instanceRef ir_addr_RNO_23)) )) (net (rename addr_m_1_23 "addr_m_1[23]") (joined (portRef Y (instanceRef ir_addr_RNO_2_23)) (portRef C (instanceRef ir_addr_RNO_23)) )) (net (rename data_5 "data[10]") (joined (portRef Y (instanceRef r_w_s_y_RNI62M7A_10)) (portRef data_5) )) (net svt_m (joined (portRef Y (instanceRef r_w_s_svt_RNO_0)) (portRef C (instanceRef r_w_s_svt_RNO)) )) (net dwt_m_1 (joined (portRef Y (instanceRef r_w_s_dwt_RNO_0)) (portRef A (instanceRef r_w_s_dwt_RNO)) )) (net dwt_1_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_0_RNI03MMU_1)) (portRef C (instanceRef r_w_s_dwt_RNO_2)) (portRef B (instanceRef r_w_s_dwt_RNO_0)) )) (net (rename rstate_0_RNIA4O511_1 "rstate_0_RNIA4O511[1]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIA4O511_1)) (portRef A (instanceRef r_w_s_y_RNO_2_2)) (portRef A (instanceRef r_w_s_y_RNO_2_23)) (portRef A (instanceRef r_w_s_y_RNO_24)) (portRef A (instanceRef r_w_s_y_RNO_0)) (portRef A (instanceRef r_w_s_y_RNO_31)) (portRef A (instanceRef r_w_s_y_RNO_27)) (portRef A (instanceRef r_w_s_y_RNO_4)) (portRef A (instanceRef r_w_s_y_RNO_3)) (portRef A (instanceRef r_w_s_y_RNO_6)) (portRef A (instanceRef r_w_s_y_RNO_12)) (portRef A (instanceRef r_w_s_y_RNO_26)) (portRef A (instanceRef r_w_s_y_RNO_11)) (portRef A (instanceRef r_w_s_y_RNO_30)) (portRef A (instanceRef r_w_s_y_RNO_16)) (portRef A (instanceRef r_w_s_y_RNO_21)) (portRef A (instanceRef r_w_s_y_RNO_13)) )) (net (rename rstate_RNI589AU_0 "rstate_RNI589AU[0]") (joined (portRef Y (instanceRef r_x_rstate_RNI589AU_0)) (portRef A (instanceRef ir_addr_RNO_2_14)) (portRef A (instanceRef ir_addr_RNO_2_21)) (portRef A (instanceRef ir_addr_RNO_2_13)) (portRef A (instanceRef ir_addr_RNO_2_8)) (portRef A (instanceRef ir_addr_RNO_2_28)) (portRef A (instanceRef ir_addr_RNO_2_2)) (portRef A (instanceRef ir_addr_RNO_2_5)) (portRef A (instanceRef ir_addr_RNO_2_22)) (portRef A (instanceRef ir_addr_RNO_2_31)) (portRef A (instanceRef ir_addr_RNO_2_29)) (portRef A (instanceRef ir_addr_RNO_2_27)) (portRef A (instanceRef ir_addr_RNO_2_3)) (portRef A (instanceRef ir_addr_RNO_2_10)) (portRef A (instanceRef ir_addr_RNO_2_23)) (portRef A (instanceRef ir_addr_RNO_2_20)) )) (net (rename result_m_0_14 "result_m_0[14]") (joined (portRef Y (instanceRef r_w_s_dwt_RNO_2)) (portRef C (instanceRef r_w_s_dwt_RNO)) )) (net dwt_1 (joined (portRef Y (instanceRef r_w_s_dwt_RNO)) (portRef D (instanceRef r_w_s_dwt)) )) (net (rename cpi_m_4_406 "cpi_m_4[406]") (joined (portRef Y (instanceRef r_w_s_dwt_RNO_1)) (portRef B (instanceRef r_w_s_dwt_RNO)) )) (net N_7694 (joined (portRef Y (instanceRef r_x_rstate_RNO_0_0)) (portRef B (instanceRef r_x_rstate_RNO_0)) )) (net N_8222 (joined (portRef Y (instanceRef r_x_ctrl_annul_RNIFF19S)) (portRef S (instanceRef r_x_npc_1_RNI1ERTS_1)) (portRef S (instanceRef r_x_npc_0_RNIH8B4T_0)) )) (net (rename data_0_1_28 "data_0_1[28]") (joined (portRef Y (instanceRef r_x_data_0_RNO_28)) (portRef D (instanceRef r_x_data_0_28)) )) (net (rename data_0_1_1_31 "data_0_1_1[31]") (joined (portRef Y (instanceRef r_x_dci_signed_RNIM3EID2)) (portRef B (instanceRef r_x_dci_signed_RNIHKUJB5)) (portRef A (instanceRef r_x_data_0_RNO_30)) (portRef A (instanceRef r_x_data_0_RNO_24)) (portRef A (instanceRef r_x_data_0_RNO_17)) (portRef A (instanceRef r_x_data_0_RNO_26)) (portRef A (instanceRef r_x_data_0_RNO_29)) (portRef A (instanceRef r_x_data_0_RNO_28)) )) (net (rename data_0_1_2_17 "data_0_1_2[17]") (joined (portRef Y (instanceRef r_x_dci_signed_RNIRGG1U2)) (portRef A (instanceRef r_x_dci_signed_RNIHKUJB5)) (portRef C (instanceRef r_x_data_0_RNO_30)) (portRef C (instanceRef r_x_data_0_RNO_24)) (portRef C (instanceRef r_x_data_0_RNO_20)) (portRef C (instanceRef r_x_data_0_RNO_17)) (portRef C (instanceRef r_x_data_0_RNO_26)) (portRef C (instanceRef r_x_data_0_RNO_29)) (portRef C (instanceRef r_x_data_0_RNO_28)) )) (net (rename edata2_0_iv_21 "edata2_0_iv[21]") (joined (portRef Y (instanceRef r_e_op1_RNIHAA5A_21)) (portRef (member edata2_0_iv 2)) )) (net (rename bpdata_i_m_1_5 "bpdata_i_m_1[5]") (joined (portRef Y (instanceRef r_x_result_RNIT5VV3_5)) (portRef B (instanceRef r_e_op1_RNIHAA5A_21)) )) (net (rename y_1_18 "y_1[18]") (joined (portRef Y (instanceRef r_w_s_y_RNO_18)) (portRef D (instanceRef r_w_s_y_18)) )) (net (rename cpi_m_0_301 "cpi_m_0[301]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNITGJ3S_18)) (portRef A (instanceRef r_x_ctrl_pc_RNIG4NFQ1_18)) )) (net (rename cpi_m_370 "cpi_m[370]") (joined (portRef Y (instanceRef r_x_result_RNIMCJDS_18)) (portRef C (instanceRef r_x_ctrl_pc_RNIG4NFQ1_18)) )) (net (rename cpi_m_0_305 "cpi_m_0[305]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIOOI3S_22)) (portRef A (instanceRef r_x_ctrl_pc_RNICRIFQ1_22)) )) (net (rename cpi_m_374 "cpi_m[374]") (joined (portRef Y (instanceRef r_x_result_RNIGKJDS_22)) (portRef C (instanceRef r_x_ctrl_pc_RNICRIFQ1_22)) )) (net (rename y_1_22 "y_1[22]") (joined (portRef Y (instanceRef r_w_s_y_RNO_22)) (portRef D (instanceRef r_w_s_y_22)) )) (net (rename y_2_22 "y_2[22]") (joined (portRef Y (instanceRef r_m_y_RNO_22)) (portRef D (instanceRef r_m_y_22)) )) (net (rename data_0_1_22 "data_0_1[22]") (joined (portRef Y (instanceRef r_x_data_0_RNO_22)) (portRef D (instanceRef r_x_data_0_22)) )) (net (rename dco_m_0_118 "dco_m_0[118]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_22)) (portRef A (instanceRef r_x_data_0_RNO_22)) )) (net (rename data_0_m_22 "data_0_m[22]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_22)) (portRef B (instanceRef r_x_data_0_RNO_22)) )) (net (rename data_0_1_4_19 "data_0_1_4[19]") (joined (portRef Y (instanceRef r_x_dci_signed_RNIHKUJB5)) (portRef C (instanceRef r_x_data_0_RNO_21)) (portRef C (instanceRef r_x_data_0_RNO_27)) (portRef C (instanceRef r_x_data_0_RNO_19)) (portRef C (instanceRef r_x_data_0_RNO_31)) (portRef C (instanceRef r_x_data_0_RNO_18)) (portRef C (instanceRef r_x_data_0_RNO_16)) (portRef C (instanceRef r_x_data_0_RNO_25)) (portRef C (instanceRef r_x_data_0_RNO_23)) (portRef C (instanceRef r_x_data_0_RNO_22)) )) (net (rename addr_1_10 "addr_1[10]") (joined (portRef Y (instanceRef ir_addr_RNO_10)) (portRef D (instanceRef ir_addr_10)) )) (net (rename cpi_m_293 "cpi_m[293]") (joined (portRef Y (instanceRef ir_addr_RNO_0_10)) (portRef A (instanceRef ir_addr_RNO_10)) )) (net (rename addr_m_1_10 "addr_m_1[10]") (joined (portRef Y (instanceRef ir_addr_RNO_2_10)) (portRef C (instanceRef ir_addr_RNO_10)) )) (net (rename data_0_1_14 "data_0_1[14]") (joined (portRef Y (instanceRef r_x_data_0_RNO_14)) (portRef D (instanceRef r_x_data_0_14)) )) (net (rename data_0_1_2_10 "data_0_1_2[10]") (joined (portRef Y (instanceRef r_x_dci_signed_RNIR9TNF2)) (portRef A (instanceRef r_x_data_0_RNO_12)) (portRef A (instanceRef r_x_data_0_RNO_9)) (portRef A (instanceRef r_x_data_0_RNO_15)) (portRef B (instanceRef r_x_data_0_RNO_13)) (portRef A (instanceRef r_x_data_0_RNO_11)) (portRef A (instanceRef r_x_data_0_RNO_8)) (portRef A (instanceRef r_x_data_0_RNO_10)) (portRef A (instanceRef r_x_data_0_RNO_14)) )) (net (rename data_0_1_10 "data_0_1[10]") (joined (portRef Y (instanceRef r_x_data_0_RNO_10)) (portRef D (instanceRef r_x_data_0_10)) )) (net (rename data_0_1_8 "data_0_1[8]") (joined (portRef Y (instanceRef r_x_data_0_RNO_8)) (portRef D (instanceRef r_x_data_0_8)) )) (net (rename data_0_1_29 "data_0_1[29]") (joined (portRef Y (instanceRef r_x_data_0_RNO_29)) (portRef D (instanceRef r_x_data_0_29)) )) (net (rename size_0 "size[0]") (joined (portRef Y (instanceRef r_m_dci_size_RNO_0)) (portRef D (instanceRef r_m_dci_size_0)) )) (net (rename edata2_0_iv_17 "edata2_0_iv[17]") (joined (portRef Y (instanceRef r_e_op1_RNISIA5A_17)) (portRef (member edata2_0_iv 6)) )) (net (rename bpdata_i_m_1_1 "bpdata_i_m_1[1]") (joined (portRef Y (instanceRef r_x_result_RNI8BL04_1)) (portRef B (instanceRef r_e_op1_RNISIA5A_17)) )) (net (rename data_0_1_26 "data_0_1[26]") (joined (portRef Y (instanceRef r_x_data_0_RNO_26)) (portRef D (instanceRef r_x_data_0_26)) )) (net (rename data_0_1_17 "data_0_1[17]") (joined (portRef Y (instanceRef r_x_data_0_RNO_17)) (portRef D (instanceRef r_x_data_0_17)) )) (net (rename data_0_1_11 "data_0_1[11]") (joined (portRef Y (instanceRef r_x_data_0_RNO_11)) (portRef D (instanceRef r_x_data_0_11)) )) (net de_fins_hold_1_i (joined (portRef Y (instanceRef r_d_annul_RNIRT7K)) (portRef B (instanceRef r_d_annul_RNI6GEF1)) )) (net de_fins_hold_1_2 (joined (portRef Y (instanceRef r_d_inst_0_RNIAINA_24)) (portRef C (instanceRef r_d_annul_RNIRT7K)) )) (net ex_bpmiss_1 (joined (portRef Y (instanceRef r_e_bp_RNIQ1ES3_0)) (portRef S (instanceRef r_f_pc_RNI1PCVU_10)) (portRef S (instanceRef r_f_pc_RNO_6_26)) (portRef S (instanceRef r_f_pc_RNIU4OIR_9)) (portRef S (instanceRef r_f_pc_RNIJB8D31_11)) (portRef S (instanceRef r_f_pc_RNO_6_18)) (portRef S (instanceRef r_f_pc_RNO_6_14)) (portRef S (instanceRef r_f_pc_RNO_6_12)) (portRef S (instanceRef r_f_pc_RNIGQJBM_7)) (portRef S (instanceRef r_f_pc_RNO_3_21)) (portRef S (instanceRef r_f_pc_RNO_6_27)) (portRef S (instanceRef r_f_pc_RNO_3_25)) (portRef S (instanceRef r_f_pc_RNO_3_13)) (portRef B (instanceRef r_e_ctrl_inst_RNI9AF34_29)) (portRef S (instanceRef r_f_pc_RNO_6_20)) (portRef S (instanceRef r_f_pc_RNO_3_17)) (portRef S (instanceRef r_f_pc_RNI8PNIG_3)) (portRef S (instanceRef r_f_pc_RNO_6_29)) (portRef S (instanceRef r_f_pc_RNO_6_24)) (portRef S (instanceRef r_f_pc_RNI8M7UJ_5)) (portRef B (instanceRef r_a_ctrl_annul_RNI0TGV3)) (portRef B (instanceRef r_a_bp_RNIKFN48_0)) )) (net un11_op (joined (portRef Y (instanceRef r_d_cwp_RNIVS96_0_2)) (portRef A (instanceRef r_d_cwp_RNI95GF_1_2)) (portRef A (instanceRef r_d_cwp_RNI95GF_0_2)) )) (net (rename cwp_0 "cwp[0]") (joined (portRef Q (instanceRef r_d_cwp_0)) (portRef B (instanceRef un1_r_d_cwp_1_I_13)) (portRef B (instanceRef un1_r_d_cwp_1_I_15)) (portRef A (instanceRef un46_ra_I_1)) (portRef A (instanceRef un46_ra_I_9)) (portRef A (instanceRef un9_ra_I_1)) (portRef A (instanceRef un9_ra_I_9)) (portRef A (instanceRef un28_ra_I_1)) (portRef A (instanceRef un28_ra_I_9)) (portRef D (instanceRef r_a_cwp_0)) (portRef A (instanceRef r_d_cwp_RNO_2_0)) (portRef B (instanceRef r_d_cwp_RNIF04K1_0)) (portRef B (instanceRef r_d_cwp_RNI6K311_0)) (portRef C (instanceRef r_d_cwp_RNIVS96_2)) (portRef A (instanceRef r_d_cwp_RNIVS96_0_2)) )) (net (rename cwp_0_2 "cwp_0[2]") (joined (portRef Q (instanceRef r_d_cwp_2)) (portRef A (instanceRef un1_r_d_cwp_1_I_11)) (portRef A (instanceRef un46_ra_I_14)) (portRef A (instanceRef un9_ra_I_14)) (portRef A (instanceRef un28_ra_I_14)) (portRef D (instanceRef r_a_cwp_2)) (portRef A (instanceRef r_d_cwp_RNO_1_2)) (portRef B (instanceRef r_d_cwp_RNILIEO3_2)) (portRef B (instanceRef r_d_cwp_RNIVS96_2)) (portRef B (instanceRef r_d_cwp_RNIVS96_0_2)) )) (net N_469 (joined (portRef Y (instanceRef r_e_aluop_0_RNI86832_0)) (portRef C (instanceRef r_m_y_RNISEPJ4_0)) )) (net N_8369 (joined (portRef Y (instanceRef r_e_aluop_0_RNIDHPM_1)) (portRef A (instanceRef r_e_aluop_0_RNILREF1_1)) )) (net (rename op2_RNI75IG_5 "op2_RNI75IG[5]") (joined (portRef Y (instanceRef r_e_op2_RNI75IG_5)) (portRef A (instanceRef r_e_op2_RNIISA21_5)) (portRef A (instanceRef r_e_aluop_0_RNIEHPM_2)) (portRef A (instanceRef r_e_op2_RNIJOTK_5)) (portRef B (instanceRef r_e_op2_RNIJOTK_0_5)) (portRef C (instanceRef r_e_aluop_0_RNIDHPM_1)) )) (net un16_op (joined (portRef Y (instanceRef r_d_cwp_RNIVS96_2)) (portRef A (instanceRef r_d_cwp_RNI95GF_2)) )) (net (rename eres2_0 "eres2[0]") (joined (portRef Y (instanceRef r_e_op1_RNIJR2SP1_0)) (portRef D (instanceRef r_m_result_0)) (portRef A (instanceRef r_a_rsel2_RNI8M8SP1_2)) (portRef A (instanceRef r_a_rsel1_0_RNII5TUP1_2)) )) (net (rename aluresult_m_0 "aluresult_m[0]") (joined (portRef Y (instanceRef r_e_jmpl_RNIMG2FN1)) (portRef B (instanceRef r_e_op1_RNIJR2SP1_0)) )) (net N_39 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I288_Y_0)) )) (net N454 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I37_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I93_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I92_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3)) )) (net ADD_30x30_fast_I233_Y_0_a3_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_0_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_1)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3)) )) (net N704 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I237_Y_0_o3)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I284_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3)) )) (net (rename addr_1_30 "addr_1[30]") (joined (portRef Y (instanceRef ir_addr_RNO_30)) (portRef D (instanceRef ir_addr_30)) )) (net (rename addr_30 "addr[30]") (joined (portRef Q (instanceRef ir_addr_30)) (portRef B (instanceRef ir_addr_RNIQ6091_30)) (portRef B (instanceRef r_f_pc_RNO_12_30)) (portRef B (instanceRef ir_addr_RNO_30)) )) (net (rename pc_1_30 "pc_1[30]") (joined (portRef Y (instanceRef r_f_pc_RNO_30)) (portRef D (instanceRef r_f_pc_30)) )) (net (rename pc_4_m_i_30 "pc_4_m_i[30]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_30)) (portRef B (instanceRef r_f_pc_RNO_30)) )) (net N799_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I244_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I305_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I260_un1_Y)) )) (net N710 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_Y)) (portRef A (instanceRef r_f_pc_RNO_7_23)) )) (net N614_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I185_Y)) (portRef A (instanceRef r_x_rstate_0_RNIE816L1_1)) (portRef A (instanceRef r_f_pc_RNO_6_7)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I219_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I240_Y)) )) (net bicc_hold (joined (portRef Y (instanceRef r_d_inst_0_RNIUFD71_31)) (portRef B (instanceRef r_d_inst_0_RNICD012_31)) )) (net N_4275 (joined (portRef Y (instanceRef r_d_inst_0_RNIRAPD_23)) (portRef C (instanceRef r_d_inst_0_RNIUFD71_31)) )) (net bp_1 (joined (portRef Y (instanceRef r_a_bp_RNO)) (portRef D (instanceRef r_a_bp)) )) (net un9_icc_check_bp (joined (portRef Y (instanceRef r_a_nobp_RNIIMIG)) (portRef S (instanceRef r_a_nobp_RNIGBT032)) (portRef A (instanceRef r_a_nobp_RNIU3JH2)) (portRef B (instanceRef r_a_bp_RNO)) )) (net (rename pc_1_23 "pc_1[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_23)) (portRef D (instanceRef r_f_pc_23)) )) (net (rename pc_4_m_i_23 "pc_4_m_i[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_23)) (portRef B (instanceRef r_f_pc_RNO_23)) )) (net N_4278 (joined (portRef Y (instanceRef r_d_inst_0_RNI62J4_23)) (portRef C (instanceRef r_d_inst_0_RNIRAPD_23)) )) (net (rename addr_1_15 "addr_1[15]") (joined (portRef Y (instanceRef ir_addr_RNO_15)) (portRef D (instanceRef ir_addr_15)) )) (net (rename addr_15 "addr[15]") (joined (portRef Q (instanceRef ir_addr_15)) (portRef B (instanceRef r_f_pc_RNO_12_15)) (portRef B (instanceRef ir_addr_RNITQ091_15)) (portRef B (instanceRef ir_addr_RNO_15)) )) (net (rename addr_1_3 "addr_1[3]") (joined (portRef Y (instanceRef ir_addr_RNO_3)) (portRef D (instanceRef ir_addr_3)) )) (net (rename cpi_m_286 "cpi_m[286]") (joined (portRef Y (instanceRef ir_addr_RNO_0_3)) (portRef A (instanceRef ir_addr_RNO_3)) )) (net (rename addr_m_1_3 "addr_m_1[3]") (joined (portRef Y (instanceRef ir_addr_RNO_2_3)) (portRef C (instanceRef ir_addr_RNO_3)) )) (net (rename xc_trap_address_2 "xc_trap_address[2]") (joined (portRef Y (instanceRef r_f_pc_RNI1IGI7_2)) (portRef B (instanceRef r_f_pc_RNI5E6N7_2)) (portRef A (instanceRef r_f_pc_RNIMTNEV_2)) )) (net (rename cpi_m_394 "cpi_m[394]") (joined (portRef Y (instanceRef r_x_rstate_RNILHV53_0)) (portRef A (instanceRef r_f_pc_RNI1IGI7_2)) )) (net (rename addr_m_2 "addr_m[2]") (joined (portRef Y (instanceRef ir_addr_RNI3HM71_2)) (portRef B (instanceRef r_f_pc_RNI1IGI7_2)) )) (net (rename pc_m_2 "pc_m[2]") (joined (portRef Y (instanceRef r_f_pc_RNI9FQ43_2)) (portRef C (instanceRef r_f_pc_RNI1IGI7_2)) )) (net (rename un6_ex_add_res_s1_i_3 "un6_ex_add_res_s1_i[3]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I293_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIK3Q43)) )) (net (rename pc_1_8 "pc_1[8]") (joined (portRef Y (instanceRef r_f_pc_RNO_8)) (portRef D (instanceRef r_f_pc_8)) )) (net (rename pc_4_m_i_8 "pc_4_m_i[8]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_8)) (portRef B (instanceRef r_f_pc_RNO_8)) )) (net (rename pc_1_6 "pc_1[6]") (joined (portRef Y (instanceRef r_f_pc_RNO_6)) (portRef D (instanceRef r_f_pc_6)) )) (net (rename pc_4_m_i_6 "pc_4_m_i[6]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_6)) (portRef B (instanceRef r_f_pc_RNO_6)) )) (net (rename npc0_i_8 "npc0_i[8]") (joined (portRef Y (instanceRef r_f_pc_RNI8R6N39_8)) (portRef A (instanceRef r_f_pc_RNICI7NTC_8)) )) (net (rename un6_fe_npc2_m_i_6 "un6_fe_npc2_m_i[6]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI5QUNM1_1)) (portRef A (instanceRef r_f_pc_RNI8R6N39_8)) )) (net (rename un6_fe_npc0_m_i_6 "un6_fe_npc0_m_i[6]") (joined (portRef Y (instanceRef r_a_bp_RNIJQUNM1)) (portRef B (instanceRef r_f_pc_RNI8R6N39_8)) )) (net N_4712_3 (joined (portRef Y (instanceRef comb_dbgexc_un82_dbgm_RNIJS4ON)) (portRef A (instanceRef r_x_rstate_0_RNIG6ION_1)) )) (net (rename data_0_1_13 "data_0_1[13]") (joined (portRef Y (instanceRef r_x_data_0_RNO_13)) (portRef D (instanceRef r_x_data_0_13)) )) (net rdata_4_sqmuxa (joined (portRef Y (instanceRef r_x_laddr_RNISJPQE_1)) (portRef B (instanceRef r_x_laddr_RNI8CQHM_1)) (portRef B (instanceRef r_x_laddr_RNIIT6711_0)) (portRef B (instanceRef r_x_laddr_RNIUL7U81_0)) )) (net rdata200_i_0 (joined (portRef Y (instanceRef r_x_dci_size_RNIFSGL7_0)) (portRef A (instanceRef r_x_dci_size_RNISJPQE_0)) (portRef B (instanceRef r_x_laddr_RNISJPQE_1)) )) (net (rename rdata_5_m_9_i_8 "rdata_5_m_9_i[8]") (joined (portRef Y (instanceRef r_x_dci_signed_RNIHV5I61)) (portRef C (instanceRef r_x_dci_signed_RNIRGG1U2)) )) (net N_38 (joined (portRef Y (instanceRef r_x_dci_signed_RNIRLO5K)) (portRef A (instanceRef r_x_dci_signed_RNIHV5I61_0)) (portRef B (instanceRef r_x_dci_signed_RNIHV5I61)) )) (net (rename cpi_m_0_307 "cpi_m_0[307]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIQ0J3S_24)) (portRef A (instanceRef r_x_ctrl_pc_RNISBKFQ1_24)) )) (net (rename cpi_m_376 "cpi_m[376]") (joined (portRef Y (instanceRef r_x_result_RNIKKJDS_24)) (portRef C (instanceRef r_x_ctrl_pc_RNISBKFQ1_24)) )) (net (rename cpi_m_0_310 "cpi_m_0[310]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNITCJ3S_27)) (portRef A (instanceRef r_x_ctrl_pc_RNILKMFQ1_27)) )) (net (rename cpi_m_379 "cpi_m[379]") (joined (portRef Y (instanceRef r_x_result_RNIQKJDS_27)) (portRef C (instanceRef r_x_ctrl_pc_RNILKMFQ1_27)) )) (net N511_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I52_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I166_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I173_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I174_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I113_Y)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNICAR3U_1)) (portRef (member waddr 5)) )) (net (rename dbgi_m_0_8 "dbgi_m_0[8]") (joined (portRef Y (instanceRef r_x_rstate_RNILJUM1_0)) (portRef A (instanceRef r_x_ctrl_rd_RNICAR3U_1)) )) (net (rename rd_m_1 "rd_m[1]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIKLD3S_1)) (portRef C (instanceRef r_x_ctrl_rd_RNICAR3U_1)) )) (net un29_rs1opt (joined (portRef Y (instanceRef comb_regaddr_un29_rs1opt)) (portRef A (instanceRef r_d_inst_0_RNIIB3S_17)) (portRef A (instanceRef r_d_inst_0_RNIS10Q_17)) (portRef A (instanceRef r_d_inst_0_RNI7OSN_17)) )) (net I_13_2 (joined (portRef Y (instanceRef un28_ra_I_13)) (portRef B (instanceRef r_d_inst_0_RNIS10Q_17)) (portRef A (instanceRef comb_regaddr_un29_rs1opt)) )) (net (rename DWACT_ADD_CI_0_partial_sum_0_0 "DWACT_ADD_CI_0_partial_sum_0[0]") (joined (portRef Y (instanceRef un28_ra_I_9)) (portRef B (instanceRef r_d_inst_0_RNI7OSN_17)) (portRef B (instanceRef comb_regaddr_un29_rs1opt)) )) (net I_14_2 (joined (portRef Y (instanceRef un28_ra_I_14)) (portRef B (instanceRef r_d_inst_0_RNIIB3S_17)) (portRef C (instanceRef comb_regaddr_un29_rs1opt)) )) (net un73_ra (joined (portRef Y (instanceRef comb_regaddr_un73_ra)) (portRef A (instanceRef r_a_ctrl_rd_RNO_6)) (portRef A (instanceRef r_a_ctrl_rd_RNO_5)) (portRef A (instanceRef r_a_ctrl_rd_RNO_4)) )) (net I_13 (joined (portRef Y (instanceRef un68_ra_I_13)) (portRef B (instanceRef r_a_ctrl_rd_RNO_5)) (portRef A (instanceRef comb_regaddr_un73_ra)) )) (net (rename DWACT_ADD_CI_0_partial_sum_1_0 "DWACT_ADD_CI_0_partial_sum_1[0]") (joined (portRef Y (instanceRef un68_ra_I_9)) (portRef B (instanceRef r_a_ctrl_rd_RNO_4)) (portRef B (instanceRef comb_regaddr_un73_ra)) )) (net I_14 (joined (portRef Y (instanceRef un68_ra_I_14)) (portRef B (instanceRef r_a_ctrl_rd_RNO_6)) (portRef C (instanceRef comb_regaddr_un73_ra)) )) (net N_4323_i_0 (joined (portRef Y (instanceRef r_e_alusel_RNO_1)) (portRef D (instanceRef r_e_alusel_1)) )) (net N_214 (joined (portRef Y (instanceRef r_a_ctrl_cnt_RNIVN022_0)) (portRef B (instanceRef r_e_alusel_RNO_0)) (portRef B (instanceRef r_e_alusel_RNO_1)) )) (net N_228 (joined (portRef Y (instanceRef r_a_ctrl_cnt_RNI7RQQ3_1)) (portRef C (instanceRef r_e_alusel_RNO_0)) (portRef C (instanceRef r_e_alusel_RNO_1)) )) (net N_463 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIAO0L_21)) (portRef B (instanceRef r_a_ctrl_inst_RNIP42A1_23)) (portRef C (instanceRef r_a_ctrl_inst_RNIQC2A1_31)) (portRef C (instanceRef r_e_ctrl_tt_RNO_4_3)) (portRef C (instanceRef r_a_ctrl_inst_RNI013H1_24)) (portRef C (instanceRef r_e_alusel_RNO_2_1)) )) (net ra_bpannul_1 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI9AF34_29)) (portRef A (instanceRef r_e_ctrl_wreg_RNO)) (portRef A (instanceRef r_e_ctrl_wicc_RNO)) (portRef A (instanceRef r_d_pv_RNIHDQ94)) (portRef B (instanceRef r_d_pv_RNI0SND4)) (portRef A (instanceRef r_a_ctrl_annul_RNIEV9AA)) )) (net icc_check7 (joined (portRef Y (instanceRef r_d_inst_0_RNIBO9C_23)) (portRef C (instanceRef r_d_inst_0_RNIRE4Q_19)) )) (net ticc_exception_i (joined (portRef Y (instanceRef r_a_ticc_RNO)) (portRef D (instanceRef r_a_ticc)) )) (net annul_current_2_sqmuxa_0 (joined (portRef Y (instanceRef r_a_ticc_RNO_0)) (portRef A (instanceRef r_a_ticc_RNO)) )) (net branch_2 (joined (portRef Y (instanceRef r_d_inst_0_RNIVB391_25)) (portRef C (instanceRef r_d_inst_0_RNIE0IP1_25)) (portRef B (instanceRef r_a_ticc_RNO)) )) (net icc_check5 (joined (portRef Y (instanceRef r_d_inst_0_RNIGMQD_20)) (portRef C (instanceRef comb_lock_gen_icc_check6_RNIQU222)) (portRef C (instanceRef r_a_ticc_RNO)) )) (net un8_op (joined (portRef Y (instanceRef r_d_inst_0_0_0_RNIL2TG_21)) (portRef A (instanceRef r_a_wovf_RNO)) (portRef B (instanceRef r_a_wunf_RNO)) (portRef B (instanceRef r_d_inst_0_0_0_RNIRHJKC2_21)) (portRef S (instanceRef r_d_cwp_RNILIEO3_2)) (portRef S (instanceRef r_d_cwp_RNINK7L2_1)) (portRef S (instanceRef r_d_cwp_RNIF04K1_0)) (portRef C (instanceRef r_a_ctrl_inst_RNIBI6R_29)) )) (net N_8056 (joined (portRef Y (instanceRef r_d_inst_0_0_0_RNIBEM7_21)) (portRef A (instanceRef r_d_inst_0_0_0_RNIHK9C_21)) (portRef C (instanceRef r_a_ctrl_wy_RNO_1)) (portRef A (instanceRef r_d_inst_0_0_0_RNIL2TG_21)) )) (net hold_pc_2_sqmuxa (joined (portRef Y (instanceRef r_d_cnt_RNIU26G_0)) (portRef A (instanceRef r_d_annul_RNIAM7T)) (portRef B (instanceRef r_d_cnt_RNO_0_1)) )) (net un12_op3 (joined (portRef Y (instanceRef r_d_inst_0_RNIA869_20)) (portRef B (instanceRef r_d_pv_RNO_6)) (portRef A (instanceRef r_d_cnt_RNIU26G_0)) )) (net N_4289 (joined (portRef Y (instanceRef r_d_inst_0_RNI3AJ4_23)) (portRef B (instanceRef r_d_inst_0_RNIMRAH_23)) )) (net cnt_2_sqmuxa (joined (portRef Y (instanceRef r_d_cnt_RNO_0_0)) (portRef A (instanceRef r_d_cnt_RNO_0)) )) (net pv_0_sqmuxa (joined (portRef Y (instanceRef r_d_annul_RNI42C232)) (portRef A (instanceRef r_d_cnt_RNO_0_1)) (portRef A (instanceRef r_d_cnt_RNO_0_0)) )) (net hold_pc_0_sqmuxa_1 (joined (portRef Y (instanceRef r_d_inst_0_RNIOSIB_21)) (portRef B (instanceRef r_d_annul_RNIAM7T)) (portRef B (instanceRef r_d_cnt_RNO_0_0)) )) (net annul_7 (joined (portRef Y (instanceRef r_d_inst_0_RNI1NKMBG_29)) (portRef D (instanceRef r_d_annul)) (portRef C (instanceRef r_d_cnt_RNO_0_1)) (portRef C (instanceRef r_d_cnt_RNO_0_0)) )) (net un348_dbgunit (joined (portRef Y (instanceRef r_m_dci_dsuen_RNI9L801)) (portRef A (instanceRef dsur_crdy_RNIEAJK1_2)) )) (net (rename crdy_1z "crdy") (joined (portRef Q (instanceRef dsur_crdy_2)) (portRef B (instanceRef dsur_crdy_RNIEAJK1_2)) (portRef C (instanceRef dsur_crdy_RNO_6_2)) (portRef crdy_1z) )) (net (rename crdy_4_m_2 "crdy_4_m[2]") (joined (portRef Y (instanceRef dsur_crdy_RNO_0_2)) (portRef A (instanceRef dsur_crdy_RNO_2)) )) (net un536_dbgunit (joined (portRef Y (instanceRef dsur_asi_RNIQPUC_0)) (portRef B (instanceRef dsur_crdy_RNO_3_2)) (portRef B (instanceRef dsur_crdy_RNO_1)) (portRef C (instanceRef dsur_crdy_RNO_0_2)) )) (net (rename crdy_RNO_1 "crdy_RNO[1]") (joined (portRef Y (instanceRef dsur_crdy_RNO_1)) (portRef D (instanceRef dsur_crdy_1)) )) (net enaddr_1_sqmuxa_1 (joined (portRef Y (instanceRef r_e_ctrl_annul_RNIOU68E1)) (portRef C (instanceRef r_e_ctrl_inst_RNIGPQ8V2_21)) )) (net read2 (joined (portRef Y (instanceRef r_e_ctrl_cnt_RNIDM631_0)) (portRef B (instanceRef r_e_ctrl_cnt_RNITRSAE1_0)) (portRef C (instanceRef r_e_ctrl_annul_RNIOU68E1)) )) (net icc_check_bp (joined (portRef Y (instanceRef r_d_annul_RNIEFND)) (portRef A (instanceRef r_a_nobp_RNIIMIG)) (portRef A (instanceRef r_a_ctrl_wicc_RNIETIP)) )) (net annul_current_3_sqmuxa (joined (portRef Y (instanceRef r_d_annul_RNIR7A74_0)) (portRef A (instanceRef r_d_annul_RNIOG5VG)) )) (net N_5129_i_0 (joined (portRef Y (instanceRef r_d_pv_RNO_2)) (portRef C (instanceRef r_d_pv_RNO)) )) (net pv_RNO_6 (joined (portRef Y (instanceRef r_d_pv_RNO_6)) (portRef A (instanceRef r_d_pv_RNO_2)) )) (net pv_6 (joined (portRef Y (instanceRef r_d_pv_RNO)) (portRef D (instanceRef r_d_pv)) )) (net N_3499 (joined (portRef Y (instanceRef r_d_annul_RNIOG5VG)) (portRef S (instanceRef r_d_inull_RNI6370Q)) )) (net un1_exbpmiss (joined (portRef Y (instanceRef r_d_pv_RNI21HG8)) (portRef B (instanceRef r_d_inull_RNI6370Q)) (portRef C (instanceRef r_d_annul_RNIOG5VG)) )) (net annul_next_2_sqmuxa_1 (joined (portRef Y (instanceRef r_d_pv_RNICD97U7)) (portRef S (instanceRef r_d_inst_0_RNIQCA01F_29)) (portRef S (instanceRef r_d_inst_0_RNI50N39E_29)) )) (net (rename data_0_1_23 "data_0_1[23]") (joined (portRef Y (instanceRef r_x_data_0_RNO_23)) (portRef D (instanceRef r_x_data_0_23)) )) (net (rename dco_m_0_119 "dco_m_0[119]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_23)) (portRef A (instanceRef r_x_data_0_RNO_23)) )) (net (rename data_0_m_23 "data_0_m[23]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_23)) (portRef B (instanceRef r_x_data_0_RNO_23)) )) (net (rename data_0_1_7 "data_0_1[7]") (joined (portRef Y (instanceRef r_x_data_0_RNO_7)) (portRef D (instanceRef r_x_data_0_7)) )) (net (rename dco_m_i_119 "dco_m_i[119]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_7)) (portRef A (instanceRef r_x_data_0_RNO_7)) )) (net (rename dco_m_i_111 "dco_m_i[111]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_7)) (portRef B (instanceRef r_x_data_0_RNO_7)) )) (net (rename data_0_1_25 "data_0_1[25]") (joined (portRef Y (instanceRef r_x_data_0_RNO_25)) (portRef D (instanceRef r_x_data_0_25)) )) (net (rename dco_m_1_121 "dco_m_1[121]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_25)) (portRef A (instanceRef r_x_data_0_RNO_25)) )) (net (rename data_0_m_25 "data_0_m[25]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_25)) (portRef B (instanceRef r_x_data_0_RNO_25)) )) (net (rename data_0_1_16 "data_0_1[16]") (joined (portRef Y (instanceRef r_x_data_0_RNO_16)) (portRef D (instanceRef r_x_data_0_16)) )) (net (rename dco_m_0_112 "dco_m_0[112]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_16)) (portRef A (instanceRef r_x_data_0_RNO_16)) )) (net (rename data_0_m_16 "data_0_m[16]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_16)) (portRef B (instanceRef r_x_data_0_RNO_16)) )) (net (rename data_0_1_18 "data_0_1[18]") (joined (portRef Y (instanceRef r_x_data_0_RNO_18)) (portRef D (instanceRef r_x_data_0_18)) )) (net (rename dco_m_0_114 "dco_m_0[114]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_18)) (portRef A (instanceRef r_x_data_0_RNO_18)) )) (net (rename data_0_m_18 "data_0_m[18]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_18)) (portRef B (instanceRef r_x_data_0_RNO_18)) )) (net (rename data_0_1_31 "data_0_1[31]") (joined (portRef Y (instanceRef r_x_data_0_RNO_31)) (portRef D (instanceRef r_x_data_0_31)) )) (net (rename dco_m_1_127 "dco_m_1[127]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_31)) (portRef A (instanceRef r_x_data_0_RNO_31)) )) (net (rename data_0_m_31 "data_0_m[31]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_31)) (portRef B (instanceRef r_x_data_0_RNO_31)) )) (net (rename npc0_iZ0Z_2 "npc0_i[2]") (joined (portRef Y (instanceRef r_d_pc_RNILIO6L8_2)) (portRef A (instanceRef r_d_pc_RNIVNVB6C_2)) )) (net (rename un6_fe_npc2_m_i_0 "un6_fe_npc2_m_i[0]") (joined (portRef Y (instanceRef r_d_pc_RNI2SNHM1_2)) (portRef A (instanceRef r_d_pc_RNILIO6L8_2)) )) (net (rename un6_fe_npc0_m_i_0 "un6_fe_npc0_m_i[0]") (joined (portRef Y (instanceRef r_f_pc_RNI4SNHM1_2)) (portRef B (instanceRef r_d_pc_RNILIO6L8_2)) )) (net force_a2 (joined (portRef Y (instanceRef r_e_ctrl_annul_RNIBD8V)) (portRef A (instanceRef r_e_ldbp2_2_RNIVG244)) )) (net trap_1_sqmuxa (joined (portRef Y (instanceRef trap_0_sqmuxa_4_RNIJB4S3)) (portRef C (instanceRef trap_0_sqmuxa_4_RNIIM0F5)) (portRef A (instanceRef trap_0_sqmuxa_4_RNIN4Q8I)) (portRef B (instanceRef trap_0_sqmuxa_RNINQC8L)) (portRef B (instanceRef r_m_ctrl_trap_RNI81J17)) )) (net trap_0_sqmuxa_3_2 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNIUG0E_20)) (portRef B (instanceRef r_m_ctrl_inst_RNI5S3O1_24)) (portRef B (instanceRef r_m_result_RNILLE71_1)) )) (net result (joined (portRef Y (instanceRef r_m_result_RNIF7CB_1)) (portRef C (instanceRef r_m_result_RNILLE71_1)) )) (net (rename tt_1_1 "tt_1[1]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_1)) (portRef D (instanceRef r_e_ctrl_tt_1)) )) (net N_4491 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_0_1)) (portRef A (instanceRef r_e_ctrl_tt_RNO_1)) )) (net (rename tt_2_1 "tt_2[1]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_2_1)) (portRef C (instanceRef r_e_ctrl_tt_RNO_1)) )) (net irqen_1 (joined (portRef Y (instanceRef r_m_irqen_RNO)) (portRef D (instanceRef r_m_irqen)) )) (net trap_3 (joined (portRef Y (instanceRef r_m_ctrl_trap_RNITF5LE)) (portRef B (instanceRef r_x_ctrl_trap_RNO)) (portRef A (instanceRef r_m_ctrl_pv_RNI7M42O)) (portRef C (instanceRef r_m_irqen_RNO)) )) (net N_322 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_3_1)) (portRef B (instanceRef r_e_ctrl_tt_RNO_2_1)) )) (net N_525 (joined (portRef Y (instanceRef r_a_su_RNIRL3OM)) (portRef B (instanceRef r_e_ctrl_tt_RNO_0_1)) (portRef A (instanceRef r_e_ctrl_tt_RNO_2)) (portRef C (instanceRef r_e_ctrl_trap_RNO_0)) (portRef C (instanceRef r_e_ctrl_tt_RNO_2_1)) )) (net trap_1_1 (joined (portRef Y (instanceRef r_e_ctrl_trap_RNO_0)) (portRef A (instanceRef r_e_ctrl_trap_RNO)) )) (net N_4485_i_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIS9523_19)) (portRef A (instanceRef r_e_ctrl_tt_RNO_0_1)) (portRef A (instanceRef r_e_ctrl_trap_RNO_0)) )) (net signed (joined (portRef Y (instanceRef r_m_dci_signed_RNO)) (portRef D (instanceRef r_m_dci_signed)) )) (net N_4297_i (joined (portRef Y (instanceRef r_m_dci_signed_RNO_1)) (portRef B (instanceRef r_m_dci_signed_RNO)) )) (net tt_2_sqmuxa_1 (joined (portRef Y (instanceRef r_m_ctrl_trap_RNIT5RTB1)) (portRef A (instanceRef r_x_ctrl_tt_RNO_2)) (portRef A (instanceRef r_x_ctrl_tt_RNO_0_0)) )) (net N_57_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_a3_1)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_o3_1)) )) (net N398_i (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I1_P0N_a0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I93_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I206_Y_0_a3_1)) )) (net N_8324 (joined (portRef Y (instanceRef r_e_aluop_0_RNIOK591_1)) (portRef A (instanceRef r_e_aluop_0_RNIB27K2_1)) )) (net (rename op2_RNIU6OP_22 "op2_RNIU6OP[22]") (joined (portRef Y (instanceRef r_e_op2_RNIU6OP_22)) (portRef A (instanceRef r_e_op2_RNI9UGB1_22)) (portRef A (instanceRef r_e_aluop_0_RNIPK591_2)) (portRef A (instanceRef r_e_op2_RNIUR971_22)) (portRef B (instanceRef r_e_op2_RNIUR971_0_22)) (portRef A (instanceRef r_e_aluop_0_RNIOK591_1)) )) (net (rename un6_ex_add_res_s1_i_16 "un6_ex_add_res_s1_i[16]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I306_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIULM691)) )) (net (rename addr_1_16 "addr_1[16]") (joined (portRef Y (instanceRef ir_addr_RNO_16)) (portRef D (instanceRef ir_addr_16)) )) (net (rename addr_16 "addr[16]") (joined (portRef Q (instanceRef ir_addr_16)) (portRef B (instanceRef r_f_pc_RNO_12_16)) (portRef B (instanceRef ir_addr_RNIUU091_16)) (portRef B (instanceRef ir_addr_RNO_16)) )) (net s_1_iv (joined (portRef Y (instanceRef r_w_s_s_RNILJU9R3)) (portRef A (instanceRef r_a_su_RNO)) (portRef A (instanceRef r_w_s_s_RNO_0)) )) (net rstate_13 (joined (portRef Y (instanceRef r_x_rstate_RNIUG25T1_0)) (portRef B (instanceRef r_w_s_s_RNILJU9R3)) )) (net N_436 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIUI372_22)) (portRef B (instanceRef r_a_ctrl_inst_RNI31AG5_22)) )) (net N_8148 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNICC1E_1_20)) (portRef B (instanceRef r_a_ctrl_inst_RNI5H3O1_20)) (portRef A (instanceRef r_a_ctrl_inst_RNIOC231_23)) (portRef A (instanceRef r_a_su_RNIK4AV)) (portRef A (instanceRef r_e_alusel_RNO_6_0)) (portRef B (instanceRef r_a_ctrl_inst_RNIFK1L_21)) (portRef B (instanceRef r_a_ctrl_inst_RNIPC231_30)) (portRef A (instanceRef r_a_ctrl_inst_RNIUCPB8_20)) (portRef A (instanceRef r_a_ctrl_inst_RNI013H1_24)) (portRef A (instanceRef r_a_ctrl_inst_RNIPG231_24)) )) (net N_428 (joined (portRef Y (instanceRef r_a_ctrl_inst_0_RNIUH0M_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIUI372_22)) )) (net N_489 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIB41E_0_23)) (portRef A (instanceRef r_a_ctrl_inst_RNI193H1_21)) (portRef A (instanceRef r_e_alucin_RNO_8)) (portRef B (instanceRef r_a_ctrl_inst_0_RNIUH0M_21)) )) (net N_427 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNISK2A1_19)) (portRef B (instanceRef r_a_ctrl_inst_RNIUI372_22)) )) (net N_482 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNID01L_30)) (portRef B (instanceRef r_a_ctrl_inst_RNIIG1S_23)) (portRef C (instanceRef r_a_ctrl_inst_RNIQG231_21)) (portRef B (instanceRef r_a_ctrl_inst_RNISK2A1_19)) )) (net N_480 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI9O0E_23)) (portRef B (instanceRef r_a_ctrl_inst_RNICABA2_31)) (portRef C (instanceRef r_a_ctrl_inst_RNIJO1S_24)) (portRef C (instanceRef r_a_ctrl_inst_RNIIG1S_24)) )) (net un1_de_branch_1 (joined (portRef Y (instanceRef r_x_rstate_0_RNIT7HJG3_1)) (portRef C (instanceRef r_f_pc_RNO_5_4)) (portRef C (instanceRef r_f_pc_RNO_6_7)) (portRef C (instanceRef r_f_pc_RNO_5_10)) (portRef C (instanceRef r_f_pc_RNO_0_13)) (portRef A (instanceRef r_f_pc_RNO_7_14)) (portRef C (instanceRef r_f_pc_RNO_0_17)) (portRef C (instanceRef r_f_pc_RNO_7_18)) (portRef C (instanceRef r_f_pc_RNO_0_21)) (portRef C (instanceRef r_f_pc_RNO_0_25)) (portRef C (instanceRef r_f_pc_RNO_7_29)) (portRef C (instanceRef r_f_pc_RNO_5_8)) (portRef C (instanceRef r_f_pc_RNO_6_6)) (portRef C (instanceRef r_f_pc_RNO_7_23)) (portRef A (instanceRef r_f_pc_RNO_7_31)) (portRef C (instanceRef r_f_pc_RNO_0_28)) )) (net N741 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I223_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I269_Y_0)) )) (net un55_dbgm_i (joined (portRef Y (instanceRef r_x_mexc_RNIVQ9KF)) (portRef B (instanceRef comb_dbgexc_un82_dbgm_RNIGRLEN)) (portRef A (instanceRef comb_dbgexc_un82_dbgm_RNIJS4ON)) )) (net un71_dbgm (joined (portRef Y (instanceRef r_x_mexc_RNIEA1L4)) (portRef A (instanceRef r_x_mexc_RNIVQ9KF)) )) (net un55_dbgm_3 (joined (portRef Y (instanceRef r_x_mexc_RNI488C8)) (portRef C (instanceRef r_x_mexc_RNIVQ9KF)) )) (net (rename cpi_m_0_285 "cpi_m_0[285]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI6FV2S_2)) (portRef A (instanceRef r_x_ctrl_pc_RNIL428Q1_2)) )) (net (rename cpi_m_354 "cpi_m[354]") (joined (portRef Y (instanceRef r_x_result_RNI33GBS_2)) (portRef C (instanceRef r_x_ctrl_pc_RNIL428Q1_2)) )) (net (rename aluresult_2 "aluresult[2]") (joined (portRef Y (instanceRef r_e_jmpl_RNINR1DV1)) (portRef B (instanceRef r_e_op1_RNI743N42_2)) )) (net (rename shiftin_17_m_0_2 "shiftin_17_m_0[2]") (joined (portRef Y (instanceRef r_e_jmpl_RNIHQDFJ_0)) (portRef B (instanceRef r_e_jmpl_RNINR1DV1)) )) (net (rename ex_add_res_m_3 "ex_add_res_m[3]") (joined (portRef Y (instanceRef r_e_jmpl_RNIQT7G4)) (portRef C (instanceRef r_e_jmpl_RNINR1DV1)) )) (net (rename eres2_2 "eres2[2]") (joined (portRef Y (instanceRef r_e_op1_RNI743N42_2)) (portRef D (instanceRef r_m_result_2)) (portRef A (instanceRef r_a_rsel1_3_RNI9ETP42_2)) (portRef A (instanceRef r_a_rsel2_RNISU8N42_2)) )) (net aluresult_10_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_0_RNIBGR61_0)) (portRef B (instanceRef r_m_y_RNID4K91_8)) (portRef B (instanceRef r_m_y_RNIUTO71_18)) (portRef B (instanceRef r_m_y_RNINTN71_20)) (portRef B (instanceRef r_m_y_RNISLO71_16)) (portRef B (instanceRef r_m_y_RNITPO71_17)) (portRef B (instanceRef r_m_y_RNISHO71_25)) (portRef B (instanceRef r_m_y_RNIB4K91_6)) (portRef B (instanceRef r_m_y_RNIO1O71_21)) (portRef B (instanceRef r_m_y_RNIRHO71_15)) (portRef B (instanceRef r_m_y_RNI64K91_1)) (portRef B (instanceRef r_m_y_RNIN1O71_11)) (portRef B (instanceRef r_m_y_RNIMTN71_10)) (portRef B (instanceRef r_m_y_RNIO5O71_12)) (portRef B (instanceRef r_m_y_RNIRDO71_24)) (portRef B (instanceRef r_m_y_RNIP5O71_22)) (portRef B (instanceRef r_m_y_RNIUPO71_27)) )) (net N_8372 (joined (portRef Y (instanceRef r_e_aluop_0_RNI5S491_1)) (portRef A (instanceRef r_e_aluop_0_RNI5H5K2_1)) )) (net (rename op2_RNIJQNP_10 "op2_RNIJQNP[10]") (joined (portRef Y (instanceRef r_e_op2_RNIJQNP_10)) (portRef A (instanceRef r_e_aluop_0_RNI6S491_2)) (portRef A (instanceRef r_e_op2_RNIB3971_10)) (portRef B (instanceRef r_e_op2_RNIB3971_0_10)) (portRef A (instanceRef r_e_op2_RNIUHGB1_10)) (portRef A (instanceRef r_e_aluop_0_RNI5S491_1)) )) (net (rename edata2_iv_29 "edata2_iv[29]") (joined (portRef Y (instanceRef r_e_op1_RNI451JD_29)) (portRef (member edata2_iv 2)) )) (net N_74_1 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_2)) (portRef D (instanceRef r_e_ctrl_tt_2)) )) (net N_324 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_0_2)) (portRef B (instanceRef r_e_ctrl_tt_RNO_2)) )) (net (rename cpi_m_0_297 "cpi_m_0[297]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIP0J3S_14)) (portRef A (instanceRef r_x_ctrl_pc_RNIDFC0Q1_14)) )) (net (rename cpi_m_366 "cpi_m[366]") (joined (portRef Y (instanceRef r_x_result_RNI92BES_14)) (portRef C (instanceRef r_x_ctrl_pc_RNIDFC0Q1_14)) )) (net (rename data_0_1_19 "data_0_1[19]") (joined (portRef Y (instanceRef r_x_data_0_RNO_19)) (portRef D (instanceRef r_x_data_0_19)) )) (net (rename dco_m_0_115 "dco_m_0[115]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_19)) (portRef A (instanceRef r_x_data_0_RNO_19)) )) (net (rename data_0_m_19 "data_0_m[19]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_19)) (portRef B (instanceRef r_x_data_0_RNO_19)) )) (net wy_RNIR5IJ (joined (portRef Y (instanceRef r_m_ctrl_wy_RNIR5IJ)) (portRef A (instanceRef r_m_y_RNO_4_18)) (portRef A (instanceRef r_m_y_RNO_1_23)) (portRef B (instanceRef r_m_y_RNO_3_3)) (portRef A (instanceRef r_m_y_RNO_4_24)) (portRef A (instanceRef r_m_y_RNO_5_10)) (portRef A (instanceRef r_m_y_RNO_3_25)) (portRef B (instanceRef r_m_y_RNO_4_6)) (portRef A (instanceRef r_m_y_RNO_3_12)) (portRef A (instanceRef r_m_y_RNO_3_11)) (portRef A (instanceRef r_m_y_RNO_3_30)) (portRef A (instanceRef r_m_y_RNO_1_16)) (portRef A (instanceRef r_m_y_RNO_2_14)) (portRef A (instanceRef r_m_y_RNO_3_17)) (portRef B (instanceRef r_m_y_RNO_13_7)) (portRef A (instanceRef r_m_y_RNO_3_27)) (portRef A (instanceRef r_m_y_RNO_4_28)) )) (net (rename edata2_iv_30 "edata2_iv[30]") (joined (portRef Y (instanceRef r_e_op1_RNINDMJD_30)) (portRef (member edata2_iv 1)) )) (net y6 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI893A1_20)) (portRef B (instanceRef r_x_ctrl_inst_RNITM3O1_30)) (portRef A (instanceRef r_x_ctrl_inst_RNI50723_30)) (portRef A (instanceRef r_x_ctrl_inst_RNIUI9N3_20)) )) (net cnt_3_sqmuxa (joined (portRef Y (instanceRef r_d_cnt_RNO_0_1)) (portRef A (instanceRef r_d_cnt_RNO_1)) )) (net un4_op3 (joined (portRef Y (instanceRef r_d_inst_0_RNI42J4_21)) (portRef A (instanceRef r_d_pv_RNO_6)) (portRef A (instanceRef r_d_inst_0_RNIOSIB_21)) )) (net inst_4 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNILM231_21)) (portRef C (instanceRef r_m_ctrl_inst_RNI5S3O1_24)) )) (net inst_2_1 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNIVK0E_0_21)) (portRef A (instanceRef comb_mem_trap_trap54_RNO_2)) (portRef B (instanceRef comb_mem_trap_trap54_RNO)) )) (net logicout22 (joined (portRef Y (instanceRef r_e_aluop_0_RNIEAJ5_0_1)) (portRef B (instanceRef r_e_aluop_0_RNIMLHF_1)) (portRef A (instanceRef r_e_aluop_0_RNI9NOH_1)) )) (net (rename tt_2_4 "tt_2[4]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_4)) (portRef D (instanceRef r_e_ctrl_tt_4)) )) (net N_326 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIJL4D2_23)) (portRef C (instanceRef r_e_ctrl_tt_RNO_1_5)) (portRef A (instanceRef r_e_ctrl_tt_RNO_4)) )) (net N_521 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIDL68H_24)) (portRef C (instanceRef r_e_ctrl_tt_RNO_5)) (portRef C (instanceRef r_e_ctrl_tt_RNO_4)) )) (net N_319 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_1_5)) (portRef B (instanceRef r_e_ctrl_tt_RNO_5)) )) (net N_421 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_3_5)) (portRef A (instanceRef r_e_ctrl_tt_RNO_1_5)) )) (net N_267 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_4_5)) (portRef B (instanceRef r_e_ctrl_tt_RNO_1_5)) )) (net N_63_i_0 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_5)) (portRef D (instanceRef r_e_ctrl_tt_5)) )) (net N_250 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_5_5)) (portRef B (instanceRef r_e_ctrl_tt_RNO_3_5)) )) (net N_417 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNITO2A1_20)) (portRef A (instanceRef r_a_ctrl_inst_RNIJL4D2_23)) (portRef B (instanceRef r_a_su_RNIRVDR3)) )) (net tt_1_sqmuxa (joined (portRef Y (instanceRef r_m_ctrl_trap_RNI8PSUK1)) (portRef A (instanceRef r_m_ctrl_pv_RNIFF11D2)) (portRef A (instanceRef r_x_ctrl_tt_RNO_0_5)) (portRef S (instanceRef r_x_ctrl_tt_RNO_4)) (portRef S (instanceRef r_x_ctrl_tt_RNO_1_3)) (portRef S (instanceRef r_x_ctrl_tt_RNO_0_2)) (portRef S (instanceRef r_x_ctrl_tt_RNO_0_1)) (portRef S (instanceRef r_x_ctrl_tt_RNO_1_0)) )) (net trap_1_sqmuxa_1 (joined (portRef Y (instanceRef trap_0_sqmuxa_RNINQC8L)) (portRef B (instanceRef r_m_ctrl_trap_RNI8PSUK1)) )) (net N_268 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_0_0)) (portRef B (instanceRef r_e_ctrl_tt_RNO_0)) )) (net wovf (joined (portRef Q (instanceRef r_a_wovf)) (portRef C (instanceRef r_e_ctrl_tt_RNO_3_1)) (portRef B (instanceRef r_a_wovf_RNIO7N5)) (portRef A (instanceRef r_e_ctrl_tt_RNO_0_0)) )) (net N_522_i_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI970VD_30)) (portRef C (instanceRef r_e_ctrl_tt_RNO_3)) (portRef B (instanceRef r_e_ctrl_tt_RNO_1_0)) (portRef B (instanceRef r_e_ctrl_tt_RNO_0_0)) )) (net N_317 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_1_0)) (portRef C (instanceRef r_e_ctrl_tt_RNO_0)) )) (net N_279 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_3_0)) (portRef A (instanceRef r_e_ctrl_tt_RNO_1_0)) )) (net (rename eres2_26 "eres2[26]") (joined (portRef Y (instanceRef r_e_op1_RNIGNG566_26)) (portRef D (instanceRef r_m_result_26)) (portRef A (instanceRef r_a_rsel1_2_RNIH1B866_2)) (portRef A (instanceRef r_e_op2_RNO_5_26)) )) (net cin (joined (portRef Y (instanceRef r_e_alucin_RNO)) (portRef D (instanceRef r_e_alucin)) )) (net alucin_RNO_0 (joined (portRef Y (instanceRef r_e_alucin_RNO_0)) (portRef A (instanceRef r_e_alucin_RNO)) )) (net alucin_RNO_2 (joined (portRef Y (instanceRef r_e_alucin_RNO_2)) (portRef C (instanceRef r_e_alucin_RNO)) )) (net N_309 (joined (portRef Y (instanceRef r_e_alucin_RNO_7)) (portRef A (instanceRef r_e_alucin_RNO_3)) )) (net N_308 (joined (portRef Y (instanceRef r_e_alucin_RNO_8)) (portRef B (instanceRef r_e_alucin_RNO_3)) )) (net N_481 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIEK1E_0_19)) (portRef C (instanceRef r_a_ctrl_inst_RNIUS2A1_25)) (portRef C (instanceRef r_e_alusel_RNO_1_1)) (portRef B (instanceRef r_e_alusel_RNO_3_0)) (portRef B (instanceRef r_e_alucin_RNO_8)) )) (net (rename icc_2_0 "icc_2[0]") (joined (portRef Q (instanceRef r_m_icc_0)) (portRef D (instanceRef r_x_icc_0)) (portRef A (instanceRef r_m_icc_RNIC9LF2_0)) (portRef B (instanceRef r_m_icc_RNI96A3_0)) (portRef B (instanceRef r_m_icc_RNI68I3_0)) (portRef B (instanceRef r_m_icc_RNO_0_0)) (portRef A (instanceRef r_e_alucin_RNO_6)) (portRef B (instanceRef r_a_ctrl_inst_RNIC8Q8_28)) (portRef B (instanceRef r_e_ctrl_inst_RNIG8Q8_28)) (portRef A (instanceRef r_e_alucin_RNO_4)) )) (net wicc_0 (joined (portRef Q (instanceRef r_e_ctrl_wicc)) (portRef S (instanceRef r_e_ctrl_wicc_RNIB7MIC9)) (portRef A (instanceRef r_a_ctrl_wicc_RNI0ERB)) (portRef A (instanceRef r_m_ctrl_wicc_RNO)) (portRef S (instanceRef r_e_ctrl_wicc_RNI7LCQA7)) (portRef S (instanceRef r_m_icc_RNO_0)) (portRef S (instanceRef r_e_alucin_RNO_6)) (portRef S (instanceRef r_m_icc_RNO_2)) (portRef A (instanceRef r_e_alucin_RNO_0)) (portRef B (instanceRef r_e_alucin_RNO_4)) )) (net cin_1_sqmuxa (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI013H1_24)) (portRef B (instanceRef r_e_alucin_RNO_0)) (portRef C (instanceRef r_e_alucin_RNO_4)) )) (net N_5009 (joined (portRef Y (instanceRef r_e_aluadd_RNI4QOJF4)) (portRef B (instanceRef r_m_icc_RNO_0)) (portRef B (instanceRef r_e_alucin_RNO_6)) (portRef C (instanceRef r_e_alucin_RNO_0)) )) (net ncin (joined (portRef Y (instanceRef r_e_alucin_RNO_6)) (portRef C (instanceRef r_e_alucin_RNO_2)) )) (net (rename y_1_5 "y_1[5]") (joined (portRef Y (instanceRef r_w_s_y_RNO_5)) (portRef D (instanceRef r_w_s_y_5)) )) (net (rename y_2_5 "y_2[5]") (joined (portRef Q (instanceRef r_w_s_y_5)) (portRef A (instanceRef r_w_s_y_RNI9IG91_5)) (portRef B (instanceRef r_m_y_RNO_2_5)) (portRef B (instanceRef r_w_s_y_RNO_5)) )) (net N610_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I183_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I182_Y_0_o3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I217_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I267_Y_0)) )) (net un1_icc_check5 (joined (portRef Y (instanceRef comb_lock_gen_icc_check6_RNIQU222)) (portRef B (instanceRef r_d_inst_0_RNIPL7S2_31)) )) (net imm9 (joined (portRef Y (instanceRef r_d_inst_0_RNIRE4Q_19)) (portRef B (instanceRef r_d_inst_0_RNI31OU_31)) (portRef B (instanceRef comb_lock_gen_icc_check6_RNIQU222)) )) (net ldcheck2_2_sqmuxa_1 (joined (portRef Y (instanceRef r_d_inst_0_RNI703B_22)) (portRef B (instanceRef r_d_inst_0_RNI08FU3_13)) )) (net write_reg_4_sqmuxa_1 (joined (portRef Y (instanceRef r_d_inst_0_RNI5423_23)) (portRef B (instanceRef r_d_inst_0_RNI66J4_22)) (portRef C (instanceRef r_d_inst_0_RNIA869_20)) (portRef B (instanceRef un1_write_reg30_1_3_RNO_3)) (portRef B (instanceRef r_d_inst_0_RNI703B_22)) )) (net N_8067 (joined (portRef Y (instanceRef r_d_inst_0_RNIKI1A_21)) (portRef B (instanceRef r_d_inst_0_RNIQQ3D_25)) )) (net rd_0_sqmuxa_2 (joined (portRef Y (instanceRef r_d_inst_0_RNI1423_21)) (portRef A (instanceRef r_d_inst_0_RNIKI1A_21)) )) (net un51_ra (joined (portRef Y (instanceRef comb_regaddr_un51_ra)) (portRef A (instanceRef r_d_inst_0_RNIEOS04_4)) (portRef A (instanceRef r_d_inst_0_RNIOEPU3_4)) (portRef A (instanceRef r_d_inst_0_RNI35MS3_4)) )) (net I_13_1 (joined (portRef Y (instanceRef un46_ra_I_13)) (portRef B (instanceRef r_d_inst_0_RNIOEPU3_4)) (portRef A (instanceRef comb_regaddr_un51_ra)) )) (net (rename DWACT_ADD_CI_0_partial_sum_2_0 "DWACT_ADD_CI_0_partial_sum_2[0]") (joined (portRef Y (instanceRef un46_ra_I_9)) (portRef B (instanceRef r_d_inst_0_RNI35MS3_4)) (portRef B (instanceRef comb_regaddr_un51_ra)) )) (net I_14_1 (joined (portRef Y (instanceRef un46_ra_I_14)) (portRef B (instanceRef r_d_inst_0_RNIEOS04_4)) (portRef C (instanceRef comb_regaddr_un51_ra)) )) (net I268_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I268_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I268_Y)) )) (net N659_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I190_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I244_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I268_un1_Y)) )) (net N642_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I173_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I213_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I268_Y)) )) (net I229_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I229_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I268_Y)) )) (net (rename un6_ex_add_res_s1_i_25 "un6_ex_add_res_s1_i[25]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I315_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIF75U33)) )) (net I267_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I267_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I314_Y_0)) )) (net N657_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I188_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I243_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I267_un1_Y)) )) (net I267_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I267_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I314_Y_0)) )) (net N657_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I188_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I243_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I267_un1_Y)) )) (net N672_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I203_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I250_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I243_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I298_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I267_un1_Y)) )) (net (rename un6_ex_add_res_s1_i_24 "un6_ex_add_res_s1_i[24]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I314_Y_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIPQBLR2)) )) (net N608_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I197_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I204_Y)) )) (net N412 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I6_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I81_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_Y)) )) (net I83_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I83_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I145_Y)) )) (net N609_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I146_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I198_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I204_Y)) )) (net N413 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I6_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I83_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I146_Y)) )) (net (rename data_0_1_6 "data_0_1[6]") (joined (portRef Y (instanceRef r_x_data_0_RNO_6)) (portRef D (instanceRef r_x_data_0_6)) )) (net (rename dco_m_i_126 "dco_m_i[126]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_6)) (portRef B (instanceRef r_x_data_0_RNO_6)) )) (net N_57_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_a3_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1)) )) (net N398 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I1_P0N_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_a3_1)) )) (net N401 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I2_P0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_a3_1)) )) (net N_8115_i (joined (portRef Y (instanceRef r_e_invop2_0_RNIQV5F)) (portRef B (instanceRef r_e_ldbp2_RNIEEOO)) )) (net (rename edata2_0_iv_1 "edata2_0_iv[1]") (joined (portRef Y (instanceRef r_e_op1_RNIJVCB6_1)) (portRef (member edata2_0_iv 22)) )) (net (rename pc_1_24 "pc_1[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_24)) (portRef D (instanceRef r_f_pc_24)) )) (net (rename pc_4_m_i_24 "pc_4_m_i[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_24)) (portRef B (instanceRef r_f_pc_RNO_24)) )) (net (rename edata2_iv_27 "edata2_iv[27]") (joined (portRef Y (instanceRef r_e_op1_RNI6CVID_27)) (portRef (member edata2_iv 4)) )) (net (rename ex_op1_i_m_27 "ex_op1_i_m[27]") (joined (portRef Y (instanceRef r_e_op1_RNIE3FO1_27)) (portRef B (instanceRef r_e_op1_RNI6CVID_27)) )) (net (rename data_0_1_27 "data_0_1[27]") (joined (portRef Y (instanceRef r_x_data_0_RNO_27)) (portRef D (instanceRef r_x_data_0_27)) )) (net (rename dco_m_1_123 "dco_m_1[123]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_27)) (portRef A (instanceRef r_x_data_0_RNO_27)) )) (net (rename data_0_m_27 "data_0_m[27]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_27)) (portRef B (instanceRef r_x_data_0_RNO_27)) )) (net (rename data_0_1_15 "data_0_1[15]") (joined (portRef Y (instanceRef r_x_data_0_RNO_15)) (portRef D (instanceRef r_x_data_0_15)) )) (net (rename data_0_1_5 "data_0_1[5]") (joined (portRef Y (instanceRef r_x_data_0_RNO_5)) (portRef D (instanceRef r_x_data_0_5)) )) (net (rename dco_m_i_117 "dco_m_i[117]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2_5)) (portRef C (instanceRef r_x_data_0_RNO_5)) )) (net N_50_2 (joined (portRef Y (instanceRef r_x_laddr_RNIM9DCI_0)) (portRef A (instanceRef r_x_laddr_RNIUL7U81_0)) (portRef C (instanceRef r_x_dci_signed_RNIDCC371)) )) (net (rename data_0_1_2 "data_0_1[2]") (joined (portRef Y (instanceRef r_x_data_0_RNO_2)) (portRef D (instanceRef r_x_data_0_2)) )) (net (rename dco_m_i_122 "dco_m_i[122]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_2)) (portRef A (instanceRef r_x_data_0_RNO_2)) )) (net (rename dco_m_i_98 "dco_m_i[98]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_2)) (portRef B (instanceRef r_x_data_0_RNO_2)) )) (net (rename inst_18 "inst[18]") (joined (portRef Q (instanceRef r_e_ctrl_inst_18)) (portRef A (instanceRef r_e_ctrl_inst_RNI2P2L_14)) )) (net (rename inst_14 "inst[14]") (joined (portRef Q (instanceRef r_e_ctrl_inst_14)) (portRef B (instanceRef r_e_ctrl_inst_RNI2P2L_14)) )) (net (rename inst_17 "inst[17]") (joined (portRef Q (instanceRef r_e_ctrl_inst_17)) (portRef C (instanceRef r_e_ctrl_inst_RNI2P2L_14)) )) (net (rename data_0_1_0 "data_0_1[0]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0)) (portRef D (instanceRef r_x_data_0_0)) )) (net (rename dco_m_i_96 "dco_m_i[96]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_0)) (portRef A (instanceRef r_x_data_0_RNO_0)) )) (net (rename dco_m_i_112 "dco_m_i[112]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_0)) (portRef B (instanceRef r_x_data_0_RNO_0)) )) (net (rename data_0_1_1 "data_0_1[1]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1)) (portRef D (instanceRef r_x_data_0_1)) )) (net (rename dco_m_i_121 "dco_m_i[121]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_1)) (portRef B (instanceRef r_x_data_0_RNO_1)) )) (net (rename data_0_1_3 "data_0_1[3]") (joined (portRef Y (instanceRef r_x_data_0_RNO_3)) (portRef D (instanceRef r_x_data_0_3)) )) (net (rename dco_m_i_99 "dco_m_i[99]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_3)) (portRef A (instanceRef r_x_data_0_RNO_3)) )) (net (rename dco_m_i_115 "dco_m_i[115]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_3)) (portRef B (instanceRef r_x_data_0_RNO_3)) )) (net (rename data_0_1_4 "data_0_1[4]") (joined (portRef Y (instanceRef r_x_data_0_RNO_4)) (portRef D (instanceRef r_x_data_0_4)) )) (net (rename dco_m_i_100 "dco_m_i[100]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_4)) (portRef A (instanceRef r_x_data_0_RNO_4)) )) (net (rename dco_m_i_116 "dco_m_i[116]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_4)) (portRef B (instanceRef r_x_data_0_RNO_4)) )) (net (rename data_0_1_9 "data_0_1[9]") (joined (portRef Y (instanceRef r_x_data_0_RNO_9)) (portRef D (instanceRef r_x_data_0_9)) )) (net (rename data_0_1_12 "data_0_1[12]") (joined (portRef Y (instanceRef r_x_data_0_RNO_12)) (portRef D (instanceRef r_x_data_0_12)) )) (net (rename data_0_1_20 "data_0_1[20]") (joined (portRef Y (instanceRef r_x_data_0_RNO_20)) (portRef D (instanceRef r_x_data_0_20)) )) (net (rename data_0_1_21 "data_0_1[21]") (joined (portRef Y (instanceRef r_x_data_0_RNO_21)) (portRef D (instanceRef r_x_data_0_21)) )) (net (rename dco_m_0_117 "dco_m_0[117]") (joined (portRef Y (instanceRef r_x_data_0_RNO_0_21)) (portRef A (instanceRef r_x_data_0_RNO_21)) )) (net (rename data_0_m_21 "data_0_m[21]") (joined (portRef Y (instanceRef r_x_data_0_RNO_1_21)) (portRef B (instanceRef r_x_data_0_RNO_21)) )) (net (rename data_0_1_24 "data_0_1[24]") (joined (portRef Y (instanceRef r_x_data_0_RNO_24)) (portRef D (instanceRef r_x_data_0_24)) )) (net (rename data_0_1_30 "data_0_1[30]") (joined (portRef Y (instanceRef r_x_data_0_RNO_30)) (portRef D (instanceRef r_x_data_0_30)) )) (net (rename npc0_i_3 "npc0_i[3]") (joined (portRef Y (instanceRef r_f_pc_RNIGCVVM8_3)) (portRef A (instanceRef r_f_pc_RNITK1A9C_3)) )) (net (rename un6_fe_npc3_m_i_1 "un6_fe_npc3_m_i[1]") (joined (portRef Y (instanceRef r_e_bp_RNIQKTEI1)) (portRef B (instanceRef r_f_pc_RNIGCVVM8_3)) )) (net (rename npc0_i_2 "npc0_i[4]") (joined (portRef Y (instanceRef r_f_pc_RNI9NGSP8_4)) (portRef npc0_i_2) )) (net (rename un6_fe_npc3_m_i_2 "un6_fe_npc3_m_i[2]") (joined (portRef Y (instanceRef r_e_bp_RNIJJ5II1)) (portRef B (instanceRef r_f_pc_RNI9NGSP8_4)) )) (net (rename npc0_iZ0Z_5 "npc0_i[5]") (joined (portRef Y (instanceRef r_f_pc_RNILRJVT8_5)) (portRef A (instanceRef r_f_pc_RNIQPRPKC_5)) )) (net (rename un6_fe_npc3_m_i_3 "un6_fe_npc3_m_i[3]") (joined (portRef Y (instanceRef r_e_bp_RNIDIDLI1)) (portRef B (instanceRef r_f_pc_RNILRJVT8_5)) )) (net (rename npc0_6 "npc0[6]") (joined (portRef Y (instanceRef r_f_pc_RNIBQ8109_6)) (portRef A (instanceRef r_f_pc_RNI1CO8OC_6)) )) (net (rename un6_fe_npc3_m_i_4 "un6_fe_npc3_m_i[4]") (joined (portRef Y (instanceRef r_e_bp_RNI8HLOI1)) (portRef B (instanceRef r_f_pc_RNIBQ8109_6)) )) (net (rename npc0_i_5 "npc0_i[7]") (joined (portRef Y (instanceRef r_f_pc_RNIFU1L39_7)) (portRef npc0_i_5) )) (net (rename un6_fe_npc3_m_i_5 "un6_fe_npc3_m_i[5]") (joined (portRef Y (instanceRef r_e_bp_RNI4GTRI1)) (portRef B (instanceRef r_f_pc_RNIFU1L39_7)) )) (net (rename npc0_9 "npc0[9]") (joined (portRef Y (instanceRef r_x_rstate_0_RNISLFM89_1)) (portRef A (instanceRef r_f_pc_RNIFJ957D_9)) )) (net (rename un6_fe_npc2_m_i_7 "un6_fe_npc2_m_i[7]") (joined (portRef Y (instanceRef r_x_rstate_0_RNI9D0PM1_1)) (portRef A (instanceRef r_x_rstate_0_RNISLFM89_1)) )) (net (rename un6_fe_npc0_m_i_7 "un6_fe_npc0_m_i[7]") (joined (portRef Y (instanceRef r_a_bp_RNIPD0PM1)) (portRef B (instanceRef r_x_rstate_0_RNISLFM89_1)) )) (net (rename npc0_i_10 "npc0_i[10]") (joined (portRef Y (instanceRef r_f_pc_RNI19CEC9_10)) (portRef A (instanceRef r_f_pc_RNIEP9EED_10)) )) (net (rename un6_fe_npc3_m_i_8 "un6_fe_npc3_m_i[8]") (joined (portRef Y (instanceRef r_e_bp_RNI5E86J1)) (portRef B (instanceRef r_f_pc_RNI19CEC9_10)) )) (net (rename npc0_i_11 "npc0_i[11]") (joined (portRef Y (instanceRef r_f_pc_RNIVKTCJ9_11)) (portRef A (instanceRef r_f_pc_RNIDUSMPD_11)) )) (net (rename un6_fe_npc3_m_i_9 "un6_fe_npc3_m_i[9]") (joined (portRef Y (instanceRef r_e_bp_RNICI3AJ1)) (portRef B (instanceRef r_f_pc_RNIVKTCJ9_11)) )) (net (rename pc_1_2 "pc_1[2]") (joined (portRef Y (instanceRef r_f_pc_RNO_2)) (portRef D (instanceRef r_f_pc_2)) )) (net (rename pc_4_m_i_2 "pc_4_m_i[2]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_2)) (portRef B (instanceRef r_f_pc_RNO_2)) )) (net (rename pc_1_3 "pc_1[3]") (joined (portRef Y (instanceRef r_f_pc_RNO_3)) (portRef D (instanceRef r_f_pc_3)) )) (net (rename pc_4_m_i_3 "pc_4_m_i[3]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_3)) (portRef B (instanceRef r_f_pc_RNO_3)) )) (net (rename pc_1_4 "pc_1[4]") (joined (portRef Y (instanceRef r_f_pc_RNO_4)) (portRef D (instanceRef r_f_pc_4)) )) (net (rename pc_4_m_i_4 "pc_4_m_i[4]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_4)) (portRef B (instanceRef r_f_pc_RNO_4)) )) (net (rename pc_1_7 "pc_1[7]") (joined (portRef Y (instanceRef r_f_pc_RNO_7)) (portRef D (instanceRef r_f_pc_7)) )) (net (rename pc_4_m_i_7 "pc_4_m_i[7]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_7)) (portRef B (instanceRef r_f_pc_RNO_7)) )) (net (rename pc_1_9 "pc_1[9]") (joined (portRef Y (instanceRef r_f_pc_RNO_9)) (portRef D (instanceRef r_f_pc_9)) )) (net (rename pc_4_m_i_9 "pc_4_m_i[9]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_9)) (portRef B (instanceRef r_f_pc_RNO_9)) )) (net (rename pc_1_10 "pc_1[10]") (joined (portRef Y (instanceRef r_f_pc_RNO_10)) (portRef D (instanceRef r_f_pc_10)) )) (net (rename pc_4_m_i_10 "pc_4_m_i[10]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_10)) (portRef B (instanceRef r_f_pc_RNO_10)) )) (net (rename pc_1_11 "pc_1[11]") (joined (portRef Y (instanceRef r_f_pc_RNO_11)) (portRef D (instanceRef r_f_pc_11)) )) (net (rename pc_4_m_i_11 "pc_4_m_i[11]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_11)) (portRef B (instanceRef r_f_pc_RNO_11)) )) (net (rename pc_1_12 "pc_1[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_12)) (portRef D (instanceRef r_f_pc_12)) )) (net (rename pc_4_m_i_12 "pc_4_m_i[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_12)) (portRef B (instanceRef r_f_pc_RNO_12)) )) (net (rename pc_1_13 "pc_1[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_13)) (portRef D (instanceRef r_f_pc_13)) )) (net (rename tmp_m_0_i_13 "tmp_m_0_i[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_13)) (portRef A (instanceRef r_f_pc_RNO_13)) )) (net N_5391_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_1_13)) (portRef B (instanceRef r_f_pc_RNO_13)) )) (net (rename pc_1_14 "pc_1[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_14)) (portRef D (instanceRef r_f_pc_14)) )) (net (rename pc_4_m_i_14 "pc_4_m_i[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_14)) (portRef B (instanceRef r_f_pc_RNO_14)) )) (net (rename pc_1_17 "pc_1[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_17)) (portRef D (instanceRef r_f_pc_17)) )) (net (rename tmp_m_0_i_17 "tmp_m_0_i[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_17)) (portRef A (instanceRef r_f_pc_RNO_17)) )) (net N_5395_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_1_17)) (portRef B (instanceRef r_f_pc_RNO_17)) )) (net (rename pc_1_18 "pc_1[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_18)) (portRef D (instanceRef r_f_pc_18)) )) (net (rename pc_4_m_i_18 "pc_4_m_i[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_18)) (portRef B (instanceRef r_f_pc_RNO_18)) )) (net (rename pc_1_20 "pc_1[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_20)) (portRef D (instanceRef r_f_pc_20)) )) (net (rename pc_4_m_i_20 "pc_4_m_i[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_20)) (portRef B (instanceRef r_f_pc_RNO_20)) )) (net (rename pc_1_21 "pc_1[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_21)) (portRef D (instanceRef r_f_pc_21)) )) (net (rename tmp_m_0_i_21 "tmp_m_0_i[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_21)) (portRef A (instanceRef r_f_pc_RNO_21)) )) (net N_5399_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_1_21)) (portRef B (instanceRef r_f_pc_RNO_21)) )) (net (rename pc_1_25 "pc_1[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_25)) (portRef D (instanceRef r_f_pc_25)) )) (net (rename tmp_m_0_i_25 "tmp_m_0_i[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_0_25)) (portRef A (instanceRef r_f_pc_RNO_25)) )) (net N_5403_m_0_i (joined (portRef Y (instanceRef r_f_pc_RNO_1_25)) (portRef B (instanceRef r_f_pc_RNO_25)) )) (net (rename pc_1_26 "pc_1[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_26)) (portRef D (instanceRef r_f_pc_26)) )) (net (rename pc_4_m_i_26 "pc_4_m_i[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_26)) (portRef B (instanceRef r_f_pc_RNO_26)) )) (net (rename pc_1_27 "pc_1[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_27)) (portRef D (instanceRef r_f_pc_27)) )) (net (rename pc_4_m_i_27 "pc_4_m_i[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_27)) (portRef B (instanceRef r_f_pc_RNO_27)) )) (net (rename pc_1_29 "pc_1[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_29)) (portRef D (instanceRef r_f_pc_29)) )) (net (rename pc_4_m_i_29 "pc_4_m_i[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_1_29)) (portRef B (instanceRef r_f_pc_RNO_29)) )) (net (rename un6_ex_add_res_s1_i_2 "un6_ex_add_res_s1_i[2]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I292_Y_0)) (portRef A (instanceRef r_e_ldbp2_RNI520Q1)) )) (net N_7934_i_0 (joined (portRef Y (instanceRef un1_r_w_s_cwp_1_1_SUM1_0)) (portRef A (instanceRef r_x_result_RNIGI4O46_1)) )) (net CO0 (joined (portRef Y (instanceRef un1_r_w_s_cwp_1_1_ANB0)) (portRef C (instanceRef un1_r_w_s_cwp_1_1_CO1)) (portRef A (instanceRef un1_r_w_s_cwp_1_1_SUM1_0)) )) (net (rename cwp_0_1 "cwp_0[1]") (joined (portRef Q (instanceRef r_w_s_cwp_1)) (portRef C (instanceRef r_w_s_cwp_RNIUMCFS_1)) (portRef A (instanceRef r_w_s_cwp_RNIOLP81_1)) (portRef A (instanceRef un1_r_w_s_cwp_1_1_CO1)) (portRef A (instanceRef r_w_s_cwp_RNI9III_2)) (portRef C (instanceRef r_w_s_cwp_RNI9III_0_2)) (portRef B (instanceRef un1_r_w_s_cwp_1_1_SUM1_0)) )) (net rd_1_6_i (joined (portRef Y (instanceRef r_a_ctrl_rd_RNISN544_6)) (portRef A (instanceRef r_a_ctrl_rd_RNI43KSE_4)) )) (net rd_1_5_i (joined (portRef Y (instanceRef r_a_ctrl_rd_RNI5E224_5)) (portRef B (instanceRef r_a_ctrl_rd_RNI43KSE_4)) )) (net N558 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I137_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I183_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I221_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I242_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I263_Y_0)) )) (net (rename tmp_14 "tmp[14]") (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I272_Y_0)) (portRef B (instanceRef r_f_pc_RNO_7_14)) )) (net (rename dpc_14 "dpc[14]") (joined (portRef Q (instanceRef r_d_pc_14)) (portRef B (instanceRef un6_fe_npc_2_I_73)) (portRef C (instanceRef un6_fe_npc_2_I_76)) (portRef A (instanceRef un6_fe_npc_2_I_80)) (portRef A (instanceRef un6_fe_npc_2_I_87)) (portRef D (instanceRef r_a_ctrl_pc_14)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I12_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I12_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I62_Y)) (portRef B (instanceRef r_d_pc_RNO_14)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I272_Y_0)) )) (net N732_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I220_Y_0_o3)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I272_Y_0)) )) (net (rename inst_0_18 "inst_0[18]") (joined (portRef Q (instanceRef r_d_inst_0_18)) (portRef B (instanceRef un28_ra_I_1)) (portRef B (instanceRef un28_ra_I_9)) (portRef D (instanceRef r_a_ctrl_inst_18)) (portRef B (instanceRef r_d_inst_0_RNO_0_18)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I18_P0N)) (portRef A (instanceRef r_a_imm_RNO_28)) (portRef B (instanceRef r_d_inst_0_RNI7DOH_18)) (portRef A (instanceRef r_d_inst_0_RNI7S13_17)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I50_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I18_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I278_Y_0)) )) (net (rename dpc_20 "dpc[20]") (joined (portRef Q (instanceRef r_d_pc_20)) (portRef B (instanceRef un6_fe_npc_2_I_115)) (portRef C (instanceRef un6_fe_npc_2_I_121)) (portRef A (instanceRef un6_fe_npc_2_I_125)) (portRef A (instanceRef un6_fe_npc_2_I_132)) (portRef D (instanceRef r_a_ctrl_pc_20)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I18_P0N)) (portRef B (instanceRef r_d_pc_RNO_20)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I50_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I18_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I278_Y_0)) )) (net N716 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I243_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I241_Y_0_o3)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I278_Y_0)) )) (net N_152 (joined (portRef Y (instanceRef r_d_inst_0_RNI6AJ4_25)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I25_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I25_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I285_Y_0)) )) (net (rename dpc_27 "dpc[27]") (joined (portRef Q (instanceRef r_d_pc_27)) (portRef B (instanceRef un6_fe_npc_2_I_173)) (portRef B (instanceRef un6_fe_npc_2_I_176)) (portRef B (instanceRef un6_fe_npc_2_I_189)) (portRef D (instanceRef r_a_ctrl_pc_27)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I25_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I25_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I285_Y_0)) )) (net N702_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I285_Y_0)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNICCP9Q1_4)) (portRef (member waddr 2)) )) (net (rename cwp_m_0_0_0 "cwp_m_0_0[0]") (joined (portRef Y (instanceRef r_w_s_cwp_RNITMCFS_0)) (portRef A (instanceRef r_x_ctrl_rd_RNICCP9Q1_4)) )) (net (rename cpi_m_0_391 "cpi_m_0[391]") (joined (portRef Y (instanceRef r_x_rstate_RNIOVUM1_0)) (portRef B (instanceRef r_x_ctrl_rd_RNICCP9Q1_4)) )) (net (rename rd_m_4 "rd_m[4]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNINLD3S_4)) (portRef C (instanceRef r_x_ctrl_rd_RNICCP9Q1_4)) )) (net rdata_6_sqmuxa (joined (portRef Y (instanceRef r_x_dci_size_RNICO0N7_1)) (portRef A (instanceRef r_x_data_0_RNO_2_20)) (portRef C (instanceRef r_x_data_0_RNO_0_21)) (portRef A (instanceRef r_x_data_0_RNO_1_24)) (portRef C (instanceRef r_x_data_0_RNO_1_30)) (portRef A (instanceRef r_x_data_0_RNO_0_27)) (portRef C (instanceRef r_x_data_0_RNO_0_19)) (portRef C (instanceRef r_x_data_0_RNO_0_16)) (portRef A (instanceRef r_x_data_0_RNO_0_18)) (portRef A (instanceRef r_x_data_0_RNO_0_31)) (portRef A (instanceRef r_x_data_0_RNO_0_25)) (portRef A (instanceRef r_x_laddr_RNI8CQHM_1)) (portRef A (instanceRef r_x_data_0_RNO_0_23)) (portRef A (instanceRef r_x_data_0_RNO_1_26)) (portRef C (instanceRef r_x_data_0_RNO_1_17)) (portRef C (instanceRef r_x_data_0_RNO_1_29)) (portRef A (instanceRef r_x_data_0_RNO_0_22)) (portRef C (instanceRef r_x_data_0_RNO_1_28)) (portRef C (instanceRef r_x_laddr_RNIUL7U81_0)) )) (net (rename eres2_22 "eres2[22]") (joined (portRef Y (instanceRef r_e_op1_RNIH5G5F5_22)) (portRef D (instanceRef r_m_result_22)) (portRef A (instanceRef r_a_rsel1_0_RNIGFA8F5_2)) (portRef A (instanceRef r_e_op2_RNO_5_22)) )) (net (rename aluresult_22 "aluresult[22]") (joined (portRef Y (instanceRef r_e_jmpl_RNILUTOB5)) (portRef B (instanceRef r_e_op1_RNIH5G5F5_22)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIFGP9Q1_5)) (portRef (member waddr 1)) )) (net (rename cwp_m_0_0_1 "cwp_m_0_0[1]") (joined (portRef Y (instanceRef r_w_s_cwp_RNIUMCFS_1)) (portRef A (instanceRef r_x_ctrl_rd_RNIFGP9Q1_5)) )) (net (rename dbgi_m_0_12 "dbgi_m_0[12]") (joined (portRef Y (instanceRef r_x_rstate_RNIP3VM1_0)) (portRef B (instanceRef r_x_ctrl_rd_RNIFGP9Q1_5)) )) (net (rename rd_m_5 "rd_m[5]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIOLD3S_5)) (portRef C (instanceRef r_x_ctrl_rd_RNIFGP9Q1_5)) )) (net (rename edata2_0_iv_0 "edata2_0_iv[0]") (joined (portRef Y (instanceRef r_e_op1_RNICFCB6_0)) (portRef (member edata2_0_iv 23)) )) (net (rename ex_op1_i_m_0 "ex_op1_i_m[0]") (joined (portRef Y (instanceRef r_e_op1_RNI6P7F1_0)) (portRef A (instanceRef r_e_op1_RNICFCB6_0)) )) (net (rename op1_i_m_0 "op1_i_m[0]") (joined (portRef Y (instanceRef r_e_op1_RNIB1UB_0)) (portRef B (instanceRef r_e_op1_RNICFCB6_0)) )) (net (rename bpdata_i_m_0 "bpdata_i_m[0]") (joined (portRef Y (instanceRef r_x_result_RNIRK6G4_0)) (portRef C (instanceRef r_e_op1_RNICFCB6_0)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIIKP9Q1_6)) (portRef (member waddr 0)) )) (net (rename cwp_m_0_2 "cwp_m_0[2]") (joined (portRef Y (instanceRef r_w_s_cwp_RNIVMCFS_2)) (portRef A (instanceRef r_x_ctrl_rd_RNIIKP9Q1_6)) )) (net (rename dbgi_m_0_13 "dbgi_m_0[13]") (joined (portRef Y (instanceRef r_x_rstate_RNIQ7VM1_0)) (portRef B (instanceRef r_x_ctrl_rd_RNIIKP9Q1_6)) )) (net (rename rd_m_6 "rd_m[6]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIPLD3S_6)) (portRef C (instanceRef r_x_ctrl_rd_RNIIKP9Q1_6)) )) (net (rename eres2_31 "eres2[31]") (joined (portRef Y (instanceRef r_e_op1_RNIF54P57_31)) (portRef D (instanceRef r_m_result_31)) (portRef A (instanceRef r_a_rsel1_RNI30AP57_2)) (portRef A (instanceRef r_e_op2_RNO_5_31)) )) (net (rename cpi_m_0_423 "cpi_m_0[423]") (joined (portRef Y (instanceRef r_m_dci_enaddr_RNI6RE32)) (portRef A (instanceRef r_e_op1_RNIF54P57_31)) )) (net (rename op1_m_31 "op1_m[31]") (joined (portRef Y (instanceRef r_e_op1_RNIV6NF_31)) (portRef B (instanceRef r_e_op1_RNIF54P57_31)) )) (net (rename aluresult_m_31 "aluresult_m[31]") (joined (portRef Y (instanceRef r_m_casa_RNIA3U537)) (portRef C (instanceRef r_e_op1_RNIF54P57_31)) )) (net (rename eres2_25 "eres2[25]") (joined (portRef Y (instanceRef r_e_op1_RNIITDUU5_25)) (portRef D (instanceRef r_m_result_25)) (portRef A (instanceRef r_a_rsel1_2_RNIJ781V5_2)) (portRef A (instanceRef r_e_op2_RNO_5_25)) )) (net (rename aluresult_m_25 "aluresult_m[25]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI7R6BS5)) (portRef B (instanceRef r_e_op1_RNIITDUU5_25)) )) (net (rename eres2_20 "eres2[20]") (joined (portRef Y (instanceRef r_e_op1_RNI0OTH05_20)) (portRef D (instanceRef r_m_result_20)) (portRef A (instanceRef r_a_rsel1_0_RNIV1OK05_2)) (portRef A (instanceRef r_e_op2_RNO_5_20)) )) (net (rename aluresult_m_20 "aluresult_m[20]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIVTNUT4)) (portRef B (instanceRef r_e_op1_RNI0OTH05_20)) )) (net (rename eres2_21 "eres2[21]") (joined (portRef Y (instanceRef r_e_op1_RNIEA6M45_21)) (portRef D (instanceRef r_m_result_21)) (portRef A (instanceRef r_a_rsel1_1_RNIEK0P45_2)) (portRef A (instanceRef r_e_op2_RNO_5_21)) )) (net (rename aluresult_21 "aluresult[21]") (joined (portRef Y (instanceRef r_e_jmpl_RNIKBK915)) (portRef B (instanceRef r_e_op1_RNIEA6M45_21)) )) (net (rename eres2_1 "eres2[1]") (joined (portRef Y (instanceRef r_e_op1_RNI1OL1V1_1)) (portRef D (instanceRef r_m_result_1)) (portRef A (instanceRef r_a_rsel1_1_RNI12G4V1_2)) (portRef A (instanceRef r_a_rsel2_RNIMIR1V1_2)) )) (net (rename aluresult_1 "aluresult[1]") (joined (portRef Y (instanceRef r_e_jmpl_RNIBG9RR1)) (portRef B (instanceRef r_e_op1_RNI1OL1V1_1)) )) (net (rename aluresult_24 "aluresult[24]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJ8JJN5)) (portRef B (instanceRef r_e_op1_RNIJV50R5_24)) )) (net N_432 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIAS0E_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIHC1S_0_24)) (portRef B (instanceRef r_a_ctrl_inst_RNID01L_30)) (portRef B (instanceRef r_a_ctrl_inst_RNID01L_0_30)) (portRef B (instanceRef r_a_ctrl_inst_RNI013H1_24)) )) (net (rename eres2_27 "eres2[27]") (joined (portRef Y (instanceRef r_e_op1_RNI10TO76_27)) (portRef D (instanceRef r_m_result_27)) (portRef A (instanceRef r_a_rsel1_2_RNI2ANR76_2)) (portRef A (instanceRef r_e_op2_RNO_5_27)) )) (net (rename aluresult_27 "aluresult[27]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIRG9C46)) (portRef B (instanceRef r_e_op1_RNI10TO76_27)) )) (net (rename eres2_28 "eres2[28]") (joined (portRef Y (instanceRef r_e_op1_RNIDS48E6_28)) (portRef D (instanceRef r_m_result_28)) (portRef A (instanceRef r_a_rsel1_1_RNID6VAE6_2)) (portRef A (instanceRef r_e_op2_RNO_5_28)) )) (net (rename aluresult_28 "aluresult[28]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI55HRA6)) (portRef B (instanceRef r_e_op1_RNIDS48E6_28)) )) (net N_343 (joined (portRef Y (instanceRef r_e_aluop_0_RNIKVOK_0)) (portRef B (instanceRef r_e_aluop_0_RNIUJFQ2_0)) )) (net N_290 (joined (portRef Y (instanceRef r_e_aluop_RNIBCTU_0)) (portRef A (instanceRef r_e_aluop_0_RNIUJFQ2_0)) )) (net N_344 (joined (portRef Y (instanceRef r_e_aluop_RNIV7P61_0)) (portRef C (instanceRef r_e_aluop_0_RNIUJFQ2_0)) )) (net (rename pc_1_sqmuxaZ0 "pc_1_sqmuxa") (joined (portRef Y (instanceRef pc_1_sqmuxa)) (portRef C (instanceRef r_f_pc_RNIE3R43_7)) (portRef C (instanceRef r_f_pc_RNO_9_22)) (portRef C (instanceRef r_f_pc_RNO_9_18)) (portRef C (instanceRef r_f_pc_RNO_13_28)) (portRef C (instanceRef r_f_pc_RNIGBR43_9)) (portRef C (instanceRef r_f_pc_RNIBNQ43_4)) (portRef C (instanceRef r_f_pc_RNICRQ43_5)) (portRef C (instanceRef r_f_pc_RNIDVQ43_6)) (portRef C (instanceRef r_f_pc_RNI7GD53_11)) (portRef C (instanceRef r_f_pc_RNO_9_16)) (portRef C (instanceRef r_f_pc_RNO_9_12)) (portRef C (instanceRef r_f_pc_RNO_9_19)) (portRef C (instanceRef r_f_pc_RNO_11_21)) (portRef C (instanceRef r_f_pc_RNO_9_27)) (portRef C (instanceRef r_f_pc_RNIF7R43_8)) )) (net et5_m (joined (portRef Y (instanceRef r_w_s_et_RNI558521)) (portRef A (instanceRef r_x_result_RNITNF632_5)) )) (net et_0_sqmuxa_i (joined (portRef Y (instanceRef r_x_rstate_RNITFU1V_0)) (portRef A (instanceRef r_w_s_et_RNI558521)) )) (net rstate_15_0 (joined (portRef Y (instanceRef r_x_rstate_RNI0UHT2_0)) (portRef C (instanceRef r_x_rstate_RNIBEJ231_0)) (portRef B (instanceRef r_w_s_et_RNI558521)) )) (net (rename eres2_30 "eres2[30]") (joined (portRef Y (instanceRef r_e_op1_RNIN9JM47_30)) (portRef D (instanceRef r_m_result_30)) (portRef A (instanceRef r_a_rsel1_RNIB4PM47_2)) (portRef A (instanceRef r_e_op2_RNO_5_30)) )) (net (rename aluresult_m_30 "aluresult_m[30]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIKFD327)) (portRef B (instanceRef r_e_op1_RNIN9JM47_30)) )) (net un5_ldlock (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIBI6R_29)) (portRef A (instanceRef r_d_annul_RNI6GEF1)) )) (net un7_op (joined (portRef Y (instanceRef r_d_inst_0_RNIA869_19)) (portRef C (instanceRef r_a_wovf_RNO)) (portRef A (instanceRef r_a_wunf_RNO)) (portRef B (instanceRef r_d_cwp_RNI95GF_2)) (portRef B (instanceRef r_d_cwp_RNI95GF_1_2)) (portRef B (instanceRef r_d_cwp_RNI95GF_0_2)) (portRef A (instanceRef r_d_cnt_RNI2R7J_0)) )) (net N_291 (joined (portRef Y (instanceRef r_e_alusel_RNO_6_0)) (portRef B (instanceRef r_e_alusel_RNO_4_0)) )) (net N_8150 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI7G0E_0_30)) (portRef C (instanceRef r_a_ctrl_inst_RNIOC231_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIHC1S_0_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIAO0L_0_21)) (portRef B (instanceRef r_a_su_RNIK4AV)) (portRef B (instanceRef r_a_ctrl_inst_RNIMS131_23)) (portRef C (instanceRef r_a_ctrl_inst_RNIMS131_31)) (portRef B (instanceRef r_a_ctrl_inst_RNIP42A1_21)) (portRef C (instanceRef r_e_alusel_RNO_4_0)) )) (net (rename inst_3_21 "inst_3[21]") (joined (portRef Q (instanceRef r_a_ctrl_inst_21)) (portRef D (instanceRef r_e_ctrl_inst_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIAO0L_0_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIFK1L_0_21)) (portRef B (instanceRef r_a_ctrl_inst_RNI5C0E_0_21)) (portRef A (instanceRef r_a_ctrl_inst_RNI5C0E_21)) (portRef C (instanceRef r_e_alusel_RNO_6_0)) (portRef A (instanceRef r_a_ctrl_inst_RNIAO0L_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIFK1L_21)) (portRef B (instanceRef r_a_ctrl_inst_RNI6P4J3_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIQG231_21)) (portRef C (instanceRef r_a_ctrl_inst_RNI6PBR1_21)) (portRef C (instanceRef r_a_ctrl_inst_RNIP42A1_21)) )) (net N_484 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIAO0L_0_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIS82H1_30)) (portRef A (instanceRef r_a_ctrl_inst_RNIN02A1_20)) (portRef B (instanceRef r_a_ctrl_inst_RNI193H1_21)) )) (net N_476 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIB41E_23)) (portRef C (instanceRef r_a_ctrl_inst_RNIS82H1_30)) (portRef B (instanceRef r_a_su_RNIV8BD1)) (portRef B (instanceRef r_a_ctrl_inst_RNIN02A1_20)) (portRef A (instanceRef r_a_ctrl_inst_RNIMS131_31)) )) (net N_433 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNID01L_24)) (portRef A (instanceRef r_a_ctrl_inst_RNI153H1_23)) (portRef B (instanceRef r_a_ctrl_inst_RNISK2A1_0_19)) (portRef B (instanceRef r_a_ctrl_inst_RNIHC1S_22)) (portRef B (instanceRef r_a_ctrl_inst_RNIIG1S_0_23)) (portRef C (instanceRef r_a_ctrl_inst_RNIME7L2_22)) (portRef B (instanceRef r_a_ctrl_inst_RNIMGKM9_24)) (portRef C (instanceRef r_a_ctrl_inst_RNI4H3O1_22)) (portRef B (instanceRef r_e_ctrl_tt_RNO_2_3)) )) (net N_511 (joined (portRef Y (instanceRef r_a_su_RNIK4AV)) (portRef A (instanceRef r_a_su_RNIV8BD1)) (portRef B (instanceRef r_a_ctrl_inst_RNI6PBR1_21)) )) (net N_442 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIQG231_21)) (portRef C (instanceRef r_a_ctrl_inst_RNIOH5D2_21)) )) (net N_445 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIO02A1_20)) (portRef C (instanceRef r_a_ctrl_cnt_RNIVN022_0)) )) (net N_219 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIJ42S_13)) (portRef B (instanceRef r_a_ctrl_inst_RNI4H3O1_22)) )) (net N_196 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIFC1L_0_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIP42A1_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIMS131_23)) (portRef A (instanceRef r_a_ctrl_inst_RNIR82H1_23)) )) (net N_77_i_0 (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_3)) (portRef D (instanceRef r_e_ctrl_tt_3)) )) (net debug_1_sqmuxa (joined (portRef Y (instanceRef r_x_debug_RNO_6)) (portRef C (instanceRef r_x_debug_RNO_2)) )) (net rstate_4 (joined (portRef Y (instanceRef r_x_debug_RNO_4)) (portRef B (instanceRef r_x_debug_RNO_1)) )) (net dmode (joined (portRef Y (instanceRef comb_dbgexc_un82_dbgm_RNIGRLEN)) (portRef A (instanceRef r_x_debug_RNO_2)) (portRef B (instanceRef r_x_rstate_RNI29M2O_0)) (portRef A (instanceRef r_x_ctrl_trap_RNILE8MR)) (portRef A (instanceRef rp_pwd_RNIMKUJR)) (portRef A (instanceRef r_x_ctrl_trap_RNILE8MR_0)) (portRef B (instanceRef rp_pwd_RNIMKUJR_0)) (portRef A (instanceRef rp_pwd_RNINQ7ES)) (portRef B (instanceRef r_x_debug_RNO_4)) )) (net err_4 (joined (portRef Y (instanceRef dsur_err_RNO_1)) (portRef B (instanceRef dsur_err_RNO_0)) )) (net xc_wreg_2_sqmuxa (joined (portRef Y (instanceRef r_w_s_et_RNI6PFTR)) (portRef C (instanceRef r_w_s_et_RNIHB7RT)) )) (net error_0_sqmuxa_0 (joined (portRef Y (instanceRef r_w_s_et_RNIHB7RT)) (portRef B (instanceRef r_x_ctrl_wreg_RNIDRS0Q1)) )) (net wr_1_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNI5V5I1_0)) (portRef A (instanceRef r_x_rstate_RNIKFUM1_0)) (portRef A (instanceRef r_x_rstate_RNIOVUM1_0)) (portRef A (instanceRef r_x_rstate_RNIQ7VM1_0)) (portRef A (instanceRef r_x_rstate_RNINRUM1_0)) (portRef A (instanceRef r_x_rstate_RNIP3VM1_0)) (portRef A (instanceRef r_x_rstate_RNIMNUM1_0)) (portRef A (instanceRef r_x_rstate_RNILJUM1_0)) (portRef A (instanceRef r_w_s_et_RNIHB7RT)) )) (net N_248 (joined (portRef Y (instanceRef r_e_aluop_RNITGHQ_0_1)) (portRef B (instanceRef r_m_y_RNO_4_7)) (portRef B (instanceRef r_m_y_RNO_5_7)) )) (net N_406 (joined (portRef Y (instanceRef r_m_y_RNO_1_7)) (portRef B (instanceRef r_m_y_RNO_7)) )) (net N_229 (joined (portRef Y (instanceRef r_e_aluop_0_RNI9K76_0)) (portRef A (instanceRef r_m_y_RNO_7_7)) (portRef A (instanceRef r_m_y_RNO_1_7)) )) (net (rename aluop_RNITGHQ_1 "aluop_RNITGHQ[1]") (joined (portRef Y (instanceRef r_e_aluop_RNITGHQ_1)) (portRef C (instanceRef r_e_aluop_RNIV7P61_0)) (portRef B (instanceRef r_m_y_RNO_1_7)) )) (net (rename y_0_7 "y_0[7]") (joined (portRef Y (instanceRef r_m_y_RNO_7)) (portRef D (instanceRef r_m_y_7)) )) (net (rename y_RNO_0_7 "y_RNO_0[7]") (joined (portRef Y (instanceRef r_m_y_RNO_0_7)) (portRef A (instanceRef r_m_y_RNO_7)) )) (net (rename eres2_23 "eres2[23]") (joined (portRef Y (instanceRef r_e_op1_RNI24BLF5_23)) (portRef D (instanceRef r_m_result_23)) (portRef A (instanceRef r_a_rsel1_RNIMUGLF5_2)) (portRef A (instanceRef r_e_op2_RNO_5_23)) )) (net (rename aluresult_23 "aluresult[23]") (joined (portRef Y (instanceRef r_e_jmpl_RNI4LO8C5)) (portRef B (instanceRef r_e_op1_RNI24BLF5_23)) )) (net (rename xc_trap_address_3 "xc_trap_address[3]") (joined (portRef Y (instanceRef r_f_pc_RNI4MGI7_3)) (portRef A (instanceRef r_f_pc_RNIP1OEV_3)) (portRef B (instanceRef r_f_pc_RNI9M6N7_3)) )) (net (rename pc_m_3 "pc_m[3]") (joined (portRef Y (instanceRef r_f_pc_RNIAJQ43_3)) (portRef B (instanceRef r_f_pc_RNI4MGI7_3)) )) (net (rename eres2_29 "eres2[29]") (joined (portRef Y (instanceRef r_e_op1_RNIRRLIM6_29)) (portRef D (instanceRef r_m_result_29)) (portRef A (instanceRef r_a_rsel1_2_RNIS5GLM6_2)) (portRef A (instanceRef r_e_op2_RNO_5_29)) )) (net (rename aluresult_29 "aluresult[29]") (joined (portRef Y (instanceRef r_e_jmpl_RNIHS16J6)) (portRef B (instanceRef r_e_op1_RNIRRLIM6_29)) )) (net fpcwr_5_sqmuxa_1 (joined (portRef Y (instanceRef r_x_rstate_RNICIRC2_5_0)) (portRef S (instanceRef r_w_s_wim_RNI56BC01_4)) (portRef S (instanceRef r_w_s_wim_RNIPLAC01_0)) (portRef S (instanceRef r_w_s_wim_RNIVTAC01_2)) (portRef S (instanceRef r_w_s_wim_RNIBEBC01_6)) (portRef S (instanceRef r_w_s_wim_RNI22BC01_3)) (portRef S (instanceRef r_w_s_wim_RNI8ABC01_5)) (portRef S (instanceRef r_w_s_wim_RNISPAC01_1)) )) (net (rename data_2_sqmuxaZ0 "data_2_sqmuxa") (joined (portRef Y (instanceRef data_2_sqmuxa)) (portRef C (instanceRef r_w_s_wim_RNIDII81_3)) (portRef C (instanceRef r_w_s_wim_RNICII81_2)) (portRef C (instanceRef r_w_s_wim_RNIGII81_6)) (portRef C (instanceRef r_w_s_wim_RNIFII81_5)) (portRef C (instanceRef r_w_s_wim_RNIEII81_4)) (portRef C (instanceRef r_w_s_wim_RNIBII81_1)) (portRef C (instanceRef r_w_s_wim_RNIAII81_0)) (portRef B (instanceRef r_x_rstate_RNICIRC2_5_0)) )) (net cwpopt_i_0 (joined (portRef Y (instanceRef r_w_s_cwp_RNI9III_0_2)) (portRef B (instanceRef r_w_s_cwp_RNI9HKPU_2)) )) (net (rename cwp_0_0 "cwp_0[0]") (joined (portRef Q (instanceRef r_w_s_cwp_0)) (portRef B (instanceRef un1_r_w_s_cwp_1_1_ANB0)) (portRef B (instanceRef un1_r_w_s_cwp_1_1_SUM0_0)) (portRef C (instanceRef r_w_s_cwp_RNITMCFS_0)) (portRef A (instanceRef r_w_s_cwp_RNINLP81_0)) (portRef C (instanceRef r_w_s_cwp_RNI9III_2)) (portRef A (instanceRef r_w_s_cwp_RNI9III_0_2)) )) (net cwp_4_sqmuxa (joined (portRef Y (instanceRef r_w_s_cwp_RNI5BQQ1_2)) (portRef A (instanceRef r_w_s_cwp_RNILU2151_2)) )) (net et_RNIRPC3U (joined (portRef Y (instanceRef r_w_s_et_RNIRPC3U)) (portRef C (instanceRef r_w_s_cwp_RNI9HKPU_2)) )) (net (rename cwp_1_0 "cwp_1[0]") (joined (portRef Y (instanceRef r_x_result_RNIEAHL74_0)) (portRef D (instanceRef r_w_s_cwp_0)) (portRef B (instanceRef r_d_cwp_RNO_1_0)) )) (net (rename result_m_0_0 "result_m_0[0]") (joined (portRef Y (instanceRef r_x_result_RNI9L9VT_0)) (portRef A (instanceRef r_x_result_RNIEAHL74_0)) )) (net (rename cpi_m_2_392 "cpi_m_2[392]") (joined (portRef Y (instanceRef r_x_rstate_RNIQGMI2_0)) (portRef B (instanceRef r_x_result_RNIEAHL74_0)) )) (net (rename cwp_1_m_0 "cwp_1_m[0]") (joined (portRef Y (instanceRef r_w_s_cwp_RNIB4H373_2)) (portRef C (instanceRef r_x_result_RNIEAHL74_0)) )) (net y6_1 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIUI9N3_20)) (portRef A (instanceRef r_x_ctrl_inst_RNI8FIRV_30)) )) (net annul_all2_4 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI8FIRV_30)) (portRef A (instanceRef r_x_rstate_RNIBEJ231_0)) )) (net fpcwr_6_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNICIRC2_2_0)) (portRef S (instanceRef r_w_s_tba_RNO_6)) (portRef S (instanceRef r_w_s_tba_RNO_12)) (portRef S (instanceRef r_w_s_tba_RNO_13)) (portRef S (instanceRef r_w_s_tba_RNO_9)) (portRef S (instanceRef r_w_s_tt_RNO_7)) (portRef S (instanceRef r_w_s_tba_RNO_15)) (portRef S (instanceRef r_w_s_tt_RNO_3)) (portRef S (instanceRef r_w_s_tt_RNO_4)) (portRef S (instanceRef r_w_s_tt_RNO_5)) (portRef S (instanceRef r_w_s_tba_RNO_3)) (portRef S (instanceRef r_w_s_tba_RNO_1)) (portRef S (instanceRef r_w_s_tba_RNO_17)) (portRef S (instanceRef r_w_s_tba_RNO_7)) (portRef S (instanceRef r_w_s_tba_RNO_8)) )) (net addr_2_sqmuxa (joined (portRef Y (instanceRef r_x_npc_0_RNI7APK_3_0)) (portRef B (instanceRef ir_addr_RNO_6_9)) (portRef B (instanceRef ir_addr_RNO_6_14)) (portRef B (instanceRef ir_addr_RNO_6_21)) (portRef B (instanceRef ir_addr_RNO_4_13)) (portRef B (instanceRef ir_addr_RNO_6_6)) (portRef B (instanceRef ir_addr_RNO_6_4)) (portRef B (instanceRef ir_addr_RNO_6_12)) (portRef B (instanceRef ir_addr_RNO_6_8)) (portRef B (instanceRef ir_addr_RNO_6_28)) (portRef B (instanceRef ir_addr_RNO_6_2)) (portRef B (instanceRef ir_addr_RNO_6_5)) (portRef B (instanceRef ir_addr_RNO_6_22)) (portRef B (instanceRef ir_addr_RNO_6_31)) (portRef B (instanceRef ir_addr_RNO_6_25)) (portRef B (instanceRef ir_addr_RNO_6_29)) )) (net addr_1_sqmuxa_1 (joined (portRef Y (instanceRef r_x_npc_0_RNI7APK_5_0)) (portRef B (instanceRef ir_addr_RNO_4_9)) (portRef B (instanceRef ir_addr_RNO_4_14)) (portRef B (instanceRef ir_addr_RNO_4_21)) (portRef B (instanceRef ir_addr_RNO_6_13)) (portRef B (instanceRef ir_addr_RNO_4_6)) (portRef B (instanceRef ir_addr_RNO_4_4)) (portRef B (instanceRef ir_addr_RNO_4_12)) (portRef B (instanceRef ir_addr_RNO_4_8)) (portRef B (instanceRef ir_addr_RNO_4_28)) (portRef B (instanceRef ir_addr_RNO_4_25)) (portRef B (instanceRef ir_addr_RNO_4_2)) (portRef B (instanceRef ir_addr_RNO_4_5)) (portRef B (instanceRef ir_addr_RNO_4_22)) (portRef B (instanceRef ir_addr_RNO_4_31)) (portRef B (instanceRef ir_addr_RNO_4_24)) )) (net addr_3_sqmuxa (joined (portRef Y (instanceRef r_x_npc_0_RNI7APK_0_0)) (portRef B (instanceRef ir_addr_RNO_5_9)) (portRef B (instanceRef ir_addr_RNO_5_14)) (portRef B (instanceRef ir_addr_RNO_5_21)) (portRef B (instanceRef ir_addr_RNO_5_6)) (portRef B (instanceRef ir_addr_RNO_5_4)) (portRef B (instanceRef ir_addr_RNO_5_12)) (portRef B (instanceRef ir_addr_RNO_5_28)) (portRef B (instanceRef ir_addr_RNO_5_25)) (portRef B (instanceRef ir_addr_RNO_5_2)) (portRef B (instanceRef ir_addr_RNO_5_22)) (portRef B (instanceRef ir_addr_RNO_5_31)) (portRef B (instanceRef ir_addr_RNO_5_29)) (portRef B (instanceRef ir_addr_RNO_5_7)) (portRef B (instanceRef ir_addr_RNO_5_11)) (portRef B (instanceRef ir_addr_RNO_5_19)) )) (net N_8378_i (joined (portRef Y (instanceRef r_x_debug_RNO_1)) (portRef S (instanceRef r_x_debug_RNO)) )) (net (rename aluop_0_RNI1D691_1 "aluop_0_RNI1D691[1]") (joined (portRef Y (instanceRef r_e_aluop_0_RNI1D691_1)) (portRef A (instanceRef r_e_aluop_0_RNITI8K2_1)) )) (net (rename aluop_0_RNI11JC_1 "aluop_0_RNI11JC[1]") (joined (portRef Y (instanceRef r_e_aluop_0_RNI11JC_1)) (portRef A (instanceRef r_e_aluop_0_RNITQ1R_1)) )) (net (rename aluop_0_RNIHSVU_1 "aluop_0_RNIHSVU[1]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIHSVU_1)) (portRef A (instanceRef r_e_aluop_0_RNITHRV1_1)) )) (net (rename aluop_0_RNIIPPM_1 "aluop_0_RNIIPPM[1]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIIPPM_1)) (portRef A (instanceRef r_e_aluop_0_RNIVBFF1_1)) )) (net (rename aluop_0_RNIPC0V_1 "aluop_0_RNIPC0V[1]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIPC0V_1)) (portRef A (instanceRef r_e_aluop_0_RNIDISV1_1)) )) (net (rename aluop_0_RNIDC591_1 "aluop_0_RNIDC591[1]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIDC591_1)) (portRef A (instanceRef r_e_aluop_0_RNILH6K2_1)) )) (net N_8351_i (joined (portRef Y (instanceRef r_e_aluop_0_RNILSVU_1)) (portRef A (instanceRef r_e_aluop_0_RNI5IRV1_1)) )) (net (rename op2_RNIVMIF_20 "op2_RNIVMIF[20]") (joined (portRef Y (instanceRef r_e_op2_RNIVMIF_20)) (portRef A (instanceRef r_e_op2_RNIAEB11_20)) (portRef B (instanceRef r_e_op2_RNIR34T_0_20)) (portRef A (instanceRef r_e_op2_RNIR34T_20)) (portRef A (instanceRef r_e_aluop_RNIFVV41_2)) (portRef C (instanceRef r_e_aluop_0_RNILSVU_1)) )) (net (rename aluop_0_RNIT40V_1 "aluop_0_RNIT40V[1]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIT40V_1)) (portRef A (instanceRef r_e_aluop_0_RNIL2SV1_1)) )) (net (rename aluop_0_RNIPK591_1 "aluop_0_RNIPK591[1]") (joined (portRef Y (instanceRef r_e_aluop_0_RNIPK591_1)) (portRef A (instanceRef r_e_aluop_0_RNID27K2_1)) )) (net N_8342_i (joined (portRef Y (instanceRef r_e_aluop_0_RNIIC591_1)) (portRef A (instanceRef r_e_aluop_0_RNIVH6K2_1)) )) (net (rename op2_RNIQ2OP_21 "op2_RNIQ2OP[21]") (joined (portRef Y (instanceRef r_e_op2_RNIQ2OP_21)) (portRef A (instanceRef r_e_op2_RNI5QGB1_21)) (portRef B (instanceRef r_e_op2_RNIOJ971_0_21)) (portRef A (instanceRef r_e_op2_RNIOJ971_21)) (portRef A (instanceRef r_e_aluop_RNICF5F1_2)) (portRef C (instanceRef r_e_aluop_0_RNIIC591_1)) )) (net (rename aluop_0_RNI1POM_1 "aluop_0_RNI1POM[1]") (joined (portRef Y (instanceRef r_e_aluop_0_RNI1POM_1)) (portRef A (instanceRef r_e_aluop_0_RNIVADF1_1)) )) (net (rename op2_RNI1PHG_2 "op2_RNI1PHG[2]") (joined (portRef Y (instanceRef r_e_op2_RNI1PHG_2)) (portRef A (instanceRef r_e_op2_RNICGA21_2)) (portRef B (instanceRef r_e_op2_RNI70TK_0_2)) (portRef A (instanceRef r_e_op2_RNI70TK_2)) (portRef A (instanceRef r_e_aluop_RNIRROS_2)) (portRef C (instanceRef r_e_aluop_0_RNI1POM_1)) )) (net (rename aluop_1_RNIQ52V_1 "aluop_1_RNIQ52V[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIQ52V_1)) (portRef A (instanceRef r_e_aluop_1_RNIG4002_1)) )) (net (rename op2_RNIHRJF_29 "op2_RNIHRJF[29]") (joined (portRef Y (instanceRef r_e_op2_RNIHRJF_29)) (portRef B (instanceRef r_e_op2_RNIVC6T_0_29)) (portRef A (instanceRef r_e_op2_RNIVC6T_29)) (portRef A (instanceRef r_e_aluop_RNIJ8251_2)) (portRef A (instanceRef r_e_op2_RNISIC11_29)) (portRef C (instanceRef r_e_aluop_1_RNIQ52V_1)) )) (net (rename aluop_1_RNIIL1V_1 "aluop_1_RNIIL1V[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIIL1V_1)) (portRef A (instanceRef r_e_aluop_1_RNI04VV1_1)) )) (net N_8330_i (joined (portRef Y (instanceRef r_e_aluop_1_RNISS591_1)) (portRef A (instanceRef r_e_aluop_1_RNIKI7K2_1)) )) (net (rename aluop_1_RNI9HD31_1 "aluop_1_RNI9HD31[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNI9HD31_1)) (portRef A (instanceRef r_e_aluop_1_RNIERM82_1)) )) (net (rename aluop_1_RNIUGOM_1 "aluop_1_RNIUGOM[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIUGOM_1)) (portRef A (instanceRef r_e_aluop_1_RNIOQCF1_1)) )) (net (rename aluop_1_RNIEL1V_1 "aluop_1_RNIEL1V[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIEL1V_1)) (portRef A (instanceRef r_e_aluop_1_RNIO3VV1_1)) )) (net (rename aluop_1_RNIUK0V_1 "aluop_1_RNIUK0V[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIUK0V_1)) (portRef A (instanceRef r_e_aluop_1_RNIO2TV1_1)) )) (net (rename op2_RNI33JF_14 "op2_RNI33JF[14]") (joined (portRef Y (instanceRef r_e_op2_RNI33JF_14)) (portRef B (instanceRef r_e_op2_RNI3S4T_0_14)) (portRef A (instanceRef r_e_op2_RNI3S4T_14)) (portRef A (instanceRef r_e_aluop_RNINN051_2)) (portRef A (instanceRef r_e_op2_RNIEQB11_14)) (portRef C (instanceRef r_e_aluop_1_RNIUK0V_1)) )) (net (rename aluop_1_RNI6ALC_1 "aluop_1_RNI6ALC[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNI6ALC_1)) (portRef A (instanceRef r_e_aluop_1_RNI8D6R_1)) )) (net (rename aluop_1_RNIQ9QM_1 "aluop_1_RNIQ9QM[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIQ9QM_1)) (portRef A (instanceRef r_e_aluop_1_RNIGCGF1_1)) )) (net (rename aluop_1_RNI2L691_1 "aluop_1_RNI2L691[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNI2L691_1)) (portRef A (instanceRef r_e_aluop_1_RNI039K2_1)) )) (net (rename op2_RNI1NOP_17 "op2_RNI1NOP[17]") (joined (portRef Y (instanceRef r_e_op2_RNI1NOP_17)) (portRef B (instanceRef r_e_op2_RNI7SA71_0_17)) (portRef A (instanceRef r_e_op2_RNI7SA71_17)) (portRef A (instanceRef r_e_aluop_RNIRN6F1_2)) (portRef A (instanceRef r_e_op2_RNICEHB1_17)) (portRef C (instanceRef r_e_aluop_1_RNI2L691_1)) )) (net (rename aluop_1_RNI1D691_1 "aluop_1_RNI1D691[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNI1D691_1)) (portRef A (instanceRef r_e_aluop_1_RNIUI8K2_1)) )) (net (rename op2_RNI2JOP_16 "op2_RNI2JOP[16]") (joined (portRef Y (instanceRef r_e_op2_RNI2JOP_16)) (portRef B (instanceRef r_e_op2_RNI6KA71_0_16)) (portRef A (instanceRef r_e_op2_RNI6KA71_16)) (portRef A (instanceRef r_e_aluop_RNIQF6F1_2)) (portRef A (instanceRef r_e_op2_RNIDAHB1_16)) (portRef C (instanceRef r_e_aluop_1_RNI1D691_1)) )) (net (rename aluop_1_RNIA9PM_1 "aluop_1_RNIA9PM[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIA9PM_1)) (portRef A (instanceRef r_e_aluop_1_RNIGBEF1_1)) )) (net (rename op2_RNI51IG_4 "op2_RNI51IG[4]") (joined (portRef Y (instanceRef r_e_op2_RNI51IG_4)) (portRef B (instanceRef r_e_op2_RNIFGTK_0_4)) (portRef A (instanceRef r_e_op2_RNIFGTK_4)) (portRef A (instanceRef r_e_aluop_RNI3CPS_2)) (portRef A (instanceRef r_e_op2_RNIGOA21_4)) (portRef C (instanceRef r_e_aluop_1_RNIA9PM_1)) )) (net (rename aluop_1_RNI71PM_1 "aluop_1_RNI71PM[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNI71PM_1)) (portRef A (instanceRef r_e_aluop_1_RNIARDF1_1)) )) (net (rename op2_RNI4THG_3 "op2_RNI4THG[3]") (joined (portRef Y (instanceRef r_e_op2_RNI4THG_3)) (portRef B (instanceRef r_e_op2_RNIC8TK_0_3)) (portRef A (instanceRef r_e_op2_RNIC8TK_3)) (portRef A (instanceRef r_e_aluop_0_RNI71PM_2)) (portRef A (instanceRef r_e_op2_RNIFKA21_3)) (portRef C (instanceRef r_e_aluop_1_RNI71PM_1)) )) (net (rename aluop_1_RNI2T0V_1 "aluop_1_RNI2T0V[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNI2T0V_1)) (portRef A (instanceRef r_e_aluop_1_RNI0JTV1_1)) )) (net data_2_sqmuxa_1_0 (joined (portRef Y (instanceRef data_0_sqmuxa_1_0)) (portRef B (instanceRef data_0_sqmuxa_1)) (portRef A (instanceRef data_2_sqmuxa)) )) (net un156_dbgunit (joined (portRef Y (instanceRef comb_diagwr_un156_dbgunit)) (portRef C (instanceRef data_1_sqmuxa_2)) (portRef B (instanceRef data_3_sqmuxa_1_0)) (portRef C (instanceRef data_4_sqmuxa)) (portRef C (instanceRef data_0_sqmuxa_1)) (portRef B (instanceRef data_8_sqmuxa)) (portRef C (instanceRef data_2_sqmuxa)) )) (net (rename y_1_19 "y_1[19]") (joined (portRef Y (instanceRef r_w_s_y_RNO_19)) (portRef D (instanceRef r_w_s_y_19)) )) (net (rename y_1_31 "y_1[31]") (joined (portRef Y (instanceRef r_m_y_RNO_31)) (portRef D (instanceRef r_m_y_31)) )) (net N_346 (joined (portRef Y (instanceRef r_m_y_RNO_2_31)) (portRef C (instanceRef r_m_y_RNO_31)) )) (net (rename y_0_29 "y_0[29]") (joined (portRef Y (instanceRef r_m_y_RNO_29)) (portRef D (instanceRef r_m_y_29)) )) (net (rename y_1_8 "y_1[8]") (joined (portRef Y (instanceRef r_w_s_y_RNO_8)) (portRef D (instanceRef r_w_s_y_8)) )) (net (rename y_1_13 "y_1[13]") (joined (portRef Y (instanceRef r_m_y_RNO_13)) (portRef D (instanceRef r_m_y_13)) )) (net N_362 (joined (portRef Y (instanceRef r_m_y_RNO_2_13)) (portRef C (instanceRef r_m_y_RNO_13)) )) (net (rename y_1_15 "y_1[15]") (joined (portRef Y (instanceRef r_w_s_y_RNO_15)) (portRef D (instanceRef r_w_s_y_15)) )) (net (rename y_1_17 "y_1[17]") (joined (portRef Y (instanceRef r_m_y_RNO_17)) (portRef D (instanceRef r_m_y_17)) )) (net N_371 (joined (portRef Y (instanceRef r_m_y_RNO_2_17)) (portRef C (instanceRef r_m_y_RNO_17)) )) (net (rename y_1_29 "y_1[29]") (joined (portRef Y (instanceRef r_w_s_y_RNO_29)) (portRef D (instanceRef r_w_s_y_29)) )) (net (rename y_2_29 "y_2[29]") (joined (portRef Q (instanceRef r_w_s_y_29)) (portRef B (instanceRef r_m_y_RNO_4_29)) (portRef A (instanceRef r_w_s_y_RNIVFG61_29)) (portRef B (instanceRef r_w_s_y_RNO_29)) )) (net tba_1_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_0_RNI0UGJT_1)) (portRef S (instanceRef r_w_s_tba_RNO_0_6)) (portRef S (instanceRef r_w_s_tba_RNO_0_12)) (portRef S (instanceRef r_w_s_tba_RNO_0_13)) (portRef S (instanceRef r_w_s_tba_RNO_0_9)) (portRef S (instanceRef r_w_s_tba_RNO_0_15)) (portRef S (instanceRef r_w_s_tba_RNO_0_3)) (portRef S (instanceRef r_w_s_tba_RNO_0_1)) (portRef S (instanceRef r_w_s_tba_RNO_0_17)) (portRef S (instanceRef r_w_s_tba_RNO_0_7)) (portRef S (instanceRef r_w_s_tba_RNO_0_8)) (portRef S (instanceRef r_w_s_tba_RNO_0_16)) (portRef S (instanceRef r_w_s_tba_RNO_0_0)) (portRef S (instanceRef r_w_s_tba_RNO_0_19)) (portRef S (instanceRef r_w_s_tba_RNO_0_4)) (portRef S (instanceRef r_w_s_tba_RNO_0_5)) (portRef S (instanceRef r_w_s_tba_RNO_0_18)) (portRef S (instanceRef r_w_s_tba_RNO_0_14)) (portRef S (instanceRef r_w_s_tba_RNO_0_10)) (portRef S (instanceRef r_w_s_tba_RNO_0_2)) (portRef S (instanceRef r_w_s_tba_RNO_0_11)) )) (net (rename data_i_11 "data_i[11]") (joined (portRef Y (instanceRef r_w_s_y_RNIEEM7A_11)) (portRef data_i_11) )) (net bpdata6 (joined (portRef Y (instanceRef r_e_ctrl_cnt_RNIANRH2_0)) (portRef S (instanceRef r_x_result_RNICER03_4)) (portRef S (instanceRef r_x_result_RNIRVH13_1)) (portRef S (instanceRef r_x_result_RNICFSC3_16)) (portRef S (instanceRef r_x_result_RNIPOJD3_20)) (portRef S (instanceRef r_x_result_RNIAFSC3_23)) (portRef S (instanceRef r_x_result_RNINJH13_0)) (portRef S (instanceRef r_x_result_RNIROJD3_13)) (portRef S (instanceRef r_x_result_RNIFSJ13_6)) (portRef S (instanceRef r_x_result_RNIR0L13_9)) (portRef S (instanceRef r_x_result_RNITTKD3_29)) (portRef S (instanceRef r_x_result_RNIKMRC3_10)) (portRef S (instanceRef r_x_result_RNI31KD3_15)) (portRef S (instanceRef r_x_result_RNIQVSC3_27)) (portRef S (instanceRef r_x_result_RNIDDKD3_25)) (portRef S (instanceRef r_x_result_RNIU3TC3_28)) (portRef S (instanceRef r_x_result_RNI35KD3_30)) )) (net (rename icc_1_2 "icc_1[2]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_2)) (portRef D (instanceRef r_w_s_icc_2)) )) (net (rename icc_0_2 "icc_0[2]") (joined (portRef Q (instanceRef r_w_s_icc_2)) (portRef A (instanceRef r_m_icc_RNO_2_2)) (portRef A (instanceRef r_w_s_icc_RNIUFF81_2)) (portRef B (instanceRef r_w_s_icc_RNO_2)) )) (net (rename cpi_m_0_293 "cpi_m_0[293]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNILGI3S_10)) (portRef A (instanceRef r_x_ctrl_pc_RNI79C5Q1_10)) )) (net (rename cpi_m_362 "cpi_m[362]") (joined (portRef Y (instanceRef r_x_result_RNI6CJDS_10)) (portRef C (instanceRef r_x_ctrl_pc_RNI79C5Q1_10)) )) (net (rename cpi_m_0_309 "cpi_m_0[309]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIS8J3S_26)) (portRef A (instanceRef r_x_ctrl_pc_RNI43H5Q1_26)) )) (net (rename cpi_m_378 "cpi_m[378]") (joined (portRef Y (instanceRef r_x_result_RNIOKJDS_26)) (portRef C (instanceRef r_x_ctrl_pc_RNI43H5Q1_26)) )) (net (rename cpi_m_0_302 "cpi_m_0[302]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIUKJ3S_19)) (portRef A (instanceRef r_x_ctrl_pc_RNIG3J5Q1_19)) )) (net (rename cpi_m_371 "cpi_m[371]") (joined (portRef Y (instanceRef r_x_result_RNIOCJDS_19)) (portRef C (instanceRef r_x_ctrl_pc_RNIG3J5Q1_19)) )) (net (rename addr_1_18 "addr_1[18]") (joined (portRef Y (instanceRef ir_addr_RNO_18)) (portRef D (instanceRef ir_addr_18)) )) (net (rename addr_18 "addr[18]") (joined (portRef Q (instanceRef ir_addr_18)) (portRef B (instanceRef r_f_pc_RNO_12_18)) (portRef B (instanceRef ir_addr_RNI07191_18)) (portRef B (instanceRef ir_addr_RNO_18)) )) (net (rename y_1_1 "y_1[1]") (joined (portRef Y (instanceRef r_m_y_RNO_1)) (portRef D (instanceRef r_m_y_1)) )) (net (rename y_1_0_1 "y_1_0[1]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1)) (portRef D (instanceRef r_w_s_y_1)) )) (net (rename y_2_1 "y_2[1]") (joined (portRef Q (instanceRef r_w_s_y_1)) (portRef A (instanceRef r_w_s_y_RNI5IG91_1)) (portRef B (instanceRef r_m_y_RNO_2_1)) (portRef B (instanceRef r_w_s_y_RNO_1)) )) (net (rename addr_1_27 "addr_1[27]") (joined (portRef Y (instanceRef ir_addr_RNO_27)) (portRef D (instanceRef ir_addr_27)) )) (net (rename cpi_m_310 "cpi_m[310]") (joined (portRef Y (instanceRef ir_addr_RNO_0_27)) (portRef A (instanceRef ir_addr_RNO_27)) )) (net (rename addr_m_1_27 "addr_m_1[27]") (joined (portRef Y (instanceRef ir_addr_RNO_2_27)) (portRef C (instanceRef ir_addr_RNO_27)) )) (net (rename cpi_m_0_294 "cpi_m_0[294]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIMKI3S_11)) (portRef A (instanceRef r_x_ctrl_pc_RNIBN46Q1_11)) )) (net (rename cpi_m_363 "cpi_m[363]") (joined (portRef Y (instanceRef r_x_result_RNI32BES_11)) (portRef C (instanceRef r_x_ctrl_pc_RNIBN46Q1_11)) )) (net (rename cpi_m_0_303 "cpi_m_0[303]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIMGI3S_20)) (portRef A (instanceRef r_x_ctrl_pc_RNIF746Q1_20)) )) (net (rename cpi_m_372 "cpi_m[372]") (joined (portRef Y (instanceRef r_x_result_RNI7ABES_20)) (portRef C (instanceRef r_x_ctrl_pc_RNIF746Q1_20)) )) (net (rename data_i_1 "data_i[1]") (joined (portRef Y (instanceRef r_w_s_y_RNIOOBT8_1)) (portRef data_i_1) )) (net (rename y_m_0_0_1 "y_m_0_0[1]") (joined (portRef Y (instanceRef r_w_s_y_RNI5IG91_1)) (portRef B (instanceRef r_w_s_y_RNIOOBT8_1)) )) (net (rename cpi_m_0_292 "cpi_m_0[292]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIDFV2S_9)) (portRef A (instanceRef r_x_ctrl_pc_RNI35VTP1_9)) )) (net (rename cpi_m_361 "cpi_m[361]") (joined (portRef Y (instanceRef r_x_result_RNIHRHBS_9)) (portRef C (instanceRef r_x_ctrl_pc_RNI35VTP1_9)) )) (net (rename y_3_5 "y_3[5]") (joined (portRef Y (instanceRef r_m_y_RNO_5)) (portRef D (instanceRef r_m_y_5)) )) (net (rename icc_1_1 "icc_1[1]") (joined (portRef Y (instanceRef r_w_s_icc_RNO_1)) (portRef D (instanceRef r_w_s_icc_1)) )) (net (rename icc_3_1 "icc_3[1]") (joined (portRef Q (instanceRef r_w_s_icc_1)) (portRef A (instanceRef r_x_icc_RNIBSID_1)) (portRef A (instanceRef r_w_s_icc_RNITFF81_1)) (portRef B (instanceRef r_w_s_icc_RNO_1)) )) (net un71_dbgm_2 (joined (portRef Y (instanceRef r_x_mexc_RNI65KR1_0)) (portRef C (instanceRef r_x_mexc_RNI488C8)) (portRef A (instanceRef r_x_mexc_RNIEA1L4)) )) (net ra_bpmiss_1 (joined (portRef Y (instanceRef r_a_bp_RNIQD984_0)) (portRef S (instanceRef r_f_pc_RNID045Q_10)) (portRef S (instanceRef r_f_pc_RNO_11_26)) (portRef S (instanceRef r_f_pc_RNIGCASM_9)) (portRef S (instanceRef r_f_pc_RNIOE4FU_11)) (portRef S (instanceRef r_f_pc_RNO_11_18)) (portRef S (instanceRef r_f_pc_RNO_11_14)) (portRef S (instanceRef r_f_pc_RNO_11_12)) (portRef S (instanceRef r_f_pc_RNITVLRH_7)) (portRef S (instanceRef r_f_pc_RNO_5_21)) (portRef S (instanceRef r_f_pc_RNO_11_27)) (portRef S (instanceRef r_f_pc_RNO_5_25)) (portRef S (instanceRef r_f_pc_RNO_5_13)) (portRef S (instanceRef r_f_pc_RNO_11_20)) (portRef S (instanceRef r_f_pc_RNO_5_17)) (portRef S (instanceRef r_f_pc_RNIVPPFC_3)) (portRef S (instanceRef r_f_pc_RNO_11_29)) (portRef S (instanceRef r_f_pc_RNO_11_24)) (portRef S (instanceRef r_f_pc_RNICPPKF_5)) )) (net (rename addr_1_19 "addr_1[19]") (joined (portRef Y (instanceRef ir_addr_RNO_19)) (portRef D (instanceRef ir_addr_19)) )) (net (rename addr_19 "addr[19]") (joined (portRef Q (instanceRef ir_addr_19)) (portRef B (instanceRef r_f_pc_RNO_14_19)) (portRef B (instanceRef ir_addr_RNI1B191_19)) (portRef B (instanceRef ir_addr_RNO_19)) )) (net (rename y_1_0_17 "y_1_0[17]") (joined (portRef Y (instanceRef r_w_s_y_RNO_17)) (portRef D (instanceRef r_w_s_y_17)) )) (net (rename y_2_17 "y_2[17]") (joined (portRef Q (instanceRef r_w_s_y_17)) (portRef B (instanceRef r_m_y_RNO_4_17)) (portRef A (instanceRef r_w_s_y_RNIS7G61_17)) (portRef B (instanceRef r_w_s_y_RNO_17)) )) (net (rename y_1_28 "y_1[28]") (joined (portRef Y (instanceRef r_w_s_y_RNO_28)) (portRef D (instanceRef r_w_s_y_28)) )) (net (rename y_2_28 "y_2[28]") (joined (portRef Q (instanceRef r_w_s_y_28)) (portRef A (instanceRef r_w_s_y_RNIUBG61_28)) (portRef B (instanceRef r_m_y_RNO_3_28)) (portRef B (instanceRef r_w_s_y_RNO_28)) )) (net (rename addr_1_11 "addr_1[11]") (joined (portRef Y (instanceRef ir_addr_RNO_11)) (portRef D (instanceRef ir_addr_11)) )) (net (rename addr_11 "addr[11]") (joined (portRef Q (instanceRef ir_addr_11)) (portRef B (instanceRef ir_addr_RNIJQUB1_11)) (portRef B (instanceRef ir_addr_RNIPA091_11)) (portRef B (instanceRef ir_addr_RNO_11)) )) (net (rename y_2_15 "y_2[15]") (joined (portRef Y (instanceRef r_m_y_RNO_15)) (portRef D (instanceRef r_m_y_15)) )) (net (rename logicout_m_15 "logicout_m[15]") (joined (portRef Y (instanceRef r_m_y_RNO_2_15)) (portRef C (instanceRef r_m_y_RNO_15)) )) (net (rename addr_1_7 "addr_1[7]") (joined (portRef Y (instanceRef ir_addr_RNO_7)) (portRef D (instanceRef ir_addr_7)) )) (net (rename y_1_7 "y_1[7]") (joined (portRef Y (instanceRef r_w_s_y_RNO_7)) (portRef D (instanceRef r_w_s_y_7)) )) (net (rename y_2_7 "y_2[7]") (joined (portRef Q (instanceRef r_w_s_y_7)) (portRef A (instanceRef r_w_s_y_RNIBIG91_7)) (portRef B (instanceRef r_m_y_RNO_14_7)) (portRef B (instanceRef r_w_s_y_RNO_7)) )) (net (rename y_1_4 "y_1[4]") (joined (portRef Y (instanceRef r_m_y_RNO_4)) (portRef D (instanceRef r_m_y_4)) )) (net (rename y_2_19 "y_2[19]") (joined (portRef Y (instanceRef r_m_y_RNO_19)) (portRef D (instanceRef r_m_y_19)) )) (net (rename logicout_m_19 "logicout_m[19]") (joined (portRef Y (instanceRef r_m_y_RNO_2_19)) (portRef C (instanceRef r_m_y_RNO_19)) )) (net wim_1_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_0_RNI0UGJT_0_1)) (portRef S (instanceRef r_w_s_wim_RNICQ9TT_4)) (portRef S (instanceRef r_w_s_wim_RNI4A9TT_0)) (portRef S (instanceRef r_w_s_wim_RNI8I9TT_2)) (portRef S (instanceRef r_w_s_wim_RNIG2ATT_6)) (portRef S (instanceRef r_w_s_wim_RNIAM9TT_3)) (portRef S (instanceRef r_w_s_wim_RNIEU9TT_5)) (portRef S (instanceRef r_w_s_wim_RNI6E9TT_1)) )) (net (rename data_24 "data[29]") (joined (portRef Y (instanceRef r_f_pc_RNIIO5T8_29)) (portRef data_24) )) (net (rename shiftin_17_m_0_29 "shiftin_17_m_0[29]") (joined (portRef Y (instanceRef r_e_jmpl_RNIJ9FPU)) (portRef B (instanceRef r_e_jmpl_RNIHS16J6)) )) (net (rename un6_ex_add_res_m_30 "un6_ex_add_res_m[30]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIPKVVR3)) (portRef C (instanceRef r_e_jmpl_RNIHS16J6)) )) (net (rename addr_1_29 "addr_1[29]") (joined (portRef Y (instanceRef ir_addr_RNO_29)) (portRef D (instanceRef ir_addr_29)) )) (net (rename cpi_m_312 "cpi_m[312]") (joined (portRef Y (instanceRef ir_addr_RNO_0_29)) (portRef A (instanceRef ir_addr_RNO_29)) )) (net (rename addr_m_1_29 "addr_m_1[29]") (joined (portRef Y (instanceRef ir_addr_RNO_2_29)) (portRef C (instanceRef ir_addr_RNO_29)) )) (net (rename y_1_0_13 "y_1_0[13]") (joined (portRef Y (instanceRef r_w_s_y_RNO_13)) (portRef D (instanceRef r_w_s_y_13)) )) (net (rename y_2_13 "y_2[13]") (joined (portRef Q (instanceRef r_w_s_y_13)) (portRef A (instanceRef r_w_s_y_RNIONF61_13)) (portRef B (instanceRef r_m_y_RNO_3_13)) (portRef B (instanceRef r_w_s_y_RNO_13)) )) (net (rename y_2_27 "y_2[27]") (joined (portRef Y (instanceRef r_m_y_RNO_27)) (portRef D (instanceRef r_m_y_27)) )) (net (rename logicout_m_27 "logicout_m[27]") (joined (portRef Y (instanceRef r_m_y_RNO_2_27)) (portRef C (instanceRef r_m_y_RNO_27)) )) (net (rename y_3_28 "y_3[28]") (joined (portRef Y (instanceRef r_m_y_RNO_28)) (portRef D (instanceRef r_m_y_28)) )) (net (rename logicout_m_28 "logicout_m[28]") (joined (portRef Y (instanceRef r_m_y_RNO_2_28)) (portRef C (instanceRef r_m_y_RNO_28)) )) (net (rename y_2_9 "y_2[9]") (joined (portRef Y (instanceRef r_m_y_RNO_9)) (portRef D (instanceRef r_m_y_9)) )) (net (rename y_0_8 "y_0[8]") (joined (portRef Y (instanceRef r_m_y_RNO_8)) (portRef D (instanceRef r_m_y_8)) )) (net (rename y_1_0_21 "y_1_0[21]") (joined (portRef Y (instanceRef r_w_s_y_RNO_21)) (portRef D (instanceRef r_w_s_y_21)) )) (net (rename y_2_20 "y_2[20]") (joined (portRef Y (instanceRef r_m_y_RNO_20)) (portRef D (instanceRef r_m_y_20)) )) (net (rename y_2_26 "y_2[26]") (joined (portRef Y (instanceRef r_m_y_RNO_26)) (portRef D (instanceRef r_m_y_26)) )) (net (rename logicout_m_26 "logicout_m[26]") (joined (portRef Y (instanceRef r_m_y_RNO_2_26)) (portRef C (instanceRef r_m_y_RNO_26)) )) (net (rename y_1_23 "y_1[23]") (joined (portRef Y (instanceRef r_w_s_y_RNO_23)) (portRef D (instanceRef r_w_s_y_23)) )) (net (rename y_m_0_1_23 "y_m_0_1[23]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_23)) (portRef B (instanceRef r_w_s_y_RNO_23)) )) (net (rename y_m_1_0_23 "y_m_1_0[23]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_23)) (portRef C (instanceRef r_w_s_y_RNO_23)) )) (net (rename shiftin_17_m_0_23 "shiftin_17_m_0[23]") (joined (portRef Y (instanceRef r_e_jmpl_RNINLT9S)) (portRef B (instanceRef r_e_jmpl_RNI4LO8C5)) )) (net (rename un6_ex_add_res_m_24 "un6_ex_add_res_m[24]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIK7H1S2)) (portRef C (instanceRef r_e_jmpl_RNI4LO8C5)) )) (net N_424 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIUS2A1_25)) (portRef B (instanceRef r_a_ctrl_inst_RNIP15R2_13)) )) (net N_4321_i_0 (joined (portRef Y (instanceRef r_e_alusel_RNO_0)) (portRef D (instanceRef r_e_alusel_0)) )) (net N_486 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIP42A1_23)) (portRef A (instanceRef r_a_ctrl_inst_RNI5H3O1_20)) (portRef B (instanceRef r_a_ctrl_inst_RNIUCPB8_20)) (portRef B (instanceRef r_a_ctrl_inst_RNIKJDV5_30)) )) (net N_519 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI5H3O1_19)) (portRef A (instanceRef r_e_sari_RNO)) (portRef C (instanceRef r_a_ctrl_inst_RNIKJDV5_30)) )) (net N_393 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI5H3O1_20)) (portRef C (instanceRef r_a_ctrl_inst_RNIONJ48_30)) )) (net N_4486_i_i_o2_2 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIJSOI9_31)) (portRef C (instanceRef r_a_su_RNIRL3OM)) (portRef B (instanceRef r_a_ctrl_inst_RNIDL68H_24)) )) (net un3_irl (joined (portRef Y (instanceRef comb_irq_trap_un3_irl)) (portRef C (instanceRef r_w_s_et_RNI7Q0K2)) )) (net (rename aluresult_4 "aluresult[4]") (joined (portRef Y (instanceRef r_m_y_RNIUPEV02_4)) (portRef B (instanceRef r_e_op1_RNIKAG962_4)) )) (net (rename shiftin_17_m_0_4 "shiftin_17_m_0[4]") (joined (portRef Y (instanceRef r_e_jmpl_RNIUI18K_0)) (portRef B (instanceRef r_m_y_RNIUPEV02_4)) )) (net (rename un6_ex_add_res_m_5 "un6_ex_add_res_m[5]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIA7OG5)) (portRef C (instanceRef r_m_y_RNIUPEV02_4)) )) (net (rename eres2_4 "eres2[4]") (joined (portRef Y (instanceRef r_e_op1_RNIKAG962_4)) (portRef D (instanceRef r_m_result_4)) (portRef A (instanceRef r_a_rsel1_0_RNIJKAC62_2)) (portRef A (instanceRef r_a_rsel2_RNI95M962_2)) )) (net (rename cpi_m_0_296 "cpi_m_0[296]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIOSI3S_13)) (portRef A (instanceRef r_x_ctrl_pc_RNIR766Q1_13)) )) (net (rename cpi_m_365 "cpi_m[365]") (joined (portRef Y (instanceRef r_x_result_RNI72BES_13)) (portRef C (instanceRef r_x_ctrl_pc_RNIR766Q1_13)) )) (net (rename cpi_m_0_299 "cpi_m_0[299]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIR8J3S_16)) (portRef A (instanceRef r_x_ctrl_pc_RNIDBBBQ1_16)) )) (net (rename cpi_m_368 "cpi_m[368]") (joined (portRef Y (instanceRef r_x_result_RNIICJDS_16)) (portRef C (instanceRef r_x_ctrl_pc_RNIDBBBQ1_16)) )) (net (rename cpi_m_0_295 "cpi_m_0[295]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNINOI3S_12)) (portRef A (instanceRef r_x_ctrl_pc_RNIOPD5Q1_12)) )) (net (rename cpi_m_364 "cpi_m[364]") (joined (portRef Y (instanceRef r_x_result_RNIACJDS_12)) (portRef C (instanceRef r_x_ctrl_pc_RNIOPD5Q1_12)) )) (net asi_1_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNIRUCN2_0)) (portRef S (instanceRef dsur_asi_RNO_0_0)) (portRef S (instanceRef dsur_asi_RNO_0_3)) (portRef S (instanceRef dsur_asi_RNO_0_4)) (portRef S (instanceRef dsur_asi_RNO_0_5)) (portRef S (instanceRef dsur_asi_RNO_0_6)) (portRef S (instanceRef dsur_asi_RNO_0_1)) (portRef S (instanceRef dsur_asi_RNO_0_7)) (portRef S (instanceRef dsur_asi_RNO_0_2)) )) (net (rename data_9_sqmuxaZ0 "data_9_sqmuxa") (joined (portRef Y (instanceRef data_9_sqmuxa)) (portRef A (instanceRef data_9_sqmuxa_1)) (portRef A (instanceRef r_x_rstate_RNIRUCN2_0)) )) (net (rename eres2_7 "eres2[7]") (joined (portRef Y (instanceRef r_e_op1_RNI11BNB2_7)) (portRef D (instanceRef r_m_result_7)) (portRef A (instanceRef r_a_rsel1_3_RNI3B5QB2_2)) (portRef A (instanceRef r_e_op2_RNO_5_7)) )) (net (rename data_1 "data[6]") (joined (portRef Y (instanceRef ir_addr_RNILS0CC_6)) (portRef data_1) )) (net (rename addr_m_0_6 "addr_m_0[6]") (joined (portRef Y (instanceRef ir_addr_RNID1O41_6)) (portRef B (instanceRef ir_addr_RNILS0CC_6)) )) (net N_241 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIGGCN5_31)) (portRef A (instanceRef r_a_su_RNIHOKQ5)) )) (net (rename tt_i_o2_0_0_5 "tt_i_o2_0_0[5]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIME7L2_22)) (portRef B (instanceRef r_a_ctrl_inst_RNIGGCN5_31)) )) (net N_234 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIMGKM9_24)) (portRef A (instanceRef r_a_su_RNINOSP9)) )) (net N_203 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI479A3_22)) (portRef A (instanceRef r_a_ctrl_inst_RNIMGKM9_24)) )) (net (rename y_1_16 "y_1[16]") (joined (portRef Y (instanceRef r_w_s_y_RNO_16)) (portRef D (instanceRef r_w_s_y_16)) )) (net (rename y_2_16 "y_2[16]") (joined (portRef Q (instanceRef r_w_s_y_16)) (portRef B (instanceRef r_m_y_RNO_2_16)) (portRef A (instanceRef r_w_s_y_RNIR3G61_16)) (portRef B (instanceRef r_w_s_y_RNO_16)) )) (net (rename cpi_m_0_304 "cpi_m_0[304]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNINKI3S_21)) (portRef A (instanceRef r_x_ctrl_pc_RNI1FA0Q1_21)) )) (net (rename cpi_m_373 "cpi_m[373]") (joined (portRef Y (instanceRef r_x_result_RNI9ABES_21)) (portRef C (instanceRef r_x_ctrl_pc_RNI1FA0Q1_21)) )) (net (rename xc_trap_address_10 "xc_trap_address[10]") (joined (portRef Y (instanceRef r_f_pc_RNIF14R8_10)) (portRef A (instanceRef r_f_pc_RNI4DBN01_10)) (portRef B (instanceRef r_f_pc_RNIGUC09_10)) )) (net (rename pc_m_10 "pc_m[10]") (joined (portRef Y (instanceRef r_f_pc_RNI6GD53_10)) (portRef B (instanceRef r_f_pc_RNIF14R8_10)) )) (net flush (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI9DP4G_21)) (portRef flush) )) (net (rename inst_0_RNINB7E_23 "inst_0_RNINB7E[23]") (joined (portRef Y (instanceRef r_d_inst_0_RNINB7E_23)) (portRef B (instanceRef r_d_inst_0_RNIQ0AI_0)) (portRef B (instanceRef r_d_inst_0_RNITCAI_3)) (portRef B (instanceRef r_d_inst_0_RNIR4AI_1)) (portRef B (instanceRef r_d_inst_0_RNIS8AI_2)) )) (net (rename data_5_sqmuxaZ0 "data_5_sqmuxa") (joined (portRef Y (instanceRef data_5_sqmuxa)) (portRef A (instanceRef ir_addr_RNIA1O41_3)) (portRef A (instanceRef ir_addr_RNIE1O41_7)) (portRef A (instanceRef ir_addr_RNIRA091_31)) (portRef A (instanceRef ir_addr_RNI91O41_2)) (portRef A (instanceRef ir_addr_RNIP6091_20)) (portRef A (instanceRef ir_addr_RNIQE091_12)) (portRef A (instanceRef ir_addr_RNI17191_28)) (portRef A (instanceRef ir_addr_RNIRI091_13)) (portRef A (instanceRef ir_addr_RNIUU091_16)) (portRef A (instanceRef ir_addr_RNIF1O41_8)) (portRef A (instanceRef ir_addr_RNIUQ091_25)) (portRef A (instanceRef fpcwr_5_sqmuxa)) (portRef A (instanceRef ir_addr_RNID1O41_6)) (portRef A (instanceRef ir_addr_RNIC1O41_5)) (portRef A (instanceRef ir_addr_RNIPA091_11)) )) (net te8_1 (joined (portRef te8_1) (portRef A (instanceRef data_4_sqmuxa)) )) (net (rename cpi_m_0_286 "cpi_m_0[286]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI7FV2S_3)) (portRef A (instanceRef r_x_ctrl_pc_RNI7I8IP1_3)) )) (net (rename cpi_m_355 "cpi_m[355]") (joined (portRef Y (instanceRef r_x_result_RNI5BGBS_3)) (portRef C (instanceRef r_x_ctrl_pc_RNI7I8IP1_3)) )) (net ps_1 (joined (portRef Y (instanceRef r_w_s_ps_RNIO6A2K4)) (portRef A (instanceRef r_w_s_ps_RNO_0)) (portRef B (instanceRef r_a_su_RNO)) )) (net ps_m_1 (joined (portRef Y (instanceRef r_w_s_ps_RNIO8AJN2)) (portRef B (instanceRef r_w_s_ps_RNIO6A2K4)) )) (net (rename result_m_0_6 "result_m_0[6]") (joined (portRef Y (instanceRef r_x_result_RNIA8LRT_6)) (portRef C (instanceRef r_w_s_ps_RNIO6A2K4)) )) (net (rename addr_1_25 "addr_1[25]") (joined (portRef Y (instanceRef ir_addr_RNO_25)) (portRef D (instanceRef ir_addr_25)) )) (net (rename addr_25 "addr[25]") (joined (portRef Q (instanceRef ir_addr_25)) (portRef B (instanceRef ir_addr_RNIUQ091_25)) (portRef B (instanceRef r_f_pc_RNO_13_25)) (portRef B (instanceRef ir_addr_RNO_25)) )) (net (rename xc_trap_address_8 "xc_trap_address[8]") (joined (portRef Y (instanceRef r_f_pc_RNI9V6N8_8)) (portRef B (instanceRef r_f_pc_RNIJJTR8_8)) (portRef A (instanceRef r_f_pc_RNIUAEJ01_8)) )) (net (rename pc_m_8 "pc_m[8]") (joined (portRef Y (instanceRef r_f_pc_RNIF7R43_8)) (portRef B (instanceRef r_f_pc_RNI9V6N8_8)) )) (net (rename addr_1_31 "addr_1[31]") (joined (portRef Y (instanceRef ir_addr_RNO_31)) (portRef D (instanceRef ir_addr_31)) )) (net (rename cpi_m_314 "cpi_m[314]") (joined (portRef Y (instanceRef ir_addr_RNO_0_31)) (portRef A (instanceRef ir_addr_RNO_31)) )) (net (rename addr_m_1_31 "addr_m_1[31]") (joined (portRef Y (instanceRef ir_addr_RNO_2_31)) (portRef C (instanceRef ir_addr_RNO_31)) )) (net (rename addr_1_22 "addr_1[22]") (joined (portRef Y (instanceRef ir_addr_RNO_22)) (portRef D (instanceRef ir_addr_22)) )) (net (rename cpi_m_305 "cpi_m[305]") (joined (portRef Y (instanceRef ir_addr_RNO_0_22)) (portRef A (instanceRef ir_addr_RNO_22)) )) (net (rename addr_m_1_22 "addr_m_1[22]") (joined (portRef Y (instanceRef ir_addr_RNO_2_22)) (portRef C (instanceRef ir_addr_RNO_22)) )) (net (rename y_1_30 "y_1[30]") (joined (portRef Y (instanceRef r_m_y_RNO_30)) (portRef D (instanceRef r_m_y_30)) )) (net (rename logicout_m_30 "logicout_m[30]") (joined (portRef Y (instanceRef r_m_y_RNO_2_30)) (portRef C (instanceRef r_m_y_RNO_30)) )) (net (rename y_1_0_30 "y_1_0[30]") (joined (portRef Y (instanceRef r_w_s_y_RNO_30)) (portRef D (instanceRef r_w_s_y_30)) )) (net et_1_iv (joined (portRef Y (instanceRef r_x_result_RNITNF632_5)) (portRef D (instanceRef r_w_s_et)) (portRef A (instanceRef r_a_et_RNO)) )) (net (rename result_i_m_5 "result_i_m[5]") (joined (portRef Y (instanceRef r_x_result_RNIE9AVT_5)) (portRef C (instanceRef r_x_result_RNITNF632_5)) )) (net (rename y_2_11 "y_2[11]") (joined (portRef Y (instanceRef r_m_y_RNO_11)) (portRef D (instanceRef r_m_y_11)) )) (net (rename logicout_m_11 "logicout_m[11]") (joined (portRef Y (instanceRef r_m_y_RNO_2_11)) (portRef C (instanceRef r_m_y_RNO_11)) )) (net (rename y_1_0_11 "y_1_0[11]") (joined (portRef Y (instanceRef r_w_s_y_RNO_11)) (portRef D (instanceRef r_w_s_y_11)) )) (net (rename addr_1_2 "addr_1[2]") (joined (portRef Y (instanceRef ir_addr_RNO_2)) (portRef D (instanceRef ir_addr_2)) )) (net (rename cpi_m_285 "cpi_m[285]") (joined (portRef Y (instanceRef ir_addr_RNO_0_2)) (portRef A (instanceRef ir_addr_RNO_2)) )) (net (rename addr_m_1_2 "addr_m_1[2]") (joined (portRef Y (instanceRef ir_addr_RNO_2_2)) (portRef C (instanceRef ir_addr_RNO_2)) )) (net (rename edata2_0_iv_14 "edata2_0_iv[14]") (joined (portRef Y (instanceRef r_e_op1_RNIM036A_14)) (portRef (member edata2_0_iv 9)) )) (net (rename ex_op1_i_m_14 "ex_op1_i_m[14]") (joined (portRef Y (instanceRef r_e_op1_RNI4JEO1_14)) (portRef B (instanceRef r_e_op1_RNIM036A_14)) )) (net (rename bpdata_i_m_14 "bpdata_i_m[14]") (joined (portRef Y (instanceRef r_x_result_RNIC8NC4_14)) (portRef C (instanceRef r_e_op1_RNIM036A_14)) )) (net (rename y_3_16 "y_3[16]") (joined (portRef Y (instanceRef r_m_y_RNO_16)) (portRef D (instanceRef r_m_y_16)) )) (net (rename xc_trap_address_11 "xc_trap_address[11]") (joined (portRef Y (instanceRef r_f_pc_RNIT7AN8_11)) (portRef A (instanceRef r_f_pc_RNIIJHJ01_11)) (portRef B (instanceRef r_f_pc_RNIV4JS8_11)) )) (net (rename pc_m_11 "pc_m[11]") (joined (portRef Y (instanceRef r_f_pc_RNI7GD53_11)) (portRef B (instanceRef r_f_pc_RNIT7AN8_11)) )) (net (rename xc_trap_address_6 "xc_trap_address[6]") (joined (portRef Y (instanceRef r_f_pc_RNIVE6N8_6)) (portRef A (instanceRef r_f_pc_RNIKQDJ01_6)) (portRef B (instanceRef r_f_pc_RNI7RSR8_6)) )) (net (rename pc_m_6 "pc_m[6]") (joined (portRef Y (instanceRef r_f_pc_RNIDVQ43_6)) (portRef B (instanceRef r_f_pc_RNIVE6N8_6)) )) (net (rename xc_trap_address_5 "xc_trap_address[5]") (joined (portRef Y (instanceRef r_f_pc_RNIQ66N8_5)) (portRef A (instanceRef r_f_pc_RNIFIDJ01_5)) (portRef B (instanceRef r_f_pc_RNI1FSR8_5)) )) (net (rename cpi_m_397 "cpi_m[397]") (joined (portRef Y (instanceRef r_x_rstate_RNIOHV53_0)) (portRef A (instanceRef r_f_pc_RNIQ66N8_5)) )) (net (rename pc_m_5 "pc_m[5]") (joined (portRef Y (instanceRef r_f_pc_RNICRQ43_5)) (portRef C (instanceRef r_f_pc_RNIQ66N8_5)) )) (net (rename xc_trap_address_4 "xc_trap_address[4]") (joined (portRef Y (instanceRef r_f_pc_RNILU5N8_4)) (portRef A (instanceRef r_f_pc_RNIAADJ01_4)) (portRef B (instanceRef r_f_pc_RNIR2SR8_4)) )) (net (rename cpi_m_396 "cpi_m[396]") (joined (portRef Y (instanceRef r_x_rstate_RNINHV53_0)) (portRef A (instanceRef r_f_pc_RNILU5N8_4)) )) (net (rename pc_m_4 "pc_m[4]") (joined (portRef Y (instanceRef r_f_pc_RNIBNQ43_4)) (portRef C (instanceRef r_f_pc_RNILU5N8_4)) )) (net (rename cpi_m_0_311 "cpi_m_0[311]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIUGJ3S_28)) (portRef A (instanceRef r_x_ctrl_pc_RNI8ITPP1_28)) )) (net (rename cpi_m_380 "cpi_m[380]") (joined (portRef Y (instanceRef r_x_result_RNISKJDS_28)) (portRef C (instanceRef r_x_ctrl_pc_RNI8ITPP1_28)) )) (net (rename xc_trap_address_9 "xc_trap_address[9]") (joined (portRef Y (instanceRef r_f_pc_RNIE77N8_9)) (portRef A (instanceRef r_f_pc_RNI3JEJ01_9)) (portRef B (instanceRef r_f_pc_RNIPVTR8_9)) )) (net (rename pc_m_9 "pc_m[9]") (joined (portRef Y (instanceRef r_f_pc_RNIGBR43_9)) (portRef B (instanceRef r_f_pc_RNIE77N8_9)) )) (net (rename y_1_0_26 "y_1_0[26]") (joined (portRef Y (instanceRef r_w_s_y_RNO_26)) (portRef D (instanceRef r_w_s_y_26)) )) (net (rename addr_1_12 "addr_1[12]") (joined (portRef Y (instanceRef ir_addr_RNO_12)) (portRef D (instanceRef ir_addr_12)) )) (net (rename addr_12 "addr[12]") (joined (portRef Q (instanceRef ir_addr_12)) (portRef B (instanceRef ir_addr_RNIQE091_12)) (portRef B (instanceRef r_f_pc_RNO_12_12)) (portRef B (instanceRef ir_addr_RNO_12)) )) (net (rename eaddress_27 "eaddress[27]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNI370VG3)) (portRef B (instanceRef r_f_pc_RNO_7_27)) (portRef B (instanceRef r_f_pc_RNO_14_27)) (portRef B (instanceRef r_e_ldbp2_1_RNILEQFDA)) (portRef B (instanceRef r_e_ldbp2_2_RNIRG9C46)) )) (net (rename y_2_12 "y_2[12]") (joined (portRef Y (instanceRef r_m_y_RNO_12)) (portRef D (instanceRef r_m_y_12)) )) (net (rename logicout_m_12 "logicout_m[12]") (joined (portRef Y (instanceRef r_m_y_RNO_2_12)) (portRef C (instanceRef r_m_y_RNO_12)) )) (net (rename y_1_0_12 "y_1_0[12]") (joined (portRef Y (instanceRef r_w_s_y_RNO_12)) (portRef D (instanceRef r_w_s_y_12)) )) (net (rename y_1_6 "y_1[6]") (joined (portRef Y (instanceRef r_m_y_RNO_6)) (portRef D (instanceRef r_m_y_6)) )) (net (rename y_1_0_6 "y_1_0[6]") (joined (portRef Y (instanceRef r_w_s_y_RNO_6)) (portRef D (instanceRef r_w_s_y_6)) )) (net (rename y_1_0_3 "y_1_0[3]") (joined (portRef Y (instanceRef r_w_s_y_RNO_3)) (portRef D (instanceRef r_w_s_y_3)) )) (net (rename addr_1_4 "addr_1[4]") (joined (portRef Y (instanceRef ir_addr_RNO_4)) (portRef D (instanceRef ir_addr_4)) )) (net (rename addr_4 "addr[4]") (joined (portRef Q (instanceRef ir_addr_4)) (portRef B (instanceRef ir_addr_RNI5HM71_4)) (portRef B (instanceRef ir_addr_RNIB1O41_4)) (portRef B (instanceRef ir_addr_RNO_4)) )) (net (rename y_1_0_4 "y_1_0[4]") (joined (portRef Y (instanceRef r_w_s_y_RNO_4)) (portRef D (instanceRef r_w_s_y_4)) )) (net (rename y_0_2 "y_0[2]") (joined (portRef Y (instanceRef r_m_y_RNO_2)) (portRef D (instanceRef r_m_y_2)) )) (net (rename y_1_2 "y_1[2]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2)) (portRef D (instanceRef r_w_s_y_2)) )) (net (rename y_m_0_1_2 "y_m_0_1[2]") (joined (portRef Y (instanceRef r_w_s_y_RNO_1_2)) (portRef B (instanceRef r_w_s_y_RNO_2)) )) (net (rename y_m_1_0_2 "y_m_1_0[2]") (joined (portRef Y (instanceRef r_w_s_y_RNO_2_2)) (portRef C (instanceRef r_w_s_y_RNO_2)) )) (net (rename y_1_0_27 "y_1_0[27]") (joined (portRef Y (instanceRef r_w_s_y_RNO_27)) (portRef D (instanceRef r_w_s_y_27)) )) (net tt_i (joined (portRef Y (instanceRef r_x_ctrl_tt_RNIL6SJ_0)) (portRef S (instanceRef r_x_ctrl_tt_RNID10R_4)) (portRef S (instanceRef r_x_ctrl_tt_RNI7LVQ_1)) (portRef S (instanceRef r_x_ctrl_tt_RNI9PVQ_2)) (portRef S (instanceRef r_x_ctrl_tt_RNI5HVQ_0)) (portRef S (instanceRef r_x_ctrl_tt_RNIF50R_5)) (portRef B (instanceRef r_x_mexc_RNIQ5MM)) (portRef S (instanceRef r_x_ctrl_tt_RNIBTVQ_3)) )) (net (rename shiftin_17_m_0_24 "shiftin_17_m_0[24]") (joined (portRef Y (instanceRef r_e_jmpl_RNI8SJ9S)) (portRef B (instanceRef r_e_jmpl_RNIJ8JJN5)) )) (net (rename un6_ex_add_res_m_25 "un6_ex_add_res_m[25]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIAKAA43)) (portRef C (instanceRef r_e_jmpl_RNIJ8JJN5)) )) (net (rename shiftin_17_m_2 "shiftin_17_m[2]") (joined (portRef Y (instanceRef r_e_jmpl_RNIHQDFJ)) (portRef A (instanceRef r_e_jmpl_RNIBG9RR1)) )) (net (rename shiftin_17_m_0_1 "shiftin_17_m_0[1]") (joined (portRef Y (instanceRef r_e_jmpl_RNIP1DTJ_0)) (portRef C (instanceRef r_e_jmpl_RNIBG9RR1)) )) (net (rename eres2_12 "eres2[12]") (joined (portRef Y (instanceRef r_e_op1_RNIU2NK33_12)) (portRef D (instanceRef r_m_result_12)) (portRef A (instanceRef r_a_rsel1_3_RNI0DHN33_2)) (portRef A (instanceRef r_e_op2_RNO_5_12)) )) (net (rename aluresult_m_12 "aluresult_m[12]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIGSK0V2)) (portRef B (instanceRef r_e_op1_RNIU2NK33_12)) )) (net (rename aluresult_19 "aluresult[19]") (joined (portRef Y (instanceRef r_m_y_RNIVD84G4_19)) (portRef B (instanceRef r_e_op1_RNIP9OHL4_19)) )) (net (rename shiftin_17_m_0_19 "shiftin_17_m_0[19]") (joined (portRef Y (instanceRef r_e_jmpl_RNI0KDUQ_0)) (portRef B (instanceRef r_m_y_RNIVD84G4_19)) )) (net (rename un6_ex_add_res_m_20 "un6_ex_add_res_m[20]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIL7AT82)) (portRef C (instanceRef r_m_y_RNIVD84G4_19)) )) (net (rename eres2_19 "eres2[19]") (joined (portRef Y (instanceRef r_e_op1_RNIP9OHL4_19)) (portRef D (instanceRef r_m_result_19)) (portRef A (instanceRef r_a_rsel1_1_RNIPJIKL4_2)) (portRef A (instanceRef r_e_op2_RNO_5_19)) )) (net (rename aluresult_15 "aluresult[15]") (joined (portRef Y (instanceRef r_e_jmpl_RNIOEVDF3)) (portRef B (instanceRef r_e_op1_RNI6AERK3_15)) )) (net (rename shiftin_17_m_0_15 "shiftin_17_m_0[15]") (joined (portRef Y (instanceRef r_e_jmpl_RNI636DP_0)) (portRef B (instanceRef r_e_jmpl_RNIOEVDF3)) )) (net (rename un6_ex_add_res_m_16 "un6_ex_add_res_m[16]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIP2SI91)) (portRef C (instanceRef r_e_jmpl_RNIOEVDF3)) )) (net (rename eres2_15 "eres2[15]") (joined (portRef Y (instanceRef r_e_op1_RNI6AERK3_15)) (portRef D (instanceRef r_m_result_15)) (portRef A (instanceRef r_a_rsel1_RNIQ4KRK3_2)) (portRef A (instanceRef r_e_op2_RNO_5_15)) )) (net (rename eres2_10 "eres2[10]") (joined (portRef Y (instanceRef r_e_op1_RNILGUOS2_10)) (portRef D (instanceRef r_m_result_10)) (portRef A (instanceRef r_a_rsel1_0_RNIKQORS2_2)) (portRef A (instanceRef r_e_op2_RNO_5_10)) )) (net (rename aluresult_m_10 "aluresult_m[10]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIDQS4O2)) (portRef B (instanceRef r_e_op1_RNILGUOS2_10)) )) (net (rename aluresult_11 "aluresult[11]") (joined (portRef Y (instanceRef r_e_jmpl_RNI5770R2)) (portRef B (instanceRef r_e_op1_RNI72LD03_11)) )) (net (rename shiftin_17_m_0_11 "shiftin_17_m_0[11]") (joined (portRef Y (instanceRef r_e_jmpl_RNIBIURN_0)) (portRef B (instanceRef r_e_jmpl_RNI5770R2)) )) (net (rename un6_ex_add_res_m_12 "un6_ex_add_res_m[12]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNILVP6M)) (portRef C (instanceRef r_e_jmpl_RNI5770R2)) )) (net (rename eres2_11 "eres2[11]") (joined (portRef Y (instanceRef r_e_op1_RNI72LD03_11)) (portRef D (instanceRef r_m_result_11)) (portRef A (instanceRef r_a_rsel1_3_RNI9CFG03_2)) (portRef A (instanceRef r_e_op2_RNO_5_11)) )) (net mresult2_2_sqmuxa_1 (joined (portRef Y (instanceRef r_m_casa_RNINSBP)) (portRef C (instanceRef r_e_ldbp2_1_RNINP5NF3)) (portRef C (instanceRef r_e_ldbp2_2_RNI4N7NI4)) (portRef A (instanceRef r_m_casa_RNIA3U537)) (portRef C (instanceRef r_e_ldbp2_1_RNI7R6BS5)) (portRef C (instanceRef r_e_ldbp2_1_RNICG2M94)) (portRef C (instanceRef r_e_ldbp2_2_RNIVTNUT4)) (portRef C (instanceRef r_e_ldbp2_2_RNIDQS4O2)) (portRef C (instanceRef r_e_ldbp2_1_RNIGSK0V2)) (portRef C (instanceRef r_e_ldbp2_2_RNIKFD327)) (portRef C (instanceRef r_e_jmpl_RNIMG2FN1)) (portRef C (instanceRef r_e_ldbp2_1_RNI7UO842)) (portRef C (instanceRef r_e_ldbp2_RNIBGLKC2)) (portRef A (instanceRef r_e_op1_RNIKS6E12_3)) (portRef A (instanceRef r_e_op1_RNIJFMLK2_9)) (portRef A (instanceRef r_e_ldbp2_1_RNIQNI9Q3)) (portRef A (instanceRef r_e_op1_RNI72LD03_11)) )) (net (rename shiftin_17_m_0_21 "shiftin_17_m_0[21]") (joined (portRef Y (instanceRef r_e_jmpl_RNIT70NR_0)) (portRef B (instanceRef r_e_jmpl_RNIKBK915)) )) (net (rename un6_ex_add_res_m_22 "un6_ex_add_res_m[22]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI63ROI2)) (portRef C (instanceRef r_e_jmpl_RNIKBK915)) )) (net (rename y_2_25 "y_2[25]") (joined (portRef Y (instanceRef r_m_y_RNO_25)) (portRef D (instanceRef r_m_y_25)) )) (net (rename logicout_m_25 "logicout_m[25]") (joined (portRef Y (instanceRef r_m_y_RNO_2_25)) (portRef C (instanceRef r_m_y_RNO_25)) )) (net (rename y_1_0_31 "y_1_0[31]") (joined (portRef Y (instanceRef r_w_s_y_RNO_31)) (portRef D (instanceRef r_w_s_y_31)) )) (net (rename y_2_31 "y_2[31]") (joined (portRef Q (instanceRef r_w_s_y_31)) (portRef A (instanceRef r_w_s_y_RNIOFF61_31)) (portRef B (instanceRef r_m_y_RNO_3_31)) (portRef B (instanceRef r_w_s_y_RNO_31)) )) (net (rename eres2_17 "eres2[17]") (joined (portRef Y (instanceRef r_e_op1_RNI9V5AE4_17)) (portRef D (instanceRef r_m_result_17)) (portRef A (instanceRef r_a_rsel1_2_RNIA90DE4_2)) (portRef A (instanceRef r_e_op2_RNO_5_17)) )) (net (rename aluresult_m_17 "aluresult_m[17]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNICG2M94)) (portRef B (instanceRef r_e_op1_RNI9V5AE4_17)) )) (net (rename aluresult_16 "aluresult[16]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI9K3SK3)) (portRef B (instanceRef r_e_ldbp2_1_RNIQNI9Q3)) )) (net (rename eres2_16 "eres2[16]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIQNI9Q3)) (portRef D (instanceRef r_m_result_16)) (portRef A (instanceRef r_a_rsel1_2_RNIR1DCQ3_2)) (portRef A (instanceRef r_e_op2_RNO_5_16)) )) (net (rename y_2_10 "y_2[10]") (joined (portRef Y (instanceRef r_m_y_RNO_10)) (portRef D (instanceRef r_m_y_10)) )) (net (rename cpi_m_0_308 "cpi_m_0[308]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIR4J3S_25)) (portRef A (instanceRef r_x_ctrl_pc_RNIBVIQP1_25)) )) (net (rename cpi_m_377 "cpi_m[377]") (joined (portRef Y (instanceRef r_x_result_RNIHABES_25)) (portRef C (instanceRef r_x_ctrl_pc_RNIBVIQP1_25)) )) (net (rename cpi_m_0_306 "cpi_m_0[306]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIPSI3S_23)) (portRef A (instanceRef r_x_ctrl_pc_RNI0PPPP1_23)) )) (net (rename cpi_m_375 "cpi_m[375]") (joined (portRef Y (instanceRef r_x_result_RNIIKJDS_23)) (portRef C (instanceRef r_x_ctrl_pc_RNI0PPPP1_23)) )) (net (rename addr_1_6 "addr_1[6]") (joined (portRef Y (instanceRef ir_addr_RNO_6)) (portRef D (instanceRef ir_addr_6)) )) (net (rename addr_6 "addr[6]") (joined (portRef Q (instanceRef ir_addr_6)) (portRef B (instanceRef ir_addr_RNI7HM71_6)) (portRef B (instanceRef ir_addr_RNID1O41_6)) (portRef B (instanceRef ir_addr_RNO_6)) )) (net intack (joined (portRef Y (instanceRef r_x_intack_RNO)) (portRef D (instanceRef r_x_intack)) )) (net (rename cpi_m_0_289 "cpi_m_0[289]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIAFV2S_6)) (portRef A (instanceRef r_x_ctrl_pc_RNIVA9IP1_6)) )) (net (rename cpi_m_358 "cpi_m[358]") (joined (portRef Y (instanceRef r_x_result_RNIB3HBS_6)) (portRef C (instanceRef r_x_ctrl_pc_RNIVA9IP1_6)) )) (net (rename cpi_m_0_287 "cpi_m_0[287]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI8FV2S_4)) (portRef A (instanceRef r_x_ctrl_pc_RNIK4HHP1_4)) )) (net (rename cpi_m_356 "cpi_m[356]") (joined (portRef Y (instanceRef r_x_result_RNICTOAS_4)) (portRef C (instanceRef r_x_ctrl_pc_RNIK4HHP1_4)) )) (net (rename y_1_0_0 "y_1_0[0]") (joined (portRef Y (instanceRef r_w_s_y_RNO_0)) (portRef D (instanceRef r_w_s_y_0)) )) (net (rename y_2_0 "y_2[0]") (joined (portRef Q (instanceRef r_w_s_y_0)) (portRef B (instanceRef r_w_s_y_RNIA8FQ_0)) (portRef A (instanceRef r_w_s_y_RNI4IG91_0)) (portRef B (instanceRef r_w_s_y_RNO_0)) )) (net (rename cpi_m_0_313 "cpi_m_0[313]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNINGI3S_30)) (portRef A (instanceRef r_x_ctrl_pc_RNI4V90Q1_30)) )) (net (rename cpi_m_382 "cpi_m[382]") (joined (portRef Y (instanceRef r_x_result_RNIDIBES_30)) (portRef C (instanceRef r_x_ctrl_pc_RNI4V90Q1_30)) )) (net (rename y_0_24 "y_0[24]") (joined (portRef Y (instanceRef r_m_y_RNO_24)) (portRef D (instanceRef r_m_y_24)) )) (net (rename edata2_0_iv_13 "edata2_0_iv[13]") (joined (portRef Y (instanceRef r_e_op1_RNIGIA5A_13)) (portRef (member edata2_0_iv 10)) )) (net (rename ex_op1_i_m_13 "ex_op1_i_m[13]") (joined (portRef Y (instanceRef r_e_op1_RNI2FEO1_13)) (portRef B (instanceRef r_e_op1_RNIGIA5A_13)) )) (net (rename bpdata_i_m_13 "bpdata_i_m[13]") (joined (portRef Y (instanceRef r_x_result_RNI84NC4_13)) (portRef C (instanceRef r_e_op1_RNIGIA5A_13)) )) (net (rename y_2_3 "y_2[3]") (joined (portRef Y (instanceRef r_m_y_RNO_3)) (portRef D (instanceRef r_m_y_3)) )) (net (rename logicout_m_3 "logicout_m[3]") (joined (portRef Y (instanceRef r_m_y_RNO_2_3)) (portRef C (instanceRef r_m_y_RNO_3)) )) (net (rename cwp_1_iv_2 "cwp_1_iv[2]") (joined (portRef Y (instanceRef r_x_result_RNIVDVN37_2)) (portRef D (instanceRef r_w_s_cwp_2)) (portRef B (instanceRef r_d_cwp_RNO_2)) )) (net (rename result_i_m_2 "result_i_m[2]") (joined (portRef Y (instanceRef r_x_result_RNIBT9VT_2)) (portRef A (instanceRef r_x_result_RNIVDVN37_2)) )) (net (rename cwp_1_i_m_2 "cwp_1_i_m[2]") (joined (portRef Y (instanceRef r_w_s_cwp_RNI4J6355_2)) (portRef C (instanceRef r_x_result_RNIVDVN37_2)) )) (net (rename cwp_1_iv_1 "cwp_1_iv[1]") (joined (portRef Y (instanceRef r_x_result_RNIGI4O46_1)) (portRef D (instanceRef r_w_s_cwp_1)) (portRef B (instanceRef r_d_cwp_RNO_1)) )) (net (rename cwp_RNIUFNQ32_2 "cwp_RNIUFNQ32[2]") (joined (portRef Y (instanceRef r_w_s_cwp_RNIUFNQ32_2)) (portRef C (instanceRef r_w_s_cwp_RNI4J6355_2)) (portRef B (instanceRef r_w_s_cwp_RNIB4H373_2)) (portRef B (instanceRef r_x_result_RNIGI4O46_1)) )) (net cwp_1_sn_N_4 (joined (portRef Y (instanceRef r_x_rstate_RNIB7JM_0)) (portRef S (instanceRef r_d_cwp_RNO_1)) (portRef S (instanceRef r_d_cwp_RNO_2)) (portRef S (instanceRef r_d_cwp_RNO_0)) )) (net (rename cpi_m_0_298 "cpi_m_0[298]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIQ4J3S_15)) (portRef A (instanceRef r_x_ctrl_pc_RNIVMIQP1_15)) )) (net (rename cpi_m_367 "cpi_m[367]") (joined (portRef Y (instanceRef r_x_result_RNIB2BES_15)) (portRef C (instanceRef r_x_ctrl_pc_RNIVMIQP1_15)) )) (net (rename y_0_23 "y_0[23]") (joined (portRef Y (instanceRef r_m_y_RNO_23)) (portRef D (instanceRef r_m_y_23)) )) (net (rename y_1_24 "y_1[24]") (joined (portRef Y (instanceRef r_w_s_y_RNO_24)) (portRef D (instanceRef r_w_s_y_24)) )) (net (rename shiftin_17_m_0_22 "shiftin_17_m_0[22]") (joined (portRef Y (instanceRef r_e_jmpl_RNI7P9BR_0)) (portRef B (instanceRef r_e_jmpl_RNILUTOB5)) )) (net (rename un6_ex_add_res_m_23 "un6_ex_add_res_m[23]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIG7B1T2)) (portRef C (instanceRef r_e_jmpl_RNILUTOB5)) )) (net (rename y_0_18 "y_0[18]") (joined (portRef Y (instanceRef r_m_y_RNO_18)) (portRef D (instanceRef r_m_y_18)) )) (net y14 (joined (portRef Y (instanceRef r_e_mulstep_RNIDG4D_0)) (portRef B (instanceRef r_m_y_RNO_2_3)) (portRef B (instanceRef r_m_y_RNO_2_25)) (portRef B (instanceRef r_m_y_RNO_2_12)) (portRef B (instanceRef r_m_y_RNO_2_11)) (portRef B (instanceRef r_m_y_RNO_2_30)) (portRef B (instanceRef r_m_y_RNO_2_31)) (portRef B (instanceRef r_m_y_RNO_2_13)) (portRef B (instanceRef r_m_y_RNO_2_17)) (portRef C (instanceRef r_m_y_RNO_7_7)) (portRef B (instanceRef r_m_y_RNO_2_27)) (portRef B (instanceRef r_m_y_RNO_2_28)) (portRef B (instanceRef r_m_y_RNO_2_26)) (portRef B (instanceRef r_m_y_RNO_2_19)) (portRef B (instanceRef r_m_y_RNO_2_15)) (portRef B (instanceRef r_e_aluop_0_RNI86832_0)) (portRef C (instanceRef r_m_y_RNO_0_7)) (portRef A (instanceRef r_m_y_RNO_18)) )) (net (rename eres2_18 "eres2[18]") (joined (portRef Y (instanceRef r_e_op1_RNI4EBBN4_18)) (portRef D (instanceRef r_m_result_18)) (portRef A (instanceRef r_a_rsel1_RNIO8HBN4_2)) (portRef A (instanceRef r_e_op2_RNO_5_18)) )) (net (rename aluresult_m_18 "aluresult_m[18]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNI4N7NI4)) (portRef B (instanceRef r_e_op1_RNI4EBBN4_18)) )) (net (rename eres2_14 "eres2[14]") (joined (portRef Y (instanceRef r_e_op1_RNIBG8BK3_14)) (portRef D (instanceRef r_m_result_14)) (portRef A (instanceRef r_a_rsel1_1_RNIBQ2EK3_2)) (portRef A (instanceRef r_e_op2_RNO_5_14)) )) (net (rename aluresult_m_14 "aluresult_m[14]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNINP5NF3)) (portRef B (instanceRef r_e_op1_RNIBG8BK3_14)) )) (net (rename addr_1_13 "addr_1[13]") (joined (portRef Y (instanceRef ir_addr_RNO_13)) (portRef D (instanceRef ir_addr_13)) )) (net (rename cpi_m_296 "cpi_m[296]") (joined (portRef Y (instanceRef ir_addr_RNO_0_13)) (portRef A (instanceRef ir_addr_RNO_13)) )) (net (rename addr_m_1_13 "addr_m_1[13]") (joined (portRef Y (instanceRef ir_addr_RNO_2_13)) (portRef C (instanceRef ir_addr_RNO_13)) )) (net (rename addr_1_14 "addr_1[14]") (joined (portRef Y (instanceRef ir_addr_RNO_14)) (portRef D (instanceRef ir_addr_14)) )) (net (rename cpi_m_297 "cpi_m[297]") (joined (portRef Y (instanceRef ir_addr_RNO_0_14)) (portRef A (instanceRef ir_addr_RNO_14)) )) (net (rename addr_m_1_14 "addr_m_1[14]") (joined (portRef Y (instanceRef ir_addr_RNO_2_14)) (portRef C (instanceRef ir_addr_RNO_14)) )) (net (rename addr_1_21 "addr_1[21]") (joined (portRef Y (instanceRef ir_addr_RNO_21)) (portRef D (instanceRef ir_addr_21)) )) (net (rename cpi_m_304 "cpi_m[304]") (joined (portRef Y (instanceRef ir_addr_RNO_0_21)) (portRef A (instanceRef ir_addr_RNO_21)) )) (net (rename addr_m_1_21 "addr_m_1[21]") (joined (portRef Y (instanceRef ir_addr_RNO_2_21)) (portRef C (instanceRef ir_addr_RNO_21)) )) (net N_6765_i (joined (portRef Y (instanceRef r_m_werr_RNO)) (portRef D (instanceRef r_m_werr)) )) (net (rename aluresult_9 "aluresult[9]") (joined (portRef Y (instanceRef r_m_y_RNIEAKBF2_9)) (portRef B (instanceRef r_e_op1_RNIJFMLK2_9)) )) (net (rename shiftin_17_m_0_9 "shiftin_17_m_0[9]") (joined (portRef Y (instanceRef r_e_jmpl_RNIF3SVM_0)) (portRef B (instanceRef r_m_y_RNIEAKBF2_9)) )) (net (rename un6_ex_add_res_m_10 "un6_ex_add_res_m[10]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIPHPNE)) (portRef C (instanceRef r_m_y_RNIEAKBF2_9)) )) (net (rename eres2_9 "eres2[9]") (joined (portRef Y (instanceRef r_e_op1_RNIJFMLK2_9)) (portRef D (instanceRef r_m_result_9)) (portRef A (instanceRef r_a_rsel1_0_RNIIPGOK2_2)) (portRef A (instanceRef r_e_op2_RNO_5_9)) )) (net (rename addr_1_9 "addr_1[9]") (joined (portRef Y (instanceRef ir_addr_RNO_9)) (portRef D (instanceRef ir_addr_9)) )) (net (rename addr_9 "addr[9]") (joined (portRef Q (instanceRef ir_addr_9)) (portRef B (instanceRef ir_addr_RNIAHM71_9)) (portRef B (instanceRef ir_addr_RNIG1O41_9)) (portRef B (instanceRef ir_addr_RNO_9)) )) (net (rename cpi_m_0_312 "cpi_m_0[312]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIVKJ3S_29)) (portRef A (instanceRef r_x_ctrl_pc_RNIB0MQP1_29)) )) (net (rename cpi_m_381 "cpi_m[381]") (joined (portRef Y (instanceRef r_x_result_RNIPABES_29)) (portRef C (instanceRef r_x_ctrl_pc_RNIB0MQP1_29)) )) (net (rename xc_trap_address_7 "xc_trap_address[7]") (joined (portRef Y (instanceRef r_f_pc_RNI4N6N8_7)) (portRef A (instanceRef r_f_pc_RNIP2EJ01_7)) (portRef B (instanceRef r_f_pc_RNID7TR8_7)) )) (net (rename cpi_m_399 "cpi_m[399]") (joined (portRef Y (instanceRef r_x_rstate_RNIQHV53_0)) (portRef A (instanceRef r_f_pc_RNI4N6N8_7)) )) (net (rename pc_m_7 "pc_m[7]") (joined (portRef Y (instanceRef r_f_pc_RNIE3R43_7)) (portRef C (instanceRef r_f_pc_RNI4N6N8_7)) )) (net (rename eres2_8 "eres2[8]") (joined (portRef Y (instanceRef r_e_op1_RNIMKB5H2_8)) (portRef D (instanceRef r_m_result_8)) (portRef A (instanceRef r_e_op2_RNO_5_8)) (portRef A (instanceRef r_a_rsel1_1_RNIMU58H2_2)) )) (net (rename aluresult_m_8 "aluresult_m[8]") (joined (portRef Y (instanceRef r_e_ldbp2_RNIBGLKC2)) (portRef B (instanceRef r_e_op1_RNIMKB5H2_8)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef Y (instanceRef r_x_rstate_0_RNINR78V_1)) (portRef (member wa_0_iv 0)) )) (net (rename un1_dbgi_m_1 "un1_dbgi_m[1]") (joined (portRef Y (instanceRef r_x_rstate_RNIKFUM1_0)) (portRef A (instanceRef r_x_rstate_0_RNINR78V_1)) )) (net (rename rd_i_m_0 "rd_i_m[0]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIJLD3S_0)) (portRef C (instanceRef r_x_rstate_0_RNINR78V_1)) )) (net (rename eres2_3 "eres2[3]") (joined (portRef Y (instanceRef r_e_op1_RNIKS6E12_3)) (portRef D (instanceRef r_m_result_3)) (portRef A (instanceRef r_a_rsel1_0_RNIJ61H12_2)) (portRef A (instanceRef r_a_rsel2_RNI9NCE12_2)) )) (net rfe_1 (joined (portRef Y (instanceRef r_d_inst_0_RNIR1LAL1_13)) (portRef A (instanceRef r_a_rfe2_RNISE0OL1)) )) (net (rename rdZ0Z_1 "rd_1") (joined (portRef Y (instanceRef r_a_ctrl_wreg_RNIPJ0BF)) (portRef A (instanceRef r_d_inst_0_RNIRP5CH1_13)) (portRef C (instanceRef r_d_inst_0_RNIRP5CH1_0_13)) (portRef C (instanceRef r_d_inst_0_RNIR1LAL1_13)) )) (net N_4512 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNI98JTT_5)) (portRef A (instanceRef r_d_inst_0_RNIRP5CH1_0_13)) )) (net N_4508_1 (joined (portRef Y (instanceRef r_d_inst_0_RNIPTH34_13)) (portRef D (instanceRef r_a_rsel2_2)) (portRef B (instanceRef r_d_inst_0_RNIRP5CH1_0_13)) )) (net N555 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I135_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I185_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I223_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_un1_Y)) )) (net N547 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I127_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I173_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I180_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I223_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I244_un1_Y)) )) (net N706 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_Y)) (portRef A (instanceRef r_f_pc_RNO_0_25)) )) (net I202_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I202_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_Y)) )) (net I238_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_Y)) )) (net N579 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I153_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I202_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_un1_Y)) )) (net N595_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I169_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I217_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I238_un1_Y)) )) (net I198_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I198_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_Y)) )) (net I236_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_Y)) )) (net N575 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I149_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I198_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I236_un1_Y)) )) (net N714_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I242_Y)) (portRef A (instanceRef r_f_pc_RNO_0_21)) )) (net I242_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I242_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I242_Y)) )) (net N586_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I160_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I194_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I242_Y)) )) (net I210_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I210_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I242_Y)) )) (net N603_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I177_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I221_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I242_un1_Y)) )) (net N698 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_Y)) (portRef A (instanceRef r_f_pc_RNO_7_29)) )) (net I194_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I194_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I234_Y)) )) (net I212_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I212_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I243_Y)) )) (net N545_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I125_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I171_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I178_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I179_Y)) )) (net N486 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I69_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I124_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I125_Y)) )) (net N544 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I124_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I170_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I178_Y)) )) (net N489 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I72_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I124_Y)) )) (net N537 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I117_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I163_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I170_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I171_Y)) )) (net N478 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I61_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I116_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I117_Y)) )) (net N536 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I116_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I162_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I170_Y)) )) (net N481_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I64_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I116_Y)) )) (net N529 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I109_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I162_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I163_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I155_Y)) )) (net N404 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I15_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I56_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I55_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I216_Y_0_a3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I109_Y)) )) (net N401_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I14_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I111_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I114_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I115_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I109_Y)) )) (net N470_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I53_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I108_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I109_Y)) )) (net N528_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I108_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I162_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I154_un1_Y)) )) (net N473_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I56_Y_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I108_Y)) )) (net N465 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I48_Y_0_o3)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I241_Y_0_o3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I100_Y)) )) (net N462 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I45_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I101_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I100_Y)) )) (net N600_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I137_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I197_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I189_Y)) )) (net N424_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I10_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I137_Y)) )) (net I137_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I137_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I137_Y)) )) (net I75_un1_Y_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I75_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I137_Y)) )) (net N428_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I11_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I132_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I135_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I136_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I130_Y)) )) (net N401_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I2_P0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I90_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I206_Y_0_a3_1)) )) (net N593_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I130_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I182_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I189_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I190_Y)) )) (net N527_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I68_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I129_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I130_Y)) )) (net N592_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I129_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I181_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I189_Y)) )) (net I67_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I67_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I129_Y)) )) (net I129_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I129_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I129_Y)) )) (net N436_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I14_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I65_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I129_Y)) )) (net N585_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I122_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I174_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I181_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I182_Y)) )) (net N519_2 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I60_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I121_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I122_Y)) )) (net N584_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I121_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I173_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I181_Y)) )) (net I59_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I59_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I121_Y)) )) (net I121_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I121_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I121_Y)) )) (net N448_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I18_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I57_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I121_Y)) )) (net rstate_1_sqmuxa (joined (portRef Y (instanceRef rp_pwd_RNI6P854)) (portRef A (instanceRef rp_pwd_RNO_0)) (portRef A (instanceRef r_x_rstate_0_RNIQK206_1)) )) (net pv_7 (joined (portRef Q (instanceRef r_x_ctrl_pv)) (portRef A (instanceRef r_x_ctrl_pv_RNID9DP)) )) (net un51_pwrd (joined (portRef Y (instanceRef r_x_ctrl_trap_RNI2ROB_0)) (portRef C (instanceRef r_x_ctrl_trap_RNILE8MR)) (portRef B (instanceRef r_x_ctrl_pv_RNID9DP)) )) (net un59_dbgm_1 (joined (portRef Y (instanceRef r_x_mexc_RNIKP6N3)) (portRef A (instanceRef r_x_mexc_RNI488C8)) )) (net un67_dbgm_1 (joined (portRef Y (instanceRef r_x_mexc_RNIA9DP2)) (portRef B (instanceRef r_x_mexc_RNI488C8)) )) (net (rename y_iv_0_a2_4_2_7 "y_iv_0_a2_4_2[7]") (joined (portRef Y (instanceRef r_m_y_RNO_3_7)) (portRef A (instanceRef r_m_y_RNO_0_7)) )) (net N_222 (joined (portRef Y (instanceRef r_m_y_RNO_8_7)) (portRef B (instanceRef r_m_y_RNO_3_7)) )) (net (rename y_iv_0_a2_1_1_7 "y_iv_0_a2_1_1[7]") (joined (portRef Y (instanceRef r_m_y_RNO_4_7)) (portRef B (instanceRef r_m_y_RNO_0_7)) )) (net nullify_1_sqmuxa_2_2 (joined (portRef Y (instanceRef trap_0_sqmuxa_4_RNIL21FR)) (portRef nullify_1_sqmuxa_2_2) )) (net nullify_1_sqmuxa_i_0 (joined (portRef Y (instanceRef trap_0_sqmuxa_4_RNIN4Q8I)) (portRef B (instanceRef trap_0_sqmuxa_4_RNIL21FR)) )) (net wy_1_0_a3_1 (joined (portRef Y (instanceRef r_a_ctrl_wy_RNO_0)) (portRef A (instanceRef r_a_ctrl_wy_RNO)) )) (net un3_op2 (joined (portRef Y (instanceRef r_d_inst_0_RNI66J4_1_23)) (portRef A (instanceRef un1_write_reg30_1_3_RNO_4)) (portRef B (instanceRef r_d_inst_0_RNIBIL7_31)) (portRef A (instanceRef r_a_ctrl_wicc_RNO_4)) (portRef B (instanceRef r_a_ctrl_wy_RNO_0)) )) (net wy_1_0_a3_0_3_i (joined (portRef Y (instanceRef r_a_ctrl_wy_RNO_1)) (portRef B (instanceRef r_a_ctrl_wy_RNO)) )) (net rd_1_NE (joined (portRef Y (instanceRef r_a_ctrl_rd_RNI43KSE_4)) (portRef B (instanceRef r_a_ctrl_wreg_RNIPJ0BF)) (portRef B (instanceRef r_d_inst_0_RNI4B3RI_13)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIES72J)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIGQBHO3)) (portRef B (instanceRef r_d_pv_RNI2LL9K2)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNICIH722)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIEMDRK1)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNIT6K4V2)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNI8HA2P)) (portRef A (instanceRef r_d_pv_RNIEMDRK1)) (portRef rd_1_NE) )) (net un2_rstn_6_a0_0 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNI9JB9A)) (portRef A (instanceRef comb_lock_gen_ldlock2_1_RNI9K8OR)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIEMDRK1)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIT6K4V2)) (portRef B (instanceRef r_d_pv_RNIEMDRK1)) )) (net pv_RNIHGSQA6 (joined (portRef Y (instanceRef r_d_pv_RNIHGSQA6)) (portRef A (instanceRef r_d_inst_0_RNI50N39E_29)) )) (net branch_1tt_N_7 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNI8HA2P)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIJS9TU2)) )) (net branch_1_N_10 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNI8SAIN6)) (portRef C (instanceRef r_f_branch_RNIPK5FND)) )) (net ldlock2_1_RNIJS9TU2 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIJS9TU2)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNICHM573)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNI8SAIN6)) )) (net branch_1_sqmuxa (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIGQBHO3)) (portRef C (instanceRef r_f_branch_RNI574NO3)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNI8SAIN6)) )) (net branch_1_N_11 (joined (portRef Y (instanceRef r_f_branch_RNI574NO3)) (portRef B (instanceRef r_f_branch_RNIPK5FND)) )) (net branch_1_N_12 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNICHM573)) (portRef A (instanceRef r_f_branch_RNIPK5FND)) )) (net ADD_33x33_fast_I93_Y_a0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_a0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I93_Y_0)) )) (net ldlock_3_i (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNI5HL821)) (portRef B (instanceRef r_a_nobp_RNIGBT032)) )) (net ldlock_3_0 (joined (portRef Y (instanceRef r_d_inst_0_RNICD012_31)) (portRef B (instanceRef r_a_nobp_RNIU3JH2)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNI5HL821)) )) (net ldlock2 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIJJ6OU)) (portRef A (instanceRef r_d_inull_RNO_1)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIP3L701)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNI5HL821)) )) (net N_56_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I87_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_un1_Y)) )) (net N_465 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNICABA2_31)) (portRef B (instanceRef r_a_ctrl_inst_RNIJSOI9_31)) )) (net un1_addout_25_13 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNILEQFDA)) (portRef B (instanceRef r_e_ldbp2_1_RNIVND8JQ)) (portRef un1_addout_25_13) )) (net (rename eaddress_12 "eaddress[12]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIQOUTO)) (portRef B (instanceRef r_f_pc_RNO_7_12)) (portRef B (instanceRef r_e_ldbp2_1_RNIL54AP)) (portRef B (instanceRef r_f_pc_RNO_15_12)) (portRef C (instanceRef r_e_ldbp2_1_RNILEQFDA)) )) (net un1_addout_25_14 (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIC95FPE)) (portRef C (instanceRef r_e_ldbp2_1_RNIVND8JQ)) (portRef un1_addout_25_14) )) (net (rename eaddress_30 "eaddress[30]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIA8R494)) (portRef B (instanceRef r_e_ldbp2_2_RNI5L0H94)) (portRef B (instanceRef r_f_pc_RNO_15_30)) (portRef B (instanceRef r_f_pc_RNO_7_30)) (portRef C (instanceRef r_e_ldbp2_2_RNIC95FPE)) )) (net et_1_sqmuxa (joined (portRef Y (instanceRef s_et_1_sqmuxa)) (portRef A (instanceRef r_x_rstate_RNICIRC2_0)) (portRef B (instanceRef r_x_rstate_RNI0UHT2_0)) )) (net mexc_1_m6_i_a4_0 (joined (portRef Y (instanceRef r_x_mexc_1_m6_i_a4_0)) (portRef B (instanceRef r_x_mexc_RNO_3)) (portRef mexc_1_m6_i_a4_0) )) (net N_2565 (joined (portRef N_2565) (portRef A (instanceRef r_x_mexc_1_m6_i_a4_0)) )) (net N_2541 (joined (portRef N_2541) (portRef B (instanceRef r_x_mexc_1_m6_i_a4_0)) )) (net N440_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I27_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I31_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_0)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_0_0)) )) (net N437 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I26_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I35_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I233_Y_0_a3_0_0)) )) (net trap_4 (joined (portRef Q (instanceRef r_x_ctrl_trap)) (portRef A (instanceRef r_x_ctrl_trap_RNI2ROB_0)) (portRef A (instanceRef r_x_ctrl_trap_RNI2ROB)) )) (net mexc_0 (joined (portRef Q (instanceRef r_x_mexc)) (portRef B (instanceRef r_x_mexc_RNIAGPT)) (portRef A (instanceRef r_x_mexc_RNICKPT)) (portRef A (instanceRef r_x_mexc_RNIEOPT)) (portRef A (instanceRef r_x_mexc_RNII0QT)) (portRef A (instanceRef r_x_mexc_RNIQ5MM)) (portRef A (instanceRef r_x_mexc_RNIK4QT)) (portRef B (instanceRef r_x_mexc_RNIGSPT)) (portRef B (instanceRef r_x_ctrl_trap_RNI2ROB_0)) (portRef B (instanceRef r_x_mexc_RNO_1)) (portRef B (instanceRef r_x_ctrl_trap_RNI2ROB)) )) (net N_4708 (joined (portRef Y (instanceRef r_x_rstate_RNI17D01_1_0)) (portRef A (instanceRef r_f_pc_RNIE3R43_7)) (portRef A (instanceRef r_f_pc_RNO_9_22)) (portRef A (instanceRef r_f_pc_RNO_9_18)) (portRef A (instanceRef r_f_pc_RNO_13_28)) (portRef A (instanceRef r_f_pc_RNIGBR43_9)) (portRef A (instanceRef r_f_pc_RNIBNQ43_4)) (portRef A (instanceRef r_f_pc_RNICRQ43_5)) (portRef A (instanceRef r_f_pc_RNIDVQ43_6)) (portRef A (instanceRef r_f_pc_RNI7GD53_11)) (portRef A (instanceRef r_f_pc_RNO_9_16)) (portRef A (instanceRef r_f_pc_RNO_9_12)) (portRef A (instanceRef r_f_pc_RNO_9_19)) (portRef A (instanceRef r_f_pc_RNO_11_21)) (portRef A (instanceRef r_f_pc_RNO_9_27)) (portRef A (instanceRef r_f_pc_RNIF7R43_8)) (portRef A (instanceRef r_f_pc_RNI6GD53_10)) )) (net ADD_33x33_fast_I114_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I114_Y_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I114_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I270_Y_0_a3)) )) (net N455_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I20_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I55_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I54_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I114_Y_0)) )) (net N452 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I19_P0N_0)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I57_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I58_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I114_Y_0)) )) (net (rename tt_10_0_a3_0_i_a2_6_0_5 "tt_10_0_a3_0_i_a2_6_0[5]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIT15I1_22)) (portRef A (instanceRef r_a_ctrl_inst_RNI479A3_22)) (portRef B (instanceRef r_a_ctrl_inst_RNIME7L2_22)) )) (net un1_aop2_1_sqmuxa_0_a2_0_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNID01L_0_30)) (portRef B (instanceRef r_a_ctrl_inst_RNI3C122_30)) (portRef A (instanceRef r_a_ctrl_inst_RNIPC231_30)) )) (net (rename dstate_0_4 "dstate_0[4]") (joined (portRef (member dstate_0 0)) (portRef A (instanceRef comb_me_size_1_1_m9_0_a2_3)) )) (net nomds (joined (portRef nomds) (portRef B (instanceRef comb_me_size_1_1_m9_0_a2_3)) )) (net hold (joined (portRef hold) (portRef C (instanceRef comb_me_size_1_1_m9_0_a2_3)) )) (net me_size_1_1_m9_0_a2_0 (joined (portRef Y (instanceRef comb_me_size_1_1_m9_0_a2_0)) (portRef C (instanceRef r_x_dci_size_RNI7AFO_1)) (portRef me_size_1_1_m9_0_a2_0) )) (net ba (joined (portRef ba) (portRef A (instanceRef comb_me_size_1_1_m9_0_a2_0)) (portRef A (instanceRef r_m_ctrl_ld_RNIG6VD)) )) (net stpend (joined (portRef stpend) (portRef B (instanceRef comb_me_size_1_1_m9_0_a2_0)) (portRef B (instanceRef r_m_ctrl_ld_RNIQJFJ)) )) (net ADD_30x30_fast_I101_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I101_Y_0)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I241_Y_0_o3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I101_Y)) )) (net N416_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I19_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I48_Y_0_o3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I47_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I101_Y_0)) )) (net N413_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I18_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I51_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I101_Y_0)) )) (net un5_op3 (joined (portRef Y (instanceRef r_d_inst_0_RNIAK79_0_24)) (portRef B (instanceRef r_d_inst_0_RNIP25D_24)) (portRef C (instanceRef r_d_inst_0_RNIMRAH_23)) )) (net ldlock2_1_RNIHF0FR1 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIHF0FR1)) (portRef C (instanceRef comb_lock_gen_ldlock2_1_RNIGQBHO3)) )) (net N521_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I101_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I155_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I154_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I147_Y)) )) (net un1_de_hold_pc_2 (joined (portRef Y (instanceRef r_x_rstate_0_RNI3CI763_1)) (portRef A (instanceRef r_f_pc_RNO_6_2)) (portRef A (instanceRef r_f_pc_RNO_6_3)) (portRef A (instanceRef r_f_pc_RNO_6_5)) (portRef A (instanceRef r_f_pc_RNO_5_9)) (portRef A (instanceRef r_f_pc_RNO_5_11)) (portRef A (instanceRef r_f_pc_RNO_7_12)) (portRef A (instanceRef r_f_pc_RNO_1_13)) (portRef A (instanceRef r_f_pc_RNO_1_17)) (portRef A (instanceRef r_f_pc_RNO_7_20)) (portRef A (instanceRef r_f_pc_RNO_1_21)) (portRef A (instanceRef r_f_pc_RNO_1_25)) (portRef A (instanceRef r_f_pc_RNO_7_26)) (portRef A (instanceRef r_f_pc_RNO_7_27)) (portRef A (instanceRef r_f_pc_RNO_1_28)) (portRef A (instanceRef r_f_pc_RNO_7_24)) )) (net rstate_11 (joined (portRef Y (instanceRef r_x_rstate_RNIBEJ231_0)) (portRef A (instanceRef un1_r_w_s_cwp_1_1_ANB0)) (portRef A (instanceRef un1_r_w_s_cwp_1_1_SUM0_0)) (portRef B (instanceRef r_w_s_cwp_RNILU2151_2)) )) (net N_161 (joined (portRef Y (instanceRef data_9_sqmuxa_1_0)) (portRef B (instanceRef data_9_sqmuxa)) (portRef N_161) )) (net xc_trap_address_2_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNIAOP33_0_0)) (portRef A (instanceRef r_x_rstate_RNIQHV53_0)) (portRef A (instanceRef r_f_pc_RNO_14_22)) (portRef A (instanceRef r_f_pc_RNO_14_18)) (portRef A (instanceRef r_x_rstate_RNISHV53_0)) (portRef A (instanceRef r_x_rstate_RNINHV53_0)) (portRef A (instanceRef r_x_rstate_RNIOHV53_0)) (portRef A (instanceRef r_x_rstate_RNIPHV53_0)) (portRef A (instanceRef r_x_rstate_RNI53C83_0)) (portRef A (instanceRef r_f_pc_RNO_14_16)) (portRef A (instanceRef r_f_pc_RNO_14_12)) (portRef A (instanceRef r_f_pc_RNO_15_21)) (portRef A (instanceRef r_x_rstate_RNIRHV53_0)) (portRef A (instanceRef r_x_rstate_RNI4VB83_0)) (portRef A (instanceRef r_f_pc_RNO_15_25)) (portRef A (instanceRef r_x_rstate_RNIMHV53_0)) )) (net aluresult_2_sqmuxa (joined (portRef Y (instanceRef r_e_jmpl_RNITN6O)) (portRef B (instanceRef r_e_jmpl_RNI7P9BR_0)) (portRef B (instanceRef r_e_jmpl_RNIHQDFJ_0)) (portRef B (instanceRef r_e_jmpl_RNI6Q0MK_0)) (portRef B (instanceRef r_e_jmpl_RNI6PIJL_0)) (portRef B (instanceRef r_e_jmpl_RNI0D43O_0)) (portRef B (instanceRef r_e_jmpl_RNIQTBKP_0)) (portRef B (instanceRef r_e_jmpl_RNICBL0L_0)) (portRef B (instanceRef r_e_jmpl_RNIQ0MIQ_0)) (portRef B (instanceRef r_e_jmpl_RNIJIKEL_0)) (portRef B (instanceRef r_e_jmpl_RNIOO78T)) (portRef B (instanceRef r_e_jmpl_RNI8SJ9S)) (portRef B (instanceRef r_e_jmpl_RNIP1DTJ_0)) (portRef B (instanceRef r_e_jmpl_RNIT70NR_0)) (portRef B (instanceRef r_e_jmpl_RNI6HR0U_0)) (portRef B (instanceRef r_e_jmpl_RNIMQRUU)) (portRef B (instanceRef r_e_jmpl_RNI1B87M_0)) )) (net aluresult_1_sqmuxa (joined (portRef Y (instanceRef r_e_jmpl_RNITN6O_1)) (portRef B (instanceRef r_e_jmpl_RNI6Q0MK)) (portRef B (instanceRef r_e_jmpl_RNIUI18K)) (portRef B (instanceRef r_e_jmpl_RNI636DP)) (portRef B (instanceRef r_e_jmpl_RNI0KDUQ)) (portRef B (instanceRef r_e_jmpl_RNI1B87M)) (portRef B (instanceRef r_e_jmpl_RNIT70NR)) (portRef B (instanceRef r_e_jmpl_RNICBL0L)) (portRef B (instanceRef r_e_jmpl_RNILK72T)) (portRef B (instanceRef r_e_jmpl_RNIHQDFJ)) (portRef B (instanceRef r_e_jmpl_RNI7P9BR)) (portRef B (instanceRef r_e_jmpl_RNILNH0U)) (portRef B (instanceRef r_e_jmpl_RNIHT1IV)) (portRef B (instanceRef r_e_jmpl_RNI6PIJL)) (portRef B (instanceRef r_e_jmpl_RNIJIKEL)) (portRef B (instanceRef r_e_jmpl_RNIQ0MIQ)) (portRef B (instanceRef r_e_jmpl_RNIP1DTJ)) )) (net N_461 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIPC231_30)) (portRef C (instanceRef r_a_ctrl_cnt_RNI7RQQ3_1)) )) (net (rename cnt_RNIIH6S_0 "cnt_RNIIH6S[0]") (joined (portRef Y (instanceRef r_a_ctrl_cnt_RNIIH6S_0)) (portRef A (instanceRef r_a_ctrl_inst_RNIPT6A1_30)) )) (net N_510 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIFK1L_0_21)) (portRef A (instanceRef r_a_ctrl_inst_RNI5H3O1_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIJ02S_22)) (portRef B (instanceRef r_a_ctrl_cnt_RNIIH6S_0)) )) (net logicout17 (joined (portRef Y (instanceRef r_e_aluop_1_RNIFAJ5_0_1)) (portRef A (instanceRef r_e_aluop_1_RNIANOH_1)) (portRef B (instanceRef r_e_aluop_1_RNINLHF_1)) )) (net logicout19 (joined (portRef Y (instanceRef r_e_aluop_1_RNIFAJ5_1)) (portRef A (instanceRef r_e_aluop_1_RNIANOH_0_1)) (portRef B (instanceRef r_e_aluop_1_RNINLHF_0_1)) )) (net N_165 (joined (portRef Y (instanceRef r_d_inst_0_RNI9446_19)) (portRef B (instanceRef r_d_cnt_RNI2R7J_0)) )) (net N_165_2 (joined (portRef Y (instanceRef r_d_inst_0_RNI5023_19)) (portRef A (instanceRef r_d_inst_0_RNIA869_20)) (portRef B (instanceRef r_d_inst_0_RNI9446_19)) )) (net un540_dbgunit (joined (portRef Y (instanceRef dsur_asi_RNINMR4_1)) (portRef C (instanceRef dsur_asi_RNIQPUC_0)) )) (net mcasa (joined (portRef Y (instanceRef r_m_casa_RNO)) (portRef D (instanceRef r_m_casa)) )) (net (rename un6_ex_add_res_s1_i_1 "un6_ex_add_res_s1_i[1]") (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I291_Y_0)) (portRef A (instanceRef r_e_ldbp2_RNIEEOO)) )) (net N_8053 (joined (portRef Y (instanceRef r_d_cnt_RNI9TF3_0)) (portRef A (instanceRef r_d_inst_0_RNIMRAH_23)) )) (net (rename maddress_1 "maddress[1]") (joined (portRef Q (instanceRef r_m_result_1)) (portRef D (instanceRef r_x_laddr_1)) (portRef D (instanceRef r_x_result_1)) (portRef B (instanceRef r_d_cwp_RNO_1_1)) (portRef A (instanceRef r_w_result_RNIK4DA_1)) (portRef A (instanceRef r_w_result_RNI9LO7_1)) (portRef A (instanceRef r_x_laddr_RNISPJH3_1)) (portRef B (instanceRef r_m_result_RNIF7CB_1)) (portRef A (instanceRef comb_mem_trap_un1_r_m_result_0)) (portRef (member maddress 30)) )) (net write (joined (portRef Y (instanceRef r_m_dci_write_RNO_0)) (portRef B (instanceRef r_m_dci_write_RNO)) )) (net write_3_tz (joined (portRef Y (instanceRef r_m_dci_write_RNO_1)) (portRef A (instanceRef r_m_dci_write_RNO_0)) )) (net ldlock2_1_RNI9K8OR (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNI9K8OR)) (portRef S (instanceRef comb_lock_gen_ldlock2_1_RNICIH722)) )) (net ADD_33x33_fast_I69_Y_a1_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_a1_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I69_Y_1_tz)) )) (net ADD_33x33_fast_I122_Y_0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I122_Y_1)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I174_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I182_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I181_un1_Y)) )) (net ADD_33x33_fast_I122_Y_0_a0_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I122_Y_0_a0_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I122_Y_1)) )) (net ADD_33x33_fast_I16_P0Ntt_m1_0_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_P0Ntt_m1_0_a2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I122_Y_1)) )) (net N529_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I70_Y_0)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I131_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I132_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I128_Y)) )) (net N529_tz (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I70_Y_0_tz)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I70_Y_0)) )) (net mexc_RNO_0 (joined (portRef Y (instanceRef r_x_mexc_RNO_0)) (portRef A (instanceRef r_x_mexc_RNO)) )) (net mexc_1_0_3_a1_1 (joined (portRef mexc_1_0_3_a1_1) (portRef A (instanceRef r_x_mexc_RNO_0)) )) (net mexc_1_0_2_0_1 (joined (portRef mexc_1_0_2_0_1) (portRef B (instanceRef r_x_mexc_RNO_0)) )) (net N533 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I74_Y_m3_i)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I132_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I136_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I135_Y)) )) (net ADD_33x33_fast_I74_Y_m2_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I74_Y_m2_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I76_Y_m3_i)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I74_Y_m3_i)) )) (net ADD_33x33_fast_I9_P0N_m1_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I9_P0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I78_Y)) )) (net ADD_33x33_fast_I90_Y_N_11 (joined (portRef Y (instanceRef r_x_data_0_RNILU42_1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I90_Y_0)) )) (net (rename data_0_16 "data_0[16]") (joined (portRef Q (instanceRef r_x_data_0_16)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I61_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I17_G0N)) (portRef A (instanceRef r_x_data_0_RNI02EC_16)) (portRef B (instanceRef r_x_result_RNIUMED_16)) (portRef B (instanceRef r_e_op1_RNI41ID_16)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I17_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I17_G0N)) (portRef A (instanceRef r_x_data_0_RNO_1_16)) (portRef A (instanceRef r_x_data_0_RNIDF9E_16)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I307_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I307_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I61_Y)) (portRef A (instanceRef r_x_data_0_RNI73VC_15)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I60_Ytt_m1_0_a2)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i_a4)) (portRef B (instanceRef r_x_data_0_RNI93VC_17)) )) (net (rename op1_17 "op1[17]") (joined (portRef Q (instanceRef r_e_op1_17)) (portRef A (instanceRef r_e_op1_RNI65ID_17)) (portRef A (instanceRef r_e_op1_RNI3VNF_17)) (portRef A (instanceRef r_e_op1_RNI3VNF_0_17)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I18_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I18_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I18_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I18_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I60_Y_m2_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I60_Y_m4_i_a4_0)) )) (net (rename op1_18 "op1[18]") (joined (portRef Q (instanceRef r_e_op1_18)) (portRef A (instanceRef r_e_op1_RNI43OF_18)) (portRef A (instanceRef r_e_op1_RNI89ID_18)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I19_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I19_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I19_G0N)) (portRef A (instanceRef r_e_op1_RNI43OF_0_18)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1_m2_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I19_P0N_0)) )) (net N_71_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1_m3_i)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I114_Y)) )) (net ADD_33x33_fast_I270_Y_0_a3_1_m2_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1_m2_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1_m3_i)) )) (net ADD_33x33_fast_I270_Y_0_a3_1tt_m1_0_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1tt_m1_0_a2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1_m3_i)) )) (net (rename data_0_19 "data_0[19]") (joined (portRef Q (instanceRef r_x_data_0_19)) (portRef B (instanceRef r_x_result_RNI4NED_19)) (portRef A (instanceRef r_x_data_0_RNO_1_19)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I20_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I20_P0N)) (portRef B (instanceRef r_e_op1_RNIADID_19)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I310_Y_0_1)) (portRef A (instanceRef r_x_data_0_RNIGF9E_19)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I20_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I20_G0N)) (portRef A (instanceRef r_x_data_0_RNI32EC_19)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1tt_m1_0_a2)) )) (net (rename op1_19 "op1[19]") (joined (portRef Q (instanceRef r_e_op1_19)) (portRef A (instanceRef r_e_op1_RNI57OF_19)) (portRef A (instanceRef r_e_op1_RNI57OF_0_19)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I20_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I20_P0N)) (portRef A (instanceRef r_e_op1_RNIADID_19)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I20_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I20_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I270_Y_0_a3_1tt_m1_0_a2)) )) (net ADD_33x33_fast_I60_Y_m2_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I60_Y_m2_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I60_Y_m3_i)) )) (net ADD_33x33_fast_I60_Ytt_m1_0_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I60_Ytt_m1_0_a2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I60_Y_m3_i)) )) (net ADD_33x33_fast_I52_Y_m2_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I52_Y_m2_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I52_Y_m3_i)) )) (net ADD_33x33_fast_I52_Ytt_m1_0_a2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I52_Ytt_m1_0_a2)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I52_Y_m3_i)) )) (net N541_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I82_Y_m3_i)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I140_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I143_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I144_Y)) )) (net ADD_33x33_fast_I82_Y_m2_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I82_Y_m2_e)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I82_Y_m3_i)) )) (net ADD_33x33_fast_I76_Y_N_11 (joined (portRef Y (instanceRef r_x_data_0_RNI3N62_8)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I76_Y_0)) )) (net ADD_33x33_fast_I62_Y_N_11 (joined (portRef Y (instanceRef r_x_data_0_RNI73VC_15)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I62_Y_0)) )) (net (rename op2_RNIU5U5_0 "op2_RNIU5U5[0]") (joined (portRef Y (instanceRef r_e_op2_RNIU5U5_0)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_0)) )) (net (rename data_0_RNIV8B2_0 "data_0_RNIV8B2[0]") (joined (portRef Y (instanceRef r_x_data_0_RNIV8B2_0)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_0)) )) (net ADD_33x33_fast_I93_Y_m4 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_m4)) (portRef S (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_0)) )) (net ADD_33x33_fast_I93_Y_N_2_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_m1)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I93_Y_m4)) )) (net ADD_33x33_fast_I73_Y_m4 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_m4)) (portRef S (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_0)) )) (net ADD_33x33_fast_I73_Y_m1_e (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_m1_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I73_Y_m4)) )) (net ADD_33x33_fast_I89_Y_m4 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_m4)) (portRef S (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_0)) )) (net ADD_33x33_fast_I89_Y_m1_e (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_m1_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I89_Y_m4)) )) (net ADD_33x33_fast_I206_Y_0_o3_1_0_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_1)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1)) )) (net ADD_33x33_fast_I206_Y_0_o3_1_0_m4 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_0_m4)) (portRef S (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_1)) )) (net ADD_33x33_fast_I206_Y_0_o3_1_0_m1_e (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_0_m1_e)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I206_Y_0_o3_1_0_m4)) )) (net ADD_33x33_fast_I16_P0N_m1_e (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_P0N_m1_e)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I62_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I274_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I63_Y_0)) )) (net (rename me_size_1_1 "me_size_1[1]") (joined (portRef Y (instanceRef r_x_dci_size_RNIRQB34_1)) (portRef A (instanceRef r_x_dci_size_RNICO0N7_1)) (portRef A (instanceRef r_x_dci_size_RNIFSGL7_0_0)) (portRef B (instanceRef r_x_dci_size_RNIFSGL7_0)) (portRef C (instanceRef r_x_dci_size_RNI9I47B_0_0)) (portRef C (instanceRef r_x_dci_size_RNI9I47B_0)) )) (net (rename size_RNIUK4V_1 "size_RNIUK4V[1]") (joined (portRef Y (instanceRef r_x_dci_size_RNIUK4V_1)) (portRef A (instanceRef r_x_dci_size_RNIRQB34_1)) )) (net (rename size_RNIEMS61_1 "size_RNIEMS61[1]") (joined (portRef Y (instanceRef r_x_dci_size_RNIEMS61_1)) (portRef B (instanceRef r_x_dci_size_RNIRQB34_1)) )) (net (rename size_1 "size[1]") (joined (portRef Q (instanceRef r_m_dci_size_1)) (portRef D (instanceRef r_x_dci_size_1)) (portRef B (instanceRef r_x_dci_size_RNIUK4V_1)) (portRef A (instanceRef r_x_dci_size_RNI7AFO_1)) (portRef size_1) )) (net (rename un34_hready_i_m_0 "un34_hready_i_m[0]") (joined (portRef (member un34_hready_i_m 0)) (portRef B (instanceRef r_m_ctrl_ld_RNIHTKJ3)) (portRef B (instanceRef r_x_dci_size_RNIEMS61_1)) (portRef B (instanceRef r_m_ctrl_ld_RNIHTKJ3_0)) )) (net mexc_RNO (joined (portRef Y (instanceRef r_x_mexc_RNO)) (portRef D (instanceRef r_x_mexc)) )) (net mexc_RNO_1 (joined (portRef Y (instanceRef r_x_mexc_RNO_1)) (portRef B (instanceRef r_x_mexc_RNO)) )) (net (rename newptag_2_a2_3_1_tz_i_22 "newptag_2_a2_3_1_tz_i[22]") (joined (portRef Y (instanceRef r_m_casa_RNI2DJ8TN1)) (portRef A (instanceRef r_m_casa_RNI55N3OP1)) (portRef B (instanceRef r_x_mexc_RNO_2)) (portRef (member newptag_2_a2_3_1_tz_i 0)) )) (net nullify (joined (portRef Y (instanceRef r_m_casa_RNIG8P8JR1)) (portRef nullify) )) (net casa_RNIB325R1 (joined (portRef Y (instanceRef r_m_casa_RNIB325R1)) (portRef A (instanceRef r_m_casa_RNIG8P8JR1)) )) (net ldbp2_1_RNI6D9R4V (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI6D9R4V)) (portRef S (instanceRef r_m_casa_RNIG8P8JR1)) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef newptag_sn_m2_i_o2_0_m3) (portRef B (instanceRef r_m_casa_RNI55N3OP1)) (portRef B (instanceRef r_e_ldbp2_1_RNI6D9R4V)) (portRef B (instanceRef r_m_casa_RNIB325R1)) (portRef C (instanceRef r_x_mexc_RNO_3)) )) (net me_nullify2_1_b0_m3_0_a2_1 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI3L50AT)) (portRef A (instanceRef r_e_ldbp2_1_RNI6D9R4V)) (portRef me_nullify2_1_b0_m3_0_a2_1) )) (net N_311 (joined (portRef Y (instanceRef r_m_ctrl_ld_RNIHTKJ3)) (portRef B (instanceRef r_x_data_0_RNO_4_0)) (portRef B (instanceRef r_x_data_0_RNO_3_1)) (portRef B (instanceRef r_x_data_0_RNO_4_3)) (portRef B (instanceRef r_x_data_0_RNO_4_4)) (portRef B (instanceRef r_x_data_0_RNO_2_9)) (portRef B (instanceRef r_x_data_0_RNO_2_12)) (portRef B (instanceRef r_x_data_0_RNO_1_21)) (portRef B (instanceRef r_x_data_0_RNO_4_2)) (portRef B (instanceRef r_x_data_0_RNO_2_15)) (portRef B (instanceRef r_x_data_0_RNO_1_27)) (portRef B (instanceRef r_x_data_0_RNO_3_6)) (portRef B (instanceRef r_x_data_0_RNO_1_19)) (portRef B (instanceRef r_x_data_0_RNO_1_16)) (portRef B (instanceRef r_x_data_0_RNO_1_18)) (portRef B (instanceRef r_x_data_0_RNO_1_31)) (portRef B (instanceRef r_x_data_0_RNO_1_25)) (portRef B (instanceRef r_x_data_0_RNO_1_23)) (portRef B (instanceRef r_x_dci_size_RNICO0N7_1)) )) (net (rename inst_0_4 "inst_0[4]") (joined (portRef Q (instanceRef r_d_inst_0_4)) (portRef B (instanceRef r_d_inst_0_RNO_0_4)) (portRef C (instanceRef r_d_inst_0_RNIUGAI_4)) )) (net pv_RNIASMJ01 (joined (portRef Y (instanceRef r_d_pv_RNIASMJ01)) (portRef A (instanceRef r_d_pv_RNI2LL9K2)) )) (net trap_a0_0_0 (joined (portRef Y (instanceRef r_m_ctrl_trap_RNI81J17)) (portRef B (instanceRef r_m_ctrl_trap_RNITF5LE)) (portRef A (instanceRef r_m_ctrl_trap_RNII9JBK)) (portRef trap_a0_0_0) )) (net trap_0_sqmuxa_6 (joined (portRef Y (instanceRef trap_0_sqmuxa_RNI0MIV2)) (portRef A (instanceRef trap_0_sqmuxa_RNINQC8L)) (portRef A (instanceRef r_m_ctrl_trap_RNI81J17)) )) (net trap_0_sqmuxa_7_1_0 (joined (portRef Y (instanceRef r_w_s_dwt_RNI1BFH)) (portRef A (instanceRef r_w_s_dwt_RNIGN0S)) (portRef A (instanceRef r_w_s_dwt_RNI6ST37)) (portRef trap_0_sqmuxa_7_1_0) )) (net trap_0_sqmuxa_7_1 (joined (portRef Y (instanceRef r_w_s_dwt_RNIGN0S)) (portRef C (instanceRef r_m_ctrl_trap_RNIA8BK7)) (portRef A (instanceRef r_m_ctrl_trap_RNITF5LE)) )) (net casa_RNI55N3OP1 (joined (portRef Y (instanceRef r_m_casa_RNI55N3OP1)) (portRef C (instanceRef r_x_mexc_RNO_0)) (portRef casa_RNI55N3OP1) )) (net (rename newptag_2_a2_3_a0_1_22 "newptag_2_a2_3_a0_1[22]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIQ1LUSN1_0)) (portRef B (instanceRef r_m_casa_RNI2DJ8TN1)) (portRef (member newptag_2_a2_3_a0_1 0)) )) (net un1_addout_28 (joined (portRef un1_addout_28) (portRef A (instanceRef r_e_ldbp2_1_RNIQ1LUSN1_0)) )) (net (rename inst_0_RNIB2G5NH_29 "inst_0_RNIB2G5NH[29]") (joined (portRef Y (instanceRef r_d_inst_0_RNIB2G5NH_29)) (portRef S (instanceRef r_d_cnt_RNO_1)) (portRef S (instanceRef r_d_cnt_RNO_0)) )) (net (rename inst_0_RNI50N39E_29 "inst_0_RNI50N39E[29]") (joined (portRef Y (instanceRef r_d_inst_0_RNI50N39E_29)) (portRef B (instanceRef r_d_inst_0_RNIB2G5NH_29)) )) (net nobp_1_0_0_tz (joined (portRef Y (instanceRef r_a_nobp_RNO_0)) (portRef B (instanceRef r_a_nobp_RNO)) )) (net call_hold4 (joined (portRef Y (instanceRef r_d_inst_0_RNI5C23_0_31)) (portRef A (instanceRef r_d_inst_0_RNIBEJ4_27)) (portRef A (instanceRef r_d_inst_0_RNIV66G_25)) (portRef B (instanceRef r_d_inst_0_RNIDEJ4_29)) (portRef A (instanceRef r_a_ctrl_rd_RNO_1)) (portRef B (instanceRef r_d_annul_RNIP2H4_0)) (portRef A (instanceRef r_d_inst_0_RNICEJ4_28)) (portRef C (instanceRef r_a_nobp_RNO_0)) )) (net N_171 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNICC1E_0_20)) (portRef A (instanceRef r_a_ctrl_inst_RNITO2A1_20)) (portRef B (instanceRef r_a_ctrl_inst_RNIFK1L_0_21)) (portRef A (instanceRef r_a_ctrl_inst_RNIME7L2_22)) )) (net N_8707_tz (joined (portRef Y (instanceRef r_a_ctrl_wicc_RNO_0)) (portRef A (instanceRef r_a_ctrl_wicc_RNO)) )) (net N_8057 (joined (portRef Y (instanceRef r_a_ctrl_wicc_RNO_2)) (portRef A (instanceRef r_a_ctrl_wicc_RNO_0)) )) (net wicc_1_0_a3_2_0 (joined (portRef Y (instanceRef r_a_ctrl_wicc_RNO_3)) (portRef C (instanceRef r_a_ctrl_wicc_RNO_0)) )) (net wicc_RNO_1 (joined (portRef Y (instanceRef r_a_ctrl_wicc_RNO_1)) (portRef C (instanceRef r_a_ctrl_wicc_RNO)) )) (net wicc_1_0_a3_1_0 (joined (portRef Y (instanceRef r_a_ctrl_wicc_RNO_4)) (portRef A (instanceRef r_a_ctrl_wicc_RNO_1)) )) (net N_237 (joined (portRef Y (instanceRef r_d_inst_0_RNIBRO6C2_31)) (portRef A (instanceRef r_a_nobp_RNO_1)) (portRef C (instanceRef r_a_ctrl_wicc_RNO_1)) )) (net N_8565_tz (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIU83A1_19)) (portRef A (instanceRef r_a_ctrl_inst_RNIFL462_19)) )) (net N_8156 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI5C0E_21)) (portRef C (instanceRef r_a_et_RNIOP971)) (portRef B (instanceRef r_a_ctrl_inst_RNI8T4Q3_19)) (portRef A (instanceRef r_a_ctrl_inst_RNI5H3O1_19)) (portRef B (instanceRef r_a_ctrl_inst_RNI9O0L_22)) (portRef A (instanceRef r_a_ctrl_inst_RNIU83A1_19)) )) (net N_8157 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIFK1L_19)) (portRef A (instanceRef r_a_ctrl_inst_RNISK2A1_0_19)) (portRef B (instanceRef r_a_ctrl_inst_RNIS9523_19)) (portRef C (instanceRef r_a_ctrl_inst_RNIU83A1_19)) )) (net (rename un1_write_reg30_1_3_RNOZ0Z_3 "un1_write_reg30_1_3_RNO_3") (joined (portRef Y (instanceRef un1_write_reg30_1_3_RNO_3)) (portRef B (instanceRef un1_write_reg30_1_3_RNO_0)) )) (net N_8523_tz (joined (portRef Y (instanceRef un1_write_reg30_1_3_RNO_5)) (portRef A (instanceRef un1_write_reg30_1_3_RNO_3)) )) (net bp_RNIN82133_0 (joined (portRef Y (instanceRef r_e_bp_RNIN82133_0)) (portRef A (instanceRef r_f_pc_RNO_3_2)) (portRef B (instanceRef r_f_pc_RNO_3_3)) (portRef B (instanceRef r_f_pc_RNO_6_4)) (portRef B (instanceRef r_f_pc_RNO_3_7)) (portRef B (instanceRef r_f_pc_RNO_6_9)) (portRef B (instanceRef r_f_pc_RNO_6_10)) (portRef B (instanceRef r_f_pc_RNO_6_11)) (portRef B (instanceRef r_f_pc_RNO_10_12)) (portRef B (instanceRef r_f_pc_RNO_12_13)) (portRef B (instanceRef r_f_pc_RNO_10_14)) (portRef B (instanceRef r_f_pc_RNO_12_17)) (portRef B (instanceRef r_f_pc_RNO_10_18)) (portRef B (instanceRef r_f_pc_RNO_10_20)) (portRef B (instanceRef r_f_pc_RNO_12_21)) (portRef B (instanceRef r_f_pc_RNO_12_25)) )) (net un2_rstn_6_0 (joined (portRef Y (instanceRef r_x_rstate_0_RNIHLVBE1_0_1)) (portRef A (instanceRef r_x_rstate_0_RNI0NOIM1_1)) (portRef A (instanceRef r_x_rstate_0_RNIVLPJM1_1)) (portRef A (instanceRef r_x_rstate_0_RNIVOQKM1_1)) (portRef A (instanceRef r_x_rstate_0_RNI00SLM1_1)) (portRef A (instanceRef r_x_rstate_0_RNI2BTMM1_1)) (portRef A (instanceRef r_x_rstate_0_RNI9D0PM1_1)) (portRef A (instanceRef r_x_rstate_0_RNI35KQM1_1)) (portRef A (instanceRef r_x_rstate_0_RNIUS7SM1_1)) (portRef A (instanceRef r_d_pc_RNI2SNHM1_2)) (portRef A (instanceRef r_x_rstate_0_RNI5QUNM1_1)) (portRef A (instanceRef r_a_bp_RNI55NGM1)) (portRef A (instanceRef r_e_bp_RNIBND8I1)) )) (net wy_1_1 (joined (portRef Y (instanceRef r_a_ctrl_wy_RNO)) (portRef D (instanceRef r_a_ctrl_wy)) )) (net (rename inst_0_RNIAT98C2_20 "inst_0_RNIAT98C2[20]") (joined (portRef Y (instanceRef r_d_inst_0_RNIAT98C2_20)) (portRef A (instanceRef r_a_jmpl_RNO)) (portRef C (instanceRef r_a_ctrl_rett_RNO)) (portRef B (instanceRef r_a_ctrl_wicc_RNO)) (portRef C (instanceRef r_a_ctrl_wy_RNO)) )) (net ldlock2_1_RNIEGD942 (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIEGD942)) (portRef E (instanceRef r_d_mexc)) (portRef S (instanceRef r_d_inst_0_RNO_0_0)) (portRef S (instanceRef r_d_inst_0_RNO_0_1)) (portRef S (instanceRef r_d_inst_0_RNO_0_3)) (portRef S (instanceRef r_d_inst_0_RNO_0_4)) (portRef S (instanceRef r_d_inst_0_RNO_0_5)) (portRef S (instanceRef r_d_inst_0_RNO_0_6)) (portRef S (instanceRef r_d_inst_0_RNO_0_7)) (portRef S (instanceRef r_d_inst_0_RNO_0_9)) (portRef S (instanceRef r_d_inst_0_RNO_0_10)) (portRef S (instanceRef r_d_inst_0_RNO_0_11)) (portRef S (instanceRef r_d_inst_0_RNILG9C62_12)) (portRef S (instanceRef r_d_inst_0_RNO_0_13)) (portRef S (instanceRef r_d_inst_0_RNO_0_14)) (portRef S (instanceRef r_d_inst_0_RNO_0_15)) (portRef S (instanceRef r_d_inst_0_RNO_0_16)) )) (net mds (joined (portRef mds) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIEGD942)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIEGD942_0)) )) (net un2_rstn_6_1 (joined (portRef Y (instanceRef r_d_annul_RNIV0KFA_0)) (portRef A (instanceRef r_x_rstate_0_RNIHLVBE1_0_1)) (portRef A (instanceRef r_x_rstate_0_RNIF76821_1)) )) (net annul_current_4 (joined (portRef Y (instanceRef r_d_inull_RNI6370Q)) (portRef A (instanceRef r_d_inull_RNI5TU301)) )) (net bicc_hold_bp (joined (portRef Y (instanceRef r_a_ctrl_wicc_RNIETIP)) (portRef A (instanceRef r_d_inst_0_RNICD012_31)) )) (net un16_casaen_0 (joined (portRef Y (instanceRef r_m_casa_RNI8BU9_1)) (portRef B (instanceRef r_e_op1_RNIE1UB_3)) (portRef B (instanceRef r_e_op1_RNIJ1UB_8)) (portRef B (instanceRef r_e_op1_RNIK1UB_9)) (portRef B (instanceRef r_e_op1_RNI0JNF_14)) (portRef B (instanceRef r_e_op1_RNI43OF_18)) (portRef B (instanceRef r_e_op1_RNIV6NF_31)) (portRef B (instanceRef r_e_op1_RNIB1UB_0)) (portRef B (instanceRef r_e_op1_RNI67OF_29)) (portRef B (instanceRef r_e_op1_RNI2RNF_16)) (portRef B (instanceRef r_e_op1_RNI3VNF_17)) (portRef B (instanceRef r_e_op1_RNIT6NF_11)) (portRef B (instanceRef r_e_op1_RNI1NNF_15)) (portRef B (instanceRef r_e_op1_RNI57OF_19)) (portRef B (instanceRef r_e_op1_RNIUANF_12)) (portRef B (instanceRef r_e_op1_RNI2NNF_25)) (portRef B (instanceRef r_e_op1_RNIVENF_13)) (portRef B (instanceRef r_e_op1_RNI57OF_0_19)) (portRef B (instanceRef r_e_op1_RNII1UB_7)) (portRef A (instanceRef r_e_aluop_0_RNIMLHF_1)) (portRef B (instanceRef r_e_op1_RNID1UB_0_2)) )) (net wicc_1 (joined (portRef Y (instanceRef r_a_ctrl_wicc_RNO)) (portRef D (instanceRef r_a_ctrl_wicc)) )) (net ldlock_2_i (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIP3L701)) (portRef A (instanceRef r_a_nobp_RNIGBT032)) )) (net CO1 (joined (portRef Y (instanceRef un1_r_w_s_cwp_1_1_CO1)) (portRef A (instanceRef r_w_s_cwp_RNI4J6355_2)) )) (net nobp_1 (joined (portRef Y (instanceRef r_a_nobp_RNO)) (portRef D (instanceRef r_a_nobp)) )) (net N_8066 (joined (portRef Y (instanceRef r_a_nobp_RNO_1)) (portRef C (instanceRef r_a_nobp_RNO)) )) (net un1_ldcheck2 (joined (portRef Y (instanceRef r_d_inst_0_RNI4B3RI_13)) (portRef B (instanceRef comb_lock_gen_ldlock2_1_RNIJJ6OU)) )) (net un58_pwrd (joined (portRef Y (instanceRef r_x_ctrl_inst_RNITR8R2_1_25)) (portRef B (instanceRef rp_pwd_RNI3OPR3)) )) (net (rename pc_m_16 "pc_m[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_16)) (portRef B (instanceRef r_f_pc_RNO_4_16)) )) (net (rename pc_m_19 "pc_m[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_19)) (portRef B (instanceRef r_f_pc_RNO_4_19)) )) (net (rename pc_m_22 "pc_m[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_22)) (portRef B (instanceRef r_f_pc_RNO_4_22)) )) (net (rename pc_m_28 "pc_m[28]") (joined (portRef Y (instanceRef r_f_pc_RNO_13_28)) (portRef B (instanceRef r_f_pc_RNO_10_28)) )) (net (rename pc_m_31 "pc_m[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_31)) (portRef B (instanceRef r_f_pc_RNO_4_31)) )) (net (rename un6_fe_npc3_3 "un6_fe_npc3[3]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_13)) (portRef A (instanceRef r_e_bp_RNIDIDLI1)) (portRef A (instanceRef r_f_pc_RNI8M7UJ_5)) (portRef A (instanceRef r_f_pc_RNO_3_5)) )) (net (rename pc_4_16 "pc_4[16]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_16)) (portRef B (instanceRef r_f_pc_RNO_1_16)) )) (net N726_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I218_Y)) (portRef A (instanceRef r_f_pc_RNO_7_16)) )) (net (rename un6_fe_npc0_14 "un6_fe_npc0[14]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_84)) (portRef B (instanceRef r_f_pc_RNO_3_16)) )) (net (rename un6_fe_npc3_14 "un6_fe_npc3[14]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_84)) (portRef A (instanceRef r_f_pc_RNO_6_16)) (portRef A (instanceRef r_f_pc_RNO_10_16)) )) (net (rename pc_4_19 "pc_4[19]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_19)) (portRef B (instanceRef r_f_pc_RNO_1_19)) )) (net (rename un6_fe_npc0_17 "un6_fe_npc0[17]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_105)) (portRef B (instanceRef r_f_pc_RNO_3_19)) )) (net (rename un6_fe_npc3_17 "un6_fe_npc3[17]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_105)) (portRef A (instanceRef r_f_pc_RNO_6_19)) (portRef A (instanceRef r_f_pc_RNO_10_19)) )) (net (rename pc_4_22 "pc_4[22]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_22)) (portRef B (instanceRef r_f_pc_RNO_1_22)) )) (net N712_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I241_Y_0_o3)) (portRef A (instanceRef r_f_pc_RNO_7_22)) )) (net (rename un6_fe_npc0_20 "un6_fe_npc0[20]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_129)) (portRef B (instanceRef r_f_pc_RNO_3_22)) )) (net (rename un6_fe_npc3_20 "un6_fe_npc3[20]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_129)) (portRef A (instanceRef r_f_pc_RNO_6_22)) (portRef A (instanceRef r_f_pc_RNO_10_22)) )) (net (rename un6_fe_npc0_26 "un6_fe_npc0[26]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_186)) (portRef B (instanceRef r_f_pc_RNO_11_28)) )) (net (rename un6_fe_npc2_26 "un6_fe_npc2[26]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_186)) (portRef B (instanceRef r_f_pc_RNO_5_28)) (portRef B (instanceRef r_f_pc_RNO_7_28)) )) (net (rename un6_fe_npc3_26 "un6_fe_npc3[26]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_186)) (portRef A (instanceRef r_f_pc_RNO_3_28)) (portRef A (instanceRef r_f_pc_RNO_6_28)) )) (net (rename pc_4_31 "pc_4[31]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_31)) (portRef B (instanceRef r_f_pc_RNO_1_31)) )) (net (rename un6_fe_npc0_29 "un6_fe_npc0[29]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_210)) (portRef B (instanceRef r_f_pc_RNO_3_31)) )) (net (rename un6_fe_npc3_29 "un6_fe_npc3[29]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_210)) (portRef A (instanceRef r_f_pc_RNO_6_31)) (portRef A (instanceRef r_f_pc_RNO_10_31)) )) (net N528_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I127_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I131_Y)) )) (net N433 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I13_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I67_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I69_Y)) )) (net N501_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I42_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I104_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I103_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I160_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I159_un1_Y)) )) (net N571_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I108_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I167_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I168_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I160_Y)) )) (net N512 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I53_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I115_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I111_un1_Y)) )) (net N454_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I20_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I55_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I53_Y)) )) (net N520_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I61_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I119_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I123_Y)) )) (net N442_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I16_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I274_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I63_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I61_Y)) )) (net N437_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I14_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I66_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I67_un1_Y)) )) (net N577_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I114_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I174_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I173_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I166_Y)) )) (net I137_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I137_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I197_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I189_un1_Y)) )) (net N_54 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I79_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I137_un1_Y)) )) (net I181_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I181_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I181_Y)) )) (net I189_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I189_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I244_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I229_un1_Y)) )) (net I244_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I244_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I244_Y)) )) (net N448_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I18_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I57_Y)) )) (net N449 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I18_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I58_Y)) )) (net N516 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I57_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I119_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I115_Y)) )) (net N517 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I58_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I120_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I119_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I116_Y)) )) (net N452_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I19_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I58_Y)) )) (net N488_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I31_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I96_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I95_un1_Y)) )) (net N559_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I96_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I156_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I155_un1_Y)) )) (net N513_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I54_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I116_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I115_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I112_Y)) )) (net N458 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I21_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I54_Y)) )) (net N455_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I20_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I55_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I54_Y)) )) (net N439_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I15_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I63_Y_0)) )) (net N410 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I5_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I84_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I86_Y)) )) (net N412_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I6_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I81_Y)) )) (net N413_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I6_P0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I82_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I84_Y)) )) (net N528_2 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I69_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I127_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I131_Y)) )) (net N544_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I85_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I143_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I147_Y)) )) (net N548_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I89_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I151_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I147_Y)) )) (net N400_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I2_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I89_Y)) )) (net N549_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I90_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I151_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I148_Y)) )) (net N563 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I100_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I160_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I159_un1_Y)) )) (net N501_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I42_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I103_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I104_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I100_Y)) )) (net N594 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I131_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I183_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I191_Y)) )) (net N532_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I73_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I135_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I131_Y)) )) (net N529_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I70_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I128_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I132_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I131_Y)) )) (net N595_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I132_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I184_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I192_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I191_Y)) )) (net N533_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I74_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I136_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I135_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I132_Y)) )) (net N602 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I139_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I199_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I191_Y)) )) (net N540 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I81_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I143_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I139_Y)) )) (net N537_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I78_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I136_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I140_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I139_Y)) )) (net N536_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I77_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I135_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I139_Y)) )) (net N541_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I82_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I144_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I143_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I140_Y)) )) (net N610_2 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I147_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I205_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I199_Y)) )) (net N545_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I86_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I144_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I148_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I147_Y)) )) (net I151_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I151_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I151_Y)) )) (net N505 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I46_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I107_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I104_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I167_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I168_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I160_Y)) )) (net N587_2 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I124_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I183_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I184_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I176_Y)) )) (net N579_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I116_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I175_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I168_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I176_Y)) )) (net N668_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I199_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I239_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I249_Y)) )) (net I205_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I205_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I205_Y)) )) (net I249_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I249_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I249_Y)) )) (net N403 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I3_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I87_Y_0)) )) (net N415 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I7_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I79_Y_0)) )) (net N421_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I9_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I75_un1_Y)) )) (net N422 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I9_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I78_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I76_Y)) )) (net N425 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I10_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I75_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I76_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I74_Y)) )) (net N466 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I24_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I45_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I47_Y_0_o3)) )) (net N467 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I24_P0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I46_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I47_Y_0_a3)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I48_Y_i)) )) (net I197_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I197_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I197_Y)) )) (net N449_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I18_P0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I59_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I60_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I58_Y)) )) (net N591_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I128_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I180_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I187_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I188_Y)) )) (net N525 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I66_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I124_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I127_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I128_Y)) )) (net N598_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I135_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I187_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I195_Y)) )) (net N606_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I143_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I203_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I195_un1_Y)) )) (net N607 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I144_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I203_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I196_Y)) )) (net N_55_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I71_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I129_un1_Y)) )) (net N543_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I84_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I204_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I198_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I145_un1_Y)) )) (net N666_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I197_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I248_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I237_un1_Y)) )) (net I204_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I204_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I204_Y)) )) (net N410_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I5_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I147_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I86_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I84_Y)) )) (net N449_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I18_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I58_Y)) )) (net N493_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I34_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I96_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I95_un1_Y)) )) (net N559_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I96_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I155_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I156_Y)) )) (net N488_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I31_P0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I34_Y)) )) (net N443_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I16_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I274_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I63_Y_0)) )) (net N439_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I15_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I65_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I63_Y_0)) )) (net N442_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I16_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I61_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I274_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I63_Y_0)) )) (net N430_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I12_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I246_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I71_Y_0)) )) (net N511_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I91_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I145_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I144_un1_Y)) )) (net N534 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I114_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I168_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I160_Y)) )) (net N527_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I107_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I161_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I153_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I160_Y)) )) (net I176_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I176_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I221_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I210_un1_Y)) )) (net N542 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I122_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I221_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I168_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I210_un1_Y)) )) (net I180_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I180_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I223_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I214_un1_Y)) )) (net I219_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I219_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I219_Y)) )) (net N_5187 (joined (portRef Y (instanceRef r_f_pc_RNO_15_31)) (portRef A (instanceRef r_f_pc_RNO_11_31)) )) (net N_5217 (joined (portRef Y (instanceRef r_f_pc_RNO_11_31)) (portRef B (instanceRef r_f_pc_RNO_6_31)) )) (net N_5182 (joined (portRef Y (instanceRef r_f_pc_RNO_15_26)) (portRef A (instanceRef r_f_pc_RNO_11_26)) )) (net N_8010 (joined (portRef Y (instanceRef r_e_invop2_0_RNIOHQF42)) (portRef B (instanceRef r_e_ldbp2_0_RNIULJIH3)) )) (net (rename pc_0_3 "pc_0[3]") (joined (portRef Q (instanceRef r_e_ctrl_pc_3)) (portRef D (instanceRef r_m_ctrl_pc_3)) (portRef B (instanceRef r_x_ctrl_pc_RNIJMF8_3)) (portRef A (instanceRef ir_addr_RNO_6_3)) (portRef A (instanceRef r_e_ctrl_pc_RNI5M0L_3)) )) (net (rename eaddress_3 "eaddress[3]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIS0C54)) (portRef B (instanceRef r_e_ldbp2_1_RNIJQC581)) (portRef B (instanceRef r_f_pc_RNO_6_3)) (portRef B (instanceRef r_f_pc_RNIAQE58_3)) (portRef B (instanceRef r_e_ldbp2_1_RNINDHH4)) (portRef A (instanceRef r_e_ldbp2_1_RNIE27SA)) (portRef eaddress_3) )) (net (rename un6_ex_add_res_s2_27 "un6_ex_add_res_s2[27]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I317_Y_0)) (portRef A (instanceRef r_e_invop2_0_RNIOHQF42)) )) (net (rename un6_ex_add_res_s0_27 "un6_ex_add_res_s0[27]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I317_Y_0)) (portRef B (instanceRef r_e_invop2_0_RNIOHQF42)) )) (net (rename ex_shcnt_1_i_4 "ex_shcnt_1_i[4]") (joined (portRef Y (instanceRef r_e_shcnt_RNI237M_0_4)) (portRef S (instanceRef r_e_shleft_RNII5UB1)) (portRef S (instanceRef r_e_shleft_RNIFQI42)) (portRef S (instanceRef r_e_shleft_RNISI6T2)) (portRef S (instanceRef r_e_shleft_RNI22VB1)) (portRef S (instanceRef r_e_shleft_RNI45S23)) (portRef S (instanceRef r_e_shleft_RNISKR23)) (portRef S (instanceRef r_e_shleft_RNICJ4L1)) (portRef S (instanceRef r_e_shleft_RNI2SB63)) (portRef S (instanceRef r_e_shleft_RNIVTH42)) (portRef S (instanceRef r_e_shleft_RNICM5T2)) (portRef S (instanceRef r_e_shleft_1_RNI8DS23)) (portRef S (instanceRef r_e_shleft_1_RNIK7I83)) (portRef S (instanceRef r_e_shleft_1_RNIBNUF2)) (portRef S (instanceRef r_e_shleft_1_RNI9DOH3)) (portRef S (instanceRef r_e_shleft_1_RNIDLOH3)) (portRef S (instanceRef r_e_shleft_1_RNIM9PH3)) (portRef S (instanceRef r_e_shleft_1_RNII1PH3)) (portRef S (instanceRef r_e_shleft_1_RNI00J83)) (portRef S (instanceRef r_e_shleft_0_RNI54GT2)) (portRef S (instanceRef r_e_shleft_RNIL6FJ2)) (portRef S (instanceRef r_e_shleft_1_RNI595P2)) (portRef S (instanceRef r_e_shleft_RNIVS8A2)) (portRef S (instanceRef r_e_shleft_1_RNI7FUF2)) )) (net un16_casaen_0_2 (joined (portRef Y (instanceRef r_m_casa_RNI8BU9_3)) (portRef B (instanceRef r_e_op1_RNIU6NF_21)) (portRef B (instanceRef r_e_op1_RNI3RNF_26)) (portRef B (instanceRef r_e_op1_RNI1JNF_24)) (portRef B (instanceRef r_e_op1_RNIG1UB_5)) (portRef B (instanceRef r_e_op1_RNI0FNF_23)) (portRef B (instanceRef r_e_op1_RNIVANF_22)) (portRef B (instanceRef r_e_op1_RNIT2NF_20)) (portRef B (instanceRef r_e_op1_RNI43OF_0_18)) (portRef B (instanceRef r_e_op1_RNIE1UB_0_3)) (portRef B (instanceRef r_e_op1_RNIF1UB_4)) (portRef un16_casaen_0_2) )) (net (rename un6_ex_add_res_m_9 "un6_ex_add_res_m[9]") (joined (portRef Y (instanceRef r_e_ldbp2_RNIJDADA)) (portRef B (instanceRef r_e_ldbp2_RNIBGLKC2)) )) (net N_5208 (joined (portRef Y (instanceRef r_f_pc_RNO_11_22)) (portRef B (instanceRef r_f_pc_RNO_6_22)) )) (net N_5178 (joined (portRef Y (instanceRef r_f_pc_RNO_15_22)) (portRef A (instanceRef r_f_pc_RNO_11_22)) )) (net N_5287 (joined (portRef Y (instanceRef r_x_data_0_RNI97T8_8)) (portRef B (instanceRef r_e_op2_RNIDHIG_8)) )) (net (rename shiftin_17_10 "shiftin_17[10]") (joined (portRef Y (instanceRef r_e_shcnt_RNIMPVJL_1)) (portRef A (instanceRef r_e_jmpl_RNIJH6CM_0)) (portRef A (instanceRef r_e_jmpl_RNIJH6CM)) )) (net (rename shiftin_17_9 "shiftin_17[9]") (joined (portRef Y (instanceRef r_e_shcnt_RNIIBL7M_1)) (portRef A (instanceRef r_e_jmpl_RNIF3SVM)) (portRef A (instanceRef r_e_jmpl_RNIF3SVM_0)) )) (net un497_dbgunit (joined (portRef Y (instanceRef comb_diagread_un497_dbgunit)) (portRef B (instanceRef r_x_data_0_RNI02EC_16)) (portRef B (instanceRef r_x_data_0_RNI66EC_29)) (portRef B (instanceRef r_x_data_0_RNIR1EC_11)) (portRef B (instanceRef r_x_data_0_RNILP07_0)) (portRef A (instanceRef r_x_rstate_RNIFHDF_0)) (portRef B (instanceRef r_x_data_0_RNIV1EC_15)) (portRef B (instanceRef r_x_data_0_RNIU5EC_21)) (portRef B (instanceRef r_x_data_0_RNIU1EC_14)) (portRef B (instanceRef r_x_data_0_RNI32EC_19)) (portRef B (instanceRef r_x_data_0_RNI16EC_24)) (portRef B (instanceRef r_x_data_0_RNI06EC_23)) (portRef B (instanceRef r_x_data_0_RNI26EC_25)) (portRef B (instanceRef r_x_data_0_RNI56EC_28)) (portRef B (instanceRef r_x_data_0_RNIT5EC_20)) (portRef B (instanceRef r_x_data_0_RNI36EC_26)) (portRef B (instanceRef r_x_data_0_RNIV5EC_22)) (portRef B (instanceRef r_x_data_0_RNI22EC_18)) )) (net (rename pc_18 "pc[18]") (joined (portRef Q (instanceRef r_e_ctrl_pc_18)) (portRef D (instanceRef r_m_ctrl_pc_18)) (portRef A (instanceRef ir_addr_RNO_6_18)) (portRef B (instanceRef r_x_ctrl_pc_RNIKAIF_18)) (portRef A (instanceRef r_e_ctrl_pc_RNIRNKL_18)) )) (net (rename bpdata_18 "bpdata[18]") (joined (portRef Y (instanceRef r_x_result_RNIKNSC3_18)) (portRef B (instanceRef r_x_result_RNIBDES3_18)) (portRef B (instanceRef r_x_result_RNIUELU3_18)) )) (net (rename aluop_1_RNIDB3V_1 "aluop_1_RNIDB3V[1]") (joined (portRef Y (instanceRef r_e_aluop_1_RNIDB3V_1)) (portRef A (instanceRef r_x_result_RNI8BL04_1)) (portRef A (instanceRef r_x_result_RNIT5VV3_5)) (portRef B (instanceRef r_e_aluop_1_RNI41LE1_1)) (portRef A (instanceRef r_x_result_RNIG3M04_3)) (portRef A (instanceRef r_x_result_RNI5UVV3_7)) (portRef A (instanceRef r_x_result_RNIS7N04_6)) (portRef A (instanceRef r_x_result_RNIPPUV3_4)) (portRef A (instanceRef r_x_result_RNICNL04_2)) (portRef A (instanceRef r_x_result_RNI4VK04_0)) )) (net (rename bpdata_22 "bpdata[22]") (joined (portRef Y (instanceRef r_x_result_RNI6BSC3_22)) (portRef A (instanceRef r_x_result_RNIG2LU3_22)) (portRef B (instanceRef r_x_result_RNIT0ES3_22)) )) (net (rename data_0_22 "data_0[22]") (joined (portRef Q (instanceRef r_x_data_0_22)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I49_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I49_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I266_Y_0_a3_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I50_Y)) (portRef B (instanceRef r_x_result_RNISUED_22)) (portRef B (instanceRef r_e_op1_RNI0LHD_22)) (portRef A (instanceRef r_x_data_0_RNO_1_22)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I23_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I23_P0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I23_G0N)) (portRef A (instanceRef r_x_data_0_RNICJ9E_22)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I313_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I313_Y_0)) (portRef A (instanceRef r_x_data_0_RNIV5EC_22)) )) (net N_3861 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNII7AE_8)) (portRef A (instanceRef r_x_npc_0_RNIHNE41_0)) )) (net (rename pc_0_8 "pc_0[8]") (joined (portRef Q (instanceRef r_x_ctrl_pc_8)) (portRef A (instanceRef r_x_ctrl_pc_RNICFV2S_8)) (portRef A (instanceRef ir_addr_RNO_0_8)) (portRef A (instanceRef r_x_ctrl_pc_RNII7AE_8)) )) (net N_3891 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI37AE_8)) (portRef B (instanceRef r_x_npc_0_RNIHNE41_0)) )) (net (rename pc_2_8 "pc_2[8]") (joined (portRef Q (instanceRef r_m_ctrl_pc_8)) (portRef D (instanceRef r_x_ctrl_pc_8)) (portRef A (instanceRef ir_addr_RNO_4_8)) (portRef A (instanceRef r_m_ctrl_pc_RNI37AE_8)) )) (net (rename pc_3_8 "pc_3[8]") (joined (portRef Q (instanceRef r_a_ctrl_pc_8)) (portRef B (instanceRef un6_fe_npc_1_I_31)) (portRef C (instanceRef un6_fe_npc_1_I_37)) (portRef A (instanceRef un6_fe_npc_1_I_41)) (portRef A (instanceRef un6_fe_npc_1_I_59)) (portRef D (instanceRef r_e_ctrl_pc_8)) (portRef A (instanceRef ir_addr_RNO_5_8)) (portRef B (instanceRef r_m_ctrl_pc_RNI37AE_8)) )) (net N_4721 (joined (portRef Y (instanceRef r_e_op2_RNO_3_8)) (portRef A (instanceRef r_e_op2_RNO_1_8)) )) (net (rename data2_8 "data2[8]") (joined (portRef (member data2 23)) (portRef A (instanceRef r_e_op2_RNO_3_8)) )) (net (rename imm_8 "imm[8]") (joined (portRef Q (instanceRef r_a_imm_8)) (portRef B (instanceRef r_e_op2_RNO_3_8)) )) (net N_4753 (joined (portRef Y (instanceRef r_e_op2_RNO_4_8)) (portRef B (instanceRef r_e_op2_RNO_1_8)) )) (net (rename result_8 "result[8]") (joined (portRef Q (instanceRef r_w_result_8)) (portRef B (instanceRef r_w_result_RNI3TEA_8)) (portRef B (instanceRef r_e_op2_RNO_4_8)) )) (net N_4785 (joined (portRef Y (instanceRef r_e_op2_RNO_1_8)) (portRef A (instanceRef r_e_op2_RNO_0_8)) )) (net (rename d_1_8 "d_1[8]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_8)) (portRef A (instanceRef r_e_op2_RNO_8)) )) (net N_4849 (joined (portRef Y (instanceRef r_e_op2_RNO_2_8)) (portRef B (instanceRef r_e_op2_RNO_0_8)) )) (net (rename ddata_8 "ddata[8]") (joined (portRef (member ddata 23)) (portRef B (instanceRef r_m_dci_enaddr_RNIQ9212)) (portRef A (instanceRef r_w_s_pil_RNO_0)) (portRef B (instanceRef r_w_s_tt_RNO_4)) (portRef B (instanceRef r_x_rstate_RNIRHV53_0)) (portRef B (instanceRef r_w_s_y_RNO_2_8)) (portRef A (instanceRef r_x_rstate_RNIKQKB_0)) (portRef B (instanceRef ir_addr_RNO_1_8)) )) (net (rename y_3_9 "y_3[9]") (joined (portRef Q (instanceRef r_x_y_9)) (portRef B (instanceRef r_m_y_RNO_4_9)) (portRef B (instanceRef r_w_s_y_RNO_3_9)) )) (net (rename aop2_8 "aop2[8]") (joined (portRef Y (instanceRef r_e_op2_RNO_8)) (portRef D (instanceRef r_e_op2_8)) )) (net (rename shiftin_5_15 "shiftin_5[15]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIQ85L)) (portRef B (instanceRef r_e_shleft_0_RNIOBS42)) )) (net N_4718 (joined (portRef Y (instanceRef r_e_op2_RNO_3_5)) (portRef A (instanceRef r_e_op2_RNO_1_5)) )) (net (rename data2_5 "data2[5]") (joined (portRef (member data2 26)) (portRef A (instanceRef r_e_op2_RNO_3_5)) )) (net (rename imm_5 "imm[5]") (joined (portRef Q (instanceRef r_a_imm_5)) (portRef B (instanceRef r_e_op2_RNO_3_5)) )) (net N_4750 (joined (portRef Y (instanceRef r_e_op2_RNO_4_5)) (portRef B (instanceRef r_e_op2_RNO_1_5)) )) (net (rename result_0_5 "result_0[5]") (joined (portRef Q (instanceRef r_w_result_5)) (portRef B (instanceRef r_w_result_RNIU4EA_5)) (portRef B (instanceRef r_e_op2_RNO_4_5)) )) (net N_4782 (joined (portRef Y (instanceRef r_e_op2_RNO_1_5)) (portRef A (instanceRef r_e_op2_RNO_0_5)) )) (net N_4846 (joined (portRef Y (instanceRef r_e_op2_RNO_2_5)) (portRef B (instanceRef r_e_op2_RNO_0_5)) )) (net N_4814 (joined (portRef Y (instanceRef r_e_op2_RNO_5_5)) (portRef A (instanceRef r_e_op2_RNO_2_5)) )) (net (rename result_RNIQFKA_5 "result_RNIQFKA[5]") (joined (portRef Y (instanceRef r_x_result_RNIQFKA_5)) (portRef B (instanceRef r_a_rsel1_1_RNI4QN992_1)) (portRef B (instanceRef r_x_result_RNIGQR03_5)) (portRef A (instanceRef r_x_result_RNIE5PAS_5)) (portRef B (instanceRef r_e_op2_RNO_2_5)) )) (net (rename d_1_5 "d_1[5]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_5)) (portRef A (instanceRef r_e_op2_RNO_5)) )) (net (rename aop2_5 "aop2[5]") (joined (portRef Y (instanceRef r_e_op2_RNO_5)) (portRef D (instanceRef r_e_op2_5)) )) (net (rename rd_6 "rd[6]") (joined (portRef Q (instanceRef r_a_ctrl_rd_6)) (portRef D (instanceRef r_e_ctrl_rd_6)) (portRef A (instanceRef r_a_ctrl_rd_RNISN544_6)) (portRef A (instanceRef r_a_ctrl_rd_RNI5S6A2_6)) )) (net (rename un3_de_ren1_96 "un3_de_ren1[96]") (joined (portRef Y (instanceRef r_d_inst_0_RNINST62_17)) (portRef D (instanceRef r_a_rfa1_6)) (portRef B (instanceRef r_e_ctrl_rd_RNI9S6A2_6)) (portRef B (instanceRef r_m_ctrl_rd_RNIHS6A2_6)) (portRef A (instanceRef r_a_rfa1_RNI1D3M2_6)) (portRef B (instanceRef r_a_ctrl_rd_RNI5S6A2_6)) )) (net (rename bpdata_23 "bpdata[23]") (joined (portRef Y (instanceRef r_x_result_RNIAFSC3_23)) (portRef A (instanceRef r_x_result_RNIK6LU3_23)) (portRef B (instanceRef r_x_result_RNI15ES3_23)) )) (net (rename shiftin_17_1 "shiftin_17[1]") (joined (portRef Y (instanceRef r_e_shcnt_RNIS965J_1)) (portRef A (instanceRef r_e_jmpl_RNIP1DTJ_0)) (portRef A (instanceRef r_e_jmpl_RNIP1DTJ)) )) (net (rename shiftin_14_3 "shiftin_14[3]") (joined (portRef Y (instanceRef r_e_shcnt_RNII2DB9_2)) (portRef B (instanceRef r_e_shcnt_RNI92QTJ_1)) (portRef A (instanceRef r_e_shcnt_RNIS965J_1)) )) (net (rename shiftin_14_1 "shiftin_14[1]") (joined (portRef Y (instanceRef r_e_shcnt_RNIEGI39_2)) (portRef B (instanceRef r_e_shcnt_RNIS965J_1)) )) (net (rename shiftin_17_2 "shiftin_17[2]") (joined (portRef Y (instanceRef r_e_shcnt_RNIK27NI_1)) (portRef A (instanceRef r_e_jmpl_RNIHQDFJ_0)) (portRef A (instanceRef r_e_jmpl_RNIHQDFJ)) )) (net (rename shiftin_14_4 "shiftin_14[4]") (joined (portRef Y (instanceRef r_e_shcnt_RNI2UB59_2)) (portRef B (instanceRef r_e_shcnt_RNI1RQFJ_1)) (portRef A (instanceRef r_e_shcnt_RNIK27NI_1)) )) (net (rename shiftin_14_2 "shiftin_14[2]") (joined (portRef Y (instanceRef r_e_shcnt_RNIMDKR8_2)) (portRef A (instanceRef r_e_shcnt_RNI7AJUH_1)) (portRef B (instanceRef r_e_shcnt_RNIK27NI_1)) )) (net (rename shiftin_17_3 "shiftin_17[3]") (joined (portRef Y (instanceRef r_e_shcnt_RNI92QTJ_1)) (portRef A (instanceRef r_e_jmpl_RNI6Q0MK)) (portRef A (instanceRef r_e_jmpl_RNI6Q0MK_0)) )) (net (rename shiftin_14_5 "shiftin_14[5]") (joined (portRef Y (instanceRef r_e_shcnt_RNIR86S9_2)) (portRef B (instanceRef r_e_shcnt_RNIMQDMK_1)) (portRef A (instanceRef r_e_shcnt_RNI92QTJ_1)) )) (net (rename shiftin_17_4 "shiftin_17[4]") (joined (portRef Y (instanceRef r_e_shcnt_RNI1RQFJ_1)) (portRef A (instanceRef r_e_jmpl_RNIUI18K)) (portRef A (instanceRef r_e_jmpl_RNIUI18K_0)) )) (net (rename shiftin_14_6 "shiftin_14[6]") (joined (portRef Y (instanceRef r_e_shcnt_RNI368K9_2)) (portRef B (instanceRef r_e_shcnt_RNIFJE8K_1)) (portRef A (instanceRef r_e_shcnt_RNI1RQFJ_1)) )) (net (rename shiftin_17_5 "shiftin_17[5]") (joined (portRef Y (instanceRef r_e_shcnt_RNIMQDMK_1)) (portRef A (instanceRef r_e_jmpl_RNIJIKEL_0)) (portRef A (instanceRef r_e_jmpl_RNIJIKEL)) )) (net (rename shiftin_14_7 "shiftin_14[7]") (joined (portRef Y (instanceRef r_e_shcnt_RNIVQ04A_2)) (portRef B (instanceRef r_e_shcnt_RNI4J1FL_1)) (portRef A (instanceRef r_e_shcnt_RNIMQDMK_1)) )) (net (rename shiftin_17_6 "shiftin_17[6]") (joined (portRef Y (instanceRef r_e_shcnt_RNIFJE8K_1)) (portRef A (instanceRef r_e_jmpl_RNICBL0L_0)) (portRef A (instanceRef r_e_jmpl_RNICBL0L)) )) (net (rename shiftin_14_8 "shiftin_14[8]") (joined (portRef Y (instanceRef r_e_shcnt_RNIGMVT9_2)) (portRef B (instanceRef r_e_shcnt_RNI91CRK_1)) (portRef A (instanceRef r_e_shcnt_RNIFJE8K_1)) )) (net (rename shiftin_17_7 "shiftin_17[7]") (joined (portRef Y (instanceRef r_e_shcnt_RNI4J1FL_1)) (portRef A (instanceRef r_e_jmpl_RNI1B87M)) (portRef A (instanceRef r_e_jmpl_RNI1B87M_0)) )) (net (rename shiftin_14_9 "shiftin_14[9]") (joined (portRef Y (instanceRef r_e_shcnt_RNI91QKA_2)) (portRef B (instanceRef r_e_shcnt_RNIIBL7M_1)) (portRef A (instanceRef r_e_shcnt_RNI4J1FL_1)) )) (net (rename shiftin_17_8 "shiftin_17[8]") (joined (portRef Y (instanceRef r_e_shcnt_RNI91CRK_1)) (portRef A (instanceRef r_e_jmpl_RNI6PIJL_0)) (portRef A (instanceRef r_e_jmpl_RNI6PIJL)) )) (net (rename shiftin_14_10 "shiftin_14[10]") (joined (portRef Y (instanceRef r_e_shcnt_RNITJ57A_2)) (portRef B (instanceRef r_e_shcnt_RNIMPVJL_1)) (portRef A (instanceRef r_e_shcnt_RNI91CRK_1)) )) (net (rename shiftin_14_11 "shiftin_14[11]") (joined (portRef Y (instanceRef r_e_shcnt_RNIDJKSA_2)) (portRef B (instanceRef r_e_shcnt_RNIEQN3N_1)) (portRef A (instanceRef r_e_shcnt_RNIIBL7M_1)) )) (net (rename shiftin_14_12 "shiftin_14[12]") (joined (portRef Y (instanceRef r_e_shcnt_RNITEJMA_2)) (portRef B (instanceRef r_e_shcnt_RNIMS9IM_1)) (portRef A (instanceRef r_e_shcnt_RNIMPVJL_1)) )) (net (rename shiftin_17_11 "shiftin_17[11]") (joined (portRef Y (instanceRef r_e_shcnt_RNIEQN3N_1)) (portRef A (instanceRef r_e_jmpl_RNIBIURN)) (portRef A (instanceRef r_e_jmpl_RNIBIURN_0)) )) (net (rename shiftin_14_13 "shiftin_14[13]") (joined (portRef Y (instanceRef r_e_shcnt_RNI5GSGB_2)) (portRef B (instanceRef r_e_shcnt_RNISIBSN_1)) (portRef A (instanceRef r_e_shcnt_RNIEQN3N_1)) )) (net (rename shiftin_14_14 "shiftin_14[14]") (joined (portRef Y (instanceRef r_e_shcnt_RNITMF5B_2)) (portRef B (instanceRef r_e_shcnt_RNI3LTAN_1)) (portRef A (instanceRef r_e_shcnt_RNIMS9IM_1)) )) (net (rename shiftin_17_13 "shiftin_17[13]") (joined (portRef Y (instanceRef r_e_shcnt_RNISIBSN_1)) (portRef A (instanceRef r_e_jmpl_RNIPAIKO_0)) (portRef A (instanceRef r_e_jmpl_RNIPAIKO)) )) (net (rename shiftin_14_15 "shiftin_14[15]") (joined (portRef Y (instanceRef r_e_shcnt_RNIRB8LB_2)) (portRef B (instanceRef r_e_shcnt_RNI9BVKO_1)) (portRef A (instanceRef r_e_shcnt_RNISIBSN_1)) )) (net (rename shiftin_17_14 "shiftin_17[14]") (joined (portRef Y (instanceRef r_e_shcnt_RNI3LTAN_1)) (portRef A (instanceRef r_e_jmpl_RNI0D43O_0)) (portRef A (instanceRef r_e_jmpl_RNI0D43O)) )) (net (rename shiftin_14_16 "shiftin_14[16]") (joined (portRef Y (instanceRef r_e_shcnt_RNIA77FB_2)) (portRef B (instanceRef r_e_shcnt_RNIGDH3O_1)) (portRef A (instanceRef r_e_shcnt_RNI3LTAN_1)) )) (net (rename shiftin_17_15 "shiftin_17[15]") (joined (portRef Y (instanceRef r_e_shcnt_RNI9BVKO_1)) (portRef A (instanceRef r_e_jmpl_RNI636DP)) (portRef A (instanceRef r_e_jmpl_RNI636DP_0)) )) (net (rename shiftin_14_17 "shiftin_14[17]") (joined (portRef Y (instanceRef r_e_shcnt_RNII8G9C_2)) (portRef B (instanceRef r_e_shcnt_RNIM3JDP_1)) (portRef A (instanceRef r_e_shcnt_RNI9BVKO_1)) )) (net (rename shiftin_14_18 "shiftin_14[18]") (joined (portRef Y (instanceRef r_e_shcnt_RNIAF3UB_2)) (portRef B (instanceRef r_e_shcnt_RNIT55SO_1)) (portRef A (instanceRef r_e_shcnt_RNIGDH3O_1)) )) (net (rename shiftin_17_17 "shiftin_17[17]") (joined (portRef Y (instanceRef r_e_shcnt_RNIM3JDP_1)) (portRef A (instanceRef r_e_jmpl_RNIJRP5Q_0)) (portRef A (instanceRef r_e_jmpl_RNIJRP5Q)) )) (net (rename shiftin_14_19 "shiftin_14[19]") (joined (portRef Y (instanceRef r_e_shcnt_RNI84SDC_2)) (portRef B (instanceRef r_e_shcnt_RNI3S66Q_1)) (portRef A (instanceRef r_e_shcnt_RNIM3JDP_1)) )) (net (rename shiftin_17_18 "shiftin_17[18]") (joined (portRef Y (instanceRef r_e_shcnt_RNIT55SO_1)) (portRef A (instanceRef r_e_jmpl_RNIQTBKP_0)) (portRef A (instanceRef r_e_jmpl_RNIQTBKP)) )) (net (rename shiftin_14_20 "shiftin_14[20]") (joined (portRef Y (instanceRef r_e_shcnt_RNINVQ7C_2)) (portRef B (instanceRef r_e_shcnt_RNIT8FQP_1)) (portRef A (instanceRef r_e_shcnt_RNIT55SO_1)) )) (net (rename ex_shcnt_1_i_1 "ex_shcnt_1_i[1]") (joined (portRef Y (instanceRef r_e_shcnt_RNISM6M_0_1)) (portRef S (instanceRef r_e_shcnt_RNI7AJUH_1)) (portRef S (instanceRef r_e_shcnt_RNIK5RPU_1)) (portRef S (instanceRef r_e_shcnt_RNI8R8VU_1)) (portRef S (instanceRef r_e_shcnt_RNIP2L6U_1)) (portRef S (instanceRef r_e_shcnt_RNIMH81U_1)) (portRef S (instanceRef r_e_shcnt_RNIOVA8T_1)) (portRef S (instanceRef r_e_shcnt_RNI9PK8T_1)) (portRef S (instanceRef r_e_shcnt_RNIOS0AS_1)) (portRef S (instanceRef r_e_shcnt_RNIR01GS_1)) (portRef S (instanceRef r_e_shcnt_RNIB4DHR_1)) (portRef S (instanceRef r_e_shcnt_RNIQTMHR_1)) (portRef S (instanceRef r_e_shcnt_RNIA13JQ_1)) (portRef S (instanceRef r_e_shcnt_RNI0GPUQ_1)) (portRef S (instanceRef r_e_shcnt_RNIT8FQP_1)) (portRef S (instanceRef r_e_shcnt_RNI3S66Q_1)) (portRef S (instanceRef r_e_shcnt_RNIT55SO_1)) )) (net (rename shiftin_17_19 "shiftin_17[19]") (joined (portRef Y (instanceRef r_e_shcnt_RNI3S66Q_1)) (portRef A (instanceRef r_e_jmpl_RNI0KDUQ)) (portRef A (instanceRef r_e_jmpl_RNI0KDUQ_0)) )) (net (rename shiftin_14_21 "shiftin_14[21]") (joined (portRef Y (instanceRef r_e_shcnt_RNIV042D_2)) (portRef B (instanceRef r_e_shcnt_RNI0GPUQ_1)) (portRef A (instanceRef r_e_shcnt_RNI3S66Q_1)) )) (net (rename shiftin_17_20 "shiftin_17[20]") (joined (portRef Y (instanceRef r_e_shcnt_RNIT8FQP_1)) (portRef A (instanceRef r_e_jmpl_RNIQ0MIQ_0)) (portRef A (instanceRef r_e_jmpl_RNIQ0MIQ)) )) (net (rename shiftin_14_22 "shiftin_14[22]") (joined (portRef Y (instanceRef r_e_shcnt_RNIAIDSC_2)) (portRef B (instanceRef r_e_shcnt_RNIA13JQ_1)) (portRef A (instanceRef r_e_shcnt_RNIT8FQP_1)) )) (net (rename shiftin_17_21 "shiftin_17[21]") (joined (portRef Y (instanceRef r_e_shcnt_RNI0GPUQ_1)) (portRef A (instanceRef r_e_jmpl_RNIT70NR)) (portRef A (instanceRef r_e_jmpl_RNIT70NR_0)) )) (net (rename shiftin_14_23 "shiftin_14[23]") (joined (portRef Y (instanceRef r_e_shcnt_RNI5OE6D_2)) (portRef B (instanceRef r_e_shcnt_RNIQTMHR_1)) (portRef A (instanceRef r_e_shcnt_RNI0GPUQ_1)) )) (net (rename shiftin_17_22 "shiftin_17[22]") (joined (portRef Y (instanceRef r_e_shcnt_RNIA13JQ_1)) (portRef A (instanceRef r_e_jmpl_RNI7P9BR_0)) (portRef A (instanceRef r_e_jmpl_RNI7P9BR)) )) (net (rename shiftin_14_24 "shiftin_14[24]") (joined (portRef Y (instanceRef r_e_shcnt_RNI4OE0D_2)) (portRef B (instanceRef r_e_shcnt_RNIB4DHR_1)) (portRef A (instanceRef r_e_shcnt_RNIA13JQ_1)) )) (net (rename shiftin_14_25 "shiftin_14[25]") (joined (portRef Y (instanceRef r_e_shcnt_RNIPE1LD_2)) (portRef B (instanceRef r_e_shcnt_RNIR01GS_1)) (portRef A (instanceRef r_e_shcnt_RNIQTMHR_1)) )) (net (rename shiftin_14_26 "shiftin_14[26]") (joined (portRef Y (instanceRef r_e_shcnt_RNIBLNQD_2)) (portRef B (instanceRef r_e_shcnt_RNIOS0AS_1)) (portRef A (instanceRef r_e_shcnt_RNIB4DHR_1)) )) (net (rename shiftin_14_27 "shiftin_14[27]") (joined (portRef Y (instanceRef r_e_shcnt_RNI6RO4E_2)) (portRef B (instanceRef r_e_shcnt_RNI9PK8T_1)) (portRef A (instanceRef r_e_shcnt_RNIR01GS_1)) )) (net (rename shiftin_17_26 "shiftin_17[26]") (joined (portRef Y (instanceRef r_e_shcnt_RNIOS0AS_1)) (portRef A (instanceRef r_e_jmpl_RNILK72T)) (portRef A (instanceRef r_e_jmpl_RNILK72T_0)) )) (net (rename shiftin_14_28 "shiftin_14[28]") (joined (portRef Y (instanceRef r_e_shcnt_RNIHG2PD_2)) (portRef B (instanceRef r_e_shcnt_RNIOVA8T_1)) (portRef A (instanceRef r_e_shcnt_RNIOS0AS_1)) )) (net (rename shiftin_17_27 "shiftin_17[27]") (joined (portRef Y (instanceRef r_e_shcnt_RNI9PK8T_1)) (portRef A (instanceRef r_e_jmpl_RNI6HR0U_0)) (portRef A (instanceRef r_e_jmpl_RNI6HR0U)) )) (net (rename shiftin_14_29 "shiftin_14[29]") (joined (portRef Y (instanceRef r_e_shcnt_RNI77LDE_2)) (portRef B (instanceRef r_e_shcnt_RNIMH81U_1)) (portRef A (instanceRef r_e_shcnt_RNI9PK8T_1)) )) (net (rename shiftin_14_30 "shiftin_14[30]") (joined (portRef Y (instanceRef r_e_shcnt_RNIBO1PE_2)) (portRef B (instanceRef r_e_shcnt_RNIP2L6U_1)) (portRef A (instanceRef r_e_shcnt_RNIOVA8T_1)) )) (net (rename shiftin_14_31 "shiftin_14[31]") (joined (portRef Y (instanceRef r_e_shcnt_RNIJJCTE_2)) (portRef B (instanceRef r_e_shcnt_RNIK5RPU_1)) (portRef A (instanceRef r_e_shcnt_RNIMH81U_1)) )) (net (rename shiftin_11_5 "shiftin_11[5]") (joined (portRef Y (instanceRef r_e_shcnt_RNIRU3E4_3)) (portRef B (instanceRef r_e_shcnt_RNIR86S9_2)) (portRef A (instanceRef r_e_shcnt_RNIEGI39_2)) )) (net (rename shiftin_11_1 "shiftin_11[1]") (joined (portRef Y (instanceRef r_e_shcnt_RNILM7V3_3)) (portRef B (instanceRef r_e_shcnt_RNIEGI39_2)) )) (net (rename shiftin_11_6 "shiftin_11[6]") (joined (portRef Y (instanceRef r_e_shcnt_RNIVVC84_3)) (portRef B (instanceRef r_e_shcnt_RNI368K9_2)) (portRef A (instanceRef r_e_shcnt_RNIMDKR8_2)) )) (net (rename shiftin_11_2 "shiftin_11[2]") (joined (portRef Y (instanceRef r_e_shcnt_RNIPI0T3_3)) (portRef B (instanceRef r_e_shcnt_RNIMDKR8_2)) )) (net (rename shiftin_11_9 "shiftin_11[9]") (joined (portRef Y (instanceRef r_e_shcnt_RNI2FRN4_3)) (portRef B (instanceRef r_e_shcnt_RNI91QKA_2)) (portRef A (instanceRef r_e_shcnt_RNIR86S9_2)) )) (net (rename shiftin_11_10 "shiftin_11[10]") (joined (portRef Y (instanceRef r_e_shcnt_RNI6BKL4_3)) (portRef B (instanceRef r_e_shcnt_RNITJ57A_2)) (portRef A (instanceRef r_e_shcnt_RNI368K9_2)) )) (net (rename shiftin_11_13 "shiftin_11[13]") (joined (portRef Y (instanceRef r_e_shcnt_RNI9NN65_3)) (portRef B (instanceRef r_e_shcnt_RNI5GSGB_2)) (portRef A (instanceRef r_e_shcnt_RNI91QKA_2)) )) (net (rename shiftin_11_14 "shiftin_11[14]") (joined (portRef Y (instanceRef r_e_shcnt_RNIPDAR4_3)) (portRef B (instanceRef r_e_shcnt_RNITMF5B_2)) (portRef A (instanceRef r_e_shcnt_RNITJ57A_2)) )) (net (rename shiftin_11_17 "shiftin_11[17]") (joined (portRef Y (instanceRef r_e_shcnt_RNIUTTJ5_3)) (portRef B (instanceRef r_e_shcnt_RNII8G9C_2)) (portRef A (instanceRef r_e_shcnt_RNI5GSGB_2)) )) (net (rename shiftin_11_18 "shiftin_11[18]") (joined (portRef Y (instanceRef r_e_shcnt_RNI6EUJ5_3)) (portRef B (instanceRef r_e_shcnt_RNIAF3UB_2)) (portRef A (instanceRef r_e_shcnt_RNITMF5B_2)) )) (net (rename shiftin_11_21 "shiftin_11[21]") (joined (portRef Y (instanceRef r_e_shcnt_RNIMFBV5_3)) (portRef B (instanceRef r_e_shcnt_RNIV042D_2)) (portRef A (instanceRef r_e_shcnt_RNII8G9C_2)) )) (net (rename shiftin_11_22 "shiftin_11[22]") (joined (portRef Y (instanceRef r_e_shcnt_RNI66UJ5_3)) (portRef B (instanceRef r_e_shcnt_RNIAIDSC_2)) (portRef A (instanceRef r_e_shcnt_RNIAF3UB_2)) )) (net (rename shiftin_11_25 "shiftin_11[25]") (joined (portRef Y (instanceRef r_e_shcnt_RNIBMHC6_3)) (portRef B (instanceRef r_e_shcnt_RNIPE1LD_2)) (portRef A (instanceRef r_e_shcnt_RNIV042D_2)) )) (net (rename shiftin_11_26 "shiftin_11[26]") (joined (portRef Y (instanceRef r_e_shcnt_RNI6H8I6_3)) (portRef B (instanceRef r_e_shcnt_RNIBLNQD_2)) (portRef A (instanceRef r_e_shcnt_RNIAIDSC_2)) )) (net (rename shiftin_11_30 "shiftin_11[30]") (joined (portRef Y (instanceRef r_e_shcnt_RNI798I6_3)) (portRef B (instanceRef r_e_shcnt_RNIBO1PE_2)) (portRef A (instanceRef r_e_shcnt_RNIBLNQD_2)) )) (net (rename shiftin_11_34 "shiftin_11[34]") (joined (portRef Y (instanceRef r_e_shcnt_RNI6KIG7_3)) (portRef B (instanceRef r_e_shcnt_RNIQGLHF_2)) (portRef A (instanceRef r_e_shcnt_RNIBO1PE_2)) )) (net N_5289 (joined (portRef Y (instanceRef r_x_data_0_RNI6F9E_10)) (portRef B (instanceRef r_e_op2_RNIJQNP_10)) )) (net (rename shiftin_8_i_10 "shiftin_8_i[10]") (joined (portRef Y (instanceRef r_e_shleft_RNICJ4L1)) (portRef B (instanceRef r_e_shcnt_RNI6BKL4_3)) (portRef A (instanceRef r_e_shcnt_RNIPI0T3_3)) )) (net (rename shiftin_8_2 "shiftin_8[2]") (joined (portRef Y (instanceRef r_e_shleft_0_RNID0LH1)) (portRef B (instanceRef r_e_shcnt_RNIPI0T3_3)) )) (net (rename shiftin_8_14 "shiftin_8[14]") (joined (portRef Y (instanceRef r_e_shleft_0_RNII4H02)) (portRef B (instanceRef r_e_shcnt_RNIPDAR4_3)) (portRef A (instanceRef r_e_shcnt_RNIVVC84_3)) )) (net (rename shiftin_8_6 "shiftin_8[6]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIDSKH1)) (portRef B (instanceRef r_e_shcnt_RNIVVC84_3)) )) (net (rename shiftin_8_18 "shiftin_8[18]") (joined (portRef Y (instanceRef r_e_shleft_RNIQO8A2)) (portRef B (instanceRef r_e_shcnt_RNI6EUJ5_3)) (portRef A (instanceRef r_e_shcnt_RNI6BKL4_3)) )) (net (rename shiftin_8_22 "shiftin_8[22]") (joined (portRef Y (instanceRef r_e_shleft_RNI7AI42)) (portRef B (instanceRef r_e_shcnt_RNI66UJ5_3)) (portRef A (instanceRef r_e_shcnt_RNIPDAR4_3)) )) (net (rename shiftin_8_26 "shiftin_8[26]") (joined (portRef Y (instanceRef r_e_shleft_RNICMEJ2)) (portRef B (instanceRef r_e_shcnt_RNI6H8I6_3)) (portRef A (instanceRef r_e_shcnt_RNI6EUJ5_3)) )) (net (rename shiftin_8_30 "shiftin_8[30]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIVS4P2)) (portRef B (instanceRef r_e_shcnt_RNI798I6_3)) (portRef A (instanceRef r_e_shcnt_RNI66UJ5_3)) )) (net (rename shiftin_8_34 "shiftin_8[34]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIQRI83)) (portRef B (instanceRef r_e_shcnt_RNI6KIG7_3)) (portRef A (instanceRef r_e_shcnt_RNI6H8I6_3)) )) (net (rename shiftin_8_38 "shiftin_8[38]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI8DS23)) (portRef B (instanceRef r_e_shcnt_RNIM1SA7_3)) (portRef A (instanceRef r_e_shcnt_RNI798I6_3)) )) (net shleft_0_RNICPOH3 (joined (portRef Y (instanceRef r_e_shleft_0_RNICPOH3)) (portRef A (instanceRef r_e_shcnt_RNI6KIG7_3)) )) (net (rename shiftin_5_i_18 "shiftin_5_i[18]") (joined (portRef Y (instanceRef r_e_shleft_RNIDAFF)) (portRef B (instanceRef r_e_shleft_RNIQO8A2)) (portRef A (instanceRef r_e_shleft_0_RNID0LH1)) )) (net (rename shiftin_5_2 "shiftin_5[2]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIUIUB)) (portRef B (instanceRef r_e_shleft_0_RNID0LH1)) )) (net (rename shiftin_5_i_22 "shiftin_5_i[22]") (joined (portRef Y (instanceRef r_e_shleft_RNI5MEF)) (portRef B (instanceRef r_e_shleft_RNI7AI42)) (portRef A (instanceRef r_e_shleft_0_RNIDSKH1)) )) (net (rename shiftin_5_6 "shiftin_5[6]") (joined (portRef Y (instanceRef r_e_shleft_0_RNI63VB)) (portRef B (instanceRef r_e_shleft_0_RNIDSKH1)) )) (net (rename shiftin_5_30 "shiftin_5[30]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIOS4L)) (portRef B (instanceRef r_e_shleft_0_RNIVS4P2)) (portRef A (instanceRef r_e_shleft_0_RNII4H02)) )) (net (rename shiftin_5_14 "shiftin_5[14]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIO45L)) (portRef B (instanceRef r_e_shleft_0_RNII4H02)) )) (net (rename shiftin_5_34 "shiftin_5[34]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIBBI41)) (portRef B (instanceRef r_e_shleft_0_RNIQRI83)) (portRef A (instanceRef r_e_shleft_RNIQO8A2)) )) (net (rename shiftin_5_38 "shiftin_5[38]") (joined (portRef Y (instanceRef r_e_shleft_RNI0HSU)) (portRef B (instanceRef r_e_shleft_1_RNI8DS23)) (portRef A (instanceRef r_e_shleft_RNI7AI42)) )) (net (rename shiftin_5_46 "shiftin_5[46]") (joined (portRef Y (instanceRef r_e_shleft_0_RNI5TOD1)) (portRef B (instanceRef r_e_shleft_1_RNIDLOH3)) (portRef A (instanceRef r_e_shleft_0_RNIVS4P2)) )) (net (rename shiftin_5_50 "shiftin_5[50]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIDDPD1)) (portRef A (instanceRef r_e_shleft_0_RNIQRI83)) )) (net (rename shiftin_8_9 "shiftin_8[9]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIPKLH1)) (portRef B (instanceRef r_e_shcnt_RNI2FRN4_3)) (portRef A (instanceRef r_e_shcnt_RNILM7V3_3)) )) (net (rename shiftin_8_1 "shiftin_8[1]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIS2BN1)) (portRef B (instanceRef r_e_shcnt_RNILM7V3_3)) )) (net (rename shiftin_8_13 "shiftin_8[13]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIU0I02)) (portRef B (instanceRef r_e_shcnt_RNI9NN65_3)) (portRef A (instanceRef r_e_shcnt_RNIRU3E4_3)) )) (net (rename shiftin_8_5 "shiftin_8[5]") (joined (portRef Y (instanceRef r_e_shleft_0_RNITUAN1)) (portRef B (instanceRef r_e_shcnt_RNIRU3E4_3)) )) (net (rename shiftin_8_17 "shiftin_8[17]") (joined (portRef Y (instanceRef r_e_shleft_0_RNI9RUF2)) (portRef B (instanceRef r_e_shcnt_RNIUTTJ5_3)) (portRef A (instanceRef r_e_shcnt_RNI2FRN4_3)) )) (net (rename shiftin_8_21 "shiftin_8[21]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIBNUF2)) (portRef B (instanceRef r_e_shcnt_RNIMFBV5_3)) (portRef A (instanceRef r_e_shcnt_RNI9NN65_3)) )) (net (rename shiftin_8_25 "shiftin_8[25]") (joined (portRef Y (instanceRef r_e_shleft_RNIL3OD2)) (portRef B (instanceRef r_e_shcnt_RNIBMHC6_3)) (portRef A (instanceRef r_e_shcnt_RNIUTTJ5_3)) )) (net (rename shiftin_8_29 "shiftin_8[29]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIBP5P2)) (portRef B (instanceRef r_e_shcnt_RNIGT8I6_3)) (portRef A (instanceRef r_e_shcnt_RNIMFBV5_3)) )) (net (rename shiftin_8_33 "shiftin_8[33]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIMJI83)) (portRef B (instanceRef r_e_shcnt_RNIPE557_3)) (portRef A (instanceRef r_e_shcnt_RNIBMHC6_3)) )) (net (rename shiftin_5_17 "shiftin_5[17]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIUG5L)) (portRef B (instanceRef r_e_shleft_0_RNI9RUF2)) (portRef A (instanceRef r_e_shleft_0_RNIS2BN1)) )) (net (rename shiftin_5_1 "shiftin_5[1]") (joined (portRef Y (instanceRef r_e_shleft_0_RNISEUB)) (portRef B (instanceRef r_e_shleft_0_RNIS2BN1)) )) (net (rename shiftin_5_21 "shiftin_5[21]") (joined (portRef Y (instanceRef r_e_shleft_1_RNINS4L)) (portRef B (instanceRef r_e_shleft_1_RNIBNUF2)) (portRef A (instanceRef r_e_shleft_0_RNITUAN1)) )) (net (rename shiftin_5_5 "shiftin_5[5]") (joined (portRef Y (instanceRef r_e_shleft_0_RNI4VUB)) (portRef B (instanceRef r_e_shleft_0_RNITUAN1)) )) (net (rename shiftin_5_i_25 "shiftin_5_i[25]") (joined (portRef Y (instanceRef r_e_shleft_RNIB2FF)) (portRef B (instanceRef r_e_shleft_RNIL3OD2)) (portRef A (instanceRef r_e_shleft_0_RNIPKLH1)) )) (net (rename shiftin_5_9 "shiftin_5[9]") (joined (portRef Y (instanceRef r_e_shleft_0_RNICFVB)) (portRef B (instanceRef r_e_shleft_0_RNIPKLH1)) )) (net (rename shiftin_5_29 "shiftin_5[29]") (joined (portRef Y (instanceRef r_e_shleft_0_RNI6T5L)) (portRef B (instanceRef r_e_shleft_0_RNIBP5P2)) (portRef A (instanceRef r_e_shleft_0_RNIU0I02)) )) (net (rename shiftin_5_13 "shiftin_5[13]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIM05L)) (portRef B (instanceRef r_e_shleft_0_RNIU0I02)) )) (net (rename shiftin_5_33 "shiftin_5[33]") (joined (portRef Y (instanceRef r_e_shleft_0_RNI97I41)) (portRef B (instanceRef r_e_shleft_0_RNIMJI83)) (portRef A (instanceRef r_e_shleft_0_RNI9RUF2)) )) (net (rename shiftin_5_41 "shiftin_5[41]") (joined (portRef Y (instanceRef r_e_shleft_RNI8U181)) (portRef B (instanceRef r_e_shleft_RNI2SB63)) (portRef A (instanceRef r_e_shleft_RNIL3OD2)) )) (net (rename shiftin_5_42 "shiftin_5[42]") (joined (portRef Y (instanceRef r_e_shleft_0_RNITCOD1)) (portRef B (instanceRef r_e_shleft_0_RNICPOH3)) (portRef A (instanceRef r_e_shleft_RNICMEJ2)) )) (net (rename shiftin_5_26 "shiftin_5[26]") (joined (portRef Y (instanceRef r_e_shleft_RNID6FF)) (portRef A (instanceRef r_e_shleft_RNICJ4L1)) (portRef B (instanceRef r_e_shleft_RNICMEJ2)) )) (net (rename shiftin_5_45 "shiftin_5[45]") (joined (portRef Y (instanceRef r_e_shleft_0_RNI3POD1)) (portRef B (instanceRef r_e_shleft_1_RNI9DOH3)) (portRef A (instanceRef r_e_shleft_0_RNIBP5P2)) )) (net (rename shiftin_5_49 "shiftin_5[49]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIB9PD1)) (portRef A (instanceRef r_e_shleft_0_RNIMJI83)) )) (net (rename shiftin_5_58 "shiftin_5[58]") (joined (portRef Y (instanceRef r_e_shleft_0_RNID9PD1)) (portRef A (instanceRef r_e_shleft_0_RNICPOH3)) )) (net (rename shiftin_5_31 "shiftin_5[31]") (joined (portRef Y (instanceRef r_e_shleft_0_RNISVFP)) (portRef B (instanceRef r_e_shleft_0_RNI54GT2)) (portRef A (instanceRef r_e_shleft_0_RNIOBS42)) )) (net (rename shiftin_5_47 "shiftin_5[47]") (joined (portRef Y (instanceRef r_e_shleft_0_RNI71PD1)) (portRef A (instanceRef r_e_shleft_0_RNI54GT2)) )) (net (rename shiftin_11_7 "shiftin_11[7]") (joined (portRef Y (instanceRef r_e_shcnt_RNIAFOC4_3)) (portRef B (instanceRef r_e_shcnt_RNIVQ04A_2)) (portRef A (instanceRef r_e_shcnt_RNII2DB9_2)) )) (net (rename shiftin_11_3 "shiftin_11[3]") (joined (portRef Y (instanceRef r_e_shcnt_RNIAOD84_3)) (portRef B (instanceRef r_e_shcnt_RNII2DB9_2)) )) (net (rename shiftin_11_8 "shiftin_11[8]") (joined (portRef Y (instanceRef r_e_shcnt_RNI2VN64_3)) (portRef B (instanceRef r_e_shcnt_RNIGMVT9_2)) (portRef A (instanceRef r_e_shcnt_RNI2UB59_2)) )) (net (rename shiftin_11_4 "shiftin_11[4]") (joined (portRef Y (instanceRef r_e_shcnt_RNI24D84_3)) (portRef A (instanceRef r_e_shcnt_RNIL5OC8_2)) (portRef B (instanceRef r_e_shcnt_RNI2UB59_2)) )) (net (rename shiftin_11_11 "shiftin_11[11]") (joined (portRef Y (instanceRef r_e_shcnt_RNING115_3)) (portRef B (instanceRef r_e_shcnt_RNIDJKSA_2)) (portRef A (instanceRef r_e_shcnt_RNIVQ04A_2)) )) (net (rename shiftin_11_12 "shiftin_11[12]") (joined (portRef Y (instanceRef r_e_shcnt_RNIGS015_3)) (portRef B (instanceRef r_e_shcnt_RNITEJMA_2)) (portRef A (instanceRef r_e_shcnt_RNIGMVT9_2)) )) (net (rename shiftin_11_15 "shiftin_11[15]") (joined (portRef Y (instanceRef r_e_shcnt_RNIO7C55_3)) (portRef B (instanceRef r_e_shcnt_RNIRB8LB_2)) (portRef A (instanceRef r_e_shcnt_RNIDJKSA_2)) )) (net (rename ex_shcnt_1_i_2 "ex_shcnt_1_i[2]") (joined (portRef Y (instanceRef r_e_shcnt_RNIUQ6M_0_2)) (portRef S (instanceRef r_e_shcnt_RNIL5OC8_2)) (portRef S (instanceRef r_e_shcnt_RNIPE1LD_2)) (portRef S (instanceRef r_e_shcnt_RNI77LDE_2)) (portRef S (instanceRef r_e_shcnt_RNI5R76F_2)) (portRef S (instanceRef r_e_shcnt_RNIQGLHF_2)) (portRef S (instanceRef r_e_shcnt_RNIIJCNE_2)) (portRef S (instanceRef r_e_shcnt_RNIJJCTE_2)) (portRef S (instanceRef r_e_shcnt_RNIHG2PD_2)) (portRef S (instanceRef r_e_shcnt_RNI6RO4E_2)) (portRef S (instanceRef r_e_shcnt_RNI4OE0D_2)) (portRef S (instanceRef r_e_shcnt_RNI5OE6D_2)) (portRef S (instanceRef r_e_shcnt_RNINVQ7C_2)) (portRef S (instanceRef r_e_shcnt_RNI84SDC_2)) (portRef S (instanceRef r_e_shcnt_RNIA77FB_2)) (portRef S (instanceRef r_e_shcnt_RNIRB8LB_2)) (portRef S (instanceRef r_e_shcnt_RNITEJMA_2)) (portRef S (instanceRef r_e_shcnt_RNIDJKSA_2)) )) (net (rename shiftin_11_16 "shiftin_11[16]") (joined (portRef Y (instanceRef r_e_shcnt_RNIFNBV4_3)) (portRef B (instanceRef r_e_shcnt_RNIA77FB_2)) (portRef A (instanceRef r_e_shcnt_RNITEJMA_2)) )) (net (rename shiftin_11_19 "shiftin_11[19]") (joined (portRef Y (instanceRef r_e_shcnt_RNI59LP5_3)) (portRef B (instanceRef r_e_shcnt_RNI84SDC_2)) (portRef A (instanceRef r_e_shcnt_RNIRB8LB_2)) )) (net (rename shiftin_11_20 "shiftin_11[20]") (joined (portRef Y (instanceRef r_e_shcnt_RNITKKP5_3)) (portRef B (instanceRef r_e_shcnt_RNINVQ7C_2)) (portRef A (instanceRef r_e_shcnt_RNIA77FB_2)) )) (net (rename shiftin_11_23 "shiftin_11[23]") (joined (portRef Y (instanceRef r_e_shcnt_RNI500U5_3)) (portRef B (instanceRef r_e_shcnt_RNI5OE6D_2)) (portRef A (instanceRef r_e_shcnt_RNI84SDC_2)) )) (net (rename shiftin_11_24 "shiftin_11[24]") (joined (portRef Y (instanceRef r_e_shcnt_RNISFVN5_3)) (portRef B (instanceRef r_e_shcnt_RNI4OE0D_2)) (portRef A (instanceRef r_e_shcnt_RNINVQ7C_2)) )) (net (rename shiftin_11_27 "shiftin_11[27]") (joined (portRef Y (instanceRef r_e_shcnt_RNI2T7I6_3)) (portRef B (instanceRef r_e_shcnt_RNI6RO4E_2)) (portRef A (instanceRef r_e_shcnt_RNI5OE6D_2)) )) (net (rename shiftin_11_28 "shiftin_11[28]") (joined (portRef Y (instanceRef r_e_shcnt_RNIAD8I6_3)) (portRef B (instanceRef r_e_shcnt_RNIHG2PD_2)) (portRef A (instanceRef r_e_shcnt_RNI4OE0D_2)) )) (net (rename shiftin_11_31 "shiftin_11[31]") (joined (portRef Y (instanceRef r_e_shcnt_RNI63AS6_3)) (portRef B (instanceRef r_e_shcnt_RNIJJCTE_2)) (portRef A (instanceRef r_e_shcnt_RNI6RO4E_2)) )) (net (rename shiftin_11_32 "shiftin_11[32]") (joined (portRef Y (instanceRef r_e_shcnt_RNI98JG6_3)) (portRef B (instanceRef r_e_shcnt_RNIIJCNE_2)) (portRef A (instanceRef r_e_shcnt_RNIHG2PD_2)) )) (net (rename shiftin_11_35 "shiftin_11[35]") (joined (portRef Y (instanceRef r_e_shcnt_RNIFLRA7_3)) (portRef A (instanceRef r_e_shcnt_RNIJJCTE_2)) )) (net (rename shiftin_14_32 "shiftin_14[32]") (joined (portRef Y (instanceRef r_e_shcnt_RNIIJCNE_2)) (portRef B (instanceRef r_e_shcnt_RNI8R8VU_1)) (portRef A (instanceRef r_e_shcnt_RNIP2L6U_1)) )) (net (rename shiftin_11_36 "shiftin_11[36]") (joined (portRef Y (instanceRef r_e_shcnt_RNIBGIG7_3)) (portRef A (instanceRef r_e_shcnt_RNIIJCNE_2)) )) (net (rename shiftin_8_11 "shiftin_8[11]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIOGH02)) (portRef B (instanceRef r_e_shcnt_RNING115_3)) (portRef A (instanceRef r_e_shcnt_RNIAOD84_3)) )) (net (rename shiftin_8_3 "shiftin_8[3]") (joined (portRef Y (instanceRef r_e_shleft_1_RNII8LH1)) (portRef B (instanceRef r_e_shcnt_RNIAOD84_3)) )) (net (rename shiftin_8_12 "shiftin_8[12]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI8ERQ1)) (portRef B (instanceRef r_e_shcnt_RNIGS015_3)) (portRef A (instanceRef r_e_shcnt_RNI24D84_3)) )) (net (rename shiftin_8_4 "shiftin_8[4]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIQMAN1)) (portRef B (instanceRef r_e_shcnt_RNI24D84_3)) )) (net (rename shiftin_8_15 "shiftin_8[15]") (joined (portRef Y (instanceRef r_e_shleft_0_RNIOBS42)) (portRef B (instanceRef r_e_shcnt_RNIO7C55_3)) (portRef A (instanceRef r_e_shcnt_RNIAFOC4_3)) )) (net (rename shiftin_8_7 "shiftin_8[7]") (joined (portRef Y (instanceRef r_e_shleft_1_RNII4LH1)) (portRef B (instanceRef r_e_shcnt_RNIAFOC4_3)) )) (net (rename shiftin_8_19 "shiftin_8[19]") (joined (portRef Y (instanceRef r_e_shleft_RNIV09A2)) (portRef B (instanceRef r_e_shcnt_RNI59LP5_3)) (portRef A (instanceRef r_e_shcnt_RNING115_3)) )) (net (rename shiftin_8_20 "shiftin_8[20]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI7FUF2)) (portRef B (instanceRef r_e_shcnt_RNITKKP5_3)) (portRef A (instanceRef r_e_shcnt_RNIGS015_3)) )) (net (rename ex_shcnt_1_i_3 "ex_shcnt_1_i[3]") (joined (portRef Y (instanceRef r_e_shcnt_RNI1V6M_3)) (portRef S (instanceRef r_e_shcnt_RNIL64E3_3)) (portRef S (instanceRef r_e_shcnt_RNI98JG6_3)) (portRef S (instanceRef r_e_shcnt_RNIPE557_3)) (portRef S (instanceRef r_e_shcnt_RNI2VN64_3)) (portRef S (instanceRef r_e_shcnt_RNIFNBV4_3)) (portRef S (instanceRef r_e_shcnt_RNIGT8I6_3)) (portRef S (instanceRef r_e_shcnt_RNIEHRA7_3)) (portRef S (instanceRef r_e_shcnt_RNIM1SA7_3)) (portRef S (instanceRef r_e_shcnt_RNIBGIG7_3)) (portRef S (instanceRef r_e_shcnt_RNIFLRA7_3)) (portRef S (instanceRef r_e_shcnt_RNI63AS6_3)) (portRef S (instanceRef r_e_shcnt_RNIAD8I6_3)) (portRef S (instanceRef r_e_shcnt_RNI2T7I6_3)) (portRef S (instanceRef r_e_shcnt_RNISFVN5_3)) (portRef S (instanceRef r_e_shcnt_RNI500U5_3)) (portRef S (instanceRef r_e_shcnt_RNITKKP5_3)) (portRef S (instanceRef r_e_shcnt_RNI59LP5_3)) (portRef S (instanceRef r_e_shcnt_RNIO7C55_3)) (portRef S (instanceRef r_e_shcnt_RNIGS015_3)) )) (net (rename shiftin_8_23 "shiftin_8[23]") (joined (portRef Y (instanceRef r_e_shleft_RNIVS8A2)) (portRef B (instanceRef r_e_shcnt_RNI500U5_3)) (portRef A (instanceRef r_e_shcnt_RNIO7C55_3)) )) (net (rename shiftin_8_27 "shiftin_8[27]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI595P2)) (portRef B (instanceRef r_e_shcnt_RNI2T7I6_3)) (portRef A (instanceRef r_e_shcnt_RNI59LP5_3)) )) (net (rename shiftin_8_28 "shiftin_8[28]") (joined (portRef Y (instanceRef r_e_shleft_RNIL6FJ2)) (portRef B (instanceRef r_e_shcnt_RNIAD8I6_3)) (portRef A (instanceRef r_e_shcnt_RNITKKP5_3)) )) (net (rename shiftin_8_31 "shiftin_8[31]") (joined (portRef Y (instanceRef r_e_shleft_0_RNI54GT2)) (portRef B (instanceRef r_e_shcnt_RNI63AS6_3)) (portRef A (instanceRef r_e_shcnt_RNI500U5_3)) )) (net (rename shiftin_8_32 "shiftin_8[32]") (joined (portRef Y (instanceRef r_e_shleft_RNICM5T2)) (portRef B (instanceRef r_e_shcnt_RNI98JG6_3)) (portRef A (instanceRef r_e_shcnt_RNISFVN5_3)) )) (net (rename shiftin_8_24 "shiftin_8[24]") (joined (portRef Y (instanceRef r_e_shleft_RNIFQI42)) (portRef A (instanceRef r_e_shcnt_RNIFNBV4_3)) (portRef B (instanceRef r_e_shcnt_RNISFVN5_3)) )) (net (rename shiftin_8_35 "shiftin_8[35]") (joined (portRef Y (instanceRef r_e_shleft_RNISKR23)) (portRef B (instanceRef r_e_shcnt_RNIFLRA7_3)) (portRef A (instanceRef r_e_shcnt_RNI2T7I6_3)) )) (net (rename shiftin_8_36 "shiftin_8[36]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIK7I83)) (portRef B (instanceRef r_e_shcnt_RNIBGIG7_3)) (portRef A (instanceRef r_e_shcnt_RNIAD8I6_3)) )) (net (rename shiftin_8_39 "shiftin_8[39]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI00J83)) (portRef A (instanceRef r_e_shcnt_RNI63AS6_3)) )) (net (rename shiftin_8_43 "shiftin_8[43]") (joined (portRef Y (instanceRef r_e_shleft_1_RNII1PH3)) (portRef A (instanceRef r_e_shcnt_RNIFLRA7_3)) )) (net (rename shiftin_8_44 "shiftin_8[44]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIM9PH3)) (portRef A (instanceRef r_e_shcnt_RNIBGIG7_3)) )) (net (rename shiftin_5_19 "shiftin_5[19]") (joined (portRef Y (instanceRef r_e_shleft_RNIFEFF)) (portRef B (instanceRef r_e_shleft_RNIV09A2)) (portRef A (instanceRef r_e_shleft_1_RNII8LH1)) )) (net shleft_1_RNI1NUB (joined (portRef Y (instanceRef r_e_shleft_1_RNI1NUB)) (portRef B (instanceRef r_e_shleft_1_RNII8LH1)) )) (net (rename shiftin_5_20 "shiftin_5[20]") (joined (portRef Y (instanceRef r_e_shleft_1_RNILO4L)) (portRef B (instanceRef r_e_shleft_1_RNI7FUF2)) (portRef A (instanceRef r_e_shleft_1_RNIQMAN1)) )) (net (rename shiftin_5_4 "shiftin_5[4]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI3RUB)) (portRef B (instanceRef r_e_shleft_1_RNIQMAN1)) )) (net (rename shiftin_5_23 "shiftin_5[23]") (joined (portRef Y (instanceRef r_e_shleft_RNI7QEF)) (portRef B (instanceRef r_e_shleft_RNIVS8A2)) (portRef A (instanceRef r_e_shleft_1_RNII4LH1)) )) (net shleft_1_RNI97VB (joined (portRef Y (instanceRef r_e_shleft_1_RNI97VB)) (portRef B (instanceRef r_e_shleft_1_RNII4LH1)) )) (net (rename shiftin_5_27 "shiftin_5[27]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI3L5L)) (portRef B (instanceRef r_e_shleft_1_RNI595P2)) (portRef A (instanceRef r_e_shleft_1_RNIOGH02)) )) (net shleft_1_RNIJO4L (joined (portRef Y (instanceRef r_e_shleft_1_RNIJO4L)) (portRef B (instanceRef r_e_shleft_1_RNIOGH02)) )) (net (rename shiftin_5_28 "shiftin_5[28]") (joined (portRef Y (instanceRef r_e_shleft_RNIHEFF)) (portRef B (instanceRef r_e_shleft_RNIL6FJ2)) (portRef A (instanceRef r_e_shleft_1_RNI8ERQ1)) )) (net shleft_1_RNILS4L (joined (portRef Y (instanceRef r_e_shleft_1_RNILS4L)) (portRef B (instanceRef r_e_shleft_1_RNI8ERQ1)) )) (net (rename shiftin_5_35 "shiftin_5[35]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIEFI41)) (portRef B (instanceRef r_e_shleft_RNISKR23)) (portRef A (instanceRef r_e_shleft_RNIV09A2)) )) (net (rename shiftin_5_36 "shiftin_5[36]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIGJI41)) (portRef B (instanceRef r_e_shleft_1_RNIK7I83)) (portRef A (instanceRef r_e_shleft_1_RNI7FUF2)) )) (net (rename shiftin_5_39 "shiftin_5[39]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIMVI41)) (portRef B (instanceRef r_e_shleft_1_RNI00J83)) (portRef A (instanceRef r_e_shleft_RNIVS8A2)) )) (net (rename shiftin_5_43 "shiftin_5[43]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI0HOD1)) (portRef B (instanceRef r_e_shleft_1_RNII1PH3)) (portRef A (instanceRef r_e_shleft_1_RNI595P2)) )) (net (rename shiftin_5_44 "shiftin_5[44]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI2LOD1)) (portRef B (instanceRef r_e_shleft_1_RNIM9PH3)) (portRef A (instanceRef r_e_shleft_RNIL6FJ2)) )) (net (rename shiftin_5_55 "shiftin_5[55]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI8TOD1)) (portRef A (instanceRef r_e_shleft_1_RNI00J83)) )) (net (rename shiftin_5_59 "shiftin_5[59]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIGDPD1)) (portRef A (instanceRef r_e_shleft_1_RNII1PH3)) )) (net (rename shiftin_5_60 "shiftin_5[60]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIIHPD1)) (portRef A (instanceRef r_e_shleft_1_RNIM9PH3)) )) (net (rename result_RNIUUED_23 "result_RNIUUED[23]") (joined (portRef Y (instanceRef r_x_result_RNIUUED_23)) (portRef A (instanceRef r_x_result_RNIIKJDS_23)) (portRef B (instanceRef r_x_result_RNIAFSC3_23)) (portRef B (instanceRef r_a_rsel1_RNI7O53G5_1)) (portRef B (instanceRef r_e_op2_RNO_2_23)) )) (net (rename data_0_0_23 "data_0_0[23]") (joined (portRef Q (instanceRef r_x_data_0_23)) (portRef B (instanceRef r_e_op1_RNI2PHD_23)) (portRef A (instanceRef r_x_data_0_RNO_1_23)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I24_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I24_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I314_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I24_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I24_G0N)) (portRef A (instanceRef r_x_data_0_RNIDJ9E_23)) (portRef A (instanceRef r_x_data_0_RNI06EC_23)) (portRef B (instanceRef r_x_result_RNIUUED_23)) )) (net N_3858 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIC7AE_5)) (portRef A (instanceRef r_x_npc_0_RNI5NE41_0)) )) (net (rename pc_0_5 "pc_0[5]") (joined (portRef Q (instanceRef r_x_ctrl_pc_5)) (portRef A (instanceRef ir_addr_RNO_0_5)) (portRef A (instanceRef r_x_ctrl_pc_RNI9FV2S_5)) (portRef A (instanceRef r_x_ctrl_pc_RNIC7AE_5)) )) (net (rename pc_2_5 "pc_2[5]") (joined (portRef Q (instanceRef r_e_ctrl_pc_5)) (portRef D (instanceRef r_m_ctrl_pc_5)) (portRef A (instanceRef ir_addr_RNO_6_5)) (portRef A (instanceRef r_e_ctrl_pc_RNI7M0L_5)) (portRef B (instanceRef r_x_ctrl_pc_RNIC7AE_5)) )) (net N_3888 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIT6AE_5)) (portRef B (instanceRef r_x_npc_0_RNI5NE41_0)) )) (net (rename pc_3_5 "pc_3[5]") (joined (portRef Q (instanceRef r_m_ctrl_pc_5)) (portRef D (instanceRef r_x_ctrl_pc_5)) (portRef A (instanceRef ir_addr_RNO_4_5)) (portRef A (instanceRef r_m_ctrl_pc_RNIT6AE_5)) )) (net N_5284 (joined (portRef Y (instanceRef r_x_data_0_RNI6RS8_5)) (portRef B (instanceRef r_e_op2_RNI75IG_5)) )) (net edata_3_sqmuxa (joined (portRef Y (instanceRef r_e_aluop_RNI4QSA1_1)) (portRef A (instanceRef r_e_op1_RNI6P7F1_0)) (portRef A (instanceRef r_e_op1_RNIE3FO1_27)) (portRef A (instanceRef r_e_op1_RNI4BEO1_30)) (portRef A (instanceRef r_e_op1_RNIIBFO1_29)) (portRef A (instanceRef r_e_op1_RNI2BEO1_21)) (portRef A (instanceRef r_e_op1_RNIAVEO1_17)) (portRef A (instanceRef r_e_op1_RNIKL8F1_7)) (portRef A (instanceRef r_e_op1_RNIMP8F1_8)) (portRef A (instanceRef r_e_op1_RNIA18F1_2)) (portRef A (instanceRef r_e_op1_RNI6FEO1_31)) (portRef A (instanceRef r_e_op1_RNIE7FO1_19)) (portRef A (instanceRef r_e_op1_RNIG7FO1_28)) (portRef A (instanceRef r_e_op1_RNI4JEO1_14)) (portRef A (instanceRef r_e_op1_RNIAREO1_25)) (portRef A (instanceRef r_e_op1_RNI6NEO1_15)) (portRef A (instanceRef r_e_op1_RNICVEO1_26)) )) (net N_5304 (joined (portRef Y (instanceRef r_x_data_0_RNIEJ9E_25)) (portRef B (instanceRef r_e_op2_RNI1JOP_25)) )) (net (rename pc_17 "pc[17]") (joined (portRef Q (instanceRef r_e_ctrl_pc_17)) (portRef D (instanceRef r_m_ctrl_pc_17)) (portRef B (instanceRef r_x_ctrl_pc_RNII2IF_17)) (portRef A (instanceRef ir_addr_RNO_6_17)) (portRef A (instanceRef r_e_ctrl_pc_RNIQJKL_17)) )) (net (rename un6_ex_add_res_m_18 "un6_ex_add_res_m[18]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIANGTV1)) (portRef B (instanceRef r_e_ldbp2_1_RNICG2M94)) )) (net (rename un6_ex_add_res_m_26 "un6_ex_add_res_m[26]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI1L9473)) (portRef B (instanceRef r_e_ldbp2_1_RNI7R6BS5)) )) (net (rename pc_16 "pc[16]") (joined (portRef Q (instanceRef r_e_ctrl_pc_16)) (portRef D (instanceRef r_m_ctrl_pc_16)) (portRef B (instanceRef r_x_ctrl_pc_RNIHQHF_16)) (portRef A (instanceRef ir_addr_RNO_6_16)) (portRef A (instanceRef r_e_ctrl_pc_RNIPFKL_16)) )) (net (rename y_3_25 "y_3[25]") (joined (portRef Q (instanceRef r_x_y_25)) (portRef B (instanceRef r_m_y_RNO_3_25)) (portRef B (instanceRef r_w_s_y_RNO_3_25)) )) (net N_381 (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI33FK7)) (portRef B (instanceRef r_e_ldbp2_1_RNI7UO842)) )) (net (rename eaddress_5 "eaddress[5]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNI8M987)) (portRef B (instanceRef r_e_ldbp2_1_RNIVFA8B1)) (portRef B (instanceRef r_f_pc_RNO_6_5)) (portRef B (instanceRef r_f_pc_RNIONC8B_5)) (portRef B (instanceRef r_e_ldbp2_1_RNI33FK7)) (portRef B (instanceRef r_e_ldbp2_1_RNICCOLQ)) (portRef eaddress_5) )) (net (rename eaddress_11 "eaddress[11]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIQIKQL)) (portRef B (instanceRef r_e_ldbp2_1_RNIHCLQP1)) (portRef B (instanceRef r_f_pc_RNO_5_11)) (portRef B (instanceRef r_f_pc_RNI59ARP_11)) (portRef B (instanceRef r_e_ldbp2_1_RNILVP6M)) (portRef A (instanceRef r_e_ldbp2_2_RNIVPO5C4)) (portRef eaddress_11) )) (net N_4726 (joined (portRef Y (instanceRef r_e_op2_RNO_3_13)) (portRef A (instanceRef r_e_op2_RNO_1_13)) )) (net (rename data2_13 "data2[13]") (joined (portRef (member data2 18)) (portRef A (instanceRef r_e_op2_RNO_3_13)) )) (net (rename imm_13 "imm[13]") (joined (portRef Q (instanceRef r_a_imm_13)) (portRef B (instanceRef r_e_op2_RNO_3_13)) )) (net N_4732 (joined (portRef Y (instanceRef r_e_op2_RNO_3_19)) (portRef A (instanceRef r_e_op2_RNO_1_19)) )) (net (rename data2_19 "data2[19]") (joined (portRef (member data2 12)) (portRef A (instanceRef r_e_op2_RNO_3_19)) )) (net (rename imm_19 "imm[19]") (joined (portRef Q (instanceRef r_a_imm_19)) (portRef B (instanceRef r_e_op2_RNO_3_19)) )) (net N_4742 (joined (portRef Y (instanceRef r_e_op2_RNO_3_29)) (portRef A (instanceRef r_e_op2_RNO_1_29)) )) (net (rename data2_29 "data2[29]") (joined (portRef (member data2 2)) (portRef A (instanceRef r_e_op2_RNO_3_29)) )) (net (rename imm_29 "imm[29]") (joined (portRef Q (instanceRef r_a_imm_29)) (portRef B (instanceRef r_e_op2_RNO_3_29)) )) (net N_4764 (joined (portRef Y (instanceRef r_e_op2_RNO_4_19)) (portRef B (instanceRef r_e_op2_RNO_1_19)) )) (net (rename result_19 "result[19]") (joined (portRef Q (instanceRef r_w_result_19)) (portRef B (instanceRef r_w_result_RNIUA95_19)) (portRef B (instanceRef r_e_op2_RNO_4_19)) )) (net N_4774 (joined (portRef Y (instanceRef r_e_op2_RNO_4_29)) (portRef B (instanceRef r_e_op2_RNO_1_29)) )) (net (rename result_0_29 "result_0[29]") (joined (portRef Q (instanceRef r_w_result_29)) (portRef B (instanceRef r_w_result_RNI5J95_29)) (portRef B (instanceRef r_e_op2_RNO_4_29)) )) (net N_4796 (joined (portRef Y (instanceRef r_e_op2_RNO_1_19)) (portRef A (instanceRef r_e_op2_RNO_0_19)) )) (net N_4806 (joined (portRef Y (instanceRef r_e_op2_RNO_1_29)) (portRef A (instanceRef r_e_op2_RNO_0_29)) )) (net (rename d_1_19 "d_1[19]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_19)) (portRef A (instanceRef r_e_op2_RNO_19)) )) (net N_4860 (joined (portRef Y (instanceRef r_e_op2_RNO_2_19)) (portRef B (instanceRef r_e_op2_RNO_0_19)) )) (net (rename d_1_29 "d_1[29]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_29)) (portRef A (instanceRef r_e_op2_RNO_29)) )) (net N_4870 (joined (portRef Y (instanceRef r_e_op2_RNO_2_29)) (portRef B (instanceRef r_e_op2_RNO_0_29)) )) (net (rename aop2_1 "aop2[1]") (joined (portRef Y (instanceRef r_e_op2_RNO_1)) (portRef D (instanceRef r_e_op2_1)) )) (net (rename d_1_1 "d_1[1]") (joined (portRef Y (instanceRef r_a_rsel2_RNI029322_0)) (portRef B (instanceRef r_e_shcnt_RNO_1)) (portRef A (instanceRef r_e_op2_RNO_1)) )) (net (rename aop2_19 "aop2[19]") (joined (portRef Y (instanceRef r_e_op2_RNO_19)) (portRef D (instanceRef r_e_op2_19)) )) (net (rename aop2_29 "aop2[29]") (joined (portRef Y (instanceRef r_e_op2_RNO_29)) (portRef D (instanceRef r_e_op2_29)) )) (net (rename shcnt_0 "shcnt[0]") (joined (portRef Y (instanceRef r_e_shcnt_RNO_0)) (portRef D (instanceRef r_e_shcnt_0)) )) (net (rename d_1_0 "d_1[0]") (joined (portRef Y (instanceRef r_a_rsel2_RNICHLTS1_0)) (portRef A (instanceRef r_e_op2_RNO_0)) (portRef B (instanceRef r_e_shcnt_RNO_0)) )) (net (rename shcnt_0_1 "shcnt_0[1]") (joined (portRef Y (instanceRef r_e_shcnt_RNO_1)) (portRef D (instanceRef r_e_shcnt_1)) )) (net N_4720 (joined (portRef Y (instanceRef r_e_op2_RNO_3_7)) (portRef A (instanceRef r_e_op2_RNO_1_7)) )) (net (rename data2_7 "data2[7]") (joined (portRef (member data2 24)) (portRef A (instanceRef r_e_op2_RNO_3_7)) )) (net (rename imm_7 "imm[7]") (joined (portRef Q (instanceRef r_a_imm_7)) (portRef B (instanceRef r_e_op2_RNO_3_7)) )) (net N_4723 (joined (portRef Y (instanceRef r_e_op2_RNO_3_10)) (portRef A (instanceRef r_e_op2_RNO_1_10)) )) (net (rename data2_10 "data2[10]") (joined (portRef (member data2 21)) (portRef A (instanceRef r_e_op2_RNO_3_10)) )) (net (rename imm_10 "imm[10]") (joined (portRef Q (instanceRef r_a_imm_10)) (portRef B (instanceRef r_e_op2_RNO_3_10)) )) (net N_4740 (joined (portRef Y (instanceRef r_e_op2_RNO_3_27)) (portRef A (instanceRef r_e_op2_RNO_1_27)) )) (net (rename data2_27 "data2[27]") (joined (portRef (member data2 4)) (portRef A (instanceRef r_e_op2_RNO_3_27)) )) (net (rename imm_27 "imm[27]") (joined (portRef Q (instanceRef r_a_imm_27)) (portRef B (instanceRef r_e_op2_RNO_3_27)) )) (net N_4743 (joined (portRef Y (instanceRef r_e_op2_RNO_3_30)) (portRef A (instanceRef r_e_op2_RNO_1_30)) )) (net (rename data2_30 "data2[30]") (joined (portRef (member data2 1)) (portRef A (instanceRef r_e_op2_RNO_3_30)) )) (net (rename imm_30 "imm[30]") (joined (portRef Q (instanceRef r_a_imm_30)) (portRef B (instanceRef r_e_op2_RNO_3_30)) )) (net N_4752 (joined (portRef Y (instanceRef r_e_op2_RNO_4_7)) (portRef B (instanceRef r_e_op2_RNO_1_7)) )) (net (rename result_0_7 "result_0[7]") (joined (portRef Q (instanceRef r_w_result_7)) (portRef B (instanceRef r_w_result_RNI2LEA_7)) (portRef B (instanceRef r_e_op2_RNO_4_7)) )) (net N_4772 (joined (portRef Y (instanceRef r_e_op2_RNO_4_27)) (portRef B (instanceRef r_e_op2_RNO_1_27)) )) (net (rename result_0_27 "result_0[27]") (joined (portRef Q (instanceRef r_w_result_27)) (portRef B (instanceRef r_w_result_RNI1J95_27)) (portRef B (instanceRef r_e_op2_RNO_4_27)) )) (net N_4775 (joined (portRef Y (instanceRef r_e_op2_RNO_4_30)) (portRef B (instanceRef r_e_op2_RNO_1_30)) )) (net (rename result_30 "result[30]") (joined (portRef Q (instanceRef r_w_result_30)) (portRef B (instanceRef r_w_result_RNICBL2_30)) (portRef B (instanceRef r_e_op2_RNO_4_30)) )) (net N_4784 (joined (portRef Y (instanceRef r_e_op2_RNO_1_7)) (portRef A (instanceRef r_e_op2_RNO_0_7)) )) (net N_4787 (joined (portRef Y (instanceRef r_e_op2_RNO_1_10)) (portRef A (instanceRef r_e_op2_RNO_0_10)) )) (net N_4755 (joined (portRef Y (instanceRef r_e_op2_RNO_4_10)) (portRef B (instanceRef r_e_op2_RNO_1_10)) )) (net N_4804 (joined (portRef Y (instanceRef r_e_op2_RNO_1_27)) (portRef A (instanceRef r_e_op2_RNO_0_27)) )) (net N_4807 (joined (portRef Y (instanceRef r_e_op2_RNO_1_30)) (portRef A (instanceRef r_e_op2_RNO_0_30)) )) (net (rename d_1_7 "d_1[7]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_7)) (portRef A (instanceRef r_e_op2_RNO_7)) )) (net N_4848 (joined (portRef Y (instanceRef r_e_op2_RNO_2_7)) (portRef B (instanceRef r_e_op2_RNO_0_7)) )) (net (rename d_1_27 "d_1[27]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_27)) (portRef A (instanceRef r_e_op2_RNO_27)) )) (net N_4868 (joined (portRef Y (instanceRef r_e_op2_RNO_2_27)) (portRef B (instanceRef r_e_op2_RNO_0_27)) )) (net (rename d_1_30 "d_1[30]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_30)) (portRef A (instanceRef r_e_op2_RNO_30)) )) (net N_4871 (joined (portRef Y (instanceRef r_e_op2_RNO_2_30)) (portRef B (instanceRef r_e_op2_RNO_0_30)) )) (net (rename aop2_0 "aop2[0]") (joined (portRef Y (instanceRef r_e_op2_RNO_0)) (portRef D (instanceRef r_e_op2_0)) )) (net (rename aop2_3 "aop2[3]") (joined (portRef Y (instanceRef r_e_op2_RNO_3)) (portRef D (instanceRef r_e_op2_3)) )) (net (rename d_1_3 "d_1[3]") (joined (portRef Y (instanceRef r_a_rsel2_RNIVERF42_0)) (portRef B (instanceRef r_e_shcnt_RNO_3)) (portRef A (instanceRef r_e_op2_RNO_3)) )) (net (rename aop2_4 "aop2[4]") (joined (portRef Y (instanceRef r_e_op2_RNO_4)) (portRef D (instanceRef r_e_op2_4)) )) (net (rename d_1_4 "d_1[4]") (joined (portRef Y (instanceRef r_a_rsel2_RNIARDA92_0)) (portRef B (instanceRef r_e_shcnt_RNO_4)) (portRef A (instanceRef r_e_op2_RNO_4)) )) (net (rename aop2_7 "aop2[7]") (joined (portRef Y (instanceRef r_e_op2_RNO_7)) (portRef D (instanceRef r_e_op2_7)) )) (net (rename aop2_27 "aop2[27]") (joined (portRef Y (instanceRef r_e_op2_RNO_27)) (portRef D (instanceRef r_e_op2_27)) )) (net (rename aop2_30 "aop2[30]") (joined (portRef Y (instanceRef r_e_op2_RNO_30)) (portRef D (instanceRef r_e_op2_30)) )) (net (rename shcnt_0_3 "shcnt_0[3]") (joined (portRef Y (instanceRef r_e_shcnt_RNO_3)) (portRef D (instanceRef r_e_shcnt_3)) )) (net (rename shcnt_0_4 "shcnt_0[4]") (joined (portRef Y (instanceRef r_e_shcnt_RNO_4)) (portRef D (instanceRef r_e_shcnt_4)) )) (net N_4730 (joined (portRef Y (instanceRef r_e_op2_RNO_3_17)) (portRef A (instanceRef r_e_op2_RNO_1_17)) )) (net (rename data2_17 "data2[17]") (joined (portRef (member data2 14)) (portRef A (instanceRef r_e_op2_RNO_3_17)) )) (net (rename imm_17 "imm[17]") (joined (portRef Q (instanceRef r_a_imm_17)) (portRef B (instanceRef r_e_op2_RNO_3_17)) )) (net N_4734 (joined (portRef Y (instanceRef r_e_op2_RNO_3_21)) (portRef A (instanceRef r_e_op2_RNO_1_21)) )) (net (rename data2_21 "data2[21]") (joined (portRef (member data2 10)) (portRef A (instanceRef r_e_op2_RNO_3_21)) )) (net (rename imm_21 "imm[21]") (joined (portRef Q (instanceRef r_a_imm_21)) (portRef B (instanceRef r_e_op2_RNO_3_21)) )) (net N_4766 (joined (portRef Y (instanceRef r_e_op2_RNO_4_21)) (portRef B (instanceRef r_e_op2_RNO_1_21)) )) (net (rename result_0_21 "result_0[21]") (joined (portRef Q (instanceRef r_w_result_21)) (portRef B (instanceRef r_w_result_RNIKI95_21)) (portRef B (instanceRef r_e_op2_RNO_4_21)) )) (net N_4794 (joined (portRef Y (instanceRef r_e_op2_RNO_1_17)) (portRef A (instanceRef r_e_op2_RNO_0_17)) )) (net N_4762 (joined (portRef Y (instanceRef r_e_op2_RNO_4_17)) (portRef B (instanceRef r_e_op2_RNO_1_17)) )) (net N_4798 (joined (portRef Y (instanceRef r_e_op2_RNO_1_21)) (portRef A (instanceRef r_e_op2_RNO_0_21)) )) (net (rename d_1_17 "d_1[17]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_17)) (portRef A (instanceRef r_e_op2_RNO_17)) )) (net N_4858 (joined (portRef Y (instanceRef r_e_op2_RNO_2_17)) (portRef B (instanceRef r_e_op2_RNO_0_17)) )) (net (rename d_1_21 "d_1[21]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_21)) (portRef A (instanceRef r_e_op2_RNO_21)) )) (net N_4862 (joined (portRef Y (instanceRef r_e_op2_RNO_2_21)) (portRef B (instanceRef r_e_op2_RNO_0_21)) )) (net N_4741 (joined (portRef Y (instanceRef r_e_op2_RNO_3_28)) (portRef A (instanceRef r_e_op2_RNO_1_28)) )) (net (rename data2_28 "data2[28]") (joined (portRef (member data2 3)) (portRef A (instanceRef r_e_op2_RNO_3_28)) )) (net (rename imm_28 "imm[28]") (joined (portRef Q (instanceRef r_a_imm_28)) (portRef B (instanceRef r_e_op2_RNO_3_28)) )) (net N_4773 (joined (portRef Y (instanceRef r_e_op2_RNO_4_28)) (portRef B (instanceRef r_e_op2_RNO_1_28)) )) (net (rename result_0_28 "result_0[28]") (joined (portRef Q (instanceRef r_w_result_28)) (portRef B (instanceRef r_w_result_RNI2J95_28)) (portRef B (instanceRef r_e_op2_RNO_4_28)) )) (net N_4805 (joined (portRef Y (instanceRef r_e_op2_RNO_1_28)) (portRef A (instanceRef r_e_op2_RNO_0_28)) )) (net (rename d_1_28 "d_1[28]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_28)) (portRef A (instanceRef r_e_op2_RNO_28)) )) (net N_4869 (joined (portRef Y (instanceRef r_e_op2_RNO_2_28)) (portRef B (instanceRef r_e_op2_RNO_0_28)) )) (net (rename un6_ex_add_res_m_11 "un6_ex_add_res_m[11]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNI69DUH)) (portRef B (instanceRef r_e_ldbp2_2_RNIDQS4O2)) )) (net N_4760 (joined (portRef Y (instanceRef r_e_op2_RNO_4_15)) (portRef B (instanceRef r_e_op2_RNO_1_15)) )) (net (rename result_15 "result[15]") (joined (portRef Q (instanceRef r_w_result_15)) (portRef B (instanceRef r_w_result_RNIARK2_15)) (portRef B (instanceRef r_e_op2_RNO_4_15)) )) (net N_4744 (joined (portRef Y (instanceRef r_e_op2_RNO_3_31)) (portRef A (instanceRef r_e_op2_RNO_1_31)) )) (net (rename data2_31 "data2[31]") (joined (portRef (member data2 0)) (portRef A (instanceRef r_e_op2_RNO_3_31)) )) (net (rename imm_31 "imm[31]") (joined (portRef Q (instanceRef r_a_imm_31)) (portRef B (instanceRef r_e_op2_RNO_3_31)) )) (net N_4776 (joined (portRef Y (instanceRef r_e_op2_RNO_4_31)) (portRef B (instanceRef r_e_op2_RNO_1_31)) )) (net (rename result_31 "result[31]") (joined (portRef Q (instanceRef r_w_result_31)) (portRef B (instanceRef r_w_result_RNIEBL2_31)) (portRef B (instanceRef r_e_op2_RNO_4_31)) )) (net N_4808 (joined (portRef Y (instanceRef r_e_op2_RNO_1_31)) (portRef A (instanceRef r_e_op2_RNO_0_31)) )) (net N_4872 (joined (portRef Y (instanceRef r_e_op2_RNO_2_31)) (portRef B (instanceRef r_e_op2_RNO_0_31)) )) (net N_4840 (joined (portRef Y (instanceRef r_e_op2_RNO_5_31)) (portRef A (instanceRef r_e_op2_RNO_2_31)) )) (net (rename result_RNI07FD_31 "result_RNI07FD[31]") (joined (portRef Y (instanceRef r_x_result_RNI07FD_31)) (portRef B (instanceRef r_a_rsel1_RNIM1V667_1)) (portRef B (instanceRef r_x_result_RNICJSC3_31)) (portRef A (instanceRef r_x_result_RNIKSJDS_31)) (portRef B (instanceRef r_e_op2_RNO_2_31)) )) (net (rename d_1_31 "d_1[31]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_31)) (portRef A (instanceRef r_e_op2_RNO_31)) )) (net N_4724 (joined (portRef Y (instanceRef r_e_op2_RNO_3_11)) (portRef A (instanceRef r_e_op2_RNO_1_11)) )) (net (rename data2_11 "data2[11]") (joined (portRef (member data2 20)) (portRef A (instanceRef r_e_op2_RNO_3_11)) )) (net (rename imm_11 "imm[11]") (joined (portRef Q (instanceRef r_a_imm_11)) (portRef B (instanceRef r_e_op2_RNO_3_11)) )) (net N_4756 (joined (portRef Y (instanceRef r_e_op2_RNO_4_11)) (portRef B (instanceRef r_e_op2_RNO_1_11)) )) (net (rename result_0_11 "result_0[11]") (joined (portRef Q (instanceRef r_w_result_11)) (portRef B (instanceRef r_w_result_RNIGA95_11)) (portRef B (instanceRef r_e_op2_RNO_4_11)) )) (net N_4788 (joined (portRef Y (instanceRef r_e_op2_RNO_1_11)) (portRef A (instanceRef r_e_op2_RNO_0_11)) )) (net N_4836 (joined (portRef Y (instanceRef r_e_op2_RNO_5_27)) (portRef A (instanceRef r_e_op2_RNO_2_27)) )) (net (rename result_RNI6VED_27 "result_RNI6VED[27]") (joined (portRef Y (instanceRef r_x_result_RNI6VED_27)) (portRef B (instanceRef r_a_rsel1_1_RNI7J0C86_1)) (portRef B (instanceRef r_x_result_RNIQVSC3_27)) (portRef A (instanceRef r_x_result_RNIQKJDS_27)) (portRef B (instanceRef r_e_op2_RNO_2_27)) )) (net (rename d_1_11 "d_1[11]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_11)) (portRef A (instanceRef r_e_op2_RNO_11)) )) (net N_4852 (joined (portRef Y (instanceRef r_e_op2_RNO_2_11)) (portRef B (instanceRef r_e_op2_RNO_0_11)) )) (net (rename aop2_11 "aop2[11]") (joined (portRef Y (instanceRef r_e_op2_RNO_11)) (portRef D (instanceRef r_e_op2_11)) )) (net (rename result_0_10 "result_0[10]") (joined (portRef Q (instanceRef r_w_result_10)) (portRef B (instanceRef r_w_result_RNIBA95_10)) (portRef B (instanceRef r_e_op2_RNO_4_10)) )) (net N_4758 (joined (portRef Y (instanceRef r_e_op2_RNO_4_13)) (portRef B (instanceRef r_e_op2_RNO_1_13)) )) (net (rename result_0_13 "result_0[13]") (joined (portRef Q (instanceRef r_w_result_13)) (portRef B (instanceRef r_w_result_RNIHA95_13)) (portRef B (instanceRef r_e_op2_RNO_4_13)) )) (net N_4790 (joined (portRef Y (instanceRef r_e_op2_RNO_1_13)) (portRef A (instanceRef r_e_op2_RNO_0_13)) )) (net (rename d_1_13 "d_1[13]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_13)) (portRef A (instanceRef r_e_op2_RNO_13)) )) (net N_4854 (joined (portRef Y (instanceRef r_e_op2_RNO_2_13)) (portRef B (instanceRef r_e_op2_RNO_0_13)) )) (net (rename aop2_13 "aop2[13]") (joined (portRef Y (instanceRef r_e_op2_RNO_13)) (portRef D (instanceRef r_e_op2_13)) )) (net N_4725 (joined (portRef Y (instanceRef r_e_op2_RNO_3_12)) (portRef A (instanceRef r_e_op2_RNO_1_12)) )) (net (rename data2_12 "data2[12]") (joined (portRef (member data2 19)) (portRef A (instanceRef r_e_op2_RNO_3_12)) )) (net (rename imm_12 "imm[12]") (joined (portRef Q (instanceRef r_a_imm_12)) (portRef B (instanceRef r_e_op2_RNO_3_12)) )) (net N_4757 (joined (portRef Y (instanceRef r_e_op2_RNO_4_12)) (portRef B (instanceRef r_e_op2_RNO_1_12)) )) (net (rename result_0_12 "result_0[12]") (joined (portRef Q (instanceRef r_w_result_12)) (portRef B (instanceRef r_w_result_RNIIA95_12)) (portRef B (instanceRef r_e_op2_RNO_4_12)) )) (net N_4789 (joined (portRef Y (instanceRef r_e_op2_RNO_1_12)) (portRef A (instanceRef r_e_op2_RNO_0_12)) )) (net (rename d_1_12 "d_1[12]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_12)) (portRef A (instanceRef r_e_op2_RNO_12)) )) (net N_4853 (joined (portRef Y (instanceRef r_e_op2_RNO_2_12)) (portRef B (instanceRef r_e_op2_RNO_0_12)) )) (net (rename aop2_12 "aop2[12]") (joined (portRef Y (instanceRef r_e_op2_RNO_12)) (portRef D (instanceRef r_e_op2_12)) )) (net N_4739 (joined (portRef Y (instanceRef r_e_op2_RNO_3_26)) (portRef A (instanceRef r_e_op2_RNO_1_26)) )) (net (rename data2_26 "data2[26]") (joined (portRef (member data2 5)) (portRef A (instanceRef r_e_op2_RNO_3_26)) )) (net (rename imm_26 "imm[26]") (joined (portRef Q (instanceRef r_a_imm_26)) (portRef B (instanceRef r_e_op2_RNO_3_26)) )) (net N_4771 (joined (portRef Y (instanceRef r_e_op2_RNO_4_26)) (portRef B (instanceRef r_e_op2_RNO_1_26)) )) (net (rename result_0_26 "result_0[26]") (joined (portRef Q (instanceRef r_w_result_26)) (portRef B (instanceRef r_w_result_RNIVI95_26)) (portRef B (instanceRef r_e_op2_RNO_4_26)) )) (net N_4803 (joined (portRef Y (instanceRef r_e_op2_RNO_1_26)) (portRef A (instanceRef r_e_op2_RNO_0_26)) )) (net (rename d_1_26 "d_1[26]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_26)) (portRef A (instanceRef r_e_op2_RNO_26)) )) (net N_4867 (joined (portRef Y (instanceRef r_e_op2_RNO_2_26)) (portRef B (instanceRef r_e_op2_RNO_0_26)) )) (net (rename aop2_26 "aop2[26]") (joined (portRef Y (instanceRef r_e_op2_RNO_26)) (portRef D (instanceRef r_e_op2_26)) )) (net N_4719 (joined (portRef Y (instanceRef r_e_op2_RNO_3_6)) (portRef A (instanceRef r_e_op2_RNO_1_6)) )) (net (rename data2_6 "data2[6]") (joined (portRef (member data2 25)) (portRef A (instanceRef r_e_op2_RNO_3_6)) )) (net (rename imm_6 "imm[6]") (joined (portRef Q (instanceRef r_a_imm_6)) (portRef B (instanceRef r_e_op2_RNO_3_6)) )) (net N_4751 (joined (portRef Y (instanceRef r_e_op2_RNO_4_6)) (portRef B (instanceRef r_e_op2_RNO_1_6)) )) (net (rename result_0_6 "result_0[6]") (joined (portRef Q (instanceRef r_w_result_6)) (portRef B (instanceRef r_w_result_RNI0DEA_6)) (portRef B (instanceRef r_e_op2_RNO_4_6)) )) (net N_4783 (joined (portRef Y (instanceRef r_e_op2_RNO_1_6)) (portRef A (instanceRef r_e_op2_RNO_0_6)) )) (net (rename d_1_6 "d_1[6]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_6)) (portRef A (instanceRef r_e_op2_RNO_6)) )) (net N_4847 (joined (portRef Y (instanceRef r_e_op2_RNO_2_6)) (portRef B (instanceRef r_e_op2_RNO_0_6)) )) (net (rename aop2_6 "aop2[6]") (joined (portRef Y (instanceRef r_e_op2_RNO_6)) (portRef D (instanceRef r_e_op2_6)) )) (net N_4722 (joined (portRef Y (instanceRef r_e_op2_RNO_3_9)) (portRef A (instanceRef r_e_op2_RNO_1_9)) )) (net (rename data2_9 "data2[9]") (joined (portRef (member data2 22)) (portRef A (instanceRef r_e_op2_RNO_3_9)) )) (net (rename imm_9 "imm[9]") (joined (portRef Q (instanceRef r_a_imm_9)) (portRef B (instanceRef r_e_op2_RNO_3_9)) )) (net N_4728 (joined (portRef Y (instanceRef r_e_op2_RNO_3_15)) (portRef A (instanceRef r_e_op2_RNO_1_15)) )) (net (rename data2_15 "data2[15]") (joined (portRef (member data2 16)) (portRef A (instanceRef r_e_op2_RNO_3_15)) )) (net (rename imm_15 "imm[15]") (joined (portRef Q (instanceRef r_a_imm_15)) (portRef B (instanceRef r_e_op2_RNO_3_15)) )) (net N_4729 (joined (portRef Y (instanceRef r_e_op2_RNO_3_16)) (portRef A (instanceRef r_e_op2_RNO_1_16)) )) (net (rename data2_16 "data2[16]") (joined (portRef (member data2 15)) (portRef A (instanceRef r_e_op2_RNO_3_16)) )) (net (rename imm_16 "imm[16]") (joined (portRef Q (instanceRef r_a_imm_16)) (portRef B (instanceRef r_e_op2_RNO_3_16)) )) (net N_4736 (joined (portRef Y (instanceRef r_e_op2_RNO_3_23)) (portRef A (instanceRef r_e_op2_RNO_1_23)) )) (net (rename data2_23 "data2[23]") (joined (portRef (member data2 8)) (portRef A (instanceRef r_e_op2_RNO_3_23)) )) (net (rename imm_23 "imm[23]") (joined (portRef Q (instanceRef r_a_imm_23)) (portRef B (instanceRef r_e_op2_RNO_3_23)) )) (net N_4754 (joined (portRef Y (instanceRef r_e_op2_RNO_4_9)) (portRef B (instanceRef r_e_op2_RNO_1_9)) )) (net (rename result_9 "result[9]") (joined (portRef Q (instanceRef r_w_result_9)) (portRef B (instanceRef r_w_result_RNI45FA_9)) (portRef B (instanceRef r_e_op2_RNO_4_9)) )) (net N_4761 (joined (portRef Y (instanceRef r_e_op2_RNO_4_16)) (portRef B (instanceRef r_e_op2_RNO_1_16)) )) (net (rename result_0_16 "result_0[16]") (joined (portRef Q (instanceRef r_w_result_16)) (portRef B (instanceRef r_w_result_RNIQA95_16)) (portRef B (instanceRef r_e_op2_RNO_4_16)) )) (net N_4768 (joined (portRef Y (instanceRef r_e_op2_RNO_4_23)) (portRef B (instanceRef r_e_op2_RNO_1_23)) )) (net (rename result_0_23 "result_0[23]") (joined (portRef Q (instanceRef r_w_result_23)) (portRef B (instanceRef r_w_result_RNIC3L2_23)) (portRef B (instanceRef r_e_op2_RNO_4_23)) )) (net N_4786 (joined (portRef Y (instanceRef r_e_op2_RNO_1_9)) (portRef A (instanceRef r_e_op2_RNO_0_9)) )) (net N_4792 (joined (portRef Y (instanceRef r_e_op2_RNO_1_15)) (portRef A (instanceRef r_e_op2_RNO_0_15)) )) (net N_4793 (joined (portRef Y (instanceRef r_e_op2_RNO_1_16)) (portRef A (instanceRef r_e_op2_RNO_0_16)) )) (net N_4800 (joined (portRef Y (instanceRef r_e_op2_RNO_1_23)) (portRef A (instanceRef r_e_op2_RNO_0_23)) )) (net N_4850 (joined (portRef Y (instanceRef r_e_op2_RNO_2_9)) (portRef B (instanceRef r_e_op2_RNO_0_9)) )) (net N_4818 (joined (portRef Y (instanceRef r_e_op2_RNO_5_9)) (portRef A (instanceRef r_e_op2_RNO_2_9)) )) (net (rename result_RNIT5DB_9 "result_RNIT5DB[9]") (joined (portRef Y (instanceRef r_x_result_RNIT5DB_9)) (portRef B (instanceRef r_x_result_RNIR0L13_9)) (portRef B (instanceRef r_a_rsel1_0_RNID9O6L2_1)) (portRef A (instanceRef r_x_result_RNIHRHBS_9)) (portRef B (instanceRef r_e_op2_RNO_2_9)) )) (net N_4857 (joined (portRef Y (instanceRef r_e_op2_RNO_2_16)) (portRef B (instanceRef r_e_op2_RNO_0_16)) )) (net N_4825 (joined (portRef Y (instanceRef r_e_op2_RNO_5_16)) (portRef A (instanceRef r_e_op2_RNO_2_16)) )) (net (rename result_RNIUMED_16 "result_RNIUMED[16]") (joined (portRef Y (instanceRef r_x_result_RNIUMED_16)) (portRef B (instanceRef r_x_result_RNICFSC3_16)) (portRef B (instanceRef r_a_rsel1_1_RNIO2MSQ3_1)) (portRef A (instanceRef r_x_result_RNIICJDS_16)) (portRef B (instanceRef r_e_op2_RNO_2_16)) )) (net N_4864 (joined (portRef Y (instanceRef r_e_op2_RNO_2_23)) (portRef B (instanceRef r_e_op2_RNO_0_23)) )) (net N_4832 (joined (portRef Y (instanceRef r_e_op2_RNO_5_23)) (portRef A (instanceRef r_e_op2_RNO_2_23)) )) (net (rename d_1_9 "d_1[9]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_9)) (portRef A (instanceRef r_e_op2_RNO_9)) )) (net (rename rsel2_0 "rsel2[0]") (joined (portRef Q (instanceRef r_a_rsel2_0)) (portRef S (instanceRef r_a_rsel2_RNICHLTS1_0)) (portRef S (instanceRef r_a_rsel2_RNIARDA92_0)) (portRef S (instanceRef r_a_rsel2_RNI029322_0)) (portRef S (instanceRef r_a_rsel2_RNIVERF42_0)) (portRef S (instanceRef r_e_op2_RNO_0_24)) (portRef S (instanceRef r_e_op2_RNO_0_14)) (portRef S (instanceRef r_a_rsel2_RNI410U72_0)) (portRef S (instanceRef r_e_op2_RNO_0_20)) (portRef S (instanceRef r_e_op2_RNO_0_18)) (portRef S (instanceRef r_e_op2_RNO_0_22)) (portRef S (instanceRef r_e_op2_RNO_0_25)) (portRef S (instanceRef r_e_op2_RNO_0_23)) (portRef S (instanceRef r_e_op2_RNO_0_16)) (portRef S (instanceRef r_e_op2_RNO_0_15)) (portRef S (instanceRef r_e_op2_RNO_0_10)) (portRef S (instanceRef r_e_op2_RNO_0_9)) )) (net (rename d_1_10 "d_1[10]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_10)) (portRef A (instanceRef r_e_op2_RNO_10)) )) (net N_4851 (joined (portRef Y (instanceRef r_e_op2_RNO_2_10)) (portRef B (instanceRef r_e_op2_RNO_0_10)) )) (net (rename d_1_15 "d_1[15]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_15)) (portRef A (instanceRef r_e_op2_RNO_15)) )) (net N_4856 (joined (portRef Y (instanceRef r_e_op2_RNO_2_15)) (portRef B (instanceRef r_e_op2_RNO_0_15)) )) (net (rename d_1_16 "d_1[16]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_16)) (portRef A (instanceRef r_e_op2_RNO_16)) )) (net (rename d_1_23 "d_1[23]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_23)) (portRef A (instanceRef r_e_op2_RNO_23)) )) (net N_4827 (joined (portRef Y (instanceRef r_e_op2_RNO_5_18)) (portRef A (instanceRef r_e_op2_RNO_2_18)) )) (net (rename aop2_2 "aop2[2]") (joined (portRef Y (instanceRef r_e_op2_RNO_2)) (portRef D (instanceRef r_e_op2_2)) )) (net (rename d_1_2 "d_1[2]") (joined (portRef Y (instanceRef r_a_rsel2_RNI410U72_0)) (portRef B (instanceRef r_e_shcnt_RNO_2)) (portRef A (instanceRef r_e_op2_RNO_2)) )) (net N_136_i_i_0 (joined (portRef Y (instanceRef r_m_y_RNI10TB6_0_0)) (portRef C (instanceRef r_e_op2_RNO_24)) (portRef C (instanceRef r_e_op2_RNO_14)) (portRef C (instanceRef r_e_op2_RNO_20)) (portRef C (instanceRef r_e_op2_RNO_18)) (portRef C (instanceRef r_e_op2_RNO_22)) (portRef C (instanceRef r_e_op2_RNO_25)) (portRef C (instanceRef r_e_op2_RNO_31)) (portRef C (instanceRef r_e_op2_RNO_28)) (portRef C (instanceRef r_e_op2_RNO_23)) (portRef C (instanceRef r_e_op2_RNO_21)) (portRef C (instanceRef r_e_op2_RNO_17)) (portRef C (instanceRef r_e_op2_RNO_16)) (portRef C (instanceRef r_e_op2_RNO_15)) (portRef C (instanceRef r_e_op2_RNO_10)) (portRef C (instanceRef r_e_op2_RNO_9)) (portRef C (instanceRef r_e_op2_RNO_2)) )) (net (rename aop2_9 "aop2[9]") (joined (portRef Y (instanceRef r_e_op2_RNO_9)) (portRef D (instanceRef r_e_op2_9)) )) (net (rename inst_RNI6P4J3_21 "inst_RNI6P4J3[21]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI6P4J3_21)) (portRef D (instanceRef r_e_aluadd)) (portRef B (instanceRef r_e_op2_RNO_24)) (portRef B (instanceRef r_e_op2_RNO_14)) (portRef B (instanceRef r_e_op2_RNO_20)) (portRef B (instanceRef r_e_op2_RNO_18)) (portRef B (instanceRef r_e_op2_RNO_22)) (portRef B (instanceRef r_e_op2_RNO_25)) (portRef B (instanceRef r_e_op2_RNO_31)) (portRef B (instanceRef r_e_op2_RNO_28)) (portRef B (instanceRef r_e_op2_RNO_23)) (portRef B (instanceRef r_e_op2_RNO_21)) (portRef B (instanceRef r_e_op2_RNO_17)) (portRef B (instanceRef r_e_op2_RNO_16)) (portRef B (instanceRef r_e_op2_RNO_15)) (portRef B (instanceRef r_e_op2_RNO_10)) (portRef B (instanceRef r_e_op2_RNO_9)) )) (net (rename aop2_10 "aop2[10]") (joined (portRef Y (instanceRef r_e_op2_RNO_10)) (portRef D (instanceRef r_e_op2_10)) )) (net (rename aop2_15 "aop2[15]") (joined (portRef Y (instanceRef r_e_op2_RNO_15)) (portRef D (instanceRef r_e_op2_15)) )) (net (rename aop2_16 "aop2[16]") (joined (portRef Y (instanceRef r_e_op2_RNO_16)) (portRef D (instanceRef r_e_op2_16)) )) (net (rename aop2_17 "aop2[17]") (joined (portRef Y (instanceRef r_e_op2_RNO_17)) (portRef D (instanceRef r_e_op2_17)) )) (net (rename aop2_21 "aop2[21]") (joined (portRef Y (instanceRef r_e_op2_RNO_21)) (portRef D (instanceRef r_e_op2_21)) )) (net (rename aop2_23 "aop2[23]") (joined (portRef Y (instanceRef r_e_op2_RNO_23)) (portRef D (instanceRef r_e_op2_23)) )) (net (rename aop2_28 "aop2[28]") (joined (portRef Y (instanceRef r_e_op2_RNO_28)) (portRef D (instanceRef r_e_op2_28)) )) (net (rename aop2_31 "aop2[31]") (joined (portRef Y (instanceRef r_e_op2_RNO_31)) (portRef D (instanceRef r_e_op2_31)) )) (net (rename shcnt_0_2 "shcnt_0[2]") (joined (portRef Y (instanceRef r_e_shcnt_RNO_2)) (portRef D (instanceRef r_e_shcnt_2)) )) (net N_4738 (joined (portRef Y (instanceRef r_e_op2_RNO_3_25)) (portRef A (instanceRef r_e_op2_RNO_1_25)) )) (net (rename data2_25 "data2[25]") (joined (portRef (member data2 6)) (portRef A (instanceRef r_e_op2_RNO_3_25)) )) (net (rename imm_25 "imm[25]") (joined (portRef Q (instanceRef r_a_imm_25)) (portRef B (instanceRef r_e_op2_RNO_3_25)) )) (net N_4770 (joined (portRef Y (instanceRef r_e_op2_RNO_4_25)) (portRef B (instanceRef r_e_op2_RNO_1_25)) )) (net (rename result_25 "result[25]") (joined (portRef Q (instanceRef r_w_result_25)) (portRef B (instanceRef r_w_result_RNITI95_25)) (portRef B (instanceRef r_e_op2_RNO_4_25)) )) (net N_4802 (joined (portRef Y (instanceRef r_e_op2_RNO_1_25)) (portRef A (instanceRef r_e_op2_RNO_0_25)) )) (net N_4866 (joined (portRef Y (instanceRef r_e_op2_RNO_2_25)) (portRef B (instanceRef r_e_op2_RNO_0_25)) )) (net N_4834 (joined (portRef Y (instanceRef r_e_op2_RNO_5_25)) (portRef A (instanceRef r_e_op2_RNO_2_25)) )) (net (rename result_RNITK6E_25 "result_RNITK6E[25]") (joined (portRef Y (instanceRef r_x_result_RNITK6E_25)) (portRef A (instanceRef r_x_result_RNIHABES_25)) (portRef B (instanceRef r_a_rsel1_1_RNIF69IV5_1)) (portRef B (instanceRef r_x_result_RNIDDKD3_25)) (portRef B (instanceRef r_e_op2_RNO_2_25)) )) (net (rename d_1_25 "d_1[25]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_25)) (portRef A (instanceRef r_e_op2_RNO_25)) )) (net (rename aop2_25 "aop2[25]") (joined (portRef Y (instanceRef r_e_op2_RNO_25)) (portRef D (instanceRef r_e_op2_25)) )) (net (rename addr_14 "addr[14]") (joined (portRef Q (instanceRef ir_addr_14)) (portRef B (instanceRef ir_addr_RNO_2_14)) (portRef B (instanceRef ir_addr_RNISM091_14)) (portRef B (instanceRef r_f_pc_RNO_12_14)) )) (net (rename pc_m_14 "pc_m[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_14)) (portRef B (instanceRef r_f_pc_RNO_4_14)) )) (net (rename fpc_14 "fpc[14]") (joined (portRef Q (instanceRef r_f_pc_14)) (portRef B (instanceRef un6_fe_npc_0_I_73)) (portRef C (instanceRef un6_fe_npc_0_I_76)) (portRef A (instanceRef un6_fe_npc_0_I_80)) (portRef A (instanceRef un6_fe_npc_0_I_87)) (portRef A (instanceRef r_d_pc_RNO_14)) (portRef A (instanceRef r_f_pc_RNO_15_14)) (portRef B (instanceRef r_f_pc_RNO_9_14)) (portRef B (instanceRef r_f_pc_RNIE3NA2_14)) (portRef (member fpc 17)) )) (net (rename ddata_14 "ddata[14]") (joined (portRef (member ddata 17)) (portRef B (instanceRef r_m_dci_enaddr_RNI77F32)) (portRef B (instanceRef r_w_s_y_RNO_2_14)) (portRef B (instanceRef r_w_s_tba_RNO_2)) (portRef A (instanceRef r_x_rstate_RNI1O1E_0)) (portRef B (instanceRef r_w_s_dwt_RNO_1)) (portRef B (instanceRef r_f_pc_RNO_14_14)) (portRef B (instanceRef ir_addr_RNO_1_14)) )) (net N_5170 (joined (portRef Y (instanceRef r_f_pc_RNO_15_14)) (portRef A (instanceRef r_f_pc_RNO_11_14)) )) (net (rename ddata_20 "ddata[20]") (joined (portRef (member ddata 11)) (portRef B (instanceRef r_m_dci_enaddr_RNI4NE32)) (portRef B (instanceRef r_w_s_tba_RNO_8)) (portRef A (instanceRef r_x_rstate_RNIU71E_0)) (portRef A (instanceRef r_w_s_icc_RNO_2_0)) (portRef B (instanceRef r_f_pc_RNO_14_20)) (portRef B (instanceRef r_w_s_y_RNO_2_20)) (portRef B (instanceRef ir_addr_RNO_1_20)) )) (net (rename addr_27 "addr[27]") (joined (portRef Q (instanceRef ir_addr_27)) (portRef B (instanceRef r_f_pc_RNO_15_27)) (portRef B (instanceRef ir_addr_RNO_2_27)) (portRef B (instanceRef ir_addr_RNI03191_27)) )) (net N_5291 (joined (portRef Y (instanceRef r_x_data_0_RNI8F9E_12)) (portRef B (instanceRef r_e_op2_RNIN2OP_12)) )) (net (rename addr_17 "addr[17]") (joined (portRef Q (instanceRef ir_addr_17)) (portRef B (instanceRef ir_addr_RNIV2191_17)) (portRef B (instanceRef ir_addr_RNO_2_17)) (portRef B (instanceRef r_f_pc_RNO_13_17)) )) (net (rename pc_m_17 "pc_m[17]") (joined (portRef Y (instanceRef r_f_pc_RNO_11_17)) (portRef B (instanceRef r_f_pc_RNO_7_17)) )) (net (rename ddata_17 "ddata[17]") (joined (portRef (member ddata 14)) (portRef B (instanceRef r_m_dci_enaddr_RNIAJF32)) (portRef B (instanceRef r_w_s_tba_RNO_5)) (portRef B (instanceRef r_w_s_y_RNO_2_17)) (portRef A (instanceRef r_x_rstate_RNI442E_0)) (portRef B (instanceRef r_f_pc_RNO_15_17)) (portRef B (instanceRef ir_addr_RNO_1_17)) )) (net N_5296 (joined (portRef Y (instanceRef r_x_data_0_RNIDF9E_17)) (portRef B (instanceRef r_e_op2_RNI1NOP_17)) )) (net (rename pc_m_26 "pc_m[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_26)) (portRef B (instanceRef r_f_pc_RNO_4_26)) )) (net (rename fpc_26 "fpc[26]") (joined (portRef Q (instanceRef r_f_pc_26)) (portRef B (instanceRef un6_fe_npc_0_I_166)) (portRef C (instanceRef un6_fe_npc_0_I_169)) (portRef A (instanceRef un6_fe_npc_0_I_176)) (portRef A (instanceRef un6_fe_npc_0_I_189)) (portRef B (instanceRef r_f_pc_RNIQB741_26)) (portRef A (instanceRef r_d_pc_RNO_26)) (portRef B (instanceRef r_f_pc_RNO_9_26)) (portRef A (instanceRef r_f_pc_RNO_15_26)) (portRef (member fpc 5)) )) (net N_5205 (joined (portRef Y (instanceRef r_f_pc_RNO_11_19)) (portRef B (instanceRef r_f_pc_RNO_6_19)) )) (net N_5175 (joined (portRef Y (instanceRef r_f_pc_RNO_13_19)) (portRef A (instanceRef r_f_pc_RNO_11_19)) )) (net N_5202 (joined (portRef Y (instanceRef r_f_pc_RNO_11_16)) (portRef B (instanceRef r_f_pc_RNO_6_16)) )) (net N_5172 (joined (portRef Y (instanceRef r_f_pc_RNO_15_16)) (portRef A (instanceRef r_f_pc_RNO_11_16)) )) (net N_5214 (joined (portRef Y (instanceRef r_f_pc_RNO_5_28)) (portRef B (instanceRef r_f_pc_RNO_3_28)) )) (net N_5184 (joined (portRef Y (instanceRef r_f_pc_RNO_9_28)) (portRef A (instanceRef r_f_pc_RNO_5_28)) )) (net (rename addr_20 "addr[20]") (joined (portRef Q (instanceRef ir_addr_20)) (portRef B (instanceRef ir_addr_RNIP6091_20)) (portRef B (instanceRef ir_addr_RNO_2_20)) (portRef B (instanceRef r_f_pc_RNO_12_20)) )) (net (rename addr_22 "addr[22]") (joined (portRef Q (instanceRef ir_addr_22)) (portRef B (instanceRef r_f_pc_RNO_12_22)) (portRef B (instanceRef ir_addr_RNO_2_22)) (portRef B (instanceRef ir_addr_RNIRE091_22)) )) (net (rename pc_m_20 "pc_m[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_20)) (portRef B (instanceRef r_f_pc_RNO_4_20)) )) (net (rename fpc_20 "fpc[20]") (joined (portRef Q (instanceRef r_f_pc_20)) (portRef B (instanceRef un6_fe_npc_0_I_115)) (portRef C (instanceRef un6_fe_npc_0_I_121)) (portRef A (instanceRef un6_fe_npc_0_I_125)) (portRef A (instanceRef un6_fe_npc_0_I_132)) (portRef A (instanceRef r_d_pc_RNO_20)) (portRef A (instanceRef r_f_pc_RNO_15_20)) (portRef B (instanceRef r_f_pc_RNO_9_20)) (portRef B (instanceRef r_f_pc_RNIANMA2_20)) (portRef (member fpc 11)) )) (net (rename addr_31 "addr[31]") (joined (portRef Q (instanceRef ir_addr_31)) (portRef B (instanceRef ir_addr_RNIRA091_31)) (portRef B (instanceRef ir_addr_RNO_2_31)) (portRef B (instanceRef r_f_pc_RNO_12_31)) )) (net (rename fpc_31 "fpc[31]") (joined (portRef Q (instanceRef r_f_pc_31)) (portRef B (instanceRef un6_fe_npc_0_I_210)) (portRef D (instanceRef r_d_pc_31)) (portRef B (instanceRef r_f_pc_RNIOF741_31)) (portRef B (instanceRef r_f_pc_RNO_9_31)) (portRef A (instanceRef r_f_pc_RNO_15_31)) (portRef (member fpc 0)) )) (net (rename ddata_31 "ddata[31]") (joined (portRef (member ddata 0)) (portRef B (instanceRef r_m_dci_enaddr_RNI6RE32)) (portRef B (instanceRef r_w_s_y_RNO_2_31)) (portRef B (instanceRef r_w_s_tba_RNO_19)) (portRef A (instanceRef r_x_rstate_RNI0C1E_0)) (portRef B (instanceRef r_f_pc_RNO_14_31)) (portRef B (instanceRef ir_addr_RNO_1_31)) )) (net N_3860 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIG7AE_7)) (portRef A (instanceRef r_x_npc_0_RNIO6KU_0)) )) (net (rename pc_7 "pc[7]") (joined (portRef Q (instanceRef r_x_ctrl_pc_7)) (portRef A (instanceRef ir_addr_RNO_3_7)) (portRef A (instanceRef r_x_ctrl_pc_RNIBFV2S_7)) (portRef A (instanceRef r_x_ctrl_pc_RNIG7AE_7)) )) (net (rename pc_0_7 "pc_0[7]") (joined (portRef Q (instanceRef r_e_ctrl_pc_7)) (portRef D (instanceRef r_m_ctrl_pc_7)) (portRef A (instanceRef ir_addr_RNO_6_7)) (portRef A (instanceRef r_e_ctrl_pc_RNI9M0L_7)) (portRef B (instanceRef r_x_ctrl_pc_RNIG7AE_7)) )) (net N_3890 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNICMF8_7)) (portRef B (instanceRef r_x_npc_0_RNIO6KU_0)) )) (net N_3884 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIL1M9_31)) (portRef A (instanceRef r_x_npc_0_RNINB6R_0)) )) (net N_3914 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI61M9_31)) (portRef B (instanceRef r_x_npc_0_RNINB6R_0)) )) (net N_5141 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_0_0)) (portRef A (instanceRef r_x_ctrl_tt_RNO_0)) )) (net N_5145 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_1_0)) (portRef B (instanceRef r_x_ctrl_tt_RNO_0)) )) (net (rename irl_0_p "irl[0]") (joined (portRef (member irl 3)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_1)) (portRef A (instanceRef r_x_ctrl_tt_RNO_1_0)) (portRef A (instanceRef comb_irq_trap_un4_irl_0)) )) (net (rename tt_0_0 "tt_0[0]") (joined (portRef Q (instanceRef r_m_ctrl_tt_0)) (portRef B (instanceRef r_x_ctrl_tt_RNO_1_0)) )) (net N_5146 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_0_1)) (portRef B (instanceRef r_x_ctrl_tt_RNO_1)) )) (net (rename irl_0_1 "irl_0[1]") (joined (portRef (member irl_0 2)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_2)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_3)) (portRef A (instanceRef r_x_ctrl_tt_RNO_0_1)) (portRef B (instanceRef comb_irq_trap_un4_irl_0)) )) (net (rename tt_3_1 "tt_3[1]") (joined (portRef Q (instanceRef r_m_ctrl_tt_1)) (portRef B (instanceRef r_x_ctrl_tt_RNO_0_1)) )) (net N_5147 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_0_2)) (portRef B (instanceRef r_x_ctrl_tt_RNO_2)) )) (net (rename irl_2 "irl[2]") (joined (portRef (member irl 1)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_5)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_8)) (portRef A (instanceRef r_x_ctrl_tt_RNO_0_2)) (portRef A (instanceRef comb_irq_trap_un4_irl_1)) )) (net (rename tt_0_2 "tt_0[2]") (joined (portRef Q (instanceRef r_m_ctrl_tt_2)) (portRef B (instanceRef r_x_ctrl_tt_RNO_0_2)) )) (net N_5148 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_1_3)) (portRef B (instanceRef r_x_ctrl_tt_RNO_3)) )) (net (rename irl_3 "irl[3]") (joined (portRef (member irl 0)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_6)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_9)) (portRef A (instanceRef r_x_ctrl_tt_RNO_1_3)) (portRef B (instanceRef comb_irq_trap_un4_irl_1)) )) (net (rename tt_0_3 "tt_0[3]") (joined (portRef Q (instanceRef r_m_ctrl_tt_3)) (portRef B (instanceRef r_x_ctrl_tt_RNO_1_3)) )) (net (rename tt2_0 "tt2[0]") (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_0)) (portRef D (instanceRef r_x_ctrl_tt_0)) )) (net N_5151_i_0 (joined (portRef Y (instanceRef r_m_ctrl_pv_RNIFF11D2)) (portRef S (instanceRef r_x_ctrl_tt_RNO_5)) (portRef A (instanceRef r_x_ctrl_tt_RNO_1)) (portRef S (instanceRef r_x_ctrl_tt_RNO_3)) (portRef S (instanceRef r_x_ctrl_tt_RNO_2)) (portRef S (instanceRef r_x_ctrl_tt_RNO_0)) )) (net (rename tt2_2 "tt2[2]") (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_2)) (portRef D (instanceRef r_x_ctrl_tt_2)) )) (net (rename tt2_3 "tt2[3]") (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_3)) (portRef D (instanceRef r_x_ctrl_tt_3)) )) (net N_5142 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_0_3)) (portRef A (instanceRef r_x_ctrl_tt_RNO_3)) )) (net (rename tt2_1 "tt2[1]") (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_1)) (portRef D (instanceRef r_x_ctrl_tt_1)) )) (net (rename daddr_13 "daddr[13]") (joined (portRef (member daddr 10)) (portRef C (instanceRef r_m_dci_enaddr_RNICSR02)) )) (net (rename pc_24 "pc[24]") (joined (portRef Q (instanceRef r_x_ctrl_pc_24)) (portRef A (instanceRef r_x_ctrl_pc_RNIQ0J3S_24)) (portRef A (instanceRef r_x_ctrl_pc_RNIEAHF_24)) (portRef A (instanceRef ir_addr_RNO_0_24)) )) (net (rename addr_24 "addr[24]") (joined (portRef Q (instanceRef ir_addr_24)) (portRef B (instanceRef ir_addr_RNITM091_24)) (portRef B (instanceRef r_f_pc_RNO_12_24)) (portRef B (instanceRef ir_addr_RNO_2_24)) )) (net (rename pc_0_24 "pc_0[24]") (joined (portRef Q (instanceRef r_e_ctrl_pc_24)) (portRef D (instanceRef r_m_ctrl_pc_24)) (portRef A (instanceRef r_e_ctrl_pc_RNIO7KL_24)) (portRef B (instanceRef r_x_ctrl_pc_RNIEAHF_24)) (portRef A (instanceRef ir_addr_RNO_6_24)) )) (net (rename pc_2_24 "pc_2[24]") (joined (portRef Q (instanceRef r_a_ctrl_pc_24)) (portRef B (instanceRef un6_fe_npc_1_I_143)) (portRef C (instanceRef un6_fe_npc_1_I_146)) (portRef B (instanceRef un6_fe_npc_1_I_159)) (portRef D (instanceRef r_e_ctrl_pc_24)) (portRef B (instanceRef r_m_ctrl_pc_RNIV9HF_24)) (portRef A (instanceRef ir_addr_RNO_5_24)) )) (net (rename pc_0_17 "pc_0[17]") (joined (portRef Q (instanceRef r_a_ctrl_pc_17)) (portRef B (instanceRef un6_fe_npc_1_I_91)) (portRef C (instanceRef un6_fe_npc_1_I_97)) (portRef A (instanceRef un6_fe_npc_1_I_101)) (portRef A (instanceRef un6_fe_npc_1_I_108)) (portRef D (instanceRef r_e_ctrl_pc_17)) (portRef B (instanceRef r_m_ctrl_pc_RNI32IF_17)) (portRef A (instanceRef ir_addr_RNO_5_17)) )) (net (rename addr_23 "addr[23]") (joined (portRef Q (instanceRef ir_addr_23)) (portRef B (instanceRef r_f_pc_RNO_12_23)) (portRef B (instanceRef ir_addr_RNO_2_23)) (portRef B (instanceRef ir_addr_RNISI091_23)) )) (net (rename shiftin_11_38 "shiftin_11[38]") (joined (portRef Y (instanceRef r_e_shcnt_RNIM1SA7_3)) (portRef A (instanceRef r_e_shcnt_RNIQGLHF_2)) )) (net (rename shiftin_8_46 "shiftin_8[46]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIDLOH3)) (portRef A (instanceRef r_e_shcnt_RNIM1SA7_3)) )) (net (rename shiftin_5_62 "shiftin_5[62]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI6LOD1)) (portRef A (instanceRef r_e_shleft_1_RNIDLOH3)) )) (net ex_sari_1_1 (joined (portRef Y (instanceRef r_e_sari_RNIBKJO)) (portRef A (instanceRef r_e_shleft_RNI4PSU)) (portRef A (instanceRef r_e_shleft_RNIMM281)) (portRef A (instanceRef r_e_shleft_RNIGA281)) (portRef A (instanceRef r_e_shleft_RNIC2281)) (portRef A (instanceRef r_e_shleft_RNI8U181)) (portRef A (instanceRef r_e_shleft_RNI0HSU)) (portRef A (instanceRef r_e_shleft_RNIOQ281)) (portRef A (instanceRef r_e_shleft_RNIMQ281)) (portRef A (instanceRef r_e_shleft_RNIKORU)) (portRef A (instanceRef r_e_shleft_1_RNI6POD1)) (portRef A (instanceRef r_e_shleft_1_RNI2HOD1)) (portRef A (instanceRef r_e_shleft_1_RNIINI41)) (portRef A (instanceRef r_e_shleft_1_RNI4HOD1)) (portRef A (instanceRef r_e_shleft_1_RNIGJI41)) (portRef A (instanceRef r_e_shleft_1_RNI6LOD1)) )) (net N_4977 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_11)) (portRef A (instanceRef r_w_s_tba_RNO_11)) )) (net (rename tba_1_11 "tba_1[11]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_11)) (portRef D (instanceRef r_w_s_tba_11)) )) (net (rename pc_0_20 "pc_0[20]") (joined (portRef Q (instanceRef r_x_ctrl_pc_20)) (portRef A (instanceRef r_x_ctrl_pc_RNI7AGF_20)) (portRef A (instanceRef r_x_ctrl_pc_RNIMGI3S_20)) (portRef A (instanceRef ir_addr_RNO_0_20)) )) (net (rename pc_2_20 "pc_2[20]") (joined (portRef Q (instanceRef r_m_ctrl_pc_20)) (portRef D (instanceRef r_x_ctrl_pc_20)) (portRef A (instanceRef r_m_ctrl_pc_RNIO9GF_20)) (portRef A (instanceRef ir_addr_RNO_4_20)) )) (net (rename pc_3_20 "pc_3[20]") (joined (portRef Q (instanceRef r_a_ctrl_pc_20)) (portRef B (instanceRef un6_fe_npc_1_I_115)) (portRef C (instanceRef un6_fe_npc_1_I_121)) (portRef A (instanceRef un6_fe_npc_1_I_125)) (portRef A (instanceRef un6_fe_npc_1_I_132)) (portRef D (instanceRef r_e_ctrl_pc_20)) (portRef B (instanceRef r_m_ctrl_pc_RNIO9GF_20)) (portRef A (instanceRef ir_addr_RNO_5_20)) )) (net N_5176 (joined (portRef Y (instanceRef r_f_pc_RNO_15_20)) (portRef A (instanceRef r_f_pc_RNO_11_20)) )) (net N_8195 (joined (portRef Y (instanceRef r_e_invop2_0_RNIB1ONC1)) (portRef B (instanceRef r_e_ldbp2_1_RNIQQ4H82)) )) (net (rename un6_ex_add_res_s2_20 "un6_ex_add_res_s2[20]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I310_Y_0)) (portRef A (instanceRef r_e_invop2_0_RNIB1ONC1)) )) (net (rename un6_ex_add_res_s0_20 "un6_ex_add_res_s0[20]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I310_Y_0)) (portRef B (instanceRef r_e_invop2_0_RNIB1ONC1)) )) (net (rename addr_29 "addr[29]") (joined (portRef Q (instanceRef ir_addr_29)) (portRef B (instanceRef ir_addr_RNI2B191_29)) (portRef B (instanceRef ir_addr_RNO_2_29)) (portRef B (instanceRef r_f_pc_RNO_12_29)) )) (net (rename pc_m_29 "pc_m[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_29)) (portRef B (instanceRef r_f_pc_RNO_4_29)) )) (net (rename fpc_29 "fpc[29]") (joined (portRef Q (instanceRef r_f_pc_29)) (portRef B (instanceRef un6_fe_npc_0_I_196)) (portRef C (instanceRef un6_fe_npc_0_I_202)) (portRef A (instanceRef un6_fe_npc_0_I_206)) (portRef D (instanceRef r_d_pc_29)) (portRef A (instanceRef r_f_pc_RNO_15_29)) (portRef B (instanceRef r_f_pc_RNO_9_29)) (portRef B (instanceRef r_f_pc_RNISRNA2_29)) (portRef (member fpc 2)) )) (net (rename ddata_29 "ddata[29]") (joined (portRef (member ddata 2)) (portRef A (instanceRef r_x_rstate_RNI7C2E_0)) (portRef B (instanceRef r_w_s_y_RNO_2_29)) (portRef B (instanceRef r_m_dci_enaddr_RNIDRF32)) (portRef B (instanceRef r_w_s_tba_RNO_17)) (portRef B (instanceRef r_f_pc_RNO_14_29)) (portRef B (instanceRef ir_addr_RNO_1_29)) )) (net N_5173 (joined (portRef Y (instanceRef r_f_pc_RNO_9_17)) (portRef A (instanceRef r_f_pc_RNO_5_17)) )) (net (rename fpc_17 "fpc[17]") (joined (portRef Q (instanceRef r_f_pc_17)) (portRef B (instanceRef un6_fe_npc_0_I_91)) (portRef C (instanceRef un6_fe_npc_0_I_97)) (portRef A (instanceRef un6_fe_npc_0_I_101)) (portRef A (instanceRef un6_fe_npc_0_I_108)) (portRef B (instanceRef r_f_pc_RNIO7741_17)) (portRef A (instanceRef r_d_pc_RNO_17)) (portRef A (instanceRef r_f_pc_RNO_9_17)) (portRef B (instanceRef r_f_pc_RNO_11_17)) (portRef (member fpc 14)) )) (net (rename logicout_3_31 "logicout_3[31]") (joined (portRef Y (instanceRef r_e_op2_RNIVR971_0_31)) (portRef B (instanceRef r_e_aluop_2_RNIECBO2_1)) )) (net N_5310 (joined (portRef Y (instanceRef r_x_data_0_RNIDN9E_31)) (portRef B (instanceRef r_e_op2_RNIT6OP_31)) )) (net N_5185 (joined (portRef Y (instanceRef r_f_pc_RNO_15_29)) (portRef A (instanceRef r_f_pc_RNO_11_29)) )) (net N_3870 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNII2IF_17)) (portRef A (instanceRef r_x_npc_0_RNIHDU61_0)) )) (net (rename pc_2_17 "pc_2[17]") (joined (portRef Q (instanceRef r_x_ctrl_pc_17)) (portRef A (instanceRef ir_addr_RNO_0_17)) (portRef A (instanceRef r_x_ctrl_pc_RNISCJ3S_17)) (portRef A (instanceRef r_x_ctrl_pc_RNII2IF_17)) )) (net N_3900 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI32IF_17)) (portRef B (instanceRef r_x_npc_0_RNIHDU61_0)) )) (net (rename pc_3_17 "pc_3[17]") (joined (portRef Q (instanceRef r_m_ctrl_pc_17)) (portRef D (instanceRef r_x_ctrl_pc_17)) (portRef A (instanceRef ir_addr_RNO_4_17)) (portRef A (instanceRef r_m_ctrl_pc_RNI32IF_17)) )) (net (rename pc_26 "pc[26]") (joined (portRef Q (instanceRef r_a_ctrl_pc_26)) (portRef B (instanceRef un6_fe_npc_1_I_166)) (portRef C (instanceRef un6_fe_npc_1_I_169)) (portRef A (instanceRef un6_fe_npc_1_I_176)) (portRef A (instanceRef un6_fe_npc_1_I_189)) (portRef D (instanceRef r_e_ctrl_pc_26)) (portRef B (instanceRef r_m_ctrl_pc_RNI4QHF_26)) (portRef A (instanceRef ir_addr_RNO_5_26)) )) (net (rename ddata_26 "ddata[26]") (joined (portRef (member ddata 5)) (portRef B (instanceRef r_w_s_y_RNO_2_26)) (portRef B (instanceRef r_w_s_tba_RNO_14)) (portRef A (instanceRef r_x_rstate_RNI402E_0)) (portRef B (instanceRef r_m_dci_enaddr_RNIAFF32)) (portRef B (instanceRef ir_addr_RNO_1_26)) (portRef B (instanceRef r_f_pc_RNO_14_26)) )) (net jump (joined (portRef Y (instanceRef r_e_ctrl_annul_RNIEU1V3_0)) (portRef S (instanceRef r_f_pc_RNILITIL_10)) (portRef S (instanceRef r_f_pc_RNO_15_22)) (portRef S (instanceRef r_f_pc_RNIIMNBI_9)) (portRef S (instanceRef r_f_pc_RNI59ARP_11)) (portRef S (instanceRef r_f_pc_RNO_15_18)) (portRef S (instanceRef r_f_pc_RNO_13_19)) (portRef S (instanceRef r_f_pc_RNO_15_12)) (portRef S (instanceRef r_f_pc_RNI6C6DD_7)) (portRef S (instanceRef r_f_pc_RNO_15_16)) (portRef S (instanceRef r_f_pc_RNO_9_21)) (portRef S (instanceRef r_f_pc_RNO_9_28)) (portRef S (instanceRef r_f_pc_RNO_14_27)) (portRef S (instanceRef r_f_pc_RNO_9_25)) (portRef S (instanceRef r_f_pc_RNO_9_13)) (portRef S (instanceRef r_f_pc_RNIAQE58_3)) (portRef S (instanceRef r_f_pc_RNO_15_24)) )) (net N_5016 (joined (portRef Y (instanceRef r_m_icc_RNO_2_2)) (portRef A (instanceRef r_m_icc_RNO_0_2)) )) (net (rename icc_16_2 "icc_16[2]") (joined (portRef Y (instanceRef r_m_icc_RNO_3_2)) (portRef B (instanceRef r_m_icc_RNO_1_2)) )) (net un1_addout (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIQ1LUSN1)) (portRef A (instanceRef r_m_icc_RNO_3_2)) (portRef un1_addout) )) (net N_5011 (joined (portRef Y (instanceRef r_m_icc_RNO_1_2)) (portRef B (instanceRef r_m_icc_RNO_2)) )) (net N_5021 (joined (portRef Y (instanceRef r_m_icc_RNO_0_2)) (portRef A (instanceRef r_m_icc_RNO_2)) )) (net (rename icc_2_2 "icc_2[2]") (joined (portRef Q (instanceRef r_m_icc_2)) (portRef D (instanceRef r_x_icc_2)) (portRef A (instanceRef r_m_icc_RNIE9LF2_2)) (portRef B (instanceRef r_m_icc_RNIB6A3_2)) (portRef A (instanceRef r_m_icc_RNIJES6_2)) (portRef A (instanceRef r_m_icc_RNI68I3_0)) (portRef A (instanceRef r_a_ctrl_inst_RNIMGCC_28)) (portRef B (instanceRef r_a_ctrl_inst_RNIE8Q8_28)) (portRef B (instanceRef r_e_ctrl_inst_RNII8Q8_28)) (portRef A (instanceRef r_e_ctrl_inst_RNIQGCC_28)) (portRef B (instanceRef r_m_icc_RNO_0_2)) )) (net wicc_2 (joined (portRef Q (instanceRef r_m_ctrl_wicc)) (portRef S (instanceRef r_m_ctrl_wicc_RNION9L)) (portRef S (instanceRef r_m_ctrl_wicc_RNIUN9L)) (portRef S (instanceRef r_m_icc_RNO_0_0)) (portRef A (instanceRef r_x_ctrl_wicc_RNO)) (portRef S (instanceRef r_m_icc_RNO_0_2)) )) (net (rename icco_2 "icco[2]") (joined (portRef Y (instanceRef r_m_icc_RNO_2)) (portRef D (instanceRef r_m_icc_2)) )) (net N_7997 (joined (portRef Y (instanceRef r_e_invop2_1_RNIOP8SJ)) (portRef B (instanceRef r_e_ldbp2_0_RNII09B01)) )) (net (rename shiftin_14_34 "shiftin_14[34]") (joined (portRef Y (instanceRef r_e_shcnt_RNIQGLHF_2)) (portRef A (instanceRef r_e_shcnt_RNI8R8VU_1)) )) (net (rename un6_ex_add_res_s2_1_27 "un6_ex_add_res_s2_1[27]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I317_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I317_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I317_Y_0)) )) (net I235_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I235_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I310_Y_0)) )) (net (rename un6_ex_add_res_s2_1_20 "un6_ex_add_res_s2_1[20]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I310_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I310_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I310_Y_0)) )) (net (rename un6_ex_add_res_s0_6 "un6_ex_add_res_s0[6]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I296_Y_0)) (portRef B (instanceRef r_e_invop2_0_RNI9OGD4)) )) (net (rename un6_ex_add_res_s2_1_6 "un6_ex_add_res_s2_1[6]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I296_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I296_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I296_Y_0)) )) (net (rename un6_ex_add_res_s2_6 "un6_ex_add_res_s2[6]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I296_Y_0)) (portRef A (instanceRef r_e_invop2_0_RNI9OGD4)) )) (net N_8138 (joined (portRef Y (instanceRef r_e_invop2_0_RNI9OGD4)) (portRef B (instanceRef r_e_ldbp2_1_RNI8M987)) )) (net N412_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I6_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I81_un1_Y)) )) (net N596 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I170_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I204_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I218_Y)) )) (net ADD_30x30_fast_I218_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I218_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I218_Y)) )) (net N457_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I40_Y_0_o3)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I237_Y_0_o3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I92_un1_Y)) )) (net (rename un6_ex_add_res_s0_18 "un6_ex_add_res_s0[18]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I308_Y_0)) (portRef B (instanceRef r_e_invop2_0_RNIVSIC71)) )) (net (rename un6_ex_add_res_s2_1_18 "un6_ex_add_res_s2_1[18]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I308_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I308_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I308_Y_0)) )) (net (rename un6_ex_add_res_s2_18 "un6_ex_add_res_s2[18]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I308_Y_0)) (portRef A (instanceRef r_e_invop2_0_RNIVSIC71)) )) (net N_8001 (joined (portRef Y (instanceRef r_e_invop2_0_RNIVSIC71)) (portRef B (instanceRef r_e_ldbp2_1_RNIFABHV1)) )) (net (rename shiftin_5_61 "shiftin_5[61]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI4HOD1)) (portRef A (instanceRef r_e_shleft_1_RNI9DOH3)) )) (net (rename shiftin_8_45 "shiftin_8[45]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI9DOH3)) (portRef A (instanceRef r_e_shcnt_RNIEHRA7_3)) )) (net (rename shiftin_11_37 "shiftin_11[37]") (joined (portRef Y (instanceRef r_e_shcnt_RNIEHRA7_3)) (portRef A (instanceRef r_e_shcnt_RNI5R76F_2)) )) (net (rename shiftin_8_37 "shiftin_8[37]") (joined (portRef Y (instanceRef r_e_shleft_RNI45S23)) (portRef A (instanceRef r_e_shcnt_RNIGT8I6_3)) (portRef B (instanceRef r_e_shcnt_RNIEHRA7_3)) )) (net (rename shiftin_11_29 "shiftin_11[29]") (joined (portRef Y (instanceRef r_e_shcnt_RNIGT8I6_3)) (portRef A (instanceRef r_e_shcnt_RNIPE1LD_2)) (portRef B (instanceRef r_e_shcnt_RNI77LDE_2)) )) (net (rename shiftin_14_33 "shiftin_14[33]") (joined (portRef Y (instanceRef r_e_shcnt_RNI5R76F_2)) (portRef A (instanceRef r_e_shcnt_RNIK5RPU_1)) )) (net (rename shiftin_11_33 "shiftin_11[33]") (joined (portRef Y (instanceRef r_e_shcnt_RNIPE557_3)) (portRef A (instanceRef r_e_shcnt_RNI77LDE_2)) (portRef B (instanceRef r_e_shcnt_RNI5R76F_2)) )) (net (rename shiftin_17_31 "shiftin_17[31]") (joined (portRef Y (instanceRef r_e_shcnt_RNIK5RPU_1)) (portRef A (instanceRef r_e_jmpl_RNIHT1IV)) (portRef A (instanceRef r_e_jmpl_RNIHT1IV_0)) )) (net N_8106 (joined (portRef Y (instanceRef r_e_invop2_0_RNIOI633)) (portRef B (instanceRef r_e_ldbp2_1_RNIFQI45)) )) (net (rename un6_ex_add_res_s0_4 "un6_ex_add_res_s0[4]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I294_Y_0)) (portRef B (instanceRef r_e_invop2_0_RNI60MG2)) )) (net (rename un6_ex_add_res_s2_4 "un6_ex_add_res_s2[4]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I294_Y_0)) (portRef A (instanceRef r_e_invop2_0_RNI60MG2)) )) (net N_7987 (joined (portRef Y (instanceRef r_e_invop2_0_RNI60MG2)) (portRef B (instanceRef r_e_ldbp2_1_RNIS0C54)) )) (net N_5285 (joined (portRef Y (instanceRef r_x_data_0_RNI7VS8_6)) (portRef B (instanceRef r_e_op2_RNIA9IG_6)) )) (net (rename shiftin_5_37 "shiftin_5[37]") (joined (portRef Y (instanceRef r_e_shleft_1_RNIINI41)) (portRef B (instanceRef r_e_shleft_RNI45S23)) (portRef A (instanceRef r_e_shleft_1_RNIBNUF2)) )) (net I197_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I197_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I197_Y)) )) (net (rename un6_ex_add_res_s0_32 "un6_ex_add_res_s0[32]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I322_Y_0)) (portRef B (instanceRef r_e_invop2_0_RNIC42HK2)) )) (net (rename un6_ex_add_res_s2_1_32 "un6_ex_add_res_s2_1[32]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I322_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I322_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I322_Y_0)) )) (net (rename un6_ex_add_res_s2_32 "un6_ex_add_res_s2[32]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I322_Y_0)) (portRef A (instanceRef r_e_invop2_0_RNIC42HK2)) )) (net N_8137 (joined (portRef Y (instanceRef r_e_invop2_0_RNIC42HK2)) (portRef B (instanceRef r_e_ldbp2_1_RNIE3BT74)) )) (net N_8131 (joined (portRef Y (instanceRef r_e_invop2_0_RNIQP9II1)) (portRef B (instanceRef r_e_ldbp2_1_RNIBMLCI2)) )) (net (rename shiftin_5_52 "shiftin_5[52]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI2HOD1)) (portRef A (instanceRef r_e_shleft_1_RNIK7I83)) )) (net N_5300 (joined (portRef Y (instanceRef r_x_data_0_RNIAJ9E_21)) (portRef B (instanceRef r_e_op2_RNIQ2OP_21)) )) (net I191_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I191_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I231_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I245_Y)) )) (net N594_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I131_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I183_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I231_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I245_Y)) )) (net I245_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I245_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I245_Y)) )) (net N_5302 (joined (portRef Y (instanceRef r_x_data_0_RNIDJ9E_23)) (portRef B (instanceRef r_e_op2_RNIVAOP_23)) )) (net (rename shiftin_5_54 "shiftin_5[54]") (joined (portRef Y (instanceRef r_e_shleft_1_RNI6POD1)) (portRef A (instanceRef r_e_shleft_1_RNI8DS23)) )) (net N_8123 (joined (portRef Y (instanceRef r_e_invop2_1_RNIC4L2V1)) (portRef B (instanceRef r_e_ldbp2_1_RNI684O63)) )) (net shleft (joined (portRef Q (instanceRef r_e_shleft)) (portRef B (instanceRef r_e_shleft_RNI5MEF)) (portRef B (instanceRef r_e_shleft_RNI7086)) (portRef B (instanceRef r_e_shleft_RNIN096)) (portRef S (instanceRef r_e_shleft_RNI4PSU)) (portRef S (instanceRef r_e_shleft_RNIMM281)) (portRef B (instanceRef r_e_shleft_RNI9UEF)) (portRef S (instanceRef r_e_shleft_RNIGA281)) (portRef B (instanceRef r_e_shleft_RNIDAFF)) (portRef S (instanceRef r_e_shleft_RNIC2281)) (portRef B (instanceRef r_e_shleft_RNI7QEF)) (portRef S (instanceRef r_e_shleft_RNI8U181)) (portRef B (instanceRef r_e_shleft_RNI92FF)) (portRef S (instanceRef r_e_shleft_RNI0HSU)) (portRef B (instanceRef r_e_shleft_RNIFEFF)) (portRef B (instanceRef r_e_shleft_RNIT9EF)) (portRef S (instanceRef r_e_shleft_RNIOQ281)) (portRef B (instanceRef r_e_shleft_RNID6FF)) (portRef B (instanceRef r_e_shleft_RNIHEFF)) (portRef S (instanceRef r_e_shleft_RNIMQ281)) (portRef S (instanceRef r_e_shleft_RNIKORU)) (portRef B (instanceRef r_e_shleft_RNIB2FF)) )) (net N578_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I115_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I175_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I167_un1_Y)) )) (net N579_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I116_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I175_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I176_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I168_Y)) )) (net N578_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I115_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I175_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I167_un1_Y)) )) (net (rename un6_ex_add_res_s0_12 "un6_ex_add_res_s0[12]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I302_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNINLHCD)) )) (net (rename un6_ex_add_res_s2_12 "un6_ex_add_res_s2[12]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I302_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNINLHCD)) )) (net (rename shiftin_5_32 "shiftin_5[32]") (joined (portRef Y (instanceRef r_e_shleft_RNIKORU)) (portRef A (instanceRef r_e_shleft_RNIVTH42)) (portRef B (instanceRef r_e_shleft_RNICM5T2)) )) (net (rename shiftin_5_48 "shiftin_5[48]") (joined (portRef Y (instanceRef r_e_shleft_RNIMQ281)) (portRef A (instanceRef r_e_shleft_RNICM5T2)) )) (net (rename shiftin_8_16 "shiftin_8[16]") (joined (portRef Y (instanceRef r_e_shleft_RNIVTH42)) (portRef A (instanceRef r_e_shcnt_RNI2VN64_3)) (portRef B (instanceRef r_e_shcnt_RNIFNBV4_3)) )) (net (rename shiftin_5_16 "shiftin_5[16]") (joined (portRef Y (instanceRef r_e_shleft_RNI92FF)) (portRef A (instanceRef r_e_shleft_RNII5UB1)) (portRef B (instanceRef r_e_shleft_RNIVTH42)) )) (net (rename shiftin_8_8 "shiftin_8[8]") (joined (portRef Y (instanceRef r_e_shleft_RNI22VB1)) (portRef A (instanceRef r_e_shcnt_RNIL64E3_3)) (portRef B (instanceRef r_e_shcnt_RNI2VN64_3)) )) (net N_7995 (joined (portRef Y (instanceRef r_e_invop2_1_RNINLHCD)) (portRef B (instanceRef r_e_ldbp2_1_RNIQIKQL)) )) (net (rename un6_ex_add_res_s0_29 "un6_ex_add_res_s0[29]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I319_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIK4I072)) )) (net (rename un6_ex_add_res_s2_1_29 "un6_ex_add_res_s2_1[29]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I319_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I319_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I319_Y_0)) )) (net (rename un6_ex_add_res_s2_29 "un6_ex_add_res_s2[29]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I319_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIK4I072)) )) (net N_8198 (joined (portRef Y (instanceRef r_e_invop2_1_RNIK4I072)) (portRef B (instanceRef r_e_ldbp2_1_RNIEINAM3)) )) (net N478_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I28_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I39_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I37_Y)) )) (net (rename un6_ex_add_res_s0_15 "un6_ex_add_res_s0[15]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I305_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIGHD5O)) )) (net N_7998 (joined (portRef Y (instanceRef r_e_invop2_1_RNIGHD5O)) (portRef B (instanceRef r_e_ldbp2_1_RNIH55181)) )) (net I173_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I173_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I173_Y)) )) (net N506_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I47_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I266_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I105_Y)) )) (net N_72 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I47_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I47_Y_0_o3)) )) (net N_15 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I48_Y_i)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I266_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I106_Y)) )) (net I171_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I171_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I171_Y)) )) (net N513_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I54_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I164_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I172_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I115_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I116_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I171_un1_Y)) )) (net N582_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I119_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I179_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I171_un1_Y)) )) (net N_8194 (joined (portRef Y (instanceRef r_e_invop2_1_RNI8HPN8)) (portRef B (instanceRef r_e_ldbp2_1_RNIU4KBE)) )) (net (rename shiftin_5_57 "shiftin_5[57]") (joined (portRef Y (instanceRef r_e_shleft_RNIOQ281)) (portRef A (instanceRef r_e_shleft_RNI2SB63)) )) (net (rename shiftin_8_41 "shiftin_8[41]") (joined (portRef Y (instanceRef r_e_shleft_RNI2SB63)) (portRef A (instanceRef r_e_shcnt_RNIPE557_3)) )) (net (rename shiftin_5_i_10 "shiftin_5_i[10]") (joined (portRef Y (instanceRef r_e_shleft_RNIT9EF)) (portRef B (instanceRef r_e_shleft_RNICJ4L1)) )) (net renable_i_1 (joined (portRef Y (instanceRef r_a_rfe1_RNIV8FA61)) (portRef A (instanceRef r_a_rfe1_RNIV8FA61_0)) (portRef renable_i_1) )) (net N451_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I19_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I55_Y_0_o3)) )) (net (rename tt2_4 "tt2[4]") (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_4)) (portRef D (instanceRef r_x_ctrl_tt_4)) )) (net (rename tt_6_4 "tt_6[4]") (joined (portRef Q (instanceRef r_m_ctrl_tt_4)) (portRef B (instanceRef r_x_ctrl_tt_RNO_4)) )) (net N_5150 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_0_5)) (portRef B (instanceRef r_x_ctrl_tt_RNO_5)) )) (net (rename tt_1_5 "tt_1[5]") (joined (portRef Q (instanceRef r_m_ctrl_tt_5)) (portRef B (instanceRef r_x_ctrl_tt_RNO_0_5)) )) (net (rename tt2_5 "tt2[5]") (joined (portRef Y (instanceRef r_x_ctrl_tt_RNO_5)) (portRef D (instanceRef r_x_ctrl_tt_5)) )) (net (rename un6_ex_add_res_s0_8 "un6_ex_add_res_s0[8]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I298_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNI8TRO5)) )) (net (rename un6_ex_add_res_s2_1_8 "un6_ex_add_res_s2_1[8]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I298_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I298_Y_0)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I298_Y_0)) )) (net (rename un6_ex_add_res_s0_13 "un6_ex_add_res_s0[13]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I303_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIK279F)) )) (net (rename un6_ex_add_res_s2_13 "un6_ex_add_res_s2[13]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I303_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIK279F)) )) (net N805_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I246_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I303_Y_0)) )) (net N_8117 (joined (portRef Y (instanceRef r_e_invop2_1_RNIK279F)) (portRef B (instanceRef r_e_ldbp2_1_RNIQOUTO)) )) (net (rename un6_ex_add_res_s0_17 "un6_ex_add_res_s0[17]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I307_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIMPACR)) )) (net N794 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I274_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I307_Y_0)) )) (net (rename un6_ex_add_res_s2_17 "un6_ex_add_res_s2[17]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I307_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIMPACR)) )) (net N794_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I274_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I307_Y_0)) )) (net N_8000 (joined (portRef Y (instanceRef r_e_invop2_1_RNIMPACR)) (portRef B (instanceRef r_e_ldbp2_1_RNIUVD9C1)) )) (net N442_2 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I16_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I121_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I61_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I274_Y_0_o3)) )) (net N524 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I65_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I127_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I123_Y)) )) (net (rename un6_ex_add_res_s2_8 "un6_ex_add_res_s2[8]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I298_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNI8TRO5)) )) (net N_8192 (joined (portRef Y (instanceRef r_e_invop2_1_RNI8TRO5)) (portRef B (instanceRef r_e_ldbp2_2_RNIK23D9)) )) (net N599_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I136_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I188_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I195_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I196_Y)) )) (net N590_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I127_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I179_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I187_Y)) )) (net N524_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I65_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I123_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I127_Y)) )) (net I195_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I195_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I195_Y)) )) (net N583_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I120_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I172_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I179_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I180_Y)) )) (net N586_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I123_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I175_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I183_Y)) )) (net N521_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I62_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I120_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I123_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I124_Y)) )) (net N520_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I61_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I119_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I123_Y)) )) (net N_8108 (joined (portRef Y (instanceRef r_e_invop2_1_RNIT39KC1)) (portRef B (instanceRef r_e_ldbp2_2_RNIK2LC92)) )) (net N525_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I66_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I127_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I124_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I128_Y)) )) (net N521_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I62_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I120_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I124_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I123_Y)) )) (net N446 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I17_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I62_Y)) )) (net (rename un6_ex_add_res_s2_11 "un6_ex_add_res_s2[11]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I301_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNI4Q9IA)) )) (net N_5295 (joined (portRef Y (instanceRef r_x_data_0_RNIDF9E_16)) (portRef B (instanceRef r_e_op2_RNI2JOP_16)) )) (net N_8116 (joined (portRef Y (instanceRef r_e_invop2_1_RNI4Q9IA)) (portRef B (instanceRef r_e_ldbp2_2_RNIBS7IH)) )) (net N466_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I24_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I47_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I45_Y)) )) (net N467_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I24_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I47_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I46_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I48_Y_i)) )) (net (rename un6_ex_add_res_s2_1_24 "un6_ex_add_res_s2_1[24]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I314_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I314_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I314_Y_0)) )) (net N467_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I24_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I47_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I266_Y_0_a3_1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I46_Y)) )) (net N466_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I24_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I47_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I45_Y)) )) (net (rename daddr_20 "daddr[20]") (joined (portRef (member daddr 3)) (portRef B (instanceRef comb_diagwr_un147_dbgunit_1)) )) (net un462_dbgunit (joined (portRef Y (instanceRef comb_diagread_un462_dbgunit)) (portRef B (instanceRef r_w_s_wim_RNIDII81_3)) (portRef C (instanceRef ir_addr_RNIA1O41_3)) (portRef C (instanceRef ir_addr_RNIE1O41_7)) (portRef C (instanceRef ir_addr_RNIRA091_31)) (portRef B (instanceRef r_w_s_wim_RNICII81_2)) (portRef C (instanceRef ir_addr_RNI91O41_2)) (portRef C (instanceRef ir_addr_RNIP6091_20)) (portRef C (instanceRef ir_addr_RNIQE091_12)) (portRef C (instanceRef ir_addr_RNI17191_28)) (portRef C (instanceRef ir_addr_RNIRI091_13)) (portRef C (instanceRef ir_addr_RNIUU091_16)) (portRef C (instanceRef ir_addr_RNIF1O41_8)) (portRef C (instanceRef ir_addr_RNIUQ091_25)) (portRef B (instanceRef r_w_s_wim_RNIGII81_6)) (portRef C (instanceRef ir_addr_RNID1O41_6)) (portRef B (instanceRef r_w_s_wim_RNIFII81_5)) (portRef C (instanceRef ir_addr_RNIC1O41_5)) (portRef C (instanceRef ir_addr_RNIPA091_11)) (portRef C (instanceRef ir_addr_RNITM091_24)) (portRef C (instanceRef ir_addr_RNIG1O41_9)) (portRef C (instanceRef ir_addr_RNI2B191_29)) (portRef B (instanceRef r_w_s_wim_RNIEII81_4)) (portRef C (instanceRef ir_addr_RNIB1O41_4)) )) (net un1_rett_inst (joined (portRef Y (instanceRef r_d_inull_RNO_1)) (portRef B (instanceRef r_d_inull_RNO)) )) (net de_inull (joined (portRef Y (instanceRef r_d_inull_RNO)) (portRef D (instanceRef r_d_inull)) )) (net N_4473 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIGJF7J_0_5)) (portRef C (instanceRef r_e_ctrl_rd_RNIMCLF11_5)) )) (net N_4474 (joined (portRef Y (instanceRef r_e_ctrl_rd_RNIGJF7J_5)) (portRef C (instanceRef r_e_ctrl_rd_RNIMCLF11_0_5)) )) (net (rename de_raddr1_1_6 "de_raddr1_1[6]") (joined (portRef Y (instanceRef r_d_inst_0_RNIA44S_29)) (portRef A (instanceRef r_d_inst_0_RNINST62_17)) )) (net N_5298_i (joined (portRef Y (instanceRef r_x_data_0_RNIGF9E_19)) (portRef B (instanceRef r_e_op2_RNI8VOP_19)) )) (net I111_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I111_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I111_Y)) )) (net N512_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I53_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I115_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I111_un1_Y)) )) (net N_30 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I56_Y_i)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I166_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I173_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I174_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I270_Y_0_a3)) )) (net (rename shiftin_5_51 "shiftin_5[51]") (joined (portRef Y (instanceRef r_e_shleft_RNIC2281)) (portRef A (instanceRef r_e_shleft_RNISKR23)) )) (net N517_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I58_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I116_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I119_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I120_Y)) )) (net N516_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I57_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I115_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I119_Y)) )) (net N455_2 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I20_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I55_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I56_Y_i)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I54_Y)) )) (net N454_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I20_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I55_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I53_Y)) )) (net N_8011 (joined (portRef Y (instanceRef r_e_invop2_1_RNIDLJE52)) (portRef B (instanceRef r_e_ldbp2_2_RNI370VG3)) )) (net (rename un6_ex_add_res_s2_28 "un6_ex_add_res_s2[28]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I318_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIDLJE52)) )) (net (rename un6_ex_add_res_s0_28 "un6_ex_add_res_s0[28]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I318_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIDLJE52)) )) (net (rename un6_ex_add_res_s2_1_28 "un6_ex_add_res_s2_1[28]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I318_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I318_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I318_Y_0)) )) (net I247_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I247_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I247_Y)) )) (net I195_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I195_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I247_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I235_un1_Y)) )) (net N598_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I135_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I187_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I247_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I235_un1_Y)) )) (net I247_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I247_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I247_Y)) )) (net N532_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I73_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I131_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I135_Y)) )) (net N_15_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I48_Y_i)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I266_Y_0_a3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I106_Y)) )) (net N464_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I23_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I112_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I111_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I108_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I48_Y_i)) )) (net (rename un6_ex_add_res_s0_23 "un6_ex_add_res_s0[23]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I313_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIK23KN1)) )) (net (rename un6_ex_add_res_s2_23 "un6_ex_add_res_s2[23]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I313_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIK23KN1)) )) (net N_8132 (joined (portRef Y (instanceRef r_e_invop2_1_RNIK23KN1)) (portRef B (instanceRef r_e_ldbp2_2_RNILQ5LS2)) )) (net (rename un6_ex_add_res_s0_14 "un6_ex_add_res_s0[14]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I304_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIOP8SJ)) )) (net (rename un6_ex_add_res_s2_1_14 "un6_ex_add_res_s2_1[14]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I304_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I304_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I304_Y_0)) )) (net (rename un6_ex_add_res_s2_14 "un6_ex_add_res_s2[14]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I304_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIOP8SJ)) )) (net N501_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I42_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I103_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I104_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I160_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I159_un1_Y)) )) (net N_8104 (joined (portRef Y (instanceRef r_e_invop2_1_RNILE245)) (portRef B (instanceRef r_e_ldbp2_2_RNIMJ7H8)) )) (net (rename un6_ex_add_res_s2_7 "un6_ex_add_res_s2[7]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I297_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNILE245)) )) (net (rename un6_ex_add_res_s0_7 "un6_ex_add_res_s0[7]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I297_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNILE245)) )) (net N_8124 (joined (portRef Y (instanceRef r_e_invop2_1_RNIFR42C2)) (portRef B (instanceRef r_e_ldbp2_2_RNIU7QJR3)) )) (net un2_rstn_6_0_2 (joined (portRef Y (instanceRef r_x_rstate_0_RNIIKBS31_1)) (portRef A (instanceRef r_x_rstate_0_RNIHLVBE1_1_1)) (portRef B (instanceRef r_x_rstate_0_RNIHLVBE1_0_1)) )) (net un6_fe_npcsel_0_s1 (joined (portRef Y (instanceRef r_a_bp_RNIHMB973)) (portRef A (instanceRef r_f_pc_RNO_3_4)) (portRef A (instanceRef r_f_pc_RNO_3_9)) (portRef A (instanceRef r_f_pc_RNO_3_10)) (portRef A (instanceRef r_f_pc_RNO_3_11)) (portRef A (instanceRef r_f_pc_RNO_3_12)) (portRef A (instanceRef r_f_pc_RNO_6_13)) (portRef A (instanceRef r_f_pc_RNO_3_14)) (portRef A (instanceRef r_f_pc_RNO_6_17)) (portRef A (instanceRef r_f_pc_RNO_3_18)) (portRef A (instanceRef r_f_pc_RNO_3_20)) (portRef A (instanceRef r_f_pc_RNO_6_21)) (portRef A (instanceRef r_f_pc_RNO_6_25)) (portRef A (instanceRef r_f_pc_RNO_3_26)) (portRef A (instanceRef r_f_pc_RNO_3_27)) (portRef A (instanceRef r_f_pc_RNO_3_29)) )) (net (rename pc_4_15 "pc_4[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_15)) (portRef B (instanceRef r_f_pc_RNO_1_15)) )) (net (rename un6_fe_npc3_13 "un6_fe_npc3[13]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_77)) (portRef A (instanceRef r_f_pc_RNO_10_15)) (portRef A (instanceRef r_f_pc_RNO_6_15)) )) (net N_5201 (joined (portRef Y (instanceRef r_f_pc_RNO_11_15)) (portRef B (instanceRef r_f_pc_RNO_6_15)) )) (net N_5171 (joined (portRef Y (instanceRef r_f_pc_RNO_15_15)) (portRef A (instanceRef r_f_pc_RNO_11_15)) )) (net (rename un6_fe_npc0_13 "un6_fe_npc0[13]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_77)) (portRef B (instanceRef r_f_pc_RNO_3_15)) )) (net (rename pc_m_15 "pc_m[15]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_15)) (portRef B (instanceRef r_f_pc_RNO_4_15)) )) (net (rename pc_0_23 "pc_0[23]") (joined (portRef Q (instanceRef r_a_ctrl_pc_23)) (portRef B (instanceRef un6_fe_npc_1_I_136)) (portRef B (instanceRef un6_fe_npc_1_I_139)) (portRef B (instanceRef un6_fe_npc_1_I_146)) (portRef A (instanceRef un6_fe_npc_1_I_159)) (portRef D (instanceRef r_e_ctrl_pc_23)) (portRef B (instanceRef r_m_ctrl_pc_RNI8HM9_23)) (portRef A (instanceRef ir_addr_RNO_5_23)) )) (net (rename pc_2_23 "pc_2[23]") (joined (portRef Q (instanceRef r_m_ctrl_pc_23)) (portRef D (instanceRef r_x_ctrl_pc_23)) (portRef A (instanceRef r_m_ctrl_pc_RNI8HM9_23)) (portRef A (instanceRef ir_addr_RNO_4_23)) )) (net (rename shiftin_5_53 "shiftin_5[53]") (joined (portRef Y (instanceRef r_e_shleft_RNIGA281)) (portRef A (instanceRef r_e_shleft_RNI45S23)) )) (net (rename shiftin_5_24 "shiftin_5[24]") (joined (portRef Y (instanceRef r_e_shleft_RNI9UEF)) (portRef B (instanceRef r_e_shleft_RNIFQI42)) (portRef A (instanceRef r_e_shleft_RNI22VB1)) )) (net (rename shiftin_5_56 "shiftin_5[56]") (joined (portRef Y (instanceRef r_e_shleft_RNIMM281)) (portRef A (instanceRef r_e_shleft_RNISI6T2)) )) (net (rename shiftin_5_40 "shiftin_5[40]") (joined (portRef Y (instanceRef r_e_shleft_RNI4PSU)) (portRef A (instanceRef r_e_shleft_RNIFQI42)) (portRef B (instanceRef r_e_shleft_RNISI6T2)) )) (net (rename shiftin_5_8 "shiftin_5[8]") (joined (portRef Y (instanceRef r_e_shleft_RNIN096)) (portRef B (instanceRef r_e_shleft_RNI22VB1)) )) (net (rename shiftin_8_40 "shiftin_8[40]") (joined (portRef Y (instanceRef r_e_shleft_RNISI6T2)) (portRef A (instanceRef r_e_shcnt_RNI98JG6_3)) )) (net (rename shiftin_5_i_0 "shiftin_5_i[0]") (joined (portRef Y (instanceRef r_e_shleft_RNI7086)) (portRef B (instanceRef r_e_shleft_RNII5UB1)) )) (net (rename shiftin_11_0 "shiftin_11[0]") (joined (portRef Y (instanceRef r_e_shcnt_RNIL64E3_3)) (portRef B (instanceRef r_e_shcnt_RNIL5OC8_2)) )) (net (rename shiftin_8_0 "shiftin_8[0]") (joined (portRef Y (instanceRef r_e_shleft_RNII5UB1)) (portRef B (instanceRef r_e_shcnt_RNIL64E3_3)) )) (net (rename shiftin_14_0 "shiftin_14[0]") (joined (portRef Y (instanceRef r_e_shcnt_RNIL5OC8_2)) (portRef B (instanceRef r_e_shcnt_RNI7AJUH_1)) )) (net (rename wim_0 "wim[0]") (joined (portRef Q (instanceRef r_w_s_wim_0)) (portRef A (instanceRef r_w_s_wim_RNI4A9TT_0)) (portRef A (instanceRef r_w_s_wim_RNIAII81_0)) (portRef A (instanceRef r_w_s_wim_RNIV7RJ2_0)) )) (net (rename shiftin_17_m_1 "shiftin_17_m[1]") (joined (portRef Y (instanceRef r_e_jmpl_RNIP1DTJ)) (portRef B (instanceRef r_e_jmpl_RNIMG2FN1)) )) (net (rename result_0_17 "result_0[17]") (joined (portRef Q (instanceRef r_w_result_17)) (portRef B (instanceRef r_w_result_RNIRA95_17)) (portRef B (instanceRef r_e_op2_RNO_4_17)) )) (net (rename bpdata_17 "bpdata[17]") (joined (portRef Y (instanceRef r_x_result_RNIGJSC3_17)) (portRef B (instanceRef r_x_result_RNI79ES3_17)) (portRef A (instanceRef r_x_result_RNIQALU3_17)) )) (net N448_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I18_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I57_Y)) )) (net (rename tt_0_6 "tt_0[6]") (joined (portRef Q (instanceRef dsur_tt_6)) (portRef A (instanceRef dsur_tt_RNO_0_6)) (portRef A (instanceRef dsur_tt_RNI7B161_6)) )) (net (rename aop2_22 "aop2[22]") (joined (portRef Y (instanceRef r_e_op2_RNO_22)) (portRef D (instanceRef r_e_op2_22)) )) (net (rename d_1_22 "d_1[22]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_22)) (portRef A (instanceRef r_e_op2_RNO_22)) )) (net N_4799 (joined (portRef Y (instanceRef r_e_op2_RNO_1_22)) (portRef A (instanceRef r_e_op2_RNO_0_22)) )) (net N_4863 (joined (portRef Y (instanceRef r_e_op2_RNO_2_22)) (portRef B (instanceRef r_e_op2_RNO_0_22)) )) (net N_4735 (joined (portRef Y (instanceRef r_e_op2_RNO_3_22)) (portRef A (instanceRef r_e_op2_RNO_1_22)) )) (net N_4767 (joined (portRef Y (instanceRef r_e_op2_RNO_4_22)) (portRef B (instanceRef r_e_op2_RNO_1_22)) )) (net (rename result_0_22 "result_0[22]") (joined (portRef Q (instanceRef r_w_result_22)) (portRef B (instanceRef r_w_result_RNILI95_22)) (portRef B (instanceRef r_e_op2_RNO_4_22)) )) (net (rename data2_22 "data2[22]") (joined (portRef (member data2 9)) (portRef A (instanceRef r_e_op2_RNO_3_22)) )) (net (rename imm_22 "imm[22]") (joined (portRef Q (instanceRef r_a_imm_22)) (portRef B (instanceRef r_e_op2_RNO_3_22)) )) (net N_5301 (joined (portRef Y (instanceRef r_x_data_0_RNICJ9E_22)) (portRef B (instanceRef r_e_op2_RNIU6OP_22)) )) (net N_4831 (joined (portRef Y (instanceRef r_e_op2_RNO_5_22)) (portRef A (instanceRef r_e_op2_RNO_2_22)) )) (net (rename un1_p0_6_374 "un1_p0_6[374]") (joined (portRef Y (instanceRef r_x_result_RNISUED_22)) (portRef B (instanceRef r_a_rsel1_0_RNIAOJOF5_1)) (portRef A (instanceRef r_x_result_RNIGKJDS_22)) (portRef B (instanceRef r_x_result_RNI6BSC3_22)) (portRef B (instanceRef r_e_op2_RNO_2_22)) )) (net N463_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I23_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I47_Y_0_o3)) )) (net N463_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I23_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I47_Y_0_o3)) )) (net N_8118 (joined (portRef Y (instanceRef r_e_invop2_1_RNI18MQG1)) (portRef B (instanceRef r_e_ldbp2_2_RNI78TJG2)) )) (net (rename aop2_18 "aop2[18]") (joined (portRef Y (instanceRef r_e_op2_RNO_18)) (portRef D (instanceRef r_e_op2_18)) )) (net (rename d_1_18 "d_1[18]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_18)) (portRef A (instanceRef r_e_op2_RNO_18)) )) (net N_4795 (joined (portRef Y (instanceRef r_e_op2_RNO_1_18)) (portRef A (instanceRef r_e_op2_RNO_0_18)) )) (net N_4859 (joined (portRef Y (instanceRef r_e_op2_RNO_2_18)) (portRef B (instanceRef r_e_op2_RNO_0_18)) )) (net (rename result_RNI2NED_18 "result_RNI2NED[18]") (joined (portRef Y (instanceRef r_x_result_RNI2NED_18)) (portRef B (instanceRef r_a_rsel1_RNIDQ5PN4_1)) (portRef B (instanceRef r_x_result_RNIKNSC3_18)) (portRef A (instanceRef r_x_result_RNIMCJDS_18)) (portRef B (instanceRef r_e_op2_RNO_2_18)) )) (net N_4731 (joined (portRef Y (instanceRef r_e_op2_RNO_3_18)) (portRef A (instanceRef r_e_op2_RNO_1_18)) )) (net N_4763 (joined (portRef Y (instanceRef r_e_op2_RNO_4_18)) (portRef B (instanceRef r_e_op2_RNO_1_18)) )) (net (rename result_18 "result[18]") (joined (portRef Q (instanceRef r_w_result_18)) (portRef B (instanceRef r_w_result_RNIGRK2_18)) (portRef B (instanceRef r_e_op2_RNO_4_18)) )) (net (rename data2_18 "data2[18]") (joined (portRef (member data2 13)) (portRef A (instanceRef r_e_op2_RNO_3_18)) )) (net (rename imm_18 "imm[18]") (joined (portRef Q (instanceRef r_a_imm_18)) (portRef B (instanceRef r_e_op2_RNO_3_18)) )) (net svt_1 (joined (portRef Y (instanceRef r_w_s_svt_RNO)) (portRef D (instanceRef r_w_s_svt)) )) (net dwt_2_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNIHNGG2_0)) (portRef A (instanceRef r_w_s_dwt_RNO_1)) (portRef A (instanceRef r_w_s_svt_RNO)) )) (net (rename ddata_13 "ddata[13]") (joined (portRef (member ddata 18)) (portRef B (instanceRef ir_addr_RNO_5_13)) (portRef B (instanceRef r_m_dci_enaddr_RNI63F32)) (portRef A (instanceRef r_x_rstate_RNI0K1E_0)) (portRef B (instanceRef r_w_s_tba_RNO_1)) (portRef B (instanceRef r_w_s_y_RNO_2_13)) (portRef B (instanceRef r_w_s_svt_RNO)) (portRef A (instanceRef r_f_pc_RNO_13_13)) )) (net N_6360 (joined (portRef Y (instanceRef rp_pwd_RNO_0)) (portRef B (instanceRef rp_pwd_RNO)) )) (net pwd_0_sqmuxa_0 (joined (portRef Y (instanceRef rp_pwd_RNO_1)) (portRef C (instanceRef rp_pwd_RNO_0)) )) (net (rename npc_0_RNITUN8S_0_0 "npc_0_RNITUN8S_0[0]") (joined (portRef Y (instanceRef r_x_npc_0_RNITUN8S_0_0)) (portRef B (instanceRef ir_addr_RNO_3_9)) (portRef B (instanceRef ir_addr_RNO_0_13)) (portRef B (instanceRef ir_addr_RNO_0_14)) (portRef B (instanceRef ir_addr_RNO_0_21)) (portRef B (instanceRef ir_addr_RNO_3_6)) (portRef B (instanceRef ir_addr_RNO_3_4)) (portRef B (instanceRef ir_addr_RNO_3_12)) (portRef B (instanceRef ir_addr_RNO_0_8)) (portRef B (instanceRef ir_addr_RNO_0_28)) (portRef B (instanceRef ir_addr_RNO_3_25)) (portRef B (instanceRef ir_addr_RNO_0_2)) (portRef B (instanceRef ir_addr_RNO_0_5)) (portRef B (instanceRef ir_addr_RNO_0_22)) (portRef B (instanceRef ir_addr_RNO_0_31)) (portRef B (instanceRef ir_addr_RNO_0_17)) )) (net (rename fpcwr_1_sqmuxaZ0 "fpcwr_1_sqmuxa") (joined (portRef Y (instanceRef fpcwr_1_sqmuxa)) (portRef A (instanceRef r_x_rstate_RNICIRC2_6_0)) (portRef A (instanceRef s_et_1_sqmuxa)) )) (net ipend_0 (joined (portRef Q (instanceRef r_x_ipend)) (portRef A (instanceRef rp_pwd_RNO_1)) )) (net pwd_RNO (joined (portRef Y (instanceRef rp_pwd_RNO)) (portRef D (instanceRef rp_pwd)) )) (net fpcwr_4_sqmuxa_1 (joined (portRef Y (instanceRef r_x_rstate_RNICIRC2_6_0)) (portRef S (instanceRef r_w_s_pil_RNO_3)) (portRef S (instanceRef r_w_s_pil_RNO_0)) (portRef S (instanceRef r_w_s_pil_RNO_2)) (portRef S (instanceRef r_w_s_pil_RNO_1)) (portRef B (instanceRef r_x_rstate_RNIRB1F2_0)) (portRef B (instanceRef r_x_rstate_RNIHNGG2_0_0)) (portRef A (instanceRef r_x_rstate_RNISB1F2_0)) )) (net fpcwr_3_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNICIRC2_3_0)) (portRef A (instanceRef r_w_s_y_RNO_2_14)) (portRef A (instanceRef r_w_s_y_RNO_2_9)) (portRef A (instanceRef r_w_s_y_RNO_2_24)) (portRef A (instanceRef r_w_s_y_RNO_2_0)) (portRef A (instanceRef r_w_s_y_RNO_2_10)) (portRef A (instanceRef r_w_s_y_RNO_2_25)) (portRef A (instanceRef r_w_s_y_RNO_2_31)) (portRef A (instanceRef r_w_s_y_RNO_2_27)) (portRef A (instanceRef r_w_s_y_RNO_2_4)) (portRef A (instanceRef r_w_s_y_RNO_2_3)) (portRef A (instanceRef r_w_s_y_RNO_2_6)) (portRef A (instanceRef r_w_s_y_RNO_2_12)) (portRef A (instanceRef r_w_s_y_RNO_2_26)) (portRef A (instanceRef r_w_s_y_RNO_2_11)) (portRef A (instanceRef r_w_s_y_RNO_2_30)) (portRef A (instanceRef r_w_s_y_RNO_2_16)) )) (net (rename rstate_liZ0Z_0 "rstate_li[0]") (joined (portRef Y (instanceRef r_x_rstate_RNI31F9_1_0)) (portRef B (instanceRef r_x_rstate_RNI7C2E_0)) (portRef B (instanceRef r_x_rstate_RNICQKB_0)) (portRef B (instanceRef r_x_rstate_RNI1K1E_0)) (portRef B (instanceRef r_x_rstate_RNI3S1E_0)) (portRef B (instanceRef r_x_rstate_RNIV71E_0)) (portRef B (instanceRef r_x_rstate_RNIGQKB_0)) (portRef B (instanceRef r_x_rstate_RNIIQKB_0)) (portRef A (instanceRef r_x_rstate_RNIEL4NS_0)) (portRef B (instanceRef r_x_rstate_RNI682E_0)) (portRef B (instanceRef r_x_rstate_RNIFQKB_0)) (portRef C (instanceRef r_x_rstate_RNICIRC2_0_0)) (portRef B (instanceRef r_x_rstate_RNIJQKB_0)) (portRef B (instanceRef r_x_rstate_RNIVB1E_0)) (portRef B (instanceRef r_x_rstate_RNI0K1E_0)) (portRef S (instanceRef r_m_dci_asi_RNO_0_4)) (portRef S (instanceRef r_m_dci_asi_RNO_0_3)) (portRef B (instanceRef r_x_rstate_RNIVF1E_0)) (portRef B (instanceRef r_x_rstate_RNI302E_0)) (portRef B (instanceRef r_x_rstate_RNI2S1E_0)) )) (net N_7730 (joined (portRef Y (instanceRef r_x_rstate_RNO_0)) (portRef D (instanceRef r_x_rstate_0)) )) (net N_7734 (joined (portRef Y (instanceRef r_x_rstate_RNINNC8_1)) (portRef B (instanceRef r_x_rstate_RNI6C241_0)) (portRef A (instanceRef r_x_rstate_RNO_0)) )) (net N_7741 (joined (portRef Y (instanceRef r_x_rstate_RNIFKLR_0)) (portRef A (instanceRef r_x_rstate_RNI6C241_0)) (portRef C (instanceRef r_x_rstate_RNO_0)) )) (net rstate_13_1 (joined (portRef Y (instanceRef r_x_rstate_RNICIRC2_0)) (portRef A (instanceRef r_w_s_ps_RNIO8AJN2)) (portRef A (instanceRef r_x_rstate_RNIRL9E01_0)) (portRef C (instanceRef r_x_rstate_RNIUG25T1_0)) )) (net xc_wreg_1_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNIOFNVR_1_0)) (portRef S (instanceRef r_x_ctrl_wreg_RNIDRS0Q1)) (portRef A (instanceRef r_w_s_et_RNIRPC3U)) (portRef A (instanceRef r_x_rstate_RNIL6RNT_0)) (portRef B (instanceRef ir_pwd_RNO_0)) )) (net s_3_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNIOFNVR_0_0)) (portRef A (instanceRef r_w_s_cwp_RNITMCFS_0)) (portRef B (instanceRef r_x_ctrl_pc_RNIVKJ3S_29)) (portRef B (instanceRef r_x_ctrl_pc_RNICFV2S_8)) (portRef A (instanceRef r_w_s_cwp_RNIUMCFS_1)) (portRef B (instanceRef r_x_ctrl_pc_RNIQ4J3S_15)) (portRef B (instanceRef r_x_ctrl_pc_RNINGI3S_30)) (portRef B (instanceRef r_x_ctrl_pc_RNI8FV2S_4)) (portRef B (instanceRef r_x_ctrl_pc_RNIAFV2S_6)) (portRef A (instanceRef r_w_s_cwp_RNIVMCFS_2)) (portRef B (instanceRef r_x_ctrl_pc_RNIPSI3S_23)) (portRef B (instanceRef r_x_ctrl_pc_RNIR4J3S_25)) (portRef S (instanceRef r_w_s_tt_RNO_0_7)) (portRef S (instanceRef r_w_s_tt_RNO_0_3)) (portRef S (instanceRef r_w_s_tt_RNO_0_4)) (portRef B (instanceRef r_x_ctrl_pc_RNIUGJ3S_28)) (portRef S (instanceRef r_w_s_tt_RNO_0_5)) (portRef B (instanceRef r_x_ctrl_pc_RNI7FV2S_3)) (portRef B (instanceRef r_x_ctrl_pc_RNIOKI3S_31)) (portRef B (instanceRef r_x_ctrl_pc_RNINKI3S_21)) (portRef B (instanceRef r_x_ctrl_pc_RNINOI3S_12)) (portRef B (instanceRef r_x_ctrl_pc_RNIR8J3S_16)) )) (net (rename rstate_RNIKL40S_0_0 "rstate_RNIKL40S_0[0]") (joined (portRef Y (instanceRef r_x_rstate_RNIKL40S_0_0)) (portRef B (instanceRef r_x_ctrl_rd_RNINLD3S_4)) (portRef B (instanceRef r_x_result_RNIPABES_29)) (portRef B (instanceRef r_x_result_RNIFJHBS_8)) (portRef B (instanceRef r_x_ctrl_rd_RNIOLD3S_5)) (portRef B (instanceRef r_x_result_RNIB2BES_15)) (portRef A (instanceRef r_x_result_RNIBD4NS_0)) (portRef A (instanceRef r_x_ctrl_rd_RNIJLD3S_0)) (portRef B (instanceRef r_x_result_RNIIKJDS_23)) (portRef B (instanceRef r_x_result_RNIHABES_25)) (portRef B (instanceRef r_x_result_RNIDIBES_30)) (portRef B (instanceRef r_x_result_RNICTOAS_4)) (portRef B (instanceRef r_x_result_RNIB3HBS_6)) (portRef B (instanceRef r_x_ctrl_rd_RNIPLD3S_6)) (portRef A (instanceRef r_x_ctrl_rd_RNIDHCQT_3)) (portRef A (instanceRef r_x_ctrl_rd_RNIBDCQT_2)) (portRef B (instanceRef r_x_result_RNI1RFBS_1)) (portRef B (instanceRef r_x_result_RNISKJDS_28)) (portRef B (instanceRef r_x_result_RNIILPAS_7)) (portRef B (instanceRef r_x_result_RNI5BGBS_3)) )) (net annul_all2_1 (joined (portRef Y (instanceRef r_x_ctrl_trap_RNIATGCN1)) (portRef B (instanceRef r_x_rstate_RNIV3C4L2_0)) )) (net N_5825 (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_8)) (portRef B (instanceRef r_d_inst_0_RNO_8)) )) (net (rename data_0_2_7 "data_0_2[8]") (joined (portRef data_0_2_7) (portRef A (instanceRef r_d_inst_0_RNO_0_8)) )) (net N_3871 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIKAIF_18)) (portRef A (instanceRef r_x_npc_0_RNILTU61_0)) )) (net (rename pc_0_18 "pc_0[18]") (joined (portRef Q (instanceRef r_x_ctrl_pc_18)) (portRef A (instanceRef ir_addr_RNO_3_18)) (portRef A (instanceRef r_x_ctrl_pc_RNITGJ3S_18)) (portRef A (instanceRef r_x_ctrl_pc_RNIKAIF_18)) )) (net N_3901 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI5AIF_18)) (portRef B (instanceRef r_x_npc_0_RNILTU61_0)) )) (net (rename pc_2_18 "pc_2[18]") (joined (portRef Q (instanceRef r_m_ctrl_pc_18)) (portRef D (instanceRef r_x_ctrl_pc_18)) (portRef A (instanceRef ir_addr_RNO_4_18)) (portRef A (instanceRef r_m_ctrl_pc_RNI5AIF_18)) )) (net (rename pc_3_18 "pc_3[18]") (joined (portRef Q (instanceRef r_a_ctrl_pc_18)) (portRef B (instanceRef un6_fe_npc_1_I_98)) (portRef B (instanceRef un6_fe_npc_1_I_101)) (portRef B (instanceRef un6_fe_npc_1_I_108)) (portRef D (instanceRef r_e_ctrl_pc_18)) (portRef A (instanceRef ir_addr_RNO_5_18)) (portRef B (instanceRef r_m_ctrl_pc_RNI5AIF_18)) )) (net (rename y_2_18 "y_2[18]") (joined (portRef Q (instanceRef r_x_y_18)) (portRef B (instanceRef r_m_y_RNO_4_18)) (portRef B (instanceRef r_w_s_y_RNO_3_18)) )) (net (rename result_0_18 "result_0[18]") (joined (portRef Q (instanceRef r_x_result_18)) (portRef B (instanceRef r_w_s_tba_RNO_0_6)) (portRef A (instanceRef r_x_result_RNI2NED_18)) (portRef B (instanceRef r_w_s_y_RNO_1_18)) )) (net (rename ddata_18 "ddata[18]") (joined (portRef (member ddata 13)) (portRef B (instanceRef r_f_pc_RNO_14_18)) (portRef B (instanceRef r_m_dci_enaddr_RNIBNF32)) (portRef B (instanceRef r_w_s_tba_RNO_6)) (portRef B (instanceRef ir_addr_RNO_1_18)) (portRef B (instanceRef r_w_s_y_RNO_2_18)) (portRef A (instanceRef r_x_rstate_RNI582E_0)) )) (net (rename un3_de_ren1_133 "un3_de_ren1[133]") (joined (portRef Y (instanceRef r_a_imm_RNO_18)) (portRef D (instanceRef r_a_imm_18)) )) (net (rename un3_de_ren1_144 "un3_de_ren1[144]") (joined (portRef Y (instanceRef r_a_imm_RNO_29)) (portRef D (instanceRef r_a_imm_29)) )) (net (rename un3_de_ren1_123 "un3_de_ren1[123]") (joined (portRef Y (instanceRef r_a_imm_RNO_8)) (portRef D (instanceRef r_a_imm_8)) )) (net (rename inst_0_RNO_8 "inst_0_RNO[8]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_8)) (portRef D (instanceRef r_d_inst_0_8)) )) (net N_3875 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIAQGF_22)) (portRef A (instanceRef r_x_npc_0_RNI1TR61_0)) )) (net (rename pc_0_22 "pc_0[22]") (joined (portRef Q (instanceRef r_x_ctrl_pc_22)) (portRef A (instanceRef ir_addr_RNO_0_22)) (portRef A (instanceRef r_x_ctrl_pc_RNIOOI3S_22)) (portRef A (instanceRef r_x_ctrl_pc_RNIAQGF_22)) )) (net N_3905 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIRPGF_22)) (portRef B (instanceRef r_x_npc_0_RNI1TR61_0)) )) (net (rename pc_2_22 "pc_2[22]") (joined (portRef Q (instanceRef r_m_ctrl_pc_22)) (portRef D (instanceRef r_x_ctrl_pc_22)) (portRef A (instanceRef ir_addr_RNO_4_22)) (portRef A (instanceRef r_m_ctrl_pc_RNIRPGF_22)) )) (net (rename pc_3_22 "pc_3[22]") (joined (portRef Q (instanceRef r_a_ctrl_pc_22)) (portRef B (instanceRef un6_fe_npc_1_I_129)) (portRef C (instanceRef un6_fe_npc_1_I_132)) (portRef D (instanceRef r_e_ctrl_pc_22)) (portRef A (instanceRef ir_addr_RNO_5_22)) (portRef B (instanceRef r_m_ctrl_pc_RNIRPGF_22)) )) (net (rename ddata_22 "ddata[22]") (joined (portRef (member ddata 9)) (portRef B (instanceRef r_f_pc_RNO_14_22)) (portRef B (instanceRef r_m_dci_enaddr_RNI6VE32)) (portRef B (instanceRef r_w_s_tba_RNO_10)) (portRef A (instanceRef r_w_s_icc_RNO_2_2)) (portRef B (instanceRef r_w_s_y_RNO_2_22)) (portRef A (instanceRef r_x_rstate_RNI0G1E_0)) (portRef B (instanceRef ir_addr_RNO_1_22)) )) (net N_4567 (joined (portRef Y (instanceRef r_w_result_RNILI95_22)) (portRef B (instanceRef r_a_rsel1_0_RNI201L2_1)) )) (net (rename maddress_22 "maddress[22]") (joined (portRef Q (instanceRef r_m_result_22)) (portRef D (instanceRef r_x_result_22)) (portRef A (instanceRef r_w_result_RNILI95_22)) (portRef A (instanceRef r_e_op2_RNO_4_22)) (portRef (member maddress 9)) )) (net N_4599 (joined (portRef Y (instanceRef r_a_rsel1_0_RNI201L2_1)) (portRef A (instanceRef r_a_rsel1_0_RNI92FGI5_0)) )) (net N_4535 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIF3TC2_2)) (portRef A (instanceRef r_a_rsel1_0_RNI201L2_1)) )) (net N_4663 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIAOJOF5_1)) (portRef B (instanceRef r_a_rsel1_0_RNI92FGI5_0)) )) (net N_4631 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIGFA8F5_2)) (portRef A (instanceRef r_a_rsel1_0_RNIAOJOF5_1)) )) (net (rename d_22 "d[22]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNI92FGI5_0)) (portRef B (instanceRef r_e_op1_RNO_21)) (portRef A (instanceRef r_e_op1_RNO_22)) )) (net (rename y_3_22 "y_3[22]") (joined (portRef Q (instanceRef r_m_y_22)) (portRef D (instanceRef r_x_y_22)) (portRef A (instanceRef r_m_y_RNIP5O71_22)) (portRef A (instanceRef comb_logic_op_y_iv_RNO_4_21)) (portRef A (instanceRef r_m_y_RNO_2_22)) )) (net (rename data1_22 "data1[22]") (joined (portRef (member data1 9)) (portRef A (instanceRef rfo_m_22)) (portRef A (instanceRef r_a_rsel1_0_RNIF3TC2_2)) )) (net (rename data_0_0_14 "data_0_0[14]") (joined (portRef data_0_0_14) (portRef B (instanceRef r_x_data_0_RNO_1_14)) (portRef A (instanceRef r_x_data_0_RNO_0_6)) )) (net N_6264 (joined (portRef Y (instanceRef dsur_tt_RNO_0_6)) (portRef B (instanceRef dsur_tt_RNO_6)) )) (net tt_0_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNI29M2O_0)) (portRef S (instanceRef dsur_err_RNO_0)) (portRef S (instanceRef dsur_tt_RNO_0_0)) (portRef S (instanceRef dsur_tt_RNO_0_1)) (portRef S (instanceRef dsur_tt_RNO_0_2)) (portRef S (instanceRef dsur_tt_RNO_0_3)) (portRef S (instanceRef dsur_tt_RNO_0_4)) (portRef S (instanceRef dsur_tt_RNO_0_5)) (portRef S (instanceRef dsur_tt_RNO_0_7)) (portRef S (instanceRef dsur_tt_RNO_0_6)) )) (net (rename addr_10 "addr[10]") (joined (portRef Q (instanceRef ir_addr_10)) (portRef B (instanceRef ir_addr_RNIIMUB1_10)) (portRef B (instanceRef ir_addr_RNO_2_10)) (portRef B (instanceRef ir_addr_RNIO6091_10)) )) (net (rename result_RNIIMED_10 "result_RNIIMED[10]") (joined (portRef Y (instanceRef r_x_result_RNIIMED_10)) (portRef B (instanceRef r_a_rsel1_0_RNI4R1CT2_1)) (portRef B (instanceRef r_e_op2_RNO_2_10)) (portRef B (instanceRef r_x_result_RNIKMRC3_10)) (portRef A (instanceRef r_x_result_RNI6CJDS_10)) )) (net (rename pc_2_10 "pc_2[10]") (joined (portRef Q (instanceRef r_x_ctrl_pc_10)) (portRef A (instanceRef r_x_ctrl_pc_RNILGI3S_10)) (portRef A (instanceRef r_x_ctrl_pc_RNI4AGF_10)) (portRef A (instanceRef ir_addr_RNO_0_10)) )) (net (rename pc_3_10 "pc_3[10]") (joined (portRef Q (instanceRef r_m_ctrl_pc_10)) (portRef D (instanceRef r_x_ctrl_pc_10)) (portRef A (instanceRef r_m_ctrl_pc_RNIM9GF_10)) (portRef A (instanceRef ir_addr_RNO_4_10)) )) (net (rename tt_RNO_6 "tt_RNO[6]") (joined (portRef Y (instanceRef dsur_tt_RNO_6)) (portRef D (instanceRef dsur_tt_6)) )) (net N_3863 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI4AGF_10)) (portRef A (instanceRef r_x_npc_RNI1C011_0)) )) (net N_3556 (joined (portRef Y (instanceRef r_m_dci_read_RNO_0)) (portRef B (instanceRef r_m_dci_read_RNO)) )) (net read (joined (portRef Y (instanceRef r_m_dci_read_RNO)) (portRef D (instanceRef r_m_dci_read)) )) (net dwrite (joined (portRef dwrite) (portRef A (instanceRef r_m_dci_write_RNO)) (portRef A (instanceRef comb_diagwr_un139_dbgunit)) (portRef A (instanceRef r_m_dci_read_RNO)) (portRef C (instanceRef r_x_rstate_RNIHI881_0)) )) (net (rename rdatav_0_1_1_iv_4_0 "rdatav_0_1_1_iv_4[17]") (joined (portRef rdatav_0_1_1_iv_4_0) (portRef A (instanceRef r_x_data_0_RNO_1_17)) (portRef A (instanceRef r_x_data_0_RNO_4_1)) )) (net (rename ico_m_17 "ico_m[151]") (joined (portRef ico_m_17) (portRef B (instanceRef r_x_data_0_RNO_1_17)) (portRef B (instanceRef r_x_data_0_RNO_4_1)) )) (net (rename data_0_26 "data_0[26]") (joined (portRef data_0_26) (portRef A (instanceRef r_x_data_0_RNO_0_2)) (portRef B (instanceRef r_x_data_0_RNO_1_26)) (portRef B (instanceRef r_x_data_0_RNO_0_10)) )) (net N_5834 (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_17)) (portRef B (instanceRef r_d_inst_0_RNO_17)) )) (net (rename data_0_0_17 "data_0_0[17]") (joined (portRef data_0_0_17) (portRef A (instanceRef r_d_inst_0_RNO_0_17)) )) (net N409_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I17_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I50_Y)) )) (net (rename result_RNI0NED_17 "result_RNI0NED[17]") (joined (portRef Y (instanceRef r_x_result_RNI0NED_17)) (portRef B (instanceRef r_a_rsel1_1_RNI9A9TE4_1)) (portRef B (instanceRef r_e_op2_RNO_2_17)) (portRef A (instanceRef r_x_result_RNIKCJDS_17)) (portRef B (instanceRef r_x_result_RNIGJSC3_17)) )) (net (rename inst_0_RNO_17 "inst_0_RNO[17]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_17)) (portRef D (instanceRef r_d_inst_0_17)) )) (net N475 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I27_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I39_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I41_Y)) )) (net (rename rfa1_RNI9ULD2_4 "rfa1_RNI9ULD2[4]") (joined (portRef Y (instanceRef r_a_rfa1_RNI9ULD2_4)) (portRef A (instanceRef r_a_rfa1_RNIDHNQ3_4)) )) (net (rename un3_de_ren1_94 "un3_de_ren1[94]") (joined (portRef Y (instanceRef r_d_inst_0_RNI1MGU1_17)) (portRef D (instanceRef r_a_rfa1_4)) (portRef B (instanceRef r_a_ctrl_rd_RNIDLP12_4)) (portRef B (instanceRef r_e_ctrl_rd_RNIHLP12_4)) (portRef B (instanceRef r_m_ctrl_rd_RNIPLP12_4)) (portRef A (instanceRef r_a_rfa1_RNI9ULD2_4)) )) (net (rename rfa1_4 "rfa1[4]") (joined (portRef Q (instanceRef r_a_rfa1_4)) (portRef B (instanceRef r_a_rfa1_RNI9ULD2_4)) )) (net (rename rfa1_RNIKLSH2_5 "rfa1_RNIKLSH2[5]") (joined (portRef Y (instanceRef r_a_rfa1_RNIKLSH2_5)) (portRef A (instanceRef r_a_rfa1_RNIPCUU3_5)) )) (net (rename rfa1_5 "rfa1[5]") (joined (portRef Q (instanceRef r_a_rfa1_5)) (portRef B (instanceRef r_a_rfa1_RNIKLSH2_5)) )) (net (rename rfa1_RNI1D3M2_6 "rfa1_RNI1D3M2[6]") (joined (portRef Y (instanceRef r_a_rfa1_RNI1D3M2_6)) (portRef A (instanceRef r_a_rfa1_RNI78534_6)) )) (net (rename rfa1_6 "rfa1[6]") (joined (portRef Q (instanceRef r_a_rfa1_6)) (portRef B (instanceRef r_a_rfa1_RNI1D3M2_6)) )) (net (rename rd_0_6 "rd_0[6]") (joined (portRef Q (instanceRef r_m_ctrl_rd_6)) (portRef D (instanceRef r_x_ctrl_rd_6)) (portRef A (instanceRef r_m_ctrl_rd_RNI8O544_6)) (portRef A (instanceRef r_m_ctrl_rd_RNIHS6A2_6)) )) (net ncwp_0_sqmuxa (joined (portRef Y (instanceRef r_d_cwp_RNI95GF_0_2)) (portRef A (instanceRef r_d_cwp_RNIA6E53_2)) (portRef A (instanceRef r_d_cwp_RNID8722_2)) )) (net ncwp_1_sqmuxa (joined (portRef Y (instanceRef r_d_cwp_RNI95GF_1_2)) (portRef B (instanceRef un1_r_d_cwp_1_I_5)) (portRef B (instanceRef un1_r_d_cwp_1_I_7)) (portRef B (instanceRef un1_r_d_cwp_1_I_10)) (portRef B (instanceRef un1_r_d_cwp_1_I_11)) (portRef B (instanceRef r_d_cwp_RNIIA0V_2)) )) (net (rename ncwp_5_0 "ncwp_5[0]") (joined (portRef Y (instanceRef r_d_cwp_RNI6K311_0)) (portRef A (instanceRef r_d_cwp_RNIF04K1_0)) (portRef S (instanceRef r_d_cwp_RNI5T66G7_0)) )) (net un1_ncwp_1_sqmuxa (joined (portRef Y (instanceRef r_d_cwp_RNIIA0V_2)) (portRef S (instanceRef r_d_cwp_RNIA6E53_2)) (portRef S (instanceRef r_d_cwp_RNID8722_2)) (portRef A (instanceRef r_d_cwp_RNI6K311_0)) )) (net N_5096 (joined (portRef Y (instanceRef r_w_s_wim_RNI824U32_0)) (portRef B (instanceRef r_w_s_wim_RNI9TFU94_0)) )) (net (rename wim_1_4 "wim_1[4]") (joined (portRef Y (instanceRef r_w_s_wim_RNI56BC01_4)) (portRef D (instanceRef r_w_s_wim_4)) (portRef A (instanceRef r_w_s_wim_RNI824U32_0)) )) (net (rename wim_1_0 "wim_1[0]") (joined (portRef Y (instanceRef r_w_s_wim_RNIPLAC01_0)) (portRef D (instanceRef r_w_s_wim_0)) (portRef B (instanceRef r_w_s_wim_RNI824U32_0)) )) (net (rename ncwp_5_2 "ncwp_5[2]") (joined (portRef Y (instanceRef r_d_cwp_RNIA6E53_2)) (portRef A (instanceRef r_d_cwp_RNILIEO3_2)) (portRef S (instanceRef r_w_s_wim_RNIKI4U32_2)) (portRef S (instanceRef r_w_s_wim_RNI824U32_0)) )) (net N_5097 (joined (portRef Y (instanceRef r_w_s_wim_RNIKI4U32_2)) (portRef A (instanceRef r_w_s_wim_RNI9TFU94_0)) )) (net (rename wim_1_6 "wim_1[6]") (joined (portRef Y (instanceRef r_w_s_wim_RNIBEBC01_6)) (portRef D (instanceRef r_w_s_wim_6)) (portRef A (instanceRef r_w_s_wim_RNIKI4U32_2)) )) (net (rename wim_1_2 "wim_1[2]") (joined (portRef Y (instanceRef r_w_s_wim_RNIVTAC01_2)) (portRef D (instanceRef r_w_s_wim_2)) (portRef B (instanceRef r_w_s_wim_RNIKI4U32_2)) )) (net N_5098 (joined (portRef Y (instanceRef r_w_s_wim_RNI9TFU94_0)) (portRef A (instanceRef r_d_cwp_RNI5T66G7_0)) )) (net (rename ncwp_5_1 "ncwp_5[1]") (joined (portRef Y (instanceRef r_d_cwp_RNID8722_2)) (portRef A (instanceRef r_d_cwp_RNINK7L2_1)) (portRef S (instanceRef r_w_s_wim_RNI9TFU94_0)) )) (net N_5099 (joined (portRef Y (instanceRef r_w_s_wim_RNIJQJF22_1)) (portRef A (instanceRef r_w_s_wim_RNIMBJ653_1)) )) (net (rename wim_1_1 "wim_1[1]") (joined (portRef Y (instanceRef r_w_s_wim_RNISPAC01_1)) (portRef D (instanceRef r_w_s_wim_1)) (portRef A (instanceRef r_w_s_wim_RNIJQJF22_1)) )) (net (rename wim_1_5 "wim_1[5]") (joined (portRef Y (instanceRef r_w_s_wim_RNI8ABC01_5)) (portRef D (instanceRef r_w_s_wim_5)) (portRef B (instanceRef r_w_s_wim_RNIJQJF22_1)) )) (net I_14_0 (joined (portRef Y (instanceRef un1_r_d_cwp_1_I_14)) (portRef B (instanceRef r_d_cwp_RNIA6E53_2)) (portRef B (instanceRef r_w_s_wim_RNIHO8321_3)) (portRef S (instanceRef r_w_s_wim_RNIJQJF22_1)) )) (net N_5101 (joined (portRef Y (instanceRef r_w_s_wim_RNIMBJ653_1)) (portRef B (instanceRef r_d_cwp_RNI5T66G7_0)) )) (net N_5100_i (joined (portRef Y (instanceRef r_w_s_wim_RNIHO8321_3)) (portRef B (instanceRef r_w_s_wim_RNIMBJ653_1)) )) (net I_13_0 (joined (portRef Y (instanceRef un1_r_d_cwp_1_I_13)) (portRef B (instanceRef r_d_cwp_RNID8722_2)) (portRef S (instanceRef r_w_s_wim_RNIMBJ653_1)) )) (net un25_op_i (joined (portRef Y (instanceRef r_d_cwp_RNI5T66G7_0)) (portRef B (instanceRef r_a_wovf_RNO)) (portRef C (instanceRef r_a_wunf_RNO)) )) (net (rename wim_1_3 "wim_1[3]") (joined (portRef Y (instanceRef r_w_s_wim_RNI22BC01_3)) (portRef D (instanceRef r_w_s_wim_3)) (portRef A (instanceRef r_w_s_wim_RNIHO8321_3)) )) (net (rename ncwp_0 "ncwp[0]") (joined (portRef Y (instanceRef r_d_cwp_RNIF04K1_0)) (portRef A (instanceRef un68_ra_I_1)) (portRef A (instanceRef un68_ra_I_9)) (portRef A (instanceRef r_d_cwp_RNO_0_0)) )) (net (rename ncwp_2 "ncwp[2]") (joined (portRef Y (instanceRef r_d_cwp_RNILIEO3_2)) (portRef A (instanceRef un68_ra_I_14)) (portRef A (instanceRef r_d_cwp_RNO_0_2)) )) (net N_212 (joined (portRef Y (instanceRef r_d_inst_0_0_0_RNIHK9C_21)) (portRef A (instanceRef r_d_inst_0_0_0_RNIQA2N_21)) (portRef B (instanceRef r_a_jmpl_RNO)) (portRef B (instanceRef r_d_inst_0_RNIGMQD_20)) (portRef B (instanceRef r_a_nobp_RNO_1)) )) (net N_3989 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIQP7S_27)) (portRef B (instanceRef r_e_ctrl_inst_RNI73TK1_26)) )) (net branch_3 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIQGCC_28)) (portRef A (instanceRef r_e_ctrl_inst_RNIQP7S_27)) )) (net branch_7 (joined (portRef Y (instanceRef r_m_icc_RNIJ8Q8_3)) (portRef B (instanceRef r_e_ctrl_inst_RNIQP7S_27)) )) (net (rename inst_1_27 "inst_1[27]") (joined (portRef Q (instanceRef r_e_ctrl_inst_27)) (portRef D (instanceRef r_m_ctrl_inst_27)) (portRef S (instanceRef r_e_ctrl_inst_RNIFHLO_27)) (portRef B (instanceRef r_e_ctrl_inst_RNI1DKH_27)) (portRef S (instanceRef r_e_ctrl_inst_RNIKLEQ_27)) (portRef S (instanceRef r_e_ctrl_inst_RNIQP7S_27)) )) (net N_3992 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIKLEQ_27)) (portRef B (instanceRef r_e_ctrl_inst_RNIF35Q1_26)) )) (net branch_4 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIMCJA_28)) (portRef A (instanceRef r_e_ctrl_inst_RNIKLEQ_27)) )) (net branch_8 (joined (portRef Y (instanceRef r_m_icc_RNIH8Q8_1)) (portRef B (instanceRef r_e_ctrl_inst_RNIKLEQ_27)) )) (net un5_branch_i (joined (portRef Y (instanceRef r_m_icc_RNI88I3_3)) (portRef B (instanceRef r_m_icc_RNIJES6_2)) (portRef B (instanceRef r_d_inst_0_RNIFA35_28)) (portRef B (instanceRef r_a_ctrl_inst_RNIICJA_28)) (portRef B (instanceRef r_a_ctrl_inst_RNIMGCC_28)) (portRef B (instanceRef r_e_ctrl_inst_RNIMCJA_28)) (portRef B (instanceRef r_e_ctrl_inst_RNIQGCC_28)) )) (net (rename inst_1_28 "inst_1[28]") (joined (portRef Q (instanceRef r_e_ctrl_inst_28)) (portRef D (instanceRef r_m_ctrl_inst_28)) (portRef A (instanceRef r_e_ctrl_inst_RNII8Q8_28)) (portRef A (instanceRef r_e_ctrl_inst_RNIG8Q8_28)) (portRef C (instanceRef r_e_ctrl_inst_RNI1DKH_27)) (portRef A (instanceRef r_e_ctrl_inst_RNIMCJA_28)) (portRef A (instanceRef r_m_icc_RNIH8Q8_1)) (portRef A (instanceRef r_m_icc_RNIJ8Q8_3)) (portRef C (instanceRef r_e_ctrl_inst_RNIQGCC_28)) )) (net (rename icc_2_3 "icc_2[3]") (joined (portRef Q (instanceRef r_m_icc_3)) (portRef D (instanceRef r_x_icc_3)) (portRef B (instanceRef r_m_icc_RNIC6A3_3)) (portRef A (instanceRef r_m_icc_RNIF9LF2_3)) (portRef A (instanceRef r_m_icc_RNI88I3_3)) (portRef B (instanceRef r_m_ctrl_wicc_RNIUN9L)) (portRef B (instanceRef r_m_icc_RNIF8Q8_3)) (portRef B (instanceRef r_m_icc_RNIJ8Q8_3)) )) (net bp_1_0 (joined (portRef Y (instanceRef r_e_bp_RNO)) (portRef D (instanceRef r_e_bp)) )) (net N_3988 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI1DKH_27)) (portRef A (instanceRef r_e_ctrl_inst_RNI73TK1_26)) )) (net un13_branch (joined (portRef Y (instanceRef r_m_icc_RNI68I3_0)) (portRef A (instanceRef r_d_inst_0_RNIJCK6_27)) (portRef A (instanceRef r_a_ctrl_inst_RNIPCKH_27)) (portRef A (instanceRef r_e_ctrl_inst_RNI1DKH_27)) )) (net N_3990 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNI73TK1_26)) (portRef A (instanceRef r_e_ctrl_inst_RNI1V2M3_25)) )) (net (rename inst_1_26 "inst_1[26]") (joined (portRef Q (instanceRef r_e_ctrl_inst_26)) (portRef D (instanceRef r_m_ctrl_inst_26)) (portRef S (instanceRef r_e_ctrl_inst_RNIF35Q1_26)) (portRef S (instanceRef r_e_ctrl_inst_RNI73TK1_26)) )) (net N_3991 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIFHLO_27)) (portRef A (instanceRef r_e_ctrl_inst_RNIF35Q1_26)) )) (net branch_2_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNII8Q8_28)) (portRef A (instanceRef r_e_ctrl_inst_RNIFHLO_27)) )) (net branch_6 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIG8Q8_28)) (portRef B (instanceRef r_e_ctrl_inst_RNIFHLO_27)) )) (net N_3993 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIF35Q1_26)) (portRef B (instanceRef r_e_ctrl_inst_RNI1V2M3_25)) )) (net (rename inst_2_25 "inst_2[25]") (joined (portRef Q (instanceRef r_e_ctrl_inst_25)) (portRef D (instanceRef r_m_ctrl_inst_25)) (portRef S (instanceRef r_e_ctrl_inst_RNI1V2M3_25)) )) (net (rename rd_1_2 "rd_1[2]") (joined (portRef Q (instanceRef r_m_ctrl_rd_2)) (portRef D (instanceRef r_x_ctrl_rd_2)) (portRef A (instanceRef r_m_ctrl_rd_RNII8JL_2)) (portRef A (instanceRef r_m_ctrl_rd_RNIPC1L_2)) )) (net N_8074 (joined (portRef Y (instanceRef r_d_inst_0_RNI5823_24)) (portRef B (instanceRef r_d_inst_0_RNIAINA_24)) )) (net wcwp (joined (portRef Y (instanceRef r_m_wcwp_RNO)) (portRef D (instanceRef r_m_wcwp)) )) (net ld_2 (joined (portRef Y (instanceRef r_a_ctrl_ld_RNO)) (portRef D (instanceRef r_a_ctrl_ld)) )) (net (rename cwp_2_0 "cwp_2[0]") (joined (portRef Q (instanceRef r_e_cwp_0)) (portRef A (instanceRef r_e_cwp_RNIVEVF2_0)) )) (net N_4111 (joined (portRef Y (instanceRef r_e_aluop_0_RNI71PM_2)) (portRef A (instanceRef r_e_aluop_1_RNIE2ID1_1)) )) (net N_4143 (joined (portRef Y (instanceRef r_e_aluop_1_RNIE2ID1_1)) (portRef A (instanceRef r_e_aluop_0_RNIHMRU2_0)) )) (net (rename logicout_3_3 "logicout_3[3]") (joined (portRef Y (instanceRef r_e_op2_RNIC8TK_0_3)) (portRef B (instanceRef r_e_aluop_1_RNIE2ID1_1)) )) (net N_4207 (joined (portRef Y (instanceRef r_e_aluop_1_RNIARDF1_1)) (portRef B (instanceRef r_e_aluop_0_RNIHMRU2_0)) )) (net ncwp_1_sqmuxa_1 (joined (portRef Y (instanceRef r_d_cwp_RNI95GF_2)) (portRef A (instanceRef r_d_cwp_RNIIA0V_2)) )) (net N_5105 (joined (portRef Y (instanceRef r_d_cwp_RNO_2_0)) (portRef B (instanceRef r_d_cwp_RNO_0_0)) )) (net wcwp_0 (joined (portRef Q (instanceRef r_m_wcwp)) (portRef S (instanceRef r_d_cwp_RNO_1_1)) (portRef S (instanceRef r_d_cwp_RNO_1_2)) (portRef S (instanceRef r_d_cwp_RNO_2_0)) )) (net N_5114 (joined (portRef Y (instanceRef r_d_cwp_RNO_0_0)) (portRef A (instanceRef r_d_cwp_RNO_0)) )) (net un1_wcwp (joined (portRef Y (instanceRef r_d_inst_0_0_0_RNIRHJKC2_21)) (portRef S (instanceRef r_d_cwp_RNO_0_1)) (portRef S (instanceRef r_d_cwp_RNO_0_2)) (portRef S (instanceRef r_d_cwp_RNO_0_0)) )) (net (rename cwp_1_0_0 "cwp_1_0[0]") (joined (portRef Y (instanceRef r_d_cwp_RNO_0)) (portRef D (instanceRef r_d_cwp_0)) )) (net N_5110 (joined (portRef Y (instanceRef r_d_cwp_RNO_1_0)) (portRef B (instanceRef r_d_cwp_RNO_0)) )) (net N_4641 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIRC2DQ1_1)) (portRef B (instanceRef r_a_rsel1_0_RNI6IJCT1_0)) )) (net N_4609 (joined (portRef Y (instanceRef r_a_rsel1_0_RNII5TUP1_2)) (portRef A (instanceRef r_a_rsel1_0_RNIRC2DQ1_1)) )) (net (rename result_RNIBTAB_0 "result_RNIBTAB[0]") (joined (portRef Y (instanceRef r_x_result_RNIBTAB_0)) (portRef B (instanceRef r_a_rsel2_RNI7EP7Q1_1)) (portRef B (instanceRef r_x_result_RNIBD4NS_0)) (portRef B (instanceRef r_x_result_RNINJH13_0)) (portRef B (instanceRef r_a_rsel1_0_RNIRC2DQ1_1)) )) (net (rename logicout_3_5 "logicout_3[5]") (joined (portRef Y (instanceRef r_e_op2_RNIJOTK_0_5)) (portRef B (instanceRef r_e_aluop_1_RNIS2JD1_1)) )) (net (rename logicout_4_5 "logicout_4[5]") (joined (portRef Y (instanceRef r_e_op2_RNIJOTK_5)) (portRef B (instanceRef r_e_aluop_0_RNILREF1_1)) )) (net N_4113 (joined (portRef Y (instanceRef r_e_aluop_0_RNIEHPM_2)) (portRef A (instanceRef r_e_aluop_1_RNIS2JD1_1)) )) (net N_4145 (joined (portRef Y (instanceRef r_e_aluop_1_RNIS2JD1_1)) (portRef A (instanceRef r_e_aluop_0_RNIANTU2_0)) )) (net N_4209 (joined (portRef Y (instanceRef r_e_aluop_0_RNILREF1_1)) (portRef B (instanceRef r_e_aluop_0_RNIANTU2_0)) )) (net (rename pc_m_30 "pc_m[30]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_30)) (portRef B (instanceRef r_f_pc_RNO_4_30)) )) (net (rename pc_4_30 "pc_4[30]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_30)) (portRef B (instanceRef r_f_pc_RNO_1_30)) )) (net (rename un6_fe_npc0_28 "un6_fe_npc0[28]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_203)) (portRef B (instanceRef r_f_pc_RNO_3_30)) )) (net (rename un6_fe_npc3_28 "un6_fe_npc3[28]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_203)) (portRef A (instanceRef r_f_pc_RNO_6_30)) (portRef A (instanceRef r_f_pc_RNO_10_30)) )) (net (rename pc_30 "pc[30]") (joined (portRef Q (instanceRef r_a_ctrl_pc_30)) (portRef B (instanceRef un6_fe_npc_1_I_203)) (portRef B (instanceRef un6_fe_npc_1_I_206)) (portRef D (instanceRef r_e_ctrl_pc_30)) (portRef B (instanceRef r_m_ctrl_pc_RNI4PL9_30)) (portRef A (instanceRef ir_addr_RNO_5_30)) )) (net (rename pc_0_30 "pc_0[30]") (joined (portRef Q (instanceRef r_x_ctrl_pc_30)) (portRef A (instanceRef r_x_ctrl_pc_RNINGI3S_30)) (portRef A (instanceRef r_x_ctrl_pc_RNI8AGF_30)) (portRef A (instanceRef ir_addr_RNO_3_30)) )) (net (rename pc_2_30 "pc_2[30]") (joined (portRef Q (instanceRef r_m_ctrl_pc_30)) (portRef D (instanceRef r_x_ctrl_pc_30)) (portRef A (instanceRef r_m_ctrl_pc_RNI4PL9_30)) (portRef A (instanceRef ir_addr_RNO_4_30)) )) (net (rename pc_3_30 "pc_3[30]") (joined (portRef Q (instanceRef r_e_ctrl_pc_30)) (portRef D (instanceRef r_m_ctrl_pc_30)) (portRef A (instanceRef r_e_ctrl_pc_RNILNJL_30)) (portRef B (instanceRef r_x_ctrl_pc_RNI8AGF_30)) (portRef A (instanceRef ir_addr_RNO_6_30)) )) (net N_3883 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI8AGF_30)) (portRef A (instanceRef r_x_npc_RNIJR5R_0)) )) (net (rename ddata_30 "ddata[30]") (joined (portRef (member ddata 1)) (portRef A (instanceRef r_x_rstate_RNIV71E_0)) (portRef B (instanceRef r_w_s_y_RNO_2_30)) (portRef B (instanceRef r_m_dci_enaddr_RNI5NE32)) (portRef B (instanceRef r_w_s_tba_RNO_18)) (portRef B (instanceRef r_f_pc_RNO_14_30)) (portRef B (instanceRef ir_addr_RNO_1_30)) )) (net N_5186 (joined (portRef Y (instanceRef r_f_pc_RNO_15_30)) (portRef A (instanceRef r_f_pc_RNO_11_30)) )) (net (rename fpc_30 "fpc[30]") (joined (portRef Q (instanceRef r_f_pc_30)) (portRef B (instanceRef un6_fe_npc_0_I_203)) (portRef B (instanceRef un6_fe_npc_0_I_206)) (portRef D (instanceRef r_d_pc_30)) (portRef B (instanceRef r_f_pc_RNINF741_30)) (portRef A (instanceRef r_f_pc_RNO_15_30)) (portRef B (instanceRef r_f_pc_RNO_9_30)) (portRef (member fpc 1)) )) (net N_5216 (joined (portRef Y (instanceRef r_f_pc_RNO_11_30)) (portRef B (instanceRef r_f_pc_RNO_6_30)) )) (net N561_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I98_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I157_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I158_Y)) )) (net N_8133 (joined (portRef Y (instanceRef r_e_invop2_1_RNIT0TOJ2)) (portRef B (instanceRef r_e_ldbp2_2_RNIA8R494)) )) (net (rename pc_m_23 "pc_m[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_23)) (portRef B (instanceRef r_f_pc_RNO_4_23)) )) (net (rename pc_4_23 "pc_4[23]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_23)) (portRef B (instanceRef r_f_pc_RNO_1_23)) )) (net (rename un6_fe_npc0_21 "un6_fe_npc0[21]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_136)) (portRef B (instanceRef r_f_pc_RNO_3_23)) )) (net (rename un6_fe_npc3_21 "un6_fe_npc3[21]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_136)) (portRef A (instanceRef r_f_pc_RNO_6_23)) (portRef A (instanceRef r_f_pc_RNO_10_23)) )) (net N412_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I18_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I48_Y_0_o3)) )) (net N467_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I50_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I102_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I106_Y)) )) (net N522_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I102_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I156_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I148_un1_Y)) )) (net N523 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I103_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I157_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I156_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I149_Y)) )) (net N530_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I110_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I164_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I156_Y)) )) (net N531 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I111_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I164_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I165_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I157_Y)) )) (net N_3964 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNIBTVQ_3)) (portRef A (instanceRef r_x_mexc_RNIGSPT)) )) (net N_5179 (joined (portRef Y (instanceRef r_f_pc_RNO_15_23)) (portRef A (instanceRef r_f_pc_RNO_11_23)) )) (net (rename fpc_23 "fpc[23]") (joined (portRef Q (instanceRef r_f_pc_23)) (portRef B (instanceRef un6_fe_npc_0_I_136)) (portRef B (instanceRef un6_fe_npc_0_I_139)) (portRef B (instanceRef un6_fe_npc_0_I_146)) (portRef A (instanceRef un6_fe_npc_0_I_159)) (portRef A (instanceRef r_d_pc_RNO_23)) (portRef B (instanceRef r_f_pc_RNO_9_23)) (portRef A (instanceRef r_f_pc_RNO_15_23)) (portRef B (instanceRef r_f_pc_RNIG3NA2_23)) (portRef (member fpc 8)) )) (net N_5209 (joined (portRef Y (instanceRef r_f_pc_RNO_11_23)) (portRef B (instanceRef r_f_pc_RNO_6_23)) )) (net nobp (joined (portRef Q (instanceRef r_a_nobp)) (portRef B (instanceRef r_a_nobp_RNIIMIG)) )) (net (rename pc_3_23 "pc_3[23]") (joined (portRef Q (instanceRef r_x_ctrl_pc_23)) (portRef A (instanceRef r_x_ctrl_pc_RNINHM9_23)) (portRef A (instanceRef r_x_ctrl_pc_RNIPSI3S_23)) (portRef A (instanceRef ir_addr_RNO_0_23)) )) (net N_3978 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI8LEQ_27)) (portRef B (instanceRef r_a_ctrl_inst_RNIJ25Q1_26)) )) (net branch_4_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIICJA_28)) (portRef A (instanceRef r_a_ctrl_inst_RNI8LEQ_27)) )) (net branch_8_0 (joined (portRef Y (instanceRef r_m_icc_RNID8Q8_1)) (portRef B (instanceRef r_a_ctrl_inst_RNI8LEQ_27)) )) (net (rename inst_2_27 "inst_2[27]") (joined (portRef Q (instanceRef r_a_ctrl_inst_27)) (portRef D (instanceRef r_e_ctrl_inst_27)) (portRef B (instanceRef r_a_ctrl_inst_RNIPCKH_27)) (portRef S (instanceRef r_a_ctrl_inst_RNIEP7S_27)) (portRef S (instanceRef r_a_ctrl_inst_RNI3HLO_27)) (portRef S (instanceRef r_a_ctrl_inst_RNI8LEQ_27)) )) (net branch_2_1 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIE8Q8_28)) (portRef A (instanceRef r_a_ctrl_inst_RNI3HLO_27)) )) (net (rename inst_2_28 "inst_2[28]") (joined (portRef Q (instanceRef r_a_ctrl_inst_28)) (portRef D (instanceRef r_e_ctrl_inst_28)) (portRef C (instanceRef r_a_ctrl_inst_RNIPCKH_27)) (portRef A (instanceRef r_a_ctrl_inst_RNIICJA_28)) (portRef A (instanceRef r_m_icc_RNID8Q8_1)) (portRef A (instanceRef r_m_icc_RNIF8Q8_3)) (portRef C (instanceRef r_a_ctrl_inst_RNIMGCC_28)) (portRef A (instanceRef r_a_ctrl_inst_RNIC8Q8_28)) (portRef A (instanceRef r_a_ctrl_inst_RNIE8Q8_28)) )) (net branch_6_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIC8Q8_28)) (portRef B (instanceRef r_a_ctrl_inst_RNI3HLO_27)) )) (net branch_3_0 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIMGCC_28)) (portRef A (instanceRef r_a_ctrl_inst_RNIEP7S_27)) )) (net branch_7_0 (joined (portRef Y (instanceRef r_m_icc_RNIF8Q8_3)) (portRef B (instanceRef r_a_ctrl_inst_RNIEP7S_27)) )) (net (rename fpc_15 "fpc[15]") (joined (portRef Q (instanceRef r_f_pc_15)) (portRef B (instanceRef un6_fe_npc_0_I_77)) (portRef B (instanceRef un6_fe_npc_0_I_80)) (portRef B (instanceRef un6_fe_npc_0_I_87)) (portRef D (instanceRef r_d_pc_15)) (portRef B (instanceRef r_f_pc_RNO_9_15)) (portRef B (instanceRef r_f_pc_RNIM7741_15)) (portRef A (instanceRef r_f_pc_RNO_15_15)) (portRef (member fpc 16)) )) (net (rename addr_2 "addr[2]") (joined (portRef Q (instanceRef ir_addr_2)) (portRef B (instanceRef ir_addr_RNI91O41_2)) (portRef B (instanceRef ir_addr_RNO_2_2)) (portRef B (instanceRef ir_addr_RNI3HM71_2)) )) (net (rename pc_15 "pc[15]") (joined (portRef Q (instanceRef r_x_ctrl_pc_15)) (portRef A (instanceRef r_x_ctrl_pc_RNIP1N9_15)) (portRef A (instanceRef r_x_ctrl_pc_RNIQ4J3S_15)) (portRef A (instanceRef ir_addr_RNO_3_15)) )) (net (rename pc_0_15 "pc_0[15]") (joined (portRef Q (instanceRef r_m_ctrl_pc_15)) (portRef D (instanceRef r_x_ctrl_pc_15)) (portRef A (instanceRef r_m_ctrl_pc_RNIA1N9_15)) (portRef A (instanceRef ir_addr_RNO_4_15)) )) (net (rename pc_2_15 "pc_2[15]") (joined (portRef Q (instanceRef r_a_ctrl_pc_15)) (portRef B (instanceRef un6_fe_npc_1_I_77)) (portRef B (instanceRef un6_fe_npc_1_I_80)) (portRef B (instanceRef un6_fe_npc_1_I_87)) (portRef D (instanceRef r_e_ctrl_pc_15)) (portRef B (instanceRef r_m_ctrl_pc_RNIA1N9_15)) (portRef A (instanceRef ir_addr_RNO_5_15)) )) (net (rename pc_3_15 "pc_3[15]") (joined (portRef Q (instanceRef r_e_ctrl_pc_15)) (portRef D (instanceRef r_m_ctrl_pc_15)) (portRef B (instanceRef r_x_ctrl_pc_RNIP1N9_15)) (portRef A (instanceRef r_e_ctrl_pc_RNIOBKL_15)) (portRef A (instanceRef ir_addr_RNO_6_15)) )) (net (rename ddata_15 "ddata[15]") (joined (portRef (member ddata 16)) (portRef B (instanceRef r_m_dci_enaddr_RNI8BF32)) (portRef B (instanceRef r_w_s_y_RNO_2_15)) (portRef B (instanceRef r_w_s_tba_RNO_3)) (portRef A (instanceRef r_x_rstate_RNI2S1E_0)) (portRef B (instanceRef ir_addr_RNO_1_15)) (portRef B (instanceRef r_f_pc_RNO_14_15)) )) (net (rename pc_2_3 "pc_2[3]") (joined (portRef Q (instanceRef r_x_ctrl_pc_3)) (portRef A (instanceRef r_x_ctrl_pc_RNI7FV2S_3)) (portRef A (instanceRef r_x_ctrl_pc_RNIJMF8_3)) (portRef A (instanceRef ir_addr_RNO_0_3)) )) (net (rename addr_3 "addr[3]") (joined (portRef Q (instanceRef ir_addr_3)) (portRef B (instanceRef ir_addr_RNIA1O41_3)) (portRef B (instanceRef ir_addr_RNI4HM71_3)) (portRef B (instanceRef ir_addr_RNO_2_3)) )) (net (rename pc_3_3 "pc_3[3]") (joined (portRef Q (instanceRef r_m_ctrl_pc_3)) (portRef D (instanceRef r_x_ctrl_pc_3)) (portRef A (instanceRef r_m_ctrl_pc_RNI4MF8_3)) (portRef A (instanceRef ir_addr_RNO_4_3)) )) (net (rename un6_ex_add_res_s0_1_3 "un6_ex_add_res_s0_1[3]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I293_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I293_Y_0)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I293_Y_0)) )) (net (rename un6_ex_add_res_s0_3 "un6_ex_add_res_s0[3]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I293_Y_0)) (portRef B (instanceRef r_e_invop2_1_RNIPRFT1)) )) (net (rename un6_ex_add_res_s2_3 "un6_ex_add_res_s2[3]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I293_Y_0)) (portRef A (instanceRef r_e_invop2_1_RNIPRFT1)) )) (net N_8196 (joined (portRef Y (instanceRef r_e_invop2_1_RNIPRFT1)) (portRef B (instanceRef r_e_ldbp2_2_RNIK3Q43)) )) (net (rename un6_fe_npc0_6 "un6_fe_npc0[6]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_31)) (portRef B (instanceRef r_f_pc_RNO_3_8)) (portRef B (instanceRef r_a_bp_RNIJQUNM1)) )) (net (rename pc_4_6 "pc_4[6]") (joined (portRef Y (instanceRef r_f_pc_RNIJTEBL_6)) (portRef A (instanceRef r_f_pc_RNIAVTVL1_6)) (portRef B (instanceRef r_f_pc_RNO_1_6)) )) (net N556_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I136_Y)) (portRef A (instanceRef r_x_rstate_0_RNIGKEJI1_1)) (portRef A (instanceRef r_f_pc_RNO_6_6)) )) (net (rename un6_fe_npc2_4 "un6_fe_npc2[4]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_20)) (portRef C (instanceRef r_x_rstate_0_RNI00SLM1_1)) (portRef B (instanceRef r_f_pc_RNIS1PUG_6)) (portRef B (instanceRef r_f_pc_RNO_4_6)) )) (net (rename un6_fe_npc3_4 "un6_fe_npc3[4]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_20)) (portRef A (instanceRef r_e_bp_RNI8HLOI1)) (portRef A (instanceRef r_f_pc_RNIJTEBL_6)) (portRef A (instanceRef r_f_pc_RNO_3_6)) )) (net (rename pc_4_8 "pc_4[8]") (joined (portRef Y (instanceRef r_f_pc_RNILAV3N_8)) (portRef A (instanceRef r_f_pc_RNIO4FON1_8)) (portRef B (instanceRef r_f_pc_RNO_1_8)) )) (net N_5164 (joined (portRef Y (instanceRef r_f_pc_RNIBE81E_8)) (portRef A (instanceRef r_f_pc_RNI5HPGI_8)) )) (net N_5194 (joined (portRef Y (instanceRef r_f_pc_RNI5HPGI_8)) (portRef B (instanceRef r_f_pc_RNILAV3N_8)) )) (net N_5158 (joined (portRef Y (instanceRef r_f_pc_RNI1PS47_2)) (portRef A (instanceRef r_d_pc_RNIOT6EB_2)) )) (net N_5160 (joined (portRef Y (instanceRef r_f_pc_RNIUNL49_4)) (portRef A (instanceRef r_f_pc_RNIIM1GD_4)) )) (net (rename fpc_4 "fpc[4]") (joined (portRef Q (instanceRef r_f_pc_4)) (portRef B (instanceRef un6_fe_npc_0_I_9)) (portRef C (instanceRef un6_fe_npc_0_I_12)) (portRef C (instanceRef un6_fe_npc_0_I_16)) (portRef C (instanceRef un6_fe_npc_0_I_149)) (portRef B (instanceRef r_f_pc_RNIBNQ43_4)) (portRef A (instanceRef r_f_pc_RNIR2SR8_4)) (portRef B (instanceRef r_f_pc_RNIMEK31_4)) (portRef A (instanceRef r_d_pc_RNO_4)) (portRef A (instanceRef r_f_pc_RNIUNL49_4)) (portRef A (instanceRef r_f_pc_RNI64M4_4)) (portRef (member fpc 27)) )) (net N_5188 (joined (portRef Y (instanceRef r_d_pc_RNIOT6EB_2)) (portRef B (instanceRef r_a_ctrl_pc_RNI9USDF_2)) )) (net N_5190 (joined (portRef Y (instanceRef r_f_pc_RNIIM1GD_4)) (portRef B (instanceRef r_f_pc_RNIKK7MH_4)) )) (net (rename pc_4_2 "pc_4[2]") (joined (portRef Y (instanceRef r_a_ctrl_pc_RNI9USDF_2)) (portRef B (instanceRef r_f_pc_RNO_1_2)) (portRef A (instanceRef r_f_pc_RNIUILTE1_2)) )) (net (rename pc_4_4 "pc_4[4]") (joined (portRef Y (instanceRef r_f_pc_RNIKK7MH_4)) (portRef B (instanceRef r_f_pc_RNO_1_4)) (portRef A (instanceRef r_f_pc_RNIVTLAI1_4)) )) (net (rename un6_fe_npc3_2 "un6_fe_npc3[2]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_9)) (portRef A (instanceRef r_e_bp_RNIJJ5II1)) (portRef A (instanceRef r_f_pc_RNO_6_4)) (portRef A (instanceRef r_f_pc_RNIKK7MH_4)) )) (net N_5447 (joined (portRef Y (instanceRef r_f_pc_RNIJJTR8_8)) (portRef B (instanceRef r_f_pc_RNIO4FON1_8)) )) (net (rename npc1_8 "npc1[8]") (joined (portRef Y (instanceRef r_f_pc_RNIO4FON1_8)) (portRef B (instanceRef r_f_pc_RNICI7NTC_8)) )) (net (rename rpc_6 "rpc[8]") (joined (portRef Y (instanceRef r_f_pc_RNICI7NTC_8)) (portRef rpc_6) )) (net N_5162 (joined (portRef Y (instanceRef r_f_pc_RNI7PAHC_6)) (portRef A (instanceRef r_f_pc_RNIS1PUG_6)) )) (net (rename fpc_6 "fpc[6]") (joined (portRef Q (instanceRef r_f_pc_6)) (portRef B (instanceRef un6_fe_npc_0_I_20)) (portRef C (instanceRef un6_fe_npc_0_I_23)) (portRef B (instanceRef un6_fe_npc_0_I_27)) (portRef B (instanceRef un6_fe_npc_0_I_34)) (portRef B (instanceRef r_f_pc_RNIDVQ43_6)) (portRef A (instanceRef r_f_pc_RNI7RSR8_6)) (portRef B (instanceRef r_f_pc_RNIOMK31_6)) (portRef A (instanceRef r_d_pc_RNO_6)) (portRef A (instanceRef r_f_pc_RNI7PAHC_6)) (portRef A (instanceRef r_f_pc_RNILPULC1_6)) (portRef (member fpc 25)) )) (net N_5192 (joined (portRef Y (instanceRef r_f_pc_RNIS1PUG_6)) (portRef B (instanceRef r_f_pc_RNIJTEBL_6)) )) (net (rename rstate_0_RNISO30Q2_1 "rstate_0_RNISO30Q2[1]") (joined (portRef Y (instanceRef r_x_rstate_0_RNISO30Q2_1)) (portRef A (instanceRef r_f_pc_RNO_1_2)) (portRef A (instanceRef r_f_pc_RNO_1_3)) (portRef A (instanceRef r_f_pc_RNO_1_4)) (portRef A (instanceRef r_f_pc_RNO_1_5)) (portRef A (instanceRef r_f_pc_RNO_1_7)) (portRef A (instanceRef r_f_pc_RNO_1_9)) (portRef A (instanceRef r_f_pc_RNO_1_10)) (portRef A (instanceRef r_f_pc_RNO_1_11)) (portRef A (instanceRef r_f_pc_RNO_1_12)) (portRef A (instanceRef r_f_pc_RNO_1_14)) (portRef A (instanceRef r_f_pc_RNO_1_18)) (portRef A (instanceRef r_f_pc_RNO_1_20)) (portRef A (instanceRef r_f_pc_RNO_1_26)) (portRef A (instanceRef r_f_pc_RNO_1_27)) (portRef A (instanceRef r_f_pc_RNO_1_29)) )) (net un6_xc_exception (joined (portRef Y (instanceRef r_x_rstate_0_RNILB7SN_1)) (portRef B (instanceRef r_f_pc_RNIP1OEV_3)) (portRef B (instanceRef r_f_pc_RNIAADJ01_4)) (portRef B (instanceRef r_f_pc_RNIFIDJ01_5)) (portRef B (instanceRef r_f_pc_RNIKQDJ01_6)) (portRef B (instanceRef r_f_pc_RNIP2EJ01_7)) (portRef B (instanceRef r_f_pc_RNI3JEJ01_9)) (portRef B (instanceRef r_f_pc_RNI4DBN01_10)) (portRef B (instanceRef r_f_pc_RNIIJHJ01_11)) (portRef C (instanceRef r_f_pc_RNO_4_12)) (portRef C (instanceRef r_f_pc_RNO_7_13)) (portRef C (instanceRef r_f_pc_RNO_4_14)) (portRef C (instanceRef r_f_pc_RNO_7_17)) (portRef C (instanceRef r_f_pc_RNO_4_18)) (portRef C (instanceRef r_f_pc_RNO_4_20)) (portRef C (instanceRef r_f_pc_RNO_7_21)) )) (net de_branch (joined (portRef Y (instanceRef r_d_annul_RNIQRUBA)) (portRef B (instanceRef r_d_annul_RNIV0KFA)) (portRef B (instanceRef r_d_annul_RNIV0KFA_0)) )) (net (rename rdatav_0_1_0_iv_2_29 "rdatav_0_1_0_iv_2[29]") (joined (portRef (member rdatav_0_1_0_iv_2 1)) (portRef A (instanceRef r_x_data_0_RNO_3_5)) (portRef A (instanceRef r_x_data_0_RNO_2_13)) (portRef A (instanceRef r_x_data_0_RNO_1_29)) )) (net (rename ico_m_29 "ico_m[163]") (joined (portRef ico_m_29) (portRef B (instanceRef r_x_data_0_RNO_3_5)) (portRef B (instanceRef r_x_data_0_RNO_2_13)) (portRef B (instanceRef r_x_data_0_RNO_1_29)) )) (net N436_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I14_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I65_Y)) )) (net N437_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I14_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I66_Y)) )) (net N_4061 (joined (portRef Y (instanceRef r_x_laddr_RNIIT6711_0)) (portRef A (instanceRef r_x_dci_signed_RNIRGG1U2)) )) (net N_34 (joined (portRef Y (instanceRef r_x_dci_signed_RNIOJ38M)) (portRef B (instanceRef r_x_dci_signed_RNIRGG1U2)) (portRef B (instanceRef r_x_dci_signed_RNIR9TNF2)) )) (net (rename laddr_0 "laddr[0]") (joined (portRef Q (instanceRef r_x_laddr_0)) (portRef B (instanceRef r_x_laddr_RNIQLJH3_0)) )) (net (rename dco_i_1_132 "dco_i_1[132]") (joined (portRef (member dco_i_1 0)) (portRef S (instanceRef r_x_dci_signed_RNI684K3)) (portRef S (instanceRef r_x_dci_size_RNIK15I3_0)) (portRef B (instanceRef r_x_mexc_1_sqmuxa_i)) (portRef S (instanceRef r_x_laddr_RNISPJH3_1)) (portRef S (instanceRef r_x_laddr_RNIQLJH3_0)) (portRef B (instanceRef r_x_mexc_1_sqmuxa_i_0)) )) (net (rename laddr_1 "laddr[1]") (joined (portRef Q (instanceRef r_x_laddr_1)) (portRef B (instanceRef r_x_laddr_RNISPJH3_1)) )) (net (rename data_0_0_13 "data_0_0[13]") (joined (portRef data_0_0_13) (portRef A (instanceRef r_x_data_0_RNO_4_5)) (portRef B (instanceRef r_x_data_0_RNO_1_13)) )) (net N_257 (joined (portRef Y (instanceRef r_x_laddr_RNIDN857_1_1)) (portRef C (instanceRef r_x_laddr_RNIM9DCI_0)) (portRef B (instanceRef r_x_laddr_RNIM9DCI_0_0)) )) (net N_312 (joined (portRef Y (instanceRef r_x_dci_size_RNI9I47B_0_0)) (portRef A (instanceRef r_x_dci_size_RNIM9DCI_0)) )) (net (rename me_size_1_0 "me_size_1[0]") (joined (portRef Y (instanceRef r_x_dci_size_RNIK15I3_0)) (portRef B (instanceRef r_x_dci_size_RNIFSGL7_0_0)) (portRef A (instanceRef r_x_dci_size_RNIFSGL7_0)) (portRef B (instanceRef r_x_dci_size_RNI9I47B_0_0)) (portRef B (instanceRef r_x_dci_size_RNI9I47B_0)) )) (net (rename data_0_31 "data_0[31]") (joined (portRef data_0_31) (portRef B (instanceRef r_x_data_0_RNO_3_15)) (portRef B (instanceRef r_x_data_0_RNO_0_31)) (portRef B (instanceRef r_x_dci_signed_RNIRLO5K)) (portRef A (instanceRef r_x_data_0_RNO_2_7)) )) (net mexc_1_sqmuxa_i (joined (portRef Y (instanceRef r_x_mexc_1_sqmuxa_i)) (portRef E (instanceRef r_x_data_0_31)) (portRef E (instanceRef r_x_data_0_30)) (portRef E (instanceRef r_x_data_0_29)) (portRef E (instanceRef r_x_data_0_28)) (portRef E (instanceRef r_x_data_0_27)) (portRef E (instanceRef r_x_data_0_26)) (portRef E (instanceRef r_x_data_0_25)) (portRef E (instanceRef r_x_data_0_24)) (portRef E (instanceRef r_x_data_0_23)) (portRef E (instanceRef r_x_data_0_9)) (portRef E (instanceRef r_x_data_0_8)) (portRef E (instanceRef r_x_data_0_7)) (portRef E (instanceRef r_x_data_0_6)) (portRef E (instanceRef r_x_data_0_5)) (portRef E (instanceRef r_x_data_0_4)) (portRef E (instanceRef r_x_data_0_3)) (portRef E (instanceRef r_x_data_0_2)) )) (net (rename size_1_0 "size_1[0]") (joined (portRef Q (instanceRef r_x_dci_size_0)) (portRef B (instanceRef r_x_dci_size_RNIK15I3_0)) )) (net N469_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I25_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I43_Y)) )) (net N504_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I45_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I103_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I107_Y)) )) (net N508_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I49_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I111_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I107_Y)) )) (net N505_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I46_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I104_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I108_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I107_Y)) )) (net N509_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I50_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I112_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I111_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I108_Y)) )) (net N458_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I21_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I52_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I54_Y)) )) (net N461_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I22_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I52_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I50_Y)) )) (net N512_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I53_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I115_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I111_Y)) )) (net N575_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I112_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I164_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I172_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I171_Y)) )) (net N513_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I54_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I115_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I116_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I112_Y)) )) (net N582_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I119_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I179_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I171_Y)) )) (net N583_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I120_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I180_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I179_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I172_Y)) )) (net (rename logicout_3_24 "logicout_3[24]") (joined (portRef Y (instanceRef r_e_op2_RNIEOH11_0_24)) (portRef B (instanceRef r_e_aluop_RNI38RI2_1)) )) (net (rename logicout_4_24 "logicout_4[24]") (joined (portRef Y (instanceRef r_e_op2_RNIEOH11_24)) (portRef B (instanceRef r_e_aluop_1_RNIERM82_1)) )) (net N_3877 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIEAHF_24)) (portRef A (instanceRef r_x_npc_0_RNI9TS61_0)) )) (net N_3907 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIV9HF_24)) (portRef B (instanceRef r_x_npc_0_RNI9TS61_0)) )) (net (rename pc_3_24 "pc_3[24]") (joined (portRef Q (instanceRef r_m_ctrl_pc_24)) (portRef D (instanceRef r_x_ctrl_pc_24)) (portRef A (instanceRef ir_addr_RNO_4_24)) (portRef A (instanceRef r_m_ctrl_pc_RNIV9HF_24)) )) (net (rename result_RNI0VED_24 "result_RNI0VED[24]") (joined (portRef Y (instanceRef r_x_result_RNI0VED_24)) (portRef B (instanceRef r_a_rsel1_RNI83LGR5_1)) (portRef A (instanceRef comb_alu_op_aop2_i_o2_RNO_24)) (portRef B (instanceRef r_x_result_RNIEJSC3_24)) (portRef A (instanceRef r_x_result_RNIKKJDS_24)) )) (net (rename ddata_24 "ddata[24]") (joined (portRef (member ddata 7)) (portRef B (instanceRef r_w_s_tba_RNO_12)) (portRef B (instanceRef r_w_s_y_RNO_2_24)) (portRef B (instanceRef r_m_dci_enaddr_RNI87F32)) (portRef B (instanceRef r_f_pc_RNO_14_24)) (portRef A (instanceRef r_x_rstate_RNI2O1E_0)) (portRef B (instanceRef ir_addr_RNO_1_24)) )) (net (rename result_24 "result[24]") (joined (portRef Q (instanceRef r_x_result_24)) (portRef B (instanceRef r_w_s_tba_RNO_0_12)) (portRef B (instanceRef r_w_s_y_RNO_1_24)) (portRef A (instanceRef r_x_result_RNI0VED_24)) )) (net N_74_1_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I266_Y_0_a3_1)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I106_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I266_Y_0_a3)) )) (net N460_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I22_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I49_Y)) )) (net (rename un6_ex_add_res_s2_1_25 "un6_ex_add_res_s2_1[25]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I315_Y_0_1)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I315_Y_0)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I315_Y_0)) )) (net N_5303 (joined (portRef Y (instanceRef r_x_data_0_RNIMVG8_24)) (portRef B (instanceRef r_e_op2_RNIARVJ_24)) )) (net invop2_2 (joined (portRef Q (instanceRef r_e_invop2)) (portRef B (instanceRef r_x_data_0_RNIKRG8_15)) (portRef B (instanceRef r_x_data_0_RNIJN43_9)) (portRef B (instanceRef r_x_data_0_RNIJRG8_14)) (portRef B (instanceRef r_x_data_0_RNINRG8_18)) (portRef B (instanceRef r_x_data_0_RNIIRG8_13)) (portRef B (instanceRef r_x_data_0_RNIOVG8_26)) (portRef B (instanceRef r_x_data_0_RNIPVG8_27)) (portRef B (instanceRef r_x_data_0_RNIGRG8_11)) (portRef S (instanceRef r_e_invop2_RNIM7J46)) (portRef B (instanceRef r_x_data_0_RNIRVG8_29)) (portRef B (instanceRef r_x_data_0_RNIAJ33_0)) (portRef B (instanceRef r_x_data_0_RNIIVG8_20)) (portRef B (instanceRef r_x_data_0_RNIL3H8_30)) (portRef S (instanceRef r_e_invop2_RNI1B231)) (portRef S (instanceRef r_e_invop2_RNIUGE0O1)) (portRef S (instanceRef r_e_invop2_RNI7VBSR1)) (portRef B (instanceRef r_x_data_0_RNIQVG8_28)) (portRef B (instanceRef r_x_data_0_RNIHF43_7)) (portRef S (instanceRef r_e_invop2_RNIG9AGP)) (portRef B (instanceRef r_x_data_0_RNIMVG8_24)) )) (net N454_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I20_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I55_Y_0_o3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I53_Y)) )) (net N470_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I25_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I44_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I46_Y)) )) (net N469_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I25_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I43_Y)) )) (net I195_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I195_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I195_Y)) )) (net N599_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I136_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I188_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I196_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I195_un1_Y)) )) (net N598_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I135_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I187_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I195_Y)) )) (net N607_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I144_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I203_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I196_Y)) )) (net N536_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I77_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I139_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I135_Y)) )) (net N537_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I78_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I139_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I140_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I136_Y)) )) (net N545_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I86_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I147_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I148_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I144_Y)) )) (net N590_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I127_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I187_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I179_Y)) )) (net N591_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I128_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I188_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I187_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I180_Y)) )) (net I187_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I187_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I187_Y)) )) (net N599_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I136_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I196_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I195_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I188_Y)) )) (net N606_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I143_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I203_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I195_un1_Y)) )) (net N607_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I144_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I203_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I196_Y)) )) (net N_3880 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIK2IF_27)) (portRef A (instanceRef r_x_npc_0_RNIMDU61_0)) )) (net (rename pc_27 "pc[27]") (joined (portRef Q (instanceRef r_x_ctrl_pc_27)) (portRef A (instanceRef ir_addr_RNO_0_27)) (portRef A (instanceRef r_x_ctrl_pc_RNITCJ3S_27)) (portRef A (instanceRef r_x_ctrl_pc_RNIK2IF_27)) )) (net (rename pc_0_27 "pc_0[27]") (joined (portRef Q (instanceRef r_e_ctrl_pc_27)) (portRef D (instanceRef r_m_ctrl_pc_27)) (portRef A (instanceRef r_e_ctrl_pc_RNIRJKL_27)) (portRef A (instanceRef ir_addr_RNO_6_27)) (portRef B (instanceRef r_x_ctrl_pc_RNIK2IF_27)) )) (net N_3910 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI62IF_27)) (portRef B (instanceRef r_x_npc_0_RNIMDU61_0)) )) (net (rename pc_2_27 "pc_2[27]") (joined (portRef Q (instanceRef r_m_ctrl_pc_27)) (portRef D (instanceRef r_x_ctrl_pc_27)) (portRef A (instanceRef ir_addr_RNO_4_27)) (portRef A (instanceRef r_m_ctrl_pc_RNI62IF_27)) )) (net (rename pc_3_27 "pc_3[27]") (joined (portRef Q (instanceRef r_a_ctrl_pc_27)) (portRef B (instanceRef un6_fe_npc_1_I_173)) (portRef B (instanceRef un6_fe_npc_1_I_176)) (portRef B (instanceRef un6_fe_npc_1_I_189)) (portRef D (instanceRef r_e_ctrl_pc_27)) (portRef A (instanceRef ir_addr_RNO_5_27)) (portRef B (instanceRef r_m_ctrl_pc_RNI62IF_27)) )) (net I243_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I243_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I243_Y)) )) (net N591_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I128_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I180_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I187_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I188_Y)) )) (net I187_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I187_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I187_Y)) )) (net N590_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I127_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I179_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I187_Y)) )) (net N583_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I120_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I172_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I179_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I180_Y)) )) (net I131_un1_Y_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I131_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I131_Y)) )) (net N582_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I119_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I171_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I179_Y)) )) (net N575_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I112_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I164_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I172_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I171_Y)) )) (net N458_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I21_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I54_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I52_Y)) )) (net N451_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I19_G0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I55_Y_0_o3)) )) (net N452_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I19_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I56_Y_i)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I58_Y)) )) (net N451_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I19_G0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I57_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I55_Y_0_o3)) )) (net N_4797 (joined (portRef Y (instanceRef r_e_op2_RNO_1_20)) (portRef A (instanceRef r_e_op2_RNO_0_20)) )) (net N_4733 (joined (portRef Y (instanceRef r_e_op2_RNO_3_20)) (portRef A (instanceRef r_e_op2_RNO_1_20)) )) (net N_4765 (joined (portRef Y (instanceRef r_e_op2_RNO_4_20)) (portRef B (instanceRef r_e_op2_RNO_1_20)) )) (net N_4861 (joined (portRef Y (instanceRef r_e_op2_RNO_2_20)) (portRef B (instanceRef r_e_op2_RNO_0_20)) )) (net N_4829 (joined (portRef Y (instanceRef r_e_op2_RNO_5_20)) (portRef A (instanceRef r_e_op2_RNO_2_20)) )) (net (rename result_RNIJK6E_20 "result_RNIJK6E[20]") (joined (portRef Y (instanceRef r_x_result_RNIJK6E_20)) (portRef B (instanceRef r_x_result_RNIPOJD3_20)) (portRef B (instanceRef r_a_rsel1_0_RNIG0P515_1)) (portRef A (instanceRef r_x_result_RNI7ABES_20)) (portRef B (instanceRef r_e_op2_RNO_2_20)) )) (net (rename d_1_20 "d_1[20]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_20)) (portRef A (instanceRef r_e_op2_RNO_20)) )) (net (rename aop2_20 "aop2[20]") (joined (portRef Y (instanceRef r_e_op2_RNO_20)) (portRef D (instanceRef r_e_op2_20)) )) (net (rename rfa1_RNI69T01_1 "rfa1_RNI69T01[1]") (joined (portRef Y (instanceRef r_a_rfa1_RNI69T01_1)) (portRef A (instanceRef r_a_rfa1_RNI7GUD2_1)) )) (net (rename rfa1_1 "rfa1[1]") (joined (portRef Q (instanceRef r_a_rfa1_1)) (portRef B (instanceRef r_a_rfa1_RNI69T01_1)) )) (net (rename rfa1_RNI9DT01_2 "rfa1_RNI9DT01[2]") (joined (portRef Y (instanceRef r_a_rfa1_RNI9DT01_2)) (portRef A (instanceRef r_a_rfa1_RNIBOUD2_2)) )) (net (rename rfa1_2 "rfa1[2]") (joined (portRef Q (instanceRef r_a_rfa1_2)) (portRef B (instanceRef r_a_rfa1_RNI9DT01_2)) )) (net (rename rfa1_RNICHT01_3 "rfa1_RNICHT01[3]") (joined (portRef Y (instanceRef r_a_rfa1_RNICHT01_3)) (portRef A (instanceRef r_a_rfa1_RNIF0VD2_3)) )) (net (rename rfa1_3 "rfa1[3]") (joined (portRef Q (instanceRef r_a_rfa1_3)) (portRef B (instanceRef r_a_rfa1_RNICHT01_3)) )) (net (rename un3_de_ren1_68 "un3_de_ren1[68]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNO_4)) (portRef D (instanceRef r_a_ctrl_rd_4)) )) (net un3_reg (joined (portRef Y (instanceRef r_d_inst_0_RNIPS69_28)) (portRef C (instanceRef r_a_ctrl_rd_RNO_6)) (portRef C (instanceRef r_a_ctrl_rd_RNO_5)) (portRef C (instanceRef r_a_ctrl_rd_RNO_4)) )) (net (rename un3_de_ren1_69 "un3_de_ren1[69]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNO_5)) (portRef D (instanceRef r_a_ctrl_rd_5)) )) (net (rename un3_de_ren1_70 "un3_de_ren1[70]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNO_6)) (portRef D (instanceRef r_a_ctrl_rd_6)) )) (net (rename raddr1_0 "raddr1[0]") (joined (portRef Y (instanceRef r_a_rfa1_RNIOLAB3_0)) (portRef (member raddr1 6)) )) (net (rename rfa1_RNIOI9U1_0 "rfa1_RNIOI9U1[0]") (joined (portRef Y (instanceRef r_a_rfa1_RNIOI9U1_0)) (portRef A (instanceRef r_a_rfa1_RNIOLAB3_0)) )) (net (rename raddr1_1 "raddr1[1]") (joined (portRef Y (instanceRef r_a_rfa1_RNI7GUD2_1)) (portRef (member raddr1 5)) )) (net (rename raddr1_2 "raddr1[2]") (joined (portRef Y (instanceRef r_a_rfa1_RNIBOUD2_2)) (portRef (member raddr1 4)) )) (net (rename raddr1_3 "raddr1[3]") (joined (portRef Y (instanceRef r_a_rfa1_RNIF0VD2_3)) (portRef (member raddr1 3)) )) (net (rename raddr1_4 "raddr1[4]") (joined (portRef Y (instanceRef r_a_rfa1_RNIDHNQ3_4)) (portRef (member raddr1 2)) )) (net (rename daddr_6 "daddr[6]") (joined (portRef (member daddr 17)) (portRef B (instanceRef r_x_rstate_RNIOVUM1_0)) (portRef C (instanceRef r_m_dci_enaddr_RNISGL32)) (portRef B (instanceRef comb_diagwr_un156_dbgunit)) (portRef B (instanceRef r_a_rfa1_RNIDHNQ3_4)) (portRef A (instanceRef s_dwt_0_sqmuxa_0)) )) (net (rename raddr1_5 "raddr1[5]") (joined (portRef Y (instanceRef r_a_rfa1_RNIPCUU3_5)) (portRef (member raddr1 1)) )) (net (rename daddr_7 "daddr[7]") (joined (portRef (member daddr 16)) (portRef B (instanceRef r_x_rstate_RNIP3VM1_0)) (portRef C (instanceRef r_m_dci_enaddr_RNITKL32)) (portRef A (instanceRef comb_diagwr_un156_dbgunit)) (portRef B (instanceRef r_a_rfa1_RNIPCUU3_5)) (portRef B (instanceRef s_dwt_0_sqmuxa_0)) )) (net (rename raddr1_6 "raddr1[6]") (joined (portRef Y (instanceRef r_a_rfa1_RNI78534_6)) (portRef (member raddr1 0)) )) (net un26_rs1opt (joined (portRef Y (instanceRef r_d_inst_0_RNI7S13_17)) (portRef C (instanceRef r_d_inst_0_RNIIB3S_17)) (portRef C (instanceRef r_d_inst_0_RNIS10Q_17)) (portRef C (instanceRef r_d_inst_0_RNI7OSN_17)) )) (net (rename de_raddr1_1_4 "de_raddr1_1[4]") (joined (portRef Y (instanceRef r_d_inst_0_RNIVGTN_29)) (portRef A (instanceRef r_d_inst_0_RNI1MGU1_17)) )) (net (rename de_raddr1_1_5 "de_raddr1_1[5]") (joined (portRef Y (instanceRef r_d_inst_0_RNIKQ0Q_29)) (portRef A (instanceRef r_d_inst_0_RNIB9N22_17)) )) (net (rename de_raddr1_2_4 "de_raddr1_2[4]") (joined (portRef Y (instanceRef r_d_inst_0_RNI7OSN_17)) (portRef B (instanceRef r_d_inst_0_RNI1MGU1_17)) )) (net (rename de_raddr1_2_5 "de_raddr1_2[5]") (joined (portRef Y (instanceRef r_d_inst_0_RNIS10Q_17)) (portRef B (instanceRef r_d_inst_0_RNIB9N22_17)) )) (net (rename de_raddr1_2_6 "de_raddr1_2[6]") (joined (portRef Y (instanceRef r_d_inst_0_RNIIB3S_17)) (portRef B (instanceRef r_d_inst_0_RNINST62_17)) )) (net un1_call_hold7_1 (joined (portRef Y (instanceRef r_d_cnt_RNIRCME_0)) (portRef A (instanceRef r_d_inst_0_RNIRA7G_14)) (portRef S (instanceRef r_d_inst_0_RNI3DOH_16)) (portRef S (instanceRef r_d_inst_0_RNI7DOH_18)) (portRef S (instanceRef r_d_inst_0_RNI5DOH_17)) (portRef S (instanceRef r_d_inst_0_RNI1DOH_15)) (portRef S (instanceRef r_d_inst_0_RNINST62_17)) (portRef S (instanceRef r_d_inst_0_RNIB9N22_17)) (portRef S (instanceRef r_d_inst_0_RNI1MGU1_17)) )) (net (rename rd_2_3 "rd_2[3]") (joined (portRef Y (instanceRef r_d_inst_0_RNICEJ4_28)) (portRef D (instanceRef r_a_ctrl_rd_3)) (portRef A (instanceRef r_d_inst_0_RNIPS69_28)) )) (net rett_1_0 (joined (portRef Y (instanceRef r_x_ctrl_rett_RNO)) (portRef D (instanceRef r_x_ctrl_rett)) )) (net su2 (joined (portRef Y (instanceRef r_x_annul_all_RNIPVOS)) (portRef B (instanceRef r_a_et_RNO)) (portRef S (instanceRef r_a_su_RNO)) )) (net annul_all (joined (portRef Q (instanceRef r_x_annul_all)) (portRef C (instanceRef r_x_annul_all_RNIPVOS)) )) (net wicc_1_0 (joined (portRef Y (instanceRef r_x_ctrl_wicc_RNO)) (portRef D (instanceRef r_x_ctrl_wicc)) )) (net annul_1_2 (joined (portRef Y (instanceRef r_m_ctrl_annul_RNO)) (portRef D (instanceRef r_m_ctrl_annul)) )) (net rett_1_1 (joined (portRef Y (instanceRef r_m_ctrl_rett_RNO)) (portRef D (instanceRef r_m_ctrl_rett)) )) (net N_8228_i (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIRU2I22)) (portRef E (instanceRef r_d_pc_31)) (portRef E (instanceRef r_d_pc_30)) (portRef E (instanceRef r_d_pc_29)) (portRef E (instanceRef r_d_pc_28)) (portRef E (instanceRef r_d_pc_27)) (portRef E (instanceRef r_d_pc_25)) (portRef E (instanceRef r_d_pc_24)) (portRef E (instanceRef r_d_pc_22)) (portRef E (instanceRef r_d_pc_21)) (portRef E (instanceRef r_d_pc_19)) (portRef E (instanceRef r_d_pc_18)) (portRef E (instanceRef r_d_pc_16)) (portRef E (instanceRef r_d_pc_9)) (portRef E (instanceRef r_d_pc_7)) (portRef E (instanceRef r_d_pc_2)) )) (net un18_hold_pc (joined (portRef Y (instanceRef comb_lock_gen_ldlock2_1_RNIET4H41)) (portRef B (instanceRef r_d_inull_RNI7AAMA1)) (portRef un18_hold_pc) )) (net N_3500 (joined (portRef Y (instanceRef r_d_inull_RNICHGG)) (portRef A (instanceRef r_d_inull_RNI6370Q)) (portRef A (instanceRef r_d_annul_RNID4OG1)) )) (net hold_pc_0_sqmuxa (joined (portRef Y (instanceRef r_d_annul_RNIP2H4_0)) (portRef A (instanceRef r_d_annul_RNI6C772)) (portRef B (instanceRef r_d_inull_RNICHGG)) )) (net G_17_0 (joined (portRef Y (instanceRef r_d_inst_0_RNINSV2_0_31)) (portRef B (instanceRef r_d_annul_RNID4OG1)) )) (net annul_2_1 (joined (portRef Y (instanceRef r_d_annul_RNIAM7T)) (portRef C (instanceRef r_d_annul_RNID4OG1)) )) (net N_246 (joined (portRef Y (instanceRef r_e_jmpl_RNI9NOH)) (portRef B (instanceRef r_e_ctrl_pc_RNIN7KL_14)) (portRef B (instanceRef r_e_ctrl_pc_RNI4M0L_2)) (portRef B (instanceRef r_e_ctrl_pc_RNIPBKL_25)) (portRef B (instanceRef r_e_ctrl_pc_RNILRJL_21)) (portRef B (instanceRef r_e_ctrl_pc_RNIO7KL_24)) (portRef B (instanceRef r_e_ctrl_pc_RNIOBKL_15)) (portRef B (instanceRef r_e_ctrl_pc_RNILVJL_12)) (portRef B (instanceRef r_e_ctrl_pc_RNIRJKL_27)) (portRef B (instanceRef r_e_ctrl_pc_RNIM3KL_13)) (portRef B (instanceRef r_e_ctrl_pc_RNISNKL_28)) (portRef B (instanceRef r_e_ctrl_pc_RNILNJL_30)) (portRef B (instanceRef r_e_ctrl_pc_RNI6M0L_4)) (portRef B (instanceRef r_e_ctrl_pc_RNIMRJL_31)) (portRef B (instanceRef r_e_ctrl_pc_RNITRKL_29)) (portRef B (instanceRef r_e_ctrl_pc_RNIQFKL_26)) )) (net N422_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I21_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I45_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I43_Y)) )) (net call_hold5 (joined (portRef Y (instanceRef r_d_inst_0_RNI5C23_3_31)) (portRef S (instanceRef r_a_imm_RNO_10)) (portRef S (instanceRef r_a_imm_RNO_11)) (portRef S (instanceRef r_a_imm_RNO_12)) (portRef S (instanceRef r_a_imm_RNO_13)) (portRef S (instanceRef r_a_imm_RNO_15)) (portRef S (instanceRef r_a_imm_RNO_16)) (portRef S (instanceRef r_a_imm_RNO_17)) (portRef S (instanceRef r_a_imm_RNO_19)) (portRef S (instanceRef r_a_imm_RNO_20)) (portRef S (instanceRef r_a_imm_RNO_21)) (portRef S (instanceRef r_a_imm_RNO_24)) (portRef S (instanceRef r_a_imm_RNO_25)) (portRef S (instanceRef r_a_imm_RNO_26)) (portRef S (instanceRef r_a_imm_RNO_27)) (portRef S (instanceRef r_a_imm_RNO_28)) (portRef S (instanceRef r_a_imm_RNO_30)) (portRef S (instanceRef r_a_imm_RNO_31)) )) (net (rename un3_de_ren1_138 "un3_de_ren1[138]") (joined (portRef Y (instanceRef r_a_imm_RNO_23)) (portRef D (instanceRef r_a_imm_23)) )) (net N_8058 (joined (portRef Y (instanceRef r_d_inst_0_RNI0423_20)) (portRef B (instanceRef r_d_inst_0_RNIA869_20)) )) (net un1_imm_0_sqmuxa (joined (portRef Y (instanceRef r_d_inst_0_RNIBIL7_31)) (portRef B (instanceRef r_d_inst_0_RNIDHU71_13)) )) (net un1_call_hold7_2_i (joined (portRef Y (instanceRef r_d_inst_0_RNI31OU_31)) (portRef S (instanceRef r_d_inst_0_RNIDHU71_13)) )) (net (rename cnt_RNO_0 "cnt_RNO[0]") (joined (portRef Y (instanceRef r_d_cnt_RNO_0)) (portRef D (instanceRef r_d_cnt_0)) )) (net N_6443 (joined (portRef Y (instanceRef dsur_asi_RNO_0_2)) (portRef B (instanceRef dsur_asi_RNO_2)) )) (net (rename asi_RNO_2 "asi_RNO[2]") (joined (portRef Y (instanceRef dsur_asi_RNO_2)) (portRef D (instanceRef dsur_asi_2)) )) (net (rename crdy_RNO_2 "crdy_RNO[2]") (joined (portRef Y (instanceRef dsur_crdy_RNO_2)) (portRef D (instanceRef dsur_crdy_2)) )) (net dsuen_1 (joined (portRef Q (instanceRef r_m_dci_dsuen)) (portRef A (instanceRef dsur_crdy_RNO_3_2)) (portRef A (instanceRef r_m_dci_dsuen_RNI9L801)) (portRef dsuen_1) )) (net N_3444 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIJ56L1_21)) (portRef A (instanceRef r_e_ctrl_inst_RNIGPQ8V2_21)) )) (net enaddr_0_sqmuxa_2 (joined (portRef Y (instanceRef r_e_ctrl_annul_RNI5LDBF1)) (portRef B (instanceRef r_e_ctrl_inst_RNIGPQ8V2_21)) )) (net enaddr_0_sqmuxa (joined (portRef Y (instanceRef r_e_ctrl_cnt_RNITRSAE1_0)) (portRef A (instanceRef r_e_ctrl_annul_RNI5LDBF1)) )) (net N_5844 (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_27)) (portRef B (instanceRef r_d_inst_0_RNO_27)) )) (net (rename data_0_2_26 "data_0_2[27]") (joined (portRef data_0_2_26) (portRef A (instanceRef r_d_inst_0_RNO_0_27)) )) (net rett_1_2 (joined (portRef Y (instanceRef r_a_ctrl_rett_RNO)) (portRef D (instanceRef r_a_ctrl_rett)) )) (net rett_1_3 (joined (portRef Y (instanceRef r_e_ctrl_rett_RNO)) (portRef D (instanceRef r_e_ctrl_rett)) )) (net (rename inst_0_RNO_27 "inst_0_RNO[27]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_27)) (portRef D (instanceRef r_d_inst_0_27)) )) (net (rename rd_3_1 "rd_3[1]") (joined (portRef Y (instanceRef r_a_ctrl_rd_RNO_1)) (portRef D (instanceRef r_a_ctrl_rd_1)) )) (net annul_next_14 (joined (portRef Y (instanceRef r_d_inst_0_RNIQCA01F_29)) (portRef B (instanceRef r_d_inst_0_RNI1NKMBG_29)) )) (net (rename un3_de_ren1_129 "un3_de_ren1[129]") (joined (portRef Y (instanceRef r_a_imm_RNO_14)) (portRef D (instanceRef r_a_imm_14)) )) (net branch_1_sqmuxa_i (joined (portRef Y (instanceRef r_d_annul_RNIV849)) (portRef S (instanceRef r_d_annul_RNI6C772)) )) (net branch_5 (joined (portRef Y (instanceRef r_d_annul_RNI6C772)) (portRef A (instanceRef r_d_annul_RNIQRUBA)) )) (net (rename data_0_23 "data_0[23]") (joined (portRef data_0_23) (portRef A (instanceRef r_x_data_0_RNO_0_7)) (portRef B (instanceRef r_x_data_0_RNO_0_23)) (portRef B (instanceRef r_x_dci_signed_RNI9N1F61)) )) (net (rename data_0_0_25 "data_0_0[25]") (joined (portRef data_0_0_25) (portRef A (instanceRef r_x_data_0_RNO_1_1)) (portRef B (instanceRef r_x_data_0_RNO_0_25)) (portRef B (instanceRef r_x_data_0_RNO_0_9)) )) (net (rename result_0_31 "result_0[31]") (joined (portRef Q (instanceRef r_x_result_31)) (portRef B (instanceRef r_w_s_y_RNO_1_31)) (portRef B (instanceRef r_w_s_tba_RNO_0_19)) (portRef A (instanceRef r_x_result_RNI07FD_31)) )) (net (rename data_0_0_18 "data_0_0[18]") (joined (portRef data_0_0_18) (portRef B (instanceRef r_x_data_0_RNO_0_18)) (portRef A (instanceRef r_x_data_0_RNO_2_2)) )) (net N_5441 (joined (portRef Y (instanceRef r_f_pc_RNI5E6N7_2)) (portRef B (instanceRef r_f_pc_RNIUILTE1_2)) )) (net (rename npc1Z0Z_2 "npc1[2]") (joined (portRef Y (instanceRef r_f_pc_RNIUILTE1_2)) (portRef B (instanceRef r_d_pc_RNIVNVB6C_2)) )) (net (rename rpc_0 "rpc[2]") (joined (portRef Y (instanceRef r_d_pc_RNIVNVB6C_2)) (portRef rpc_0) )) (net (rename aop1_5 "aop1[5]") (joined (portRef Y (instanceRef r_e_op1_RNO_5)) (portRef D (instanceRef r_e_op1_5)) )) (net (rename d_5 "d[5]") (joined (portRef Y (instanceRef r_a_rsel1_RNI9T14C2_0)) (portRef B (instanceRef r_e_op1_RNO_4)) (portRef A (instanceRef r_e_op1_RNO_5)) )) (net (rename d_6 "d[6]") (joined (portRef Y (instanceRef r_a_rsel1_RNIGDTEG2_0)) (portRef A (instanceRef r_e_op1_RNO_6)) (portRef B (instanceRef r_e_op1_RNO_5)) )) (net N_3583 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNICHFG_23)) (portRef A (instanceRef r_m_dci_asi_RNO_4)) (portRef B (instanceRef r_m_dci_asi_RNO_3)) (portRef B (instanceRef r_m_dci_asi_RNO_1)) (portRef A (instanceRef r_m_dci_asi_RNO_2)) (portRef S (instanceRef r_m_dci_asi_RNO_0)) )) (net (rename eaddress_2 "eaddress[2]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIVG244)) (portRef B (instanceRef r_e_jmpl_RNIQT7G4)) (portRef C (instanceRef r_e_ldbp2_1_RNIE27SA)) (portRef eaddress_2) )) (net trap2 (joined (portRef Y (instanceRef r_x_ctrl_trap_RNO)) (portRef D (instanceRef r_x_ctrl_trap)) )) (net rstate_7_sqmuxa (joined (portRef Y (instanceRef ir_pwd_RNO)) (portRef D (instanceRef ir_pwd)) )) (net (rename rstate_0_RNIFVH51_0_1 "rstate_0_RNIFVH51_0[1]") (joined (portRef Y (instanceRef r_x_rstate_0_RNIFVH51_0_1)) (portRef A (instanceRef r_f_pc_RNO_12_22)) (portRef A (instanceRef r_f_pc_RNO_12_18)) (portRef A (instanceRef r_f_pc_RNO_13_21)) (portRef A (instanceRef ir_addr_RNIAHM71_9)) (portRef A (instanceRef ir_addr_RNI5HM71_4)) (portRef A (instanceRef ir_addr_RNI6HM71_5)) (portRef A (instanceRef ir_addr_RNI7HM71_6)) (portRef A (instanceRef ir_addr_RNIJQUB1_11)) (portRef A (instanceRef r_f_pc_RNO_12_16)) (portRef A (instanceRef r_f_pc_RNO_12_12)) (portRef A (instanceRef r_f_pc_RNO_14_19)) (portRef A (instanceRef r_f_pc_RNO_15_27)) (portRef A (instanceRef ir_addr_RNI9HM71_8)) (portRef A (instanceRef ir_addr_RNIIMUB1_10)) (portRef A (instanceRef r_f_pc_RNO_13_25)) )) (net N_8226_i (joined (portRef Y (instanceRef ir_pwd_RNO_0)) (portRef E (instanceRef ir_pwd)) )) (net trap_5 (joined (portRef Y (instanceRef r_e_ctrl_trap_RNO)) (portRef D (instanceRef r_e_ctrl_trap)) )) (net nullify2_0_sqmuxa_i_0 (joined (portRef Y (instanceRef r_m_ctrl_inst_RNI635GO_30)) (portRef nullify2_0_sqmuxa_i_0) )) (net N473_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I26_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I42_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I44_Y)) )) (net N504_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I45_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I103_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I107_Y)) )) (net N508_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I49_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I111_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I107_Y)) )) (net N505_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I46_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I104_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I108_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I107_Y)) )) (net N461_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I22_P0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I112_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I111_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I108_Y)) )) (net I111_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I111_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I111_Y)) )) (net I41_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I41_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I41_Y)) )) (net N476 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I27_P0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I40_Y_i_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I42_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I41_un1_Y)) )) (net I103_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I103_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I103_Y)) )) (net N460_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I22_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I49_Y)) )) (net (rename logicout_3_22 "logicout_3[22]") (joined (portRef Y (instanceRef r_e_op2_RNIUR971_0_22)) (portRef B (instanceRef r_e_aluop_2_RNIJ9BI2_1)) )) (net (rename logicout_4_22 "logicout_4[22]") (joined (portRef Y (instanceRef r_e_op2_RNIUR971_22)) (portRef B (instanceRef r_e_aluop_0_RNIB27K2_1)) )) (net N_4130 (joined (portRef Y (instanceRef r_e_aluop_0_RNIPK591_2)) (portRef A (instanceRef r_e_aluop_2_RNIJ9BI2_1)) )) (net N_4162 (joined (portRef Y (instanceRef r_e_aluop_2_RNIJ9BI2_1)) (portRef A (instanceRef r_e_aluop_0_RNIN4E85_0)) )) (net N_4226 (joined (portRef Y (instanceRef r_e_aluop_0_RNIB27K2_1)) (portRef B (instanceRef r_e_aluop_0_RNIN4E85_0)) )) (net N602_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I139_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I199_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I191_un1_Y)) )) (net N_53 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I216_Y_0_a3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I216_Y_0_o3)) )) (net N723 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I217_Y)) (portRef A (instanceRef r_f_pc_RNO_0_17)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I216_Y_0_a3)) )) (net N720_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I216_Y_0_o3)) (portRef A (instanceRef r_f_pc_RNO_7_18)) )) (net N403_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I15_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I56_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I54_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I216_Y_0_o3)) )) (net I243_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I243_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I243_Y)) )) (net N_8191 (joined (portRef Y (instanceRef r_e_invop2_RNIG9AGP)) (portRef B (instanceRef r_e_ldbp2_2_RNIULM691)) )) (net (rename un6_ex_add_res_s2_16 "un6_ex_add_res_s2[16]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I306_Y_0)) (portRef A (instanceRef r_e_invop2_RNIG9AGP)) )) (net (rename un6_ex_add_res_s0_16 "un6_ex_add_res_s0[16]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I306_Y_0)) (portRef B (instanceRef r_e_invop2_RNIG9AGP)) )) (net (rename un6_ex_add_res_s2_1_16 "un6_ex_add_res_s2_1[16]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I306_Y_0_1)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I306_Y_0)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I306_Y_0)) )) (net (rename pc_0_16 "pc_0[16]") (joined (portRef Q (instanceRef r_x_ctrl_pc_16)) (portRef A (instanceRef r_x_ctrl_pc_RNIR8J3S_16)) (portRef A (instanceRef r_x_ctrl_pc_RNIHQHF_16)) (portRef A (instanceRef ir_addr_RNO_3_16)) )) (net (rename pc_2_16 "pc_2[16]") (joined (portRef Q (instanceRef r_m_ctrl_pc_16)) (portRef D (instanceRef r_x_ctrl_pc_16)) (portRef A (instanceRef r_m_ctrl_pc_RNI2QHF_16)) (portRef A (instanceRef ir_addr_RNO_4_16)) )) (net (rename pc_3_16 "pc_3[16]") (joined (portRef Q (instanceRef r_a_ctrl_pc_16)) (portRef B (instanceRef un6_fe_npc_1_I_84)) (portRef C (instanceRef un6_fe_npc_1_I_87)) (portRef D (instanceRef r_e_ctrl_pc_16)) (portRef B (instanceRef r_m_ctrl_pc_RNI2QHF_16)) (portRef A (instanceRef ir_addr_RNO_5_16)) )) (net N_3869 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIHQHF_16)) (portRef A (instanceRef r_x_npc_0_RNIFTT61_0)) )) (net N_3899 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI2QHF_16)) (portRef B (instanceRef r_x_npc_0_RNIFTT61_0)) )) (net N_5962 (joined (portRef Y (instanceRef r_w_s_s_RNO_0)) (portRef B (instanceRef r_w_s_s_RNO)) )) (net N_6448 (joined (portRef Y (instanceRef dsur_asi_RNO_0_7)) (portRef B (instanceRef dsur_asi_RNO_7)) )) (net (rename asi_7 "asi[7]") (joined (portRef Q (instanceRef dsur_asi_7)) (portRef A (instanceRef dsur_asi_RNI1U741_7)) (portRef A (instanceRef dsur_asi_RNO_0_7)) )) (net (rename ddata_7 "ddata[7]") (joined (portRef (member ddata 24)) (portRef B (instanceRef r_x_rstate_RNIQHV53_0)) (portRef B (instanceRef r_w_s_tt_RNO_3)) (portRef A (instanceRef r_x_rstate_RNIJQKB_0)) (portRef B (instanceRef ir_addr_RNO_1_7)) (portRef B (instanceRef r_w_s_y_RNO_2_7)) (portRef B (instanceRef r_x_rstate_RNISB1F2_0)) (portRef B (instanceRef dsur_asi_RNO_0_7)) (portRef B (instanceRef r_m_dci_enaddr_RNIP9212)) )) (net N_5286 (joined (portRef Y (instanceRef r_x_data_0_RNIHF43_7)) (portRef B (instanceRef r_e_op2_RNIMPPA_7)) )) (net su_0 (joined (portRef Y (instanceRef r_a_su_RNO)) (portRef D (instanceRef r_a_su)) )) (net et_2 (joined (portRef Y (instanceRef r_a_et_RNO)) (portRef D (instanceRef r_a_et)) )) (net (rename result_RNIUVKA_7 "result_RNIUVKA[7]") (joined (portRef Y (instanceRef r_x_result_RNIUVKA_7)) (portRef B (instanceRef r_a_rsel1_RNIK505C2_1)) (portRef B (instanceRef r_e_op2_RNO_2_7)) (portRef A (instanceRef r_x_result_RNIILPAS_7)) (portRef B (instanceRef r_x_result_RNIOIS03_7)) )) (net (rename asi_RNO_7 "asi_RNO[7]") (joined (portRef Y (instanceRef dsur_asi_RNO_7)) (portRef D (instanceRef dsur_asi_7)) )) (net s_RNO (joined (portRef Y (instanceRef r_w_s_s_RNO)) (portRef D (instanceRef r_w_s_s)) )) (net annul_all2_9 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNIFTNEQ1_30)) (portRef A (instanceRef r_x_rstate_RNIUG25T1_0)) )) (net N_457 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIHC1S_24)) (portRef B (instanceRef r_a_ctrl_inst_RNIJL4D2_23)) )) (net N_3855 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI77AE_2)) (portRef A (instanceRef r_x_npc_0_RNIRME41_0)) )) (net (rename pc_0_2 "pc_0[2]") (joined (portRef Q (instanceRef r_x_ctrl_pc_2)) (portRef A (instanceRef ir_addr_RNO_0_2)) (portRef A (instanceRef r_x_ctrl_pc_RNI6FV2S_2)) (portRef A (instanceRef r_x_ctrl_pc_RNI77AE_2)) )) (net (rename pc_2_2 "pc_2[2]") (joined (portRef Q (instanceRef r_e_ctrl_pc_2)) (portRef D (instanceRef r_m_ctrl_pc_2)) (portRef A (instanceRef r_e_ctrl_pc_RNI4M0L_2)) (portRef A (instanceRef ir_addr_RNO_6_2)) (portRef B (instanceRef r_x_ctrl_pc_RNI77AE_2)) )) (net N_3885 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIO6AE_2)) (portRef B (instanceRef r_x_npc_0_RNIRME41_0)) )) (net (rename pc_3_2 "pc_3[2]") (joined (portRef Q (instanceRef r_m_ctrl_pc_2)) (portRef D (instanceRef r_x_ctrl_pc_2)) (portRef A (instanceRef ir_addr_RNO_4_2)) (portRef A (instanceRef r_m_ctrl_pc_RNIO6AE_2)) )) (net (rename result_RNIFDBB_2 "result_RNIFDBB[2]") (joined (portRef Y (instanceRef r_x_result_RNIFDBB_2)) (portRef B (instanceRef r_a_rsel1_RNIBME552_1)) (portRef B (instanceRef r_x_result_RNIVBI13_2)) (portRef B (instanceRef r_a_rsel2_1_RNIBME552_1)) (portRef A (instanceRef r_x_result_RNI33GBS_2)) )) (net (rename y_2_2 "y_2[2]") (joined (portRef Q (instanceRef r_m_y_2)) (portRef D (instanceRef r_x_y_2)) (portRef A (instanceRef r_m_y_RNO_2_2)) (portRef A (instanceRef r_m_y_RNO_4_1)) (portRef A (instanceRef r_m_y_RNI74K91_2)) )) (net un153_dbgm (joined (portRef Y (instanceRef r_e_ctrl_pv_RNIKLVC)) (portRef A (instanceRef r_x_npc_1_RNI1ERTS_1)) )) (net pv_8 (joined (portRef Q (instanceRef r_e_ctrl_pv)) (portRef D (instanceRef r_m_ctrl_pv)) (portRef A (instanceRef r_a_ctrl_pv_RNI6GFJ)) (portRef B (instanceRef r_e_ctrl_pv_RNIKLVC)) )) (net N_5538 (joined (portRef Y (instanceRef r_a_ctrl_pv_RNI6GFJ)) (portRef A (instanceRef r_x_npc_0_RNIH8B4T_0)) )) (net pv_9 (joined (portRef Q (instanceRef r_a_ctrl_pv)) (portRef D (instanceRef r_e_ctrl_pv)) (portRef A (instanceRef r_a_ctrl_pv_RNIOGNA)) (portRef B (instanceRef r_a_ctrl_pv_RNI6GFJ)) )) (net (rename logicout_3_10 "logicout_3[10]") (joined (portRef Y (instanceRef r_e_op2_RNIB3971_0_10)) (portRef B (instanceRef r_e_aluop_2_RNIDO9I2_1)) )) (net (rename logicout_4_10 "logicout_4[10]") (joined (portRef Y (instanceRef r_e_op2_RNIB3971_10)) (portRef B (instanceRef r_e_aluop_0_RNI5H5K2_1)) )) (net N_4118 (joined (portRef Y (instanceRef r_e_aluop_0_RNI6S491_2)) (portRef A (instanceRef r_e_aluop_2_RNIDO9I2_1)) )) (net N_4150 (joined (portRef Y (instanceRef r_e_aluop_2_RNIDO9I2_1)) (portRef A (instanceRef r_e_aluop_0_RNIB2B85_0)) )) (net N_4214 (joined (portRef Y (instanceRef r_e_aluop_0_RNI5H5K2_1)) (portRef B (instanceRef r_e_aluop_0_RNIB2B85_0)) )) (net N_7_0 (joined (portRef Y (instanceRef r_e_aluop_2_RNINHN3_1)) (portRef S (instanceRef r_e_aluop_1_RNI0JTV1_1)) (portRef S (instanceRef r_e_aluop_1_RNIARDF1_1)) (portRef S (instanceRef r_e_aluop_1_RNIGBEF1_1)) (portRef S (instanceRef r_e_aluop_1_RNIUI8K2_1)) (portRef S (instanceRef r_e_aluop_1_RNI039K2_1)) (portRef S (instanceRef r_e_aluop_1_RNIGCGF1_1)) (portRef S (instanceRef r_e_aluop_1_RNI8D6R_1)) (portRef S (instanceRef r_e_aluop_1_RNIO2TV1_1)) (portRef S (instanceRef r_e_aluop_1_RNIO3VV1_1)) (portRef S (instanceRef r_e_aluop_1_RNIOQCF1_1)) (portRef S (instanceRef r_e_aluop_1_RNIERM82_1)) (portRef S (instanceRef r_e_aluop_1_RNIKI7K2_1)) (portRef S (instanceRef r_e_aluop_1_RNI04VV1_1)) (portRef S (instanceRef r_e_aluop_1_RNIG4002_1)) (portRef S (instanceRef r_e_aluop_0_RNIVADF1_1)) )) (net N_5846 (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_29)) (portRef B (instanceRef r_d_inst_0_RNO_29)) )) (net (rename data_0_0_29 "data_0_0[29]") (joined (portRef data_0_0_29) (portRef A (instanceRef r_d_inst_0_RNO_0_29)) )) (net (rename inst_0_RNO_29 "inst_0_RNO[29]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_29)) (portRef D (instanceRef r_d_inst_0_29)) )) (net (rename inst_1_31 "inst_1[31]") (joined (portRef Q (instanceRef r_x_ctrl_inst_31)) (portRef A (instanceRef r_x_ctrl_inst_RNILD0E_30)) )) (net (rename inst_1_30 "inst_1[30]") (joined (portRef Q (instanceRef r_x_ctrl_inst_30)) (portRef B (instanceRef r_x_ctrl_inst_RNILD0E_30)) )) (net (rename inst_2_31 "inst_2[31]") (joined (portRef Q (instanceRef r_m_ctrl_inst_31)) (portRef D (instanceRef r_x_ctrl_inst_31)) (portRef A (instanceRef r_m_ctrl_inst_RNIVC0E_0_30)) (portRef A (instanceRef r_m_ctrl_inst_RNIVC0E_30)) )) (net (rename inst_2_30 "inst_2[30]") (joined (portRef Q (instanceRef r_m_ctrl_inst_30)) (portRef D (instanceRef r_x_ctrl_inst_30)) (portRef B (instanceRef r_m_ctrl_inst_RNIVC0E_0_30)) (portRef B (instanceRef r_m_ctrl_inst_RNIVC0E_30)) )) (net N_4715 (joined (portRef Y (instanceRef r_a_imm_RNIR8OD2_2)) (portRef A (instanceRef r_a_rsel2_1_RNI6GBO2_1)) )) (net (rename data2_2 "data2[2]") (joined (portRef (member data2 29)) (portRef A (instanceRef r_a_imm_RNIR8OD2_2)) )) (net (rename imm_2 "imm[2]") (joined (portRef Q (instanceRef r_a_imm_2)) (portRef B (instanceRef r_a_imm_RNIR8OD2_2)) )) (net N_4779 (joined (portRef Y (instanceRef r_a_rsel2_1_RNI6GBO2_1)) (portRef A (instanceRef r_a_rsel2_RNI410U72_0)) )) (net N_4747 (joined (portRef Y (instanceRef r_w_result_RNIBTO7_2)) (portRef B (instanceRef r_a_rsel2_1_RNI6GBO2_1)) )) (net N_4843 (joined (portRef Y (instanceRef r_a_rsel2_1_RNIBME552_1)) (portRef B (instanceRef r_a_rsel2_RNI410U72_0)) )) (net N_4811 (joined (portRef Y (instanceRef r_a_rsel2_RNISU8N42_2)) (portRef A (instanceRef r_a_rsel2_1_RNIBME552_1)) )) (net (rename un3_de_ren1_117 "un3_de_ren1[117]") (joined (portRef Y (instanceRef r_a_imm_RNO_2)) (portRef D (instanceRef r_a_imm_2)) )) (net (rename inst_3_31 "inst_3[31]") (joined (portRef Q (instanceRef r_e_ctrl_inst_31)) (portRef D (instanceRef r_m_ctrl_inst_31)) (portRef A (instanceRef r_e_ctrl_inst_RNIFC0E_30)) (portRef A (instanceRef r_e_ctrl_inst_RNIFC0E_0_30)) )) (net (rename inst_3_30 "inst_3[30]") (joined (portRef Q (instanceRef r_e_ctrl_inst_30)) (portRef D (instanceRef r_m_ctrl_inst_30)) (portRef B (instanceRef r_e_ctrl_inst_RNIFC0E_30)) (portRef B (instanceRef r_e_ctrl_inst_RNIFC0E_0_30)) )) (net N_3897 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIU9HF_14)) (portRef B (instanceRef r_x_npc_RNISR7R_0)) )) (net (rename pc_14 "pc[14]") (joined (portRef Q (instanceRef r_m_ctrl_pc_14)) (portRef D (instanceRef r_x_ctrl_pc_14)) (portRef A (instanceRef ir_addr_RNO_4_14)) (portRef A (instanceRef r_m_ctrl_pc_RNIU9HF_14)) )) (net (rename pc_0_14 "pc_0[14]") (joined (portRef Q (instanceRef r_a_ctrl_pc_14)) (portRef B (instanceRef un6_fe_npc_1_I_73)) (portRef C (instanceRef un6_fe_npc_1_I_76)) (portRef A (instanceRef un6_fe_npc_1_I_80)) (portRef A (instanceRef un6_fe_npc_1_I_87)) (portRef D (instanceRef r_e_ctrl_pc_14)) (portRef A (instanceRef ir_addr_RNO_5_14)) (portRef B (instanceRef r_m_ctrl_pc_RNIU9HF_14)) )) (net N_3867 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNINPM9_14)) (portRef A (instanceRef r_x_npc_RNISR7R_0)) )) (net (rename npc_0 "npc[0]") (joined (portRef Q (instanceRef r_x_npc_0)) (portRef S (instanceRef r_x_npc_RNIURFL_0)) (portRef S (instanceRef r_x_npc_RNIARDL_0)) (portRef S (instanceRef r_x_npc_RNIJR5R_0)) (portRef S (instanceRef r_x_npc_RNI25VI_0)) (portRef S (instanceRef r_x_npc_RNIA5VI_0)) (portRef S (instanceRef r_x_npc_RNI6RCL_0)) (portRef S (instanceRef r_x_npc_RNIERDL_0)) (portRef S (instanceRef r_x_npc_RNIQBFL_0)) (portRef S (instanceRef r_x_npc_RNIU4VI_0)) (portRef S (instanceRef r_x_npc_RNIKB6R_0)) (portRef S (instanceRef r_x_npc_RNIAC111_0)) (portRef S (instanceRef r_x_npc_RNIES111_0)) (portRef S (instanceRef r_x_npc_RNI27KU_0)) (portRef S (instanceRef r_x_npc_RNI6C011_0)) (portRef S (instanceRef r_x_npc_RNI6S011_0)) (portRef S (instanceRef r_x_npc_RNI6T411_0)) (portRef S (instanceRef r_x_npc_RNI1C011_0)) (portRef S (instanceRef r_x_npc_RNIUC311_0)) (portRef S (instanceRef r_x_npc_RNISR7R_0)) )) (net N_4855 (joined (portRef Y (instanceRef r_e_op2_RNO_2_14)) (portRef B (instanceRef r_e_op2_RNO_0_14)) )) (net N_4823 (joined (portRef Y (instanceRef r_e_op2_RNO_5_14)) (portRef A (instanceRef r_e_op2_RNO_2_14)) )) (net (rename result_RNILC6E_14 "result_RNILC6E[14]") (joined (portRef Y (instanceRef r_x_result_RNILC6E_14)) (portRef B (instanceRef r_a_rsel1_0_RNIUG3VK3_1)) (portRef B (instanceRef r_x_result_RNIVSJD3_14)) (portRef A (instanceRef r_x_result_RNI92BES_14)) (portRef B (instanceRef r_e_op2_RNO_2_14)) )) (net (rename pc_2_14 "pc_2[14]") (joined (portRef Q (instanceRef r_x_ctrl_pc_14)) (portRef A (instanceRef r_x_ctrl_pc_RNINPM9_14)) (portRef A (instanceRef ir_addr_RNO_0_14)) (portRef A (instanceRef r_x_ctrl_pc_RNIP0J3S_14)) )) (net N_4968 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_2)) (portRef A (instanceRef r_w_s_tba_RNO_2)) )) (net (rename tba_1_2 "tba_1[2]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_2)) (portRef D (instanceRef r_w_s_tba_2)) )) (net N_4727 (joined (portRef Y (instanceRef r_e_op2_RNO_3_14)) (portRef A (instanceRef r_e_op2_RNO_1_14)) )) (net (rename data2_14 "data2[14]") (joined (portRef (member data2 17)) (portRef A (instanceRef r_e_op2_RNO_3_14)) )) (net (rename imm_14 "imm[14]") (joined (portRef Q (instanceRef r_a_imm_14)) (portRef B (instanceRef r_e_op2_RNO_3_14)) )) (net N_4759 (joined (portRef Y (instanceRef r_e_op2_RNO_4_14)) (portRef B (instanceRef r_e_op2_RNO_1_14)) )) (net (rename result_0_14 "result_0[14]") (joined (portRef Q (instanceRef r_w_result_14)) (portRef B (instanceRef r_w_result_RNIKA95_14)) (portRef B (instanceRef r_e_op2_RNO_4_14)) )) (net N_4791 (joined (portRef Y (instanceRef r_e_op2_RNO_1_14)) (portRef A (instanceRef r_e_op2_RNO_0_14)) )) (net (rename d_1_14 "d_1[14]") (joined (portRef Y (instanceRef r_e_op2_RNO_0_14)) (portRef A (instanceRef r_e_op2_RNO_14)) )) (net (rename aop2_14 "aop2[14]") (joined (portRef Y (instanceRef r_e_op2_RNO_14)) (portRef D (instanceRef r_e_op2_14)) )) (net (rename result_RNI4NED_19 "result_RNI4NED[19]") (joined (portRef Y (instanceRef r_x_result_RNI4NED_19)) (portRef B (instanceRef r_a_rsel1_0_RNIRKR4M4_1)) (portRef B (instanceRef r_e_op2_RNO_2_19)) (portRef B (instanceRef r_x_result_RNIORSC3_19)) (portRef A (instanceRef r_x_result_RNIOCJDS_19)) )) (net (rename result_0_19 "result_0[19]") (joined (portRef Q (instanceRef r_x_result_19)) (portRef B (instanceRef r_w_s_y_RNO_3_19)) (portRef B (instanceRef r_w_s_tba_RNO_0_7)) (portRef A (instanceRef r_x_result_RNI4NED_19)) )) (net N_5840 (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_23)) (portRef B (instanceRef r_d_inst_0_RNO_23)) )) (net (rename data_0_2_22 "data_0_2[23]") (joined (portRef data_0_2_22) (portRef A (instanceRef r_d_inst_0_RNO_0_23)) )) (net (rename inst_0_RNO_23 "inst_0_RNO[23]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_23)) (portRef D (instanceRef r_d_inst_0_23)) )) (net signed_0 (joined (portRef Q (instanceRef r_m_dci_signed)) (portRef D (instanceRef r_x_dci_signed)) (portRef A (instanceRef r_x_dci_signed_RNI684K3)) )) (net signed_2 (joined (portRef Q (instanceRef r_x_dci_signed)) (portRef B (instanceRef r_x_dci_signed_RNI684K3)) )) (net rett_i (joined (portRef Y (instanceRef r_m_dci_asi_RNO_2_0)) (portRef S (instanceRef r_m_dci_asi_RNO_0_0)) )) (net su_1 (joined (portRef Y (instanceRef r_m_dci_asi_RNO_0_0)) (portRef A (instanceRef r_m_dci_asi_RNO_0)) )) (net N_5542 (joined (portRef Y (instanceRef r_m_dci_asi_RNO_1_0)) (portRef B (instanceRef r_m_dci_asi_RNO_0)) )) (net (rename inst_1_5 "inst_1[5]") (joined (portRef Q (instanceRef r_e_ctrl_inst_5)) (portRef B (instanceRef r_m_dci_asi_RNO_1_0)) )) (net N_5544 (joined (portRef Y (instanceRef r_m_dci_asi_RNO_0_2)) (portRef B (instanceRef r_m_dci_asi_RNO_2)) )) (net (rename inst_1_7 "inst_1[7]") (joined (portRef Q (instanceRef r_e_ctrl_inst_7)) (portRef B (instanceRef r_m_dci_asi_RNO_0_2)) )) (net (rename asi_0_0 "asi_0[0]") (joined (portRef Y (instanceRef r_m_dci_asi_RNO_0)) (portRef D (instanceRef r_m_dci_asi_0)) )) (net (rename asi_0_2 "asi_0[2]") (joined (portRef Y (instanceRef r_m_dci_asi_RNO_2)) (portRef D (instanceRef r_m_dci_asi_2)) )) (net y16 (joined (portRef Y (instanceRef r_x_ctrl_inst_RNI50723_30)) (portRef B (instanceRef r_x_rstate_RNITFU1V_0)) (portRef A (instanceRef r_x_ctrl_inst_RNIFTNEQ1_30)) )) (net icc_0_sqmuxa (joined (portRef Y (instanceRef r_x_ctrl_wicc_RNIIE1U1_0)) (portRef B (instanceRef r_x_ctrl_wicc_RNIAUOTT)) )) (net icc_0_sqmuxa_0 (joined (portRef Y (instanceRef r_x_ctrl_wicc_RNIAUOTT)) (portRef B (instanceRef r_x_rstate_RNIRL9E01_0)) )) (net wreg_8 (joined (portRef Y (instanceRef r_a_ctrl_wreg_RNILGCE)) (portRef A (instanceRef r_a_ctrl_wreg_RNIPJ0BF)) (portRef C (instanceRef r_a_ctrl_rd_RNI2AVHA_5)) )) (net (rename cnt_RNO_1 "cnt_RNO[1]") (joined (portRef Y (instanceRef r_d_cnt_RNO_1)) (portRef D (instanceRef r_d_cnt_1)) )) (net write_0 (joined (portRef Y (instanceRef r_m_dci_write_RNO)) (portRef D (instanceRef r_m_dci_write)) )) (net N_6442 (joined (portRef Y (instanceRef dsur_asi_RNO_0_1)) (portRef B (instanceRef dsur_asi_RNO_1)) )) (net N_4949 (joined (portRef Y (instanceRef r_w_s_wim_RNI6E9TT_1)) (portRef A (instanceRef r_w_s_wim_RNISPAC01_1)) )) (net (rename ddata_1 "ddata[1]") (joined (portRef (member ddata 30)) (portRef B (instanceRef r_x_rstate_RNIRGMI2_0)) (portRef B (instanceRef r_m_dci_enaddr_RNIJ9212)) (portRef B (instanceRef r_x_rstate_RNIEL4NS_0)) (portRef B (instanceRef r_w_s_y_RNO_2_1)) (portRef B (instanceRef r_w_s_wim_RNISPAC01_1)) (portRef B (instanceRef dsur_asi_RNO_0_1)) )) (net (rename asi_RNO_1 "asi_RNO[1]") (joined (portRef Y (instanceRef dsur_asi_RNO_1)) (portRef D (instanceRef dsur_asi_1)) )) (net un1_exc (joined (portRef Y (instanceRef r_a_step_RNI6M74)) (portRef B (instanceRef r_a_ctrl_pv_RNIOGNA)) )) (net bwatch (joined (portRef bwatch) (portRef B (instanceRef r_a_step_RNI6M74)) (portRef B (instanceRef comb_dbgexc_un82_dbgm_RNIF5JE7)) )) (net step (joined (portRef Q (instanceRef r_a_step)) (portRef C (instanceRef r_a_step_RNI6M74)) )) (net (rename icc_16_0 "icc_16[0]") (joined (portRef Y (instanceRef r_e_aluadd_RNI2UTF94)) (portRef B (instanceRef r_e_aluadd_RNI4QOJF4)) )) (net (rename icc_3_i_0_0 "icc_3_i_0[0]") (joined (portRef Y (instanceRef r_e_op2_RNIDVK494_0_31)) (portRef A (instanceRef r_e_aluadd_RNI2UTF94)) )) (net aluadd (joined (portRef Q (instanceRef r_e_aluadd)) (portRef B (instanceRef r_e_aluadd_RNI2UTF94)) )) (net jmpl_2 (joined (portRef Y (instanceRef r_a_jmpl_RNO)) (portRef D (instanceRef r_a_jmpl)) )) (net jmpl_1_0 (joined (portRef Y (instanceRef r_e_jmpl_RNO)) (portRef D (instanceRef r_e_jmpl)) )) (net (rename tt_1_0 "tt_1[0]") (joined (portRef Y (instanceRef r_e_ctrl_tt_RNO_0)) (portRef D (instanceRef r_e_ctrl_tt_0)) )) (net wunf (joined (portRef Q (instanceRef r_a_wunf)) (portRef B (instanceRef r_e_ctrl_tt_RNO_3_1)) (portRef A (instanceRef r_a_wovf_RNIO7N5)) (portRef A (instanceRef r_e_ctrl_tt_RNO_3_0)) )) (net N475_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I27_G0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I39_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I41_Y)) )) (net N476_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I27_P0N)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I40_Y_i_o3)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I42_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I41_un1_Y)) )) (net I41_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I41_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I41_Y)) )) (net (rename pc_0_26 "pc_0[26]") (joined (portRef Q (instanceRef r_e_ctrl_pc_26)) (portRef D (instanceRef r_m_ctrl_pc_26)) (portRef A (instanceRef ir_addr_RNO_6_26)) (portRef B (instanceRef r_x_ctrl_pc_RNIJQHF_26)) (portRef A (instanceRef r_e_ctrl_pc_RNIQFKL_26)) )) (net aluresult_0_sqmuxa (joined (portRef Y (instanceRef r_e_jmpl_RNIRC5C_0)) (portRef A (instanceRef r_e_ldbp2_2_RNIG7B1T2)) (portRef A (instanceRef r_e_ldbp2_1_RNICIAD81)) (portRef A (instanceRef r_e_ldbp2_2_RNIH0DT8)) (portRef A (instanceRef r_e_ldbp2_2_RNI2L20H2)) (portRef A (instanceRef r_e_ldbp2_1_RNI63ROI2)) (portRef A (instanceRef r_e_ldbp2_1_RNIL54AP)) (portRef A (instanceRef r_e_ldbp2_2_RNIAKAA43)) (portRef A (instanceRef r_e_ldbp2_0_RNIDDEN01)) (portRef A (instanceRef r_e_ldbp2_1_RNIA7OG5)) (portRef A (instanceRef r_e_jmpl_RNIQT7G4)) (portRef A (instanceRef r_e_ldbp2_RNIJDADA)) (portRef A (instanceRef r_e_ldbp2_2_RNIFFQO92)) (portRef A (instanceRef r_e_ldbp2_2_RNI5L0H94)) (portRef A (instanceRef r_e_ldbp2_2_RNIK7H1S2)) (portRef A (instanceRef r_e_ldbp2_2_RNIPKVVR3)) (portRef A (instanceRef r_e_ldbp2_0_RNIP2PUH3)) )) (net (rename result_RNI4VED_26 "result_RNI4VED[26]") (joined (portRef Y (instanceRef r_x_result_RNI4VED_26)) (portRef B (instanceRef r_a_rsel1_1_RNIKAKO66_1)) (portRef B (instanceRef r_e_op2_RNO_2_26)) (portRef A (instanceRef r_x_result_RNIOKJDS_26)) (portRef B (instanceRef r_x_result_RNIMRSC3_26)) )) (net N_20 (joined (portRef Y (instanceRef r_e_aluop_2_RNIB4QQ_0_1)) (portRef A (instanceRef r_e_op1_RNIUD632_26)) )) (net N_3_0 (joined (portRef Y (instanceRef r_e_aluop_2_RNIGAJ5_0_1)) (portRef A (instanceRef r_e_aluop_2_RNIA2PO_1)) (portRef A (instanceRef r_e_aluop_2_RNII07V_0_1)) (portRef A (instanceRef r_e_aluop_2_RNIB4QQ_0_1)) )) (net N_6_0 (joined (portRef Y (instanceRef r_e_aluop_2_RNIGAJ5_1_1)) (portRef A (instanceRef r_e_aluop_2_RNIA2PO_0_1)) (portRef B (instanceRef r_e_aluop_2_RNII07V_0_1)) (portRef B (instanceRef r_e_aluop_2_RNIB4QQ_0_1)) )) (net N_21 (joined (portRef Y (instanceRef r_e_aluop_2_RNIB4QQ_1)) (portRef B (instanceRef r_e_op1_RNIUD632_26)) )) (net N_4_0 (joined (portRef Y (instanceRef r_e_aluop_2_RNIGAJ5_2_1)) (portRef B (instanceRef r_e_aluop_2_RNIA2PO_1)) (portRef A (instanceRef r_e_aluop_2_RNII07V_1)) (portRef A (instanceRef r_e_aluop_2_RNIB4QQ_1)) )) (net N_8_0 (joined (portRef Y (instanceRef r_e_aluop_2_RNIGAJ5_1)) (portRef B (instanceRef r_e_aluop_2_RNIA2PO_0_1)) (portRef B (instanceRef r_e_aluop_2_RNII07V_1)) (portRef B (instanceRef r_e_aluop_2_RNIB4QQ_1)) )) (net (rename inst_RNI5H3O1_0_21 "inst_RNI5H3O1_0[21]") (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI5H3O1_0_21)) (portRef D (instanceRef r_e_mulstep)) (portRef S (instanceRef r_e_op1_RNO_30)) (portRef S (instanceRef r_e_op1_RNO_28)) (portRef S (instanceRef r_e_op1_RNO_29)) (portRef S (instanceRef r_e_op1_RNO_25)) (portRef S (instanceRef r_e_op1_RNO_26)) (portRef S (instanceRef r_e_op1_RNO_21)) (portRef S (instanceRef r_e_op1_RNO_22)) (portRef S (instanceRef r_e_op1_RNO_27)) (portRef S (instanceRef r_e_op1_RNO_0)) (portRef S (instanceRef r_e_op1_RNO_1)) (portRef S (instanceRef r_e_op1_RNO_2)) (portRef S (instanceRef r_e_op1_RNO_3)) (portRef S (instanceRef r_e_op1_RNO_4)) (portRef S (instanceRef r_e_op1_RNO_6)) (portRef S (instanceRef r_e_op1_RNO_7)) (portRef S (instanceRef r_e_op1_RNO_8)) )) (net N_5019 (joined (portRef Y (instanceRef r_m_icc_RNO_0_0)) (portRef A (instanceRef r_m_icc_RNO_0)) )) (net N_5014 (joined (portRef Y (instanceRef r_m_icc_RNO_1_0)) (portRef A (instanceRef r_m_icc_RNO_0_0)) )) (net (rename icco_0 "icco[0]") (joined (portRef Y (instanceRef r_m_icc_RNO_0)) (portRef D (instanceRef r_m_icc_0)) )) (net N_5161 (joined (portRef Y (instanceRef r_f_pc_RNIONC8B_5)) (portRef A (instanceRef r_f_pc_RNICPPKF_5)) )) (net N_5191 (joined (portRef Y (instanceRef r_f_pc_RNICPPKF_5)) (portRef B (instanceRef r_f_pc_RNI8M7UJ_5)) )) (net (rename un6_fe_npc2_3 "un6_fe_npc2[3]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_13)) (portRef C (instanceRef r_x_rstate_0_RNIVOQKM1_1)) (portRef B (instanceRef r_f_pc_RNO_4_5)) (portRef B (instanceRef r_f_pc_RNICPPKF_5)) )) (net (rename pc_4_5 "pc_4[5]") (joined (portRef Y (instanceRef r_f_pc_RNI8M7UJ_5)) (portRef B (instanceRef r_f_pc_RNO_1_5)) (portRef A (instanceRef r_f_pc_RNIPBMIK1_5)) )) (net N_5543 (joined (portRef Y (instanceRef r_m_dci_asi_RNO_0_1)) (portRef A (instanceRef r_m_dci_asi_RNO_1)) )) (net (rename inst_1_6 "inst_1[6]") (joined (portRef Q (instanceRef r_e_ctrl_inst_6)) (portRef B (instanceRef r_m_dci_asi_RNO_0_1)) )) (net (rename asi_0_1 "asi_0[1]") (joined (portRef Y (instanceRef r_m_dci_asi_RNO_1)) (portRef D (instanceRef r_m_dci_asi_1)) )) (net N421_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I21_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I42_Y)) )) (net un3_op_1 (joined (portRef Y (instanceRef un1_write_reg30_1_3_RNO_2)) (portRef A (instanceRef un1_write_reg30_1_3_RNO_0)) )) (net wreg_1_6 (joined (portRef Y (instanceRef r_a_ctrl_wreg_RNO)) (portRef D (instanceRef r_a_ctrl_wreg)) )) (net write_reg (joined (portRef Y (instanceRef r_a_ctrl_wreg_RNO_0)) (portRef A (instanceRef r_a_ctrl_wreg_RNO)) )) (net ldcheck1_1_sqmuxa_1 (joined (portRef Y (instanceRef r_d_inst_0_RNIP25D_24)) (portRef A (instanceRef r_d_cnt_RNIDT4K_0)) )) (net ldcheck2_0_sqmuxa (joined (portRef Y (instanceRef r_d_cnt_RNIDT4K_0)) (portRef B (instanceRef r_d_annul_RNIQ9RH3)) )) (net N367 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I3_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I78_Y)) )) (net N368 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I3_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I135_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I134_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I131_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I81_Y)) )) (net N371 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I4_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I135_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I134_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I77_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I131_Y)) )) (net N497_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I80_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I136_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I132_un1_Y)) )) (net N364 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I2_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I80_Y)) )) (net N498_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I81_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I136_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I179_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I184_un1_Y)) )) (net N365 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I2_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I83_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I81_Y)) )) (net I132_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I132_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I178_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I184_Y)) )) (net N494 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I77_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I179_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I184_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I132_un1_Y)) )) (net N374 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I5_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I75_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I77_Y)) )) (net N550 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I130_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I176_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I183_Y)) )) (net N495_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I78_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I134_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I130_Y)) )) (net N492 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I75_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I127_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I131_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I130_Y)) )) (net N551 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I131_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I177_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I183_Y)) )) (net I134_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I134_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I134_Y)) )) (net N499 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I82_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I137_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I134_un1_Y)) )) (net N554 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I134_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I180_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I185_Y)) )) (net N500_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I83_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I137_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I135_Y)) )) (net N_4000 (joined (portRef Y (instanceRef r_d_inst_0_RNIQQ3D_25)) (portRef B (instanceRef r_d_inst_0_RNIV66G_25)) )) (net ldcheck2_0_sqmuxa_1 (joined (portRef Y (instanceRef r_d_inst_0_RNIPL7S2_31)) (portRef A (instanceRef r_d_annul_RNIQ9RH3)) )) (net un1_icc_check5_1_1 (joined (portRef Y (instanceRef r_d_inst_0_0_0_RNIQA2N_21)) (portRef A (instanceRef r_d_inst_0_RNIPL7S2_31)) )) (net annul_RNIQ9RH3 (joined (portRef Y (instanceRef r_d_annul_RNIQ9RH3)) (portRef S (instanceRef r_d_inst_0_RNI08FU3_13)) )) (net un1_reg (joined (portRef Y (instanceRef r_d_inst_0_RNIRTK41_4)) (portRef C (instanceRef r_d_inst_0_RNIEOS04_4)) (portRef C (instanceRef r_d_inst_0_RNIOEPU3_4)) (portRef C (instanceRef r_d_inst_0_RNI35MS3_4)) )) (net (rename un3_de_ren1_103 "un3_de_ren1[103]") (joined (portRef Y (instanceRef r_d_inst_0_RNIEOS04_4)) (portRef D (instanceRef r_a_rfa2_6)) (portRef A (instanceRef r_a_rfa2_RNIRO2G4_6)) (portRef B (instanceRef r_m_ctrl_rd_RNI8O544_6)) (portRef B (instanceRef r_e_ctrl_rd_RNI0O544_6)) (portRef B (instanceRef r_a_ctrl_rd_RNISN544_6)) )) (net N479 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I28_P0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I38_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I39_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I40_Y_i_o3)) )) (net N482 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I29_P0N)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I36_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I38_Y)) )) (net N479_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I28_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I40_Y_i_o3)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I39_Y_0_o3)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I38_Y)) )) (net (rename result_RNI8VED_28 "result_RNI8VED[28]") (joined (portRef Y (instanceRef r_x_result_RNI8VED_28)) (portRef B (instanceRef r_a_rsel1_0_RNIJF8RE6_1)) (portRef B (instanceRef r_e_op2_RNO_2_28)) (portRef A (instanceRef r_x_result_RNISKJDS_28)) (portRef B (instanceRef r_x_result_RNIU3TC3_28)) )) (net N_5307_i (joined (portRef Y (instanceRef r_x_data_0_RNIQVG8_28)) (portRef B (instanceRef r_e_op2_RNIIB0K_28)) )) (net N579_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I116_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I175_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I176_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I168_Y)) )) (net N594_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I168_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I217_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I202_un1_Y)) )) (net I217_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I217_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I217_Y)) )) (net N543_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I123_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I176_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I177_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I169_Y)) )) (net N535_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I115_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I161_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I168_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I169_Y)) )) (net N_8008 (joined (portRef Y (instanceRef r_e_invop2_RNI7VBSR1)) (portRef B (instanceRef r_e_ldbp2_2_RNIF75U33)) )) (net (rename un6_ex_add_res_s2_25 "un6_ex_add_res_s2[25]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I315_Y_0)) (portRef A (instanceRef r_e_invop2_RNI7VBSR1)) )) (net (rename un6_ex_add_res_s0_25 "un6_ex_add_res_s0[25]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I315_Y_0)) (portRef B (instanceRef r_e_invop2_RNI7VBSR1)) )) (net N_23 (joined (portRef Y (instanceRef r_e_op2_RNO_24)) (portRef D (instanceRef r_e_op2_24)) )) (net N_106 (joined (portRef Y (instanceRef r_e_op2_RNO_0_24)) (portRef A (instanceRef r_e_op2_RNO_24)) )) (net N_126 (joined (portRef Y (instanceRef r_e_op2_RNO_1_24)) (portRef A (instanceRef r_e_op2_RNO_0_24)) )) (net N_124 (joined (portRef Y (instanceRef r_e_op2_RNO_2_24)) (portRef A (instanceRef r_e_op2_RNO_1_24)) )) (net (rename data2_24 "data2[24]") (joined (portRef (member data2 7)) (portRef A (instanceRef r_e_op2_RNO_2_24)) )) (net (rename imm_24 "imm[24]") (joined (portRef Q (instanceRef r_a_imm_24)) (portRef B (instanceRef r_e_op2_RNO_2_24)) )) (net N_125 (joined (portRef Y (instanceRef r_e_op2_RNO_3_24)) (portRef B (instanceRef r_e_op2_RNO_1_24)) )) (net (rename result_0_24 "result_0[24]") (joined (portRef Q (instanceRef r_w_result_24)) (portRef B (instanceRef r_w_result_RNIE3L2_24)) (portRef B (instanceRef r_e_op2_RNO_3_24)) )) (net I243_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I243_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I243_Y)) )) (net N_8197 (joined (portRef Y (instanceRef r_e_invop2_RNIUGE0O1)) (portRef B (instanceRef r_e_ldbp2_2_RNIPQBLR2)) )) (net (rename un6_ex_add_res_s2_24 "un6_ex_add_res_s2[24]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I314_Y_0)) (portRef A (instanceRef r_e_invop2_RNIUGE0O1)) )) (net (rename un6_ex_add_res_s0_24 "un6_ex_add_res_s0[24]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I314_Y_0)) (portRef B (instanceRef r_e_invop2_RNIUGE0O1)) )) (net N524_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I65_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I123_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I127_Y)) )) (net (rename rdatav_0_1_0_iv_2_30 "rdatav_0_1_0_iv_2[30]") (joined (portRef (member rdatav_0_1_0_iv_2 0)) (portRef A (instanceRef r_x_data_0_RNO_1_30)) (portRef A (instanceRef r_x_data_0_RNO_1_6)) (portRef A (instanceRef r_x_data_0_RNO_3_14)) )) (net (rename ico_m_30 "ico_m[164]") (joined (portRef ico_m_30) (portRef B (instanceRef r_x_data_0_RNO_1_30)) (portRef B (instanceRef r_x_data_0_RNO_1_6)) (portRef B (instanceRef r_x_data_0_RNO_3_14)) )) (net N409_2 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I5_G0N)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I85_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I83_un1_Y)) )) (net N540_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I81_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I139_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I143_Y)) )) (net N415_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I7_G0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I81_Y)) )) (net ldbp2 (joined (portRef Q (instanceRef r_e_ldbp2)) (portRef S (instanceRef r_e_op2_RNI57JF_15)) (portRef S (instanceRef r_e_op2_RNINDD6_9)) (portRef S (instanceRef r_e_op2_RNI33JF_14)) (portRef S (instanceRef r_e_op2_RNIBJJF_18)) (portRef S (instanceRef r_e_op2_RNI1VIF_13)) (portRef S (instanceRef r_e_op2_RNIBFJF_26)) (portRef S (instanceRef r_e_op2_RNIDJJF_27)) (portRef S (instanceRef r_e_op2_RNITMIF_11)) (portRef S (instanceRef r_m_y_RNO_5_31)) (portRef S (instanceRef r_e_op2_RNIHRJF_29)) (portRef S (instanceRef r_e_shcnt_RNI2B1C_0)) (portRef S (instanceRef r_e_op2_RNI59C6_0)) (portRef S (instanceRef r_e_op2_RNIVMIF_20)) (portRef S (instanceRef r_e_op2_RNI3RIF_30)) (portRef S (instanceRef r_e_ldbp2_RNIO051A)) (portRef S (instanceRef r_e_ldbp2_RNI520Q1)) (portRef S (instanceRef r_e_ldbp2_RNIEEOO)) )) (net N548_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I89_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I147_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I151_Y)) )) (net N403_i (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I3_G0N)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I89_Y)) )) (net N549_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I90_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I148_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I151_Y)) )) (net N_8199 (joined (portRef Y (instanceRef r_e_invop2_RNI1B231)) (portRef B (instanceRef r_e_ldbp2_RNI520Q1)) )) (net (rename un6_ex_add_res_s2_2 "un6_ex_add_res_s2[2]") (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I292_Y_0)) (portRef A (instanceRef r_e_invop2_RNI1B231)) )) (net (rename un6_ex_add_res_s0_2 "un6_ex_add_res_s0[2]") (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I292_Y_0)) (portRef B (instanceRef r_e_invop2_RNI1B231)) )) (net N544_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I85_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I147_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I143_un1_Y)) )) (net N544_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I85_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I147_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I143_un1_Y)) )) (net (rename result_RNIO7KA_4 "result_RNIO7KA[4]") (joined (portRef Y (instanceRef r_x_result_RNIO7KA_4)) (portRef B (instanceRef r_x_result_RNICER03_4)) (portRef A (instanceRef r_x_result_RNICTOAS_4)) (portRef B (instanceRef r_a_rsel1_0_RNI96PP62_1)) (portRef B (instanceRef r_a_rsel2_RNIL7GK62_1)) )) (net (rename result_4 "result[4]") (joined (portRef Q (instanceRef r_x_result_4)) (portRef B (instanceRef r_w_s_wim_RNICQ9TT_4)) (portRef B (instanceRef r_w_s_y_RNO_1_4)) (portRef A (instanceRef r_x_ctrl_tt_RNID10R_4)) (portRef A (instanceRef r_x_result_RNIO7KA_4)) )) (net (rename pc_m_24 "pc_m[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_24)) (portRef B (instanceRef r_f_pc_RNO_4_24)) )) (net (rename pc_4_24 "pc_4[24]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_24)) (portRef B (instanceRef r_f_pc_RNO_1_24)) )) (net (rename un6_fe_npc0_22 "un6_fe_npc0[22]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_143)) (portRef B (instanceRef r_f_pc_RNO_3_24)) )) (net (rename un6_fe_npc3_22 "un6_fe_npc3[22]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_143)) (portRef A (instanceRef r_f_pc_RNO_6_24)) (portRef A (instanceRef r_f_pc_RNO_10_24)) )) (net N_11 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I41_Y_i)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I237_Y_0_a3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I93_Y)) )) (net N428_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I23_P0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I39_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I41_Y_i)) )) (net N425_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I22_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I43_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I41_Y_i)) )) (net N424_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I22_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I40_Y_0_o3)) )) (net N_59_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I237_Y_0_a3)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I237_Y_0_o3)) )) (net N459 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I42_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I94_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I98_Y)) )) (net N_5180 (joined (portRef Y (instanceRef r_f_pc_RNO_15_24)) (portRef A (instanceRef r_f_pc_RNO_11_24)) )) (net (rename fpc_24 "fpc[24]") (joined (portRef Q (instanceRef r_f_pc_24)) (portRef B (instanceRef un6_fe_npc_0_I_143)) (portRef C (instanceRef un6_fe_npc_0_I_146)) (portRef B (instanceRef un6_fe_npc_0_I_159)) (portRef D (instanceRef r_d_pc_24)) (portRef B (instanceRef r_f_pc_RNIOB741_24)) (portRef A (instanceRef r_f_pc_RNO_15_24)) (portRef B (instanceRef r_f_pc_RNO_9_24)) (portRef (member fpc 7)) )) (net N_5210 (joined (portRef Y (instanceRef r_f_pc_RNO_11_24)) (portRef B (instanceRef r_f_pc_RNO_6_24)) )) (net N478_1 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I28_G0N)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I37_Y)) (portRef C (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I39_Y_0_o3)) )) (net (rename rdatav_0_1_0_iv_3_15 "rdatav_0_1_0_iv_3[15]") (joined (portRef rdatav_0_1_0_iv_3_15) (portRef A (instanceRef r_x_data_0_RNO_1_7)) (portRef A (instanceRef r_x_data_0_RNO_1_15)) (portRef A (instanceRef r_x_dci_signed_RNIOJ38M)) )) (net (rename ico_m_15 "ico_m[149]") (joined (portRef ico_m_15) (portRef B (instanceRef r_x_data_0_RNO_1_7)) (portRef B (instanceRef r_x_data_0_RNO_1_15)) (portRef B (instanceRef r_x_dci_signed_RNIOJ38M)) )) (net (rename rdatav_0_1_0_iv_0_3_0 "rdatav_0_1_0_iv_0_3[2]") (joined (portRef rdatav_0_1_0_iv_0_3_0) (portRef A (instanceRef r_x_data_0_RNO_1_2)) )) (net N_2449 (joined (portRef N_2449) (portRef B (instanceRef r_x_data_0_RNO_1_2)) )) (net N_5819 (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_2)) (portRef B (instanceRef r_d_inst_0_RNO_2)) )) (net (rename data_0_0_2 "data_0_0[2]") (joined (portRef data_0_0_2) (portRef A (instanceRef r_d_inst_0_RNO_0_2)) )) (net (rename inst_0_2 "inst_0[2]") (joined (portRef Q (instanceRef r_d_inst_0_2)) (portRef A (instanceRef r_d_inst_0_RNIS8AI_2)) (portRef B (instanceRef r_d_inst_0_RNO_0_2)) )) (net (rename inst_0_RNO_2 "inst_0_RNO[2]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_2)) (portRef D (instanceRef r_d_inst_0_2)) )) (net debug_RNO (joined (portRef Y (instanceRef r_x_debug_RNO)) (portRef D (instanceRef r_x_debug)) )) (net tstop (joined (portRef Q (instanceRef r_x_debug)) (portRef A (instanceRef r_x_debug_RNO)) (portRef B (instanceRef rp_pwd_RNIOOD8)) (portRef tstop) )) (net (rename un1_dbgunit_4_0 "un1_dbgunit_4[0]") (joined (portRef Y (instanceRef r_x_debug_RNO_0)) (portRef B (instanceRef r_x_debug_RNO)) )) (net N_4229 (joined (portRef Y (instanceRef r_e_aluop_0_RNITI8K2_1)) (portRef B (instanceRef r_e_aluop_0_RNIR5H85_0)) )) (net (rename logicout_4_25 "logicout_4[25]") (joined (portRef Y (instanceRef r_e_op2_RNI7KA71_25)) (portRef B (instanceRef r_e_aluop_0_RNITI8K2_1)) )) (net N_4204 (joined (portRef Y (instanceRef r_e_aluop_0_RNITQ1R_1)) (portRef B (instanceRef r_e_aluop_0_RNIRL3M1_0)) )) (net (rename logicout_4_0 "logicout_4[0]") (joined (portRef Y (instanceRef r_e_op2_RNI78NA_0)) (portRef B (instanceRef r_e_aluop_0_RNITQ1R_1)) )) (net N_4215 (joined (portRef Y (instanceRef r_e_aluop_0_RNITHRV1_1)) (portRef B (instanceRef r_e_aluop_0_RNIR3NV3_0)) )) (net (rename logicout_4_11 "logicout_4[11]") (joined (portRef Y (instanceRef r_e_op2_RNIN34T_11)) (portRef B (instanceRef r_e_aluop_0_RNITHRV1_1)) )) (net N_4210 (joined (portRef Y (instanceRef r_e_aluop_0_RNIVBFF1_1)) (portRef B (instanceRef r_e_aluop_0_RNIVNUU2_0)) )) (net (rename logicout_4_6 "logicout_4[6]") (joined (portRef Y (instanceRef r_e_op2_RNIO0UK_6)) (portRef B (instanceRef r_e_aluop_0_RNIVBFF1_1)) )) (net N_4217 (joined (portRef Y (instanceRef r_e_aluop_0_RNIDISV1_1)) (portRef B (instanceRef r_e_aluop_RNIK7P54_0)) )) (net (rename logicout_4_13 "logicout_4[13]") (joined (portRef Y (instanceRef r_e_op2_RNIVJ4T_13)) (portRef B (instanceRef r_e_aluop_0_RNIDISV1_1)) )) (net N_4216 (joined (portRef Y (instanceRef r_e_aluop_0_RNILH6K2_1)) (portRef B (instanceRef r_e_aluop_RNIT8DK5_0)) )) (net (rename logicout_4_12 "logicout_4[12]") (joined (portRef Y (instanceRef r_e_op2_RNIJJ971_12)) (portRef B (instanceRef r_e_aluop_0_RNILH6K2_1)) )) (net N_4224 (joined (portRef Y (instanceRef r_e_aluop_0_RNI5IRV1_1)) (portRef B (instanceRef r_e_aluop_RNIT9NB4_0)) )) (net (rename logicout_4_20 "logicout_4[20]") (joined (portRef Y (instanceRef r_e_op2_RNIR34T_20)) (portRef B (instanceRef r_e_aluop_0_RNI5IRV1_1)) )) (net N_4234 (joined (portRef Y (instanceRef r_e_aluop_0_RNIL2SV1_1)) (portRef B (instanceRef r_e_aluop_RNITAOB4_0)) )) (net (rename logicout_4_30 "logicout_4[30]") (joined (portRef Y (instanceRef r_e_op2_RNI3C4T_30)) (portRef B (instanceRef r_e_aluop_0_RNIL2SV1_1)) )) (net N_4235 (joined (portRef Y (instanceRef r_e_aluop_0_RNID27K2_1)) (portRef B (instanceRef r_e_aluop_RNIDAEK5_0)) )) (net (rename logicout_4_31 "logicout_4[31]") (joined (portRef Y (instanceRef r_e_op2_RNIVR971_31)) (portRef B (instanceRef r_e_aluop_0_RNID27K2_1)) )) (net N_4225 (joined (portRef Y (instanceRef r_e_aluop_0_RNIVH6K2_1)) (portRef B (instanceRef r_e_aluop_RNIH9DK5_0)) )) (net (rename logicout_4_21 "logicout_4[21]") (joined (portRef Y (instanceRef r_e_op2_RNIOJ971_21)) (portRef B (instanceRef r_e_aluop_0_RNIVH6K2_1)) )) (net N_4206 (joined (portRef Y (instanceRef r_e_aluop_0_RNIVADF1_1)) (portRef B (instanceRef r_e_aluop_RNIFRQA3_0)) )) (net (rename logicout_4_2 "logicout_4[2]") (joined (portRef Y (instanceRef r_e_op2_RNI70TK_2)) (portRef B (instanceRef r_e_aluop_0_RNIVADF1_1)) )) (net N_4233 (joined (portRef Y (instanceRef r_e_aluop_1_RNIG4002_1)) (portRef B (instanceRef r_e_aluop_RNI7H0I4_0)) )) (net (rename logicout_4_29 "logicout_4[29]") (joined (portRef Y (instanceRef r_e_op2_RNIVC6T_29)) (portRef B (instanceRef r_e_aluop_1_RNIG4002_1)) )) (net N_4231 (joined (portRef Y (instanceRef r_e_aluop_1_RNI04VV1_1)) (portRef B (instanceRef r_e_aluop_RNI7GUH4_0)) )) (net (rename logicout_4_27 "logicout_4[27]") (joined (portRef Y (instanceRef r_e_op2_RNINS5T_27)) (portRef B (instanceRef r_e_aluop_1_RNI04VV1_1)) )) (net N_4227 (joined (portRef Y (instanceRef r_e_aluop_1_RNIKI7K2_1)) (portRef B (instanceRef r_e_aluop_RNIFDFQ5_0)) )) (net (rename logicout_4_23 "logicout_4[23]") (joined (portRef Y (instanceRef r_e_op2_RNI14A71_23)) (portRef B (instanceRef r_e_aluop_1_RNIKI7K2_1)) )) (net N_4228 (joined (portRef Y (instanceRef r_e_aluop_1_RNIERM82_1)) (portRef B (instanceRef r_e_aluop_RNI3VD35_0)) )) (net N_4205 (joined (portRef Y (instanceRef r_e_aluop_1_RNIOQCF1_1)) (portRef B (instanceRef r_e_aluop_RNINTPG3_0)) )) (net (rename logicout_4_1 "logicout_4[1]") (joined (portRef Y (instanceRef r_e_op2_RNI3OSK_1)) (portRef B (instanceRef r_e_aluop_1_RNIOQCF1_1)) )) (net N_4222 (joined (portRef Y (instanceRef r_e_aluop_1_RNIO3VV1_1)) (portRef B (instanceRef r_e_aluop_RNINFUH4_0)) )) (net (rename logicout_4_18 "logicout_4[18]") (joined (portRef Y (instanceRef r_e_op2_RNIJS5T_18)) (portRef B (instanceRef r_e_aluop_1_RNIO3VV1_1)) )) (net N_4218 (joined (portRef Y (instanceRef r_e_aluop_1_RNIO2TV1_1)) (portRef B (instanceRef r_e_aluop_RNINDQH4_0)) )) (net (rename logicout_4_14 "logicout_4[14]") (joined (portRef Y (instanceRef r_e_op2_RNI3S4T_14)) (portRef B (instanceRef r_e_aluop_1_RNIO2TV1_1)) )) (net N_4213 (joined (portRef Y (instanceRef r_e_aluop_1_RNI8D6R_1)) (portRef B (instanceRef r_e_aluop_RNIN2D82_0)) )) (net (rename logicout_4_9 "logicout_4[9]") (joined (portRef Y (instanceRef r_e_op2_RNIBHPA_9)) (portRef B (instanceRef r_e_aluop_1_RNI8D6R_1)) )) (net N_4212 (joined (portRef Y (instanceRef r_e_aluop_1_RNIGCGF1_1)) (portRef B (instanceRef r_e_aluop_RNI711H3_0)) )) (net (rename logicout_4_8 "logicout_4[8]") (joined (portRef Y (instanceRef r_e_op2_RNIVGUK_8)) (portRef B (instanceRef r_e_aluop_1_RNIGCGF1_1)) )) (net N_4221 (joined (portRef Y (instanceRef r_e_aluop_1_RNI039K2_1)) (portRef B (instanceRef r_e_aluop_RNI7EIQ5_0)) )) (net (rename logicout_4_17 "logicout_4[17]") (joined (portRef Y (instanceRef r_e_op2_RNI7SA71_17)) (portRef B (instanceRef r_e_aluop_1_RNI039K2_1)) )) (net N_4220 (joined (portRef Y (instanceRef r_e_aluop_1_RNIUI8K2_1)) (portRef B (instanceRef r_e_aluop_RNI3EHQ5_0)) )) (net (rename logicout_4_16 "logicout_4[16]") (joined (portRef Y (instanceRef r_e_op2_RNI6KA71_16)) (portRef B (instanceRef r_e_aluop_1_RNIUI8K2_1)) )) (net N_4208 (joined (portRef Y (instanceRef r_e_aluop_1_RNIGBEF1_1)) (portRef B (instanceRef r_e_aluop_RNI7VSG3_0)) )) (net (rename logicout_4_4 "logicout_4[4]") (joined (portRef Y (instanceRef r_e_op2_RNIFGTK_4)) (portRef B (instanceRef r_e_aluop_1_RNIGBEF1_1)) )) (net (rename logicout_4_3 "logicout_4[3]") (joined (portRef Y (instanceRef r_e_op2_RNIC8TK_3)) (portRef B (instanceRef r_e_aluop_1_RNIARDF1_1)) )) (net N_4219 (joined (portRef Y (instanceRef r_e_aluop_1_RNI0JTV1_1)) (portRef B (instanceRef r_e_aluop_RNI7ERH4_0)) )) (net (rename logicout_4_15 "logicout_4[15]") (joined (portRef Y (instanceRef r_e_op2_RNI745T_15)) (portRef B (instanceRef r_e_aluop_1_RNI0JTV1_1)) )) (net (rename pc_RNO_26 "pc_RNO[26]") (joined (portRef Y (instanceRef r_d_pc_RNO_26)) (portRef D (instanceRef r_d_pc_26)) )) (net (rename pc_RNO_23 "pc_RNO[23]") (joined (portRef Y (instanceRef r_d_pc_RNO_23)) (portRef D (instanceRef r_d_pc_23)) )) (net (rename pc_RNO_20 "pc_RNO[20]") (joined (portRef Y (instanceRef r_d_pc_RNO_20)) (portRef D (instanceRef r_d_pc_20)) )) (net (rename pc_RNO_17 "pc_RNO[17]") (joined (portRef Y (instanceRef r_d_pc_RNO_17)) (portRef D (instanceRef r_d_pc_17)) )) (net (rename pc_RNO_14 "pc_RNO[14]") (joined (portRef Y (instanceRef r_d_pc_RNO_14)) (portRef D (instanceRef r_d_pc_14)) )) (net (rename pc_RNO_11 "pc_RNO[11]") (joined (portRef Y (instanceRef r_d_pc_RNO_11)) (portRef D (instanceRef r_d_pc_11)) )) (net (rename fpc_11 "fpc[11]") (joined (portRef Q (instanceRef r_f_pc_11)) (portRef B (instanceRef un6_fe_npc_0_I_52)) (portRef C (instanceRef un6_fe_npc_0_I_55)) (portRef B (instanceRef un6_fe_npc_0_I_65)) (portRef A (instanceRef un6_fe_npc_0_I_69)) (portRef A (instanceRef r_f_pc_RNI59ARP_11)) (portRef B (instanceRef r_f_pc_RNI7GD53_11)) (portRef A (instanceRef r_f_pc_RNIV4JS8_11)) (portRef B (instanceRef r_f_pc_RNII7741_11)) (portRef A (instanceRef r_d_pc_RNO_11)) (portRef A (instanceRef r_f_pc_RNIJ9UVP1_11)) (portRef (member fpc 20)) )) (net (rename pc_RNO_10 "pc_RNO[10]") (joined (portRef Y (instanceRef r_d_pc_RNO_10)) (portRef D (instanceRef r_d_pc_10)) )) (net (rename pc_RNO_8 "pc_RNO[8]") (joined (portRef Y (instanceRef r_d_pc_RNO_8)) (portRef D (instanceRef r_d_pc_8)) )) (net (rename pc_RNO_6 "pc_RNO[6]") (joined (portRef Y (instanceRef r_d_pc_RNO_6)) (portRef D (instanceRef r_d_pc_6)) )) (net (rename pc_RNO_5 "pc_RNO[5]") (joined (portRef Y (instanceRef r_d_pc_RNO_5)) (portRef D (instanceRef r_d_pc_5)) )) (net (rename pc_RNO_4 "pc_RNO[4]") (joined (portRef Y (instanceRef r_d_pc_RNO_4)) (portRef D (instanceRef r_d_pc_4)) )) (net (rename pc_RNO_3 "pc_RNO[3]") (joined (portRef Y (instanceRef r_d_pc_RNO_3)) (portRef D (instanceRef r_d_pc_3)) )) (net (rename fpc_3 "fpc[3]") (joined (portRef Q (instanceRef r_f_pc_3)) (portRef B (instanceRef un6_fe_npc_0_I_5)) (portRef B (instanceRef un6_fe_npc_0_I_12)) (portRef B (instanceRef un6_fe_npc_0_I_16)) (portRef B (instanceRef un6_fe_npc_0_I_149)) (portRef A (instanceRef r_f_pc_RNI50M4_3)) (portRef B (instanceRef r_f_pc_RNILAK31_3)) (portRef A (instanceRef r_f_pc_RNIAQE58_3)) (portRef A (instanceRef r_f_pc_RNI9M6N7_3)) (portRef B (instanceRef r_f_pc_RNIAJQ43_3)) (portRef A (instanceRef r_d_pc_RNO_3)) (portRef A (instanceRef un6_fe_npc_0_I_8)) (portRef (member fpc 28)) )) (net (rename data_0_sqmuxaZ0Z_2 "data_0_sqmuxa_2") (joined (portRef Y (instanceRef data_0_sqmuxa_2)) (portRef B (instanceRef r_w_s_y_RNI7IG91_3)) (portRef B (instanceRef r_w_s_y_RNIOFF61_31)) (portRef B (instanceRef r_w_s_y_RNIBIG91_7)) (portRef B (instanceRef r_w_s_y_RNI6IG91_2)) (portRef B (instanceRef r_w_s_y_RNIS3G61_26)) (portRef B (instanceRef r_w_s_y_RNIMBF61_20)) (portRef B (instanceRef r_w_s_y_RNIT7G61_27)) (portRef B (instanceRef r_w_s_y_RNINJF61_12)) (portRef B (instanceRef r_w_s_y_RNIUBG61_28)) (portRef B (instanceRef r_w_s_y_RNIONF61_13)) (portRef B (instanceRef r_w_s_y_RNIR3G61_16)) (portRef B (instanceRef r_w_s_y_RNIRVF61_25)) (portRef B (instanceRef r_w_s_y_RNIAIG91_6)) (portRef B (instanceRef r_w_s_y_RNI9IG91_5)) (portRef B (instanceRef r_w_s_y_RNIPNF61_23)) (portRef B (instanceRef r_w_s_y_RNIQRF61_24)) )) (net (rename data_1_sqmuxaZ0Z_2 "data_1_sqmuxa_2") (joined (portRef Y (instanceRef data_1_sqmuxa_2)) (portRef A (instanceRef fpcwr_1_sqmuxa)) (portRef A (instanceRef data_1_sqmuxa_3)) )) (net N_8145_i_0 (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIA5SD2_1_23)) (portRef B (instanceRef r_w_s_tt_RNIU45I2_4)) (portRef B (instanceRef r_w_s_tba_RNI2VHJ2_10)) (portRef B (instanceRef r_w_s_tba_RNI5BIJ2_13)) (portRef B (instanceRef r_w_s_tba_RNIPLNJ2_8)) (portRef A (instanceRef r_w_s_tt_RNISS4I2_2)) (portRef B (instanceRef r_w_s_tba_RNIKLNJ2_3)) (portRef B (instanceRef r_w_s_tba_RNIHLNJ2_0)) (portRef B (instanceRef r_w_s_tba_RNI47IJ2_12)) (portRef B (instanceRef r_w_s_tt_RNIV85I2_5)) (portRef B (instanceRef r_w_s_tba_RNIILNJ2_1)) (portRef B (instanceRef r_w_s_tba_RNI8NIJ2_16)) (portRef A (instanceRef r_w_s_tt_RNIQK4I2_0)) (portRef B (instanceRef r_w_s_tba_RNI33IJ2_11)) )) (net aluresult_3_sqmuxa (joined (portRef Y (instanceRef r_e_alusel_RNIRC5C_0)) (portRef B (instanceRef r_e_aluop_RNIIQVT4_0)) (portRef B (instanceRef r_e_aluop_0_RNIIHJK5_0)) (portRef B (instanceRef r_e_aluop_0_RNIQ44B3_0)) (portRef B (instanceRef r_e_aluop_RNIOMSN4_0)) (portRef B (instanceRef r_e_aluop_0_RNIMIMK5_0)) (portRef B (instanceRef r_e_aluop_0_RNI543B3_0)) (portRef B (instanceRef r_e_aluop_RNIOLI06_0)) (portRef B (instanceRef r_e_aluop_RNIUBJF5_0)) (portRef B (instanceRef r_e_aluop_RNICMI06_0)) (portRef B (instanceRef r_e_aluop_RNI2T3U4_0)) (portRef B (instanceRef r_e_aluop_RNIONTN4_0)) (portRef B (instanceRef r_e_aluop_RNIA80N3_0)) (portRef B (instanceRef r_e_aluop_RNI2C2T3_0)) (portRef A (instanceRef r_e_alusel_RNIBR5O2_0)) (portRef B (instanceRef r_e_aluop_RNIAQK66_0)) (portRef B (instanceRef r_e_aluop_RNI2U5U4_0)) )) (net (rename data_4_sqmuxaZ0Z_1 "data_4_sqmuxa_1") (joined (portRef Y (instanceRef data_4_sqmuxa_1)) (portRef A (instanceRef r_f_pc_RNILAK31_3)) (portRef A (instanceRef r_f_pc_RNIPQK31_7)) (portRef A (instanceRef r_f_pc_RNIOF741_31)) (portRef A (instanceRef r_f_pc_RNIK6K31_2)) (portRef A (instanceRef r_f_pc_RNIQB741_26)) (portRef A (instanceRef r_f_pc_RNIK7741_13)) (portRef A (instanceRef r_f_pc_RNISB741_28)) (portRef A (instanceRef r_f_pc_RNIN7741_16)) (portRef A (instanceRef r_f_pc_RNIOMK31_6)) (portRef A (instanceRef r_f_pc_RNINIK31_5)) (portRef A (instanceRef r_f_pc_RNIOB741_24)) (portRef A (instanceRef r_f_pc_RNIMEK31_4)) (portRef A (instanceRef r_f_pc_RNIO7741_17)) (portRef A (instanceRef r_f_pc_RNIQ7741_19)) (portRef A (instanceRef r_f_pc_RNINF741_30)) )) (net N_3966 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNIF50R_5)) (portRef B (instanceRef r_x_mexc_RNIK4QT)) )) (net N_3965 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNID10R_4)) (portRef B (instanceRef r_x_mexc_RNII0QT)) )) (net N_3963 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNI9PVQ_2)) (portRef B (instanceRef r_x_mexc_RNIEOPT)) )) (net N_3962 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNI7LVQ_1)) (portRef B (instanceRef r_x_mexc_RNICKPT)) )) (net te9_2 (joined (portRef te9_2) (portRef A (instanceRef data_1_sqmuxa_2)) (portRef A (instanceRef s_dwt_0_sqmuxa)) )) (net (rename result_RNIMMED_12 "result_RNIMMED[12]") (joined (portRef Y (instanceRef r_x_result_RNIMMED_12)) (portRef B (instanceRef r_a_rsel1_1_RNILDQ743_1)) (portRef B (instanceRef r_e_op2_RNO_2_12)) (portRef A (instanceRef r_x_result_RNIACJDS_12)) (portRef B (instanceRef r_x_result_RNISURC3_12)) )) (net N_8193 (joined (portRef Y (instanceRef r_e_invop2_RNIM7J46)) (portRef B (instanceRef r_e_ldbp2_RNIO051A)) )) (net (rename icco_3 "icco[3]") (joined (portRef Y (instanceRef r_e_ctrl_wicc_RNI7LCQA7)) (portRef D (instanceRef r_m_icc_3)) (portRef A (instanceRef r_e_op1_RNO_0_31)) )) (net N_5022 (joined (portRef Y (instanceRef r_m_ctrl_wicc_RNIUN9L)) (portRef A (instanceRef r_e_ctrl_wicc_RNI7LCQA7)) )) (net N_5012 (joined (portRef Y (instanceRef r_e_aluop_RNI765V97_0)) (portRef B (instanceRef r_e_ctrl_wicc_RNI7LCQA7)) )) (net N_5017 (joined (portRef Y (instanceRef r_x_icc_RNIFSID_3)) (portRef A (instanceRef r_m_ctrl_wicc_RNIUN9L)) )) (net (rename tba_1_10 "tba_1[10]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_10)) (portRef D (instanceRef r_w_s_tba_10)) )) (net N_4976 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_10)) (portRef A (instanceRef r_w_s_tba_RNO_10)) )) (net N_4165 (joined (portRef Y (instanceRef r_e_aluop_2_RNI5QCI2_1)) (portRef A (instanceRef r_e_aluop_0_RNIR5H85_0)) )) (net N_4133 (joined (portRef Y (instanceRef r_e_aluop_0_RNI2D691_2)) (portRef A (instanceRef r_e_aluop_2_RNI5QCI2_1)) )) (net (rename logicout_3_25 "logicout_3[25]") (joined (portRef Y (instanceRef r_e_op2_RNI7KA71_0_25)) (portRef B (instanceRef r_e_aluop_2_RNI5QCI2_1)) )) (net wicc_1_1 (joined (portRef Y (instanceRef r_m_ctrl_wicc_RNO)) (portRef D (instanceRef r_m_ctrl_wicc)) )) (net (rename rpc_9 "rpc[11]") (joined (portRef Y (instanceRef r_f_pc_RNIDUSMPD_11)) (portRef rpc_9) )) (net (rename npc1_11 "npc1[11]") (joined (portRef Y (instanceRef r_f_pc_RNI2ND242_11)) (portRef B (instanceRef r_f_pc_RNIDUSMPD_11)) )) (net (rename pc_4_11 "pc_4[11]") (joined (portRef Y (instanceRef r_f_pc_RNIJB8D31_11)) (portRef B (instanceRef r_f_pc_RNO_1_11)) (portRef A (instanceRef r_f_pc_RNI2ND242_11)) )) (net N_5450 (joined (portRef Y (instanceRef r_f_pc_RNIV4JS8_11)) (portRef B (instanceRef r_f_pc_RNI2ND242_11)) )) (net (rename tt_0_7 "tt_0[7]") (joined (portRef Q (instanceRef dsur_tt_7)) (portRef A (instanceRef dsur_tt_RNO_0_7)) (portRef A (instanceRef dsur_tt_RNI8B161_7)) )) (net wicc_3 (joined (portRef Q (instanceRef r_a_ctrl_wicc)) (portRef B (instanceRef r_e_ctrl_wicc_RNO)) (portRef B (instanceRef r_a_ctrl_wicc_RNI0ERB)) )) (net (rename addr_21 "addr[21]") (joined (portRef Q (instanceRef ir_addr_21)) (portRef B (instanceRef ir_addr_RNO_2_21)) (portRef B (instanceRef r_f_pc_RNO_13_21)) (portRef B (instanceRef ir_addr_RNIQA091_21)) )) (net (rename pc_2_26 "pc_2[26]") (joined (portRef Q (instanceRef r_x_ctrl_pc_26)) (portRef A (instanceRef ir_addr_RNO_3_26)) (portRef A (instanceRef r_x_ctrl_pc_RNIJQHF_26)) (portRef A (instanceRef r_x_ctrl_pc_RNIS8J3S_26)) )) (net N_3879 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIJQHF_26)) (portRef A (instanceRef r_x_npc_RNIUC311_0)) )) (net N_3909 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI4QHF_26)) (portRef B (instanceRef r_x_npc_RNIUC311_0)) )) (net N_3893 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIM9GF_10)) (portRef B (instanceRef r_x_npc_RNI1C011_0)) )) (net (rename pc_3_26 "pc_3[26]") (joined (portRef Q (instanceRef r_m_ctrl_pc_26)) (portRef D (instanceRef r_x_ctrl_pc_26)) (portRef A (instanceRef ir_addr_RNO_4_26)) (portRef A (instanceRef r_m_ctrl_pc_RNI4QHF_26)) )) (net (rename ddata_19 "ddata[19]") (joined (portRef (member ddata 12)) (portRef B (instanceRef r_m_dci_enaddr_RNICRF32)) (portRef B (instanceRef r_w_s_y_RNO_2_19)) (portRef B (instanceRef r_w_s_tba_RNO_7)) (portRef B (instanceRef ir_addr_RNO_1_19)) (portRef A (instanceRef r_x_rstate_RNI6C2E_0)) (portRef B (instanceRef r_f_pc_RNO_8_19)) )) (net (rename pc_0_19 "pc_0[19]") (joined (portRef Q (instanceRef r_x_ctrl_pc_19)) (portRef A (instanceRef ir_addr_RNO_3_19)) (portRef A (instanceRef r_x_ctrl_pc_RNINIIF_19)) (portRef A (instanceRef r_x_ctrl_pc_RNIUKJ3S_19)) )) (net N_3872 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNINIIF_19)) (portRef A (instanceRef r_x_npc_RNI6T411_0)) )) (net N_3902 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI8IIF_19)) (portRef B (instanceRef r_x_npc_RNI6T411_0)) )) (net (rename inst_0_RNO_9 "inst_0_RNO[9]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_9)) (portRef D (instanceRef r_d_inst_0_9)) )) (net (rename inst_0_RNO_0_9 "inst_0_RNO_0[9]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_9)) (portRef B (instanceRef r_d_inst_0_RNO_9)) )) (net (rename inst_0_RNO_4 "inst_0_RNO[4]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_4)) (portRef D (instanceRef r_d_inst_0_4)) )) (net (rename inst_0_RNO_0_4 "inst_0_RNO_0[4]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_4)) (portRef B (instanceRef r_d_inst_0_RNO_4)) )) (net (rename inst_0_RNO_3 "inst_0_RNO[3]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_3)) (portRef D (instanceRef r_d_inst_0_3)) )) (net (rename inst_0_RNO_0_3 "inst_0_RNO_0[3]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_3)) (portRef B (instanceRef r_d_inst_0_RNO_3)) )) (net (rename inst_0_RNO_1 "inst_0_RNO[1]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_1)) (portRef D (instanceRef r_d_inst_0_1)) )) (net (rename inst_0_RNO_0_1 "inst_0_RNO_0[1]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_1)) (portRef B (instanceRef r_d_inst_0_RNO_1)) )) (net (rename inst_0_RNO_0 "inst_0_RNO[0]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0)) (portRef D (instanceRef r_d_inst_0_0)) )) (net (rename inst_0_RNO_0_0 "inst_0_RNO_0[0]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_0)) (portRef B (instanceRef r_d_inst_0_RNO_0)) )) (net (rename tt_RNO_7 "tt_RNO[7]") (joined (portRef Y (instanceRef dsur_tt_RNO_7)) (portRef D (instanceRef dsur_tt_7)) )) (net (rename tt_RNO_0_7 "tt_RNO_0[7]") (joined (portRef Y (instanceRef dsur_tt_RNO_0_7)) (portRef B (instanceRef dsur_tt_RNO_7)) )) (net (rename tt_RNO_3 "tt_RNO[3]") (joined (portRef Y (instanceRef dsur_tt_RNO_3)) (portRef D (instanceRef dsur_tt_3)) )) (net (rename tt_RNO_0_3 "tt_RNO_0[3]") (joined (portRef Y (instanceRef dsur_tt_RNO_0_3)) (portRef B (instanceRef dsur_tt_RNO_3)) )) (net (rename inst_0_RNO_7 "inst_0_RNO[7]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_7)) (portRef D (instanceRef r_d_inst_0_7)) )) (net (rename inst_0_RNO_0_7 "inst_0_RNO_0[7]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_7)) (portRef B (instanceRef r_d_inst_0_RNO_7)) )) (net (rename inst_0_RNO_6 "inst_0_RNO[6]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_6)) (portRef D (instanceRef r_d_inst_0_6)) )) (net (rename inst_0_RNO_0_6 "inst_0_RNO_0[6]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_6)) (portRef B (instanceRef r_d_inst_0_RNO_6)) )) (net (rename inst_0_RNO_5 "inst_0_RNO[5]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_5)) (portRef D (instanceRef r_d_inst_0_5)) )) (net (rename inst_0_RNO_0_5 "inst_0_RNO_0[5]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_5)) (portRef B (instanceRef r_d_inst_0_RNO_5)) )) (net (rename pc_0_11 "pc_0[11]") (joined (portRef Q (instanceRef r_x_ctrl_pc_11)) (portRef A (instanceRef ir_addr_RNO_3_11)) (portRef A (instanceRef r_x_ctrl_pc_RNI7IGF_11)) (portRef A (instanceRef r_x_ctrl_pc_RNIMKI3S_11)) )) (net (rename result_RNIFC6E_11 "result_RNIFC6E[11]") (joined (portRef Y (instanceRef r_x_result_RNIFC6E_11)) (portRef B (instanceRef r_a_rsel1_RNIBJRU03_1)) (portRef B (instanceRef r_e_op2_RNO_2_11)) (portRef B (instanceRef r_x_result_RNIJGJD3_11)) (portRef A (instanceRef r_x_result_RNI32BES_11)) )) (net N_3864 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI7IGF_11)) (portRef A (instanceRef r_x_npc_RNI6S011_0)) )) (net N_3894 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIOHGF_11)) (portRef B (instanceRef r_x_npc_RNI6S011_0)) )) (net (rename pc_2_11 "pc_2[11]") (joined (portRef Q (instanceRef r_m_ctrl_pc_11)) (portRef D (instanceRef r_x_ctrl_pc_11)) (portRef A (instanceRef ir_addr_RNO_4_11)) (portRef A (instanceRef r_m_ctrl_pc_RNIOHGF_11)) )) (net (rename pc_3_11 "pc_3[11]") (joined (portRef Q (instanceRef r_a_ctrl_pc_11)) (portRef B (instanceRef un6_fe_npc_1_I_52)) (portRef C (instanceRef un6_fe_npc_1_I_55)) (portRef B (instanceRef un6_fe_npc_1_I_65)) (portRef A (instanceRef un6_fe_npc_1_I_69)) (portRef D (instanceRef r_e_ctrl_pc_11)) (portRef A (instanceRef ir_addr_RNO_5_11)) (portRef B (instanceRef r_m_ctrl_pc_RNIOHGF_11)) )) (net ps_RNO (joined (portRef Y (instanceRef r_w_s_ps_RNO)) (portRef D (instanceRef r_w_s_ps)) )) (net ps_RNO_0 (joined (portRef Y (instanceRef r_w_s_ps_RNO_0)) (portRef B (instanceRef r_w_s_ps_RNO)) )) (net (rename inst_0_RNO_24 "inst_0_RNO[24]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_24)) (portRef D (instanceRef r_d_inst_0_24)) )) (net (rename inst_0_RNO_0_24 "inst_0_RNO_0[24]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_24)) (portRef B (instanceRef r_d_inst_0_RNO_24)) )) (net (rename inst_0_RNO_22 "inst_0_RNO[22]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_22)) (portRef D (instanceRef r_d_inst_0_22)) )) (net (rename inst_0_RNO_0_22 "inst_0_RNO_0[22]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_22)) (portRef B (instanceRef r_d_inst_0_RNO_22)) )) (net (rename inst_0_RNIA8DP52_21 "inst_0_RNIA8DP52[21]") (joined (portRef Y (instanceRef r_d_inst_0_RNIA8DP52_21)) (portRef B (instanceRef r_d_inst_0_RNIFD2T52_21)) )) (net (rename inst_0_RNO_31 "inst_0_RNO[31]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_31)) (portRef D (instanceRef r_d_inst_0_31)) )) (net (rename inst_0_RNO_0_31 "inst_0_RNO_0[31]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_31)) (portRef B (instanceRef r_d_inst_0_RNO_31)) )) (net (rename inst_0_RNO_30 "inst_0_RNO[30]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_30)) (portRef D (instanceRef r_d_inst_0_30)) )) (net (rename inst_0_RNO_0_30 "inst_0_RNO_0[30]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_30)) (portRef B (instanceRef r_d_inst_0_RNO_30)) )) (net (rename inst_0_RNO_20 "inst_0_RNO[20]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_20)) (portRef D (instanceRef r_d_inst_0_20)) )) (net (rename inst_0_RNO_0_20 "inst_0_RNO_0[20]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_20)) (portRef B (instanceRef r_d_inst_0_RNO_20)) )) (net N_3873 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI7AGF_20)) (portRef A (instanceRef r_x_npc_RNI6C011_0)) )) (net N_3903 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIO9GF_20)) (portRef B (instanceRef r_x_npc_RNI6C011_0)) )) (net N_5309 (joined (portRef Y (instanceRef r_x_data_0_RNIL3H8_30)) (portRef B (instanceRef r_e_op2_RNI3RIF_30)) )) (net (rename asi_RNO_6 "asi_RNO[6]") (joined (portRef Y (instanceRef dsur_asi_RNO_6)) (portRef D (instanceRef dsur_asi_6)) )) (net (rename asi_RNO_0_6 "asi_RNO_0[6]") (joined (portRef Y (instanceRef dsur_asi_RNO_0_6)) (portRef B (instanceRef dsur_asi_RNO_6)) )) (net (rename asi_RNO_5 "asi_RNO[5]") (joined (portRef Y (instanceRef dsur_asi_RNO_5)) (portRef D (instanceRef dsur_asi_5)) )) (net (rename asi_RNO_0_5 "asi_RNO_0[5]") (joined (portRef Y (instanceRef dsur_asi_RNO_0_5)) (portRef B (instanceRef dsur_asi_RNO_5)) )) (net (rename asi_RNO_4 "asi_RNO[4]") (joined (portRef Y (instanceRef dsur_asi_RNO_4)) (portRef D (instanceRef dsur_asi_4)) )) (net (rename asi_RNO_0_4 "asi_RNO_0[4]") (joined (portRef Y (instanceRef dsur_asi_RNO_0_4)) (portRef B (instanceRef dsur_asi_RNO_4)) )) (net (rename asi_RNO_3 "asi_RNO[3]") (joined (portRef Y (instanceRef dsur_asi_RNO_3)) (portRef D (instanceRef dsur_asi_3)) )) (net (rename asi_RNO_0_3 "asi_RNO_0[3]") (joined (portRef Y (instanceRef dsur_asi_RNO_0_3)) (portRef B (instanceRef dsur_asi_RNO_3)) )) (net (rename asi_RNO_0 "asi_RNO[0]") (joined (portRef Y (instanceRef dsur_asi_RNO_0)) (portRef D (instanceRef dsur_asi_0)) )) (net (rename asi_RNO_0_0 "asi_RNO_0[0]") (joined (portRef Y (instanceRef dsur_asi_RNO_0_0)) (portRef B (instanceRef dsur_asi_RNO_0)) )) (net err_RNO (joined (portRef Y (instanceRef dsur_err_RNO)) (portRef D (instanceRef dsur_err)) )) (net err_RNO_0 (joined (portRef Y (instanceRef dsur_err_RNO_0)) (portRef B (instanceRef dsur_err_RNO)) )) (net error_RNO (joined (portRef Y (instanceRef rp_error_RNO)) (portRef D (instanceRef rp_error)) )) (net error_RNO_0 (joined (portRef Y (instanceRef rp_error_RNO_0)) (portRef B (instanceRef rp_error_RNO)) )) (net (rename pc_0_9 "pc_0[9]") (joined (portRef Q (instanceRef r_x_ctrl_pc_9)) (portRef A (instanceRef ir_addr_RNO_3_9)) (portRef A (instanceRef r_x_ctrl_pc_RNIL7AE_9)) (portRef A (instanceRef r_x_ctrl_pc_RNIDFV2S_9)) )) (net N_3862 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIL7AE_9)) (portRef A (instanceRef r_x_npc_RNI27KU_0)) )) (net N_3892 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI67AE_9)) (portRef B (instanceRef r_x_npc_RNI27KU_0)) )) (net (rename pc_2_9 "pc_2[9]") (joined (portRef Q (instanceRef r_m_ctrl_pc_9)) (portRef D (instanceRef r_x_ctrl_pc_9)) (portRef A (instanceRef ir_addr_RNO_4_9)) (portRef A (instanceRef r_m_ctrl_pc_RNI67AE_9)) )) (net (rename pc_3_9 "pc_3[9]") (joined (portRef Q (instanceRef r_a_ctrl_pc_9)) (portRef B (instanceRef un6_fe_npc_1_I_38)) (portRef B (instanceRef un6_fe_npc_1_I_41)) (portRef B (instanceRef un6_fe_npc_1_I_59)) (portRef D (instanceRef r_e_ctrl_pc_9)) (portRef A (instanceRef ir_addr_RNO_5_9)) (portRef B (instanceRef r_m_ctrl_pc_RNI67AE_9)) )) (net (rename y_2_6 "y_2[6]") (joined (portRef Q (instanceRef r_m_y_6)) (portRef D (instanceRef r_x_y_6)) (portRef A (instanceRef r_m_y_RNIB4K91_6)) (portRef A (instanceRef r_m_y_RNO_2_6)) (portRef A (instanceRef r_m_y_RNO_4_5)) )) (net N_5299 (joined (portRef Y (instanceRef r_x_data_0_RNIIVG8_20)) (portRef B (instanceRef r_e_op2_RNIVMIF_20)) )) (net (rename inst_0_RNO_28 "inst_0_RNO[28]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_28)) (portRef D (instanceRef r_d_inst_0_28)) )) (net (rename inst_0_RNO_0_28 "inst_0_RNO_0[28]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_28)) (portRef B (instanceRef r_d_inst_0_RNO_28)) )) (net (rename inst_0_RNILG9C62_12 "inst_0_RNILG9C62[12]") (joined (portRef Y (instanceRef r_d_inst_0_RNILG9C62_12)) (portRef B (instanceRef r_d_inst_0_RNIQLUF62_12)) )) (net (rename tt_RNO_2 "tt_RNO[2]") (joined (portRef Y (instanceRef dsur_tt_RNO_2)) (portRef D (instanceRef dsur_tt_2)) )) (net (rename tt_RNO_0_2 "tt_RNO_0[2]") (joined (portRef Y (instanceRef dsur_tt_RNO_0_2)) (portRef B (instanceRef dsur_tt_RNO_2)) )) (net (rename tt_RNO_1 "tt_RNO[1]") (joined (portRef Y (instanceRef dsur_tt_RNO_1)) (portRef D (instanceRef dsur_tt_1)) )) (net (rename tt_RNO_0_1 "tt_RNO_0[1]") (joined (portRef Y (instanceRef dsur_tt_RNO_0_1)) (portRef B (instanceRef dsur_tt_RNO_1)) )) (net (rename tt_RNO_0 "tt_RNO[0]") (joined (portRef Y (instanceRef dsur_tt_RNO_0)) (portRef D (instanceRef dsur_tt_0)) )) (net (rename tt_RNO_0_0 "tt_RNO_0[0]") (joined (portRef Y (instanceRef dsur_tt_RNO_0_0)) (portRef B (instanceRef dsur_tt_RNO_0)) )) (net (rename pc_2_19 "pc_2[19]") (joined (portRef Q (instanceRef r_m_ctrl_pc_19)) (portRef D (instanceRef r_x_ctrl_pc_19)) (portRef A (instanceRef ir_addr_RNO_4_19)) (portRef A (instanceRef r_m_ctrl_pc_RNI8IIF_19)) )) (net (rename pc_3_19 "pc_3[19]") (joined (portRef Q (instanceRef r_a_ctrl_pc_19)) (portRef B (instanceRef un6_fe_npc_1_I_105)) (portRef C (instanceRef un6_fe_npc_1_I_108)) (portRef D (instanceRef r_e_ctrl_pc_19)) (portRef A (instanceRef ir_addr_RNO_5_19)) (portRef B (instanceRef r_m_ctrl_pc_RNI8IIF_19)) )) (net (rename inst_0_RNO_26 "inst_0_RNO[26]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_26)) (portRef D (instanceRef r_d_inst_0_26)) )) (net (rename inst_0_RNO_0_26 "inst_0_RNO_0[26]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_26)) (portRef B (instanceRef r_d_inst_0_RNO_26)) )) (net (rename tt_RNO_5 "tt_RNO[5]") (joined (portRef Y (instanceRef dsur_tt_RNO_5)) (portRef D (instanceRef dsur_tt_5)) )) (net (rename tt_RNO_0_5 "tt_RNO_0[5]") (joined (portRef Y (instanceRef dsur_tt_RNO_0_5)) (portRef B (instanceRef dsur_tt_RNO_5)) )) (net (rename ddata_21 "ddata[21]") (joined (portRef (member ddata 10)) (portRef B (instanceRef r_m_dci_enaddr_RNI5RE32)) (portRef B (instanceRef r_w_s_tba_RNO_9)) (portRef B (instanceRef r_f_pc_RNO_15_21)) (portRef A (instanceRef r_x_rstate_RNIVB1E_0)) (portRef B (instanceRef r_w_s_y_RNO_2_21)) (portRef A (instanceRef r_w_s_icc_RNO_2_1)) (portRef B (instanceRef ir_addr_RNO_1_21)) )) (net error_0_sqmuxa_1 (joined (portRef Y (instanceRef rp_error_RNO_1)) (portRef B (instanceRef rp_error_RNO_0)) )) (net reset (joined (portRef reset) (portRef A (instanceRef rp_error_RNO_1)) (portRef B (instanceRef rp_pwd_RNO_1)) )) (net (rename inst_0_RNO_25 "inst_0_RNO[25]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_25)) (portRef D (instanceRef r_d_inst_0_25)) )) (net (rename inst_0_RNO_0_25 "inst_0_RNO_0[25]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_25)) (portRef B (instanceRef r_d_inst_0_RNO_25)) )) (net (rename inst_0_RNO_16 "inst_0_RNO[16]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_16)) (portRef D (instanceRef r_d_inst_0_16)) )) (net (rename inst_0_RNO_0_16 "inst_0_RNO_0[16]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_16)) (portRef B (instanceRef r_d_inst_0_RNO_16)) )) (net (rename inst_0_RNO_15 "inst_0_RNO[15]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_15)) (portRef D (instanceRef r_d_inst_0_15)) )) (net (rename inst_0_RNO_0_15 "inst_0_RNO_0[15]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_15)) (portRef B (instanceRef r_d_inst_0_RNO_15)) )) (net (rename inst_0_RNO_13 "inst_0_RNO[13]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_13)) (portRef D (instanceRef r_d_inst_0_13)) )) (net (rename inst_0_RNO_0_13 "inst_0_RNO_0[13]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_13)) (portRef B (instanceRef r_d_inst_0_RNO_13)) )) (net (rename inst_0_RNO_11 "inst_0_RNO[11]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_11)) (portRef D (instanceRef r_d_inst_0_11)) )) (net (rename inst_0_RNO_0_11 "inst_0_RNO_0[11]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_11)) (portRef B (instanceRef r_d_inst_0_RNO_11)) )) (net N_3976 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIF2TK1_26)) (portRef A (instanceRef r_a_ctrl_inst_RNI9T2M3_25)) )) (net N_3979 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIJ25Q1_26)) (portRef B (instanceRef r_a_ctrl_inst_RNI9T2M3_25)) )) (net N_3977 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI3HLO_27)) (portRef A (instanceRef r_a_ctrl_inst_RNIJ25Q1_26)) )) (net (rename inst_2_26 "inst_2[26]") (joined (portRef Q (instanceRef r_a_ctrl_inst_26)) (portRef D (instanceRef r_e_ctrl_inst_26)) (portRef S (instanceRef r_a_ctrl_inst_RNIF2TK1_26)) (portRef S (instanceRef r_a_ctrl_inst_RNIJ25Q1_26)) )) (net N_3974 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIPCKH_27)) (portRef A (instanceRef r_a_ctrl_inst_RNIF2TK1_26)) )) (net N_3975 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIEP7S_27)) (portRef B (instanceRef r_a_ctrl_inst_RNIF2TK1_26)) )) (net N_3946 (joined (portRef Y (instanceRef r_x_data_0_RNIAJ33_0)) (portRef B (instanceRef r_e_shcnt_RNI2B1C_0)) (portRef B (instanceRef r_e_op2_RNI59C6_0)) )) (net (rename shcnt_0_0 "shcnt_0[0]") (joined (portRef Q (instanceRef r_e_shcnt_0)) (portRef A (instanceRef r_e_shcnt_RNI2B1C_0)) )) (net mulstep_1 (joined (portRef Y (instanceRef r_e_mulstep_RNIDG4D_2)) (portRef B (instanceRef r_m_y_RNO_4_23)) (portRef B (instanceRef r_m_y_RNO_4_3)) (portRef B (instanceRef r_m_y_RNO_1_24)) (portRef B (instanceRef r_m_y_RNO_1_10)) (portRef B (instanceRef r_m_y_RNO_4_25)) (portRef B (instanceRef r_m_y_RNO_1_2)) (portRef B (instanceRef r_m_y_RNO_1_6)) (portRef B (instanceRef r_m_y_RNO_4_12)) (portRef B (instanceRef r_m_y_RNO_4_11)) (portRef B (instanceRef r_m_y_RNO_4_30)) (portRef B (instanceRef r_m_y_RNO_4_16)) (portRef B (instanceRef r_m_y_RNO_4_14)) (portRef A (instanceRef r_m_y_RNO_4_31)) (portRef B (instanceRef r_m_y_RNO_1_29)) (portRef B (instanceRef r_m_y_RNO_10_7)) (portRef B (instanceRef r_m_y_RNO_4_13)) )) (net N_8081 (joined (portRef Y (instanceRef r_e_aluop_2_RNII07V_0_1)) (portRef A (instanceRef r_e_op1_RNIGE0C2_28)) )) (net N_24 (joined (portRef Y (instanceRef r_e_aluop_2_RNII07V_1)) (portRef B (instanceRef r_e_op1_RNIGE0C2_28)) )) (net N_5_0 (joined (portRef Y (instanceRef r_e_aluop_2_RNIA2PO_1)) (portRef A (instanceRef r_e_op2_RNIS3BB2_19)) )) (net N_9_0 (joined (portRef Y (instanceRef r_e_aluop_2_RNIA2PO_0_1)) (portRef B (instanceRef r_e_op2_RNIS3BB2_19)) )) (net (rename tt_1_2 "tt_1[2]") (joined (portRef Y (instanceRef r_w_s_tt_RNO_2)) (portRef D (instanceRef r_w_s_tt_2)) )) (net N_4928 (joined (portRef Y (instanceRef r_w_s_tt_RNO_0_2)) (portRef A (instanceRef r_w_s_tt_RNO_2)) )) (net (rename ddata_6 "ddata[6]") (joined (portRef (member ddata 25)) (portRef B (instanceRef dsur_asi_RNO_0_6)) (portRef A (instanceRef r_x_rstate_RNIIQKB_0)) (portRef B (instanceRef ir_addr_RNO_1_6)) (portRef B (instanceRef r_w_s_y_RNO_2_6)) (portRef B (instanceRef r_w_s_wim_RNIBEBC01_6)) (portRef B (instanceRef r_x_rstate_RNIPHV53_0)) (portRef A (instanceRef r_x_rstate_RNIRB1F2_0)) (portRef B (instanceRef r_w_s_tt_RNO_2)) (portRef B (instanceRef r_e_op1_RNI5SLG4_6)) )) (net (rename tba_1_14 "tba_1[14]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_14)) (portRef D (instanceRef r_w_s_tba_14)) )) (net N_4980 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_14)) (portRef A (instanceRef r_w_s_tba_RNO_14)) )) (net (rename tba_1_18 "tba_1[18]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_18)) (portRef D (instanceRef r_w_s_tba_18)) )) (net N_4984 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_18)) (portRef A (instanceRef r_w_s_tba_RNO_18)) )) (net (rename result_0_30 "result_0[30]") (joined (portRef Q (instanceRef r_x_result_30)) (portRef B (instanceRef r_w_s_y_RNO_1_30)) (portRef A (instanceRef r_x_result_RNIPS6E_30)) (portRef B (instanceRef r_w_s_tba_RNO_0_18)) )) (net (rename ddata_0 "ddata[0]") (joined (portRef (member ddata 31)) (portRef B (instanceRef dsur_asi_RNO_0_0)) (portRef A (instanceRef r_x_rstate_RNICQKB_0)) (portRef B (instanceRef r_w_s_wim_RNIPLAC01_0)) (portRef B (instanceRef r_w_s_y_RNO_2_0)) (portRef A (instanceRef r_x_rstate_RNIQGMI2_0)) (portRef B (instanceRef r_m_dci_enaddr_RNII9212)) )) (net (rename result_0 "result[0]") (joined (portRef Q (instanceRef r_x_result_0)) (portRef B (instanceRef r_w_s_wim_RNI4A9TT_0)) (portRef B (instanceRef r_w_s_y_RNO_1_0)) (portRef A (instanceRef r_x_result_RNIBTAB_0)) (portRef A (instanceRef r_x_ctrl_tt_RNI5HVQ_0)) (portRef B (instanceRef r_x_result_RNI9L9VT_0)) )) (net (rename tt_1_0_1 "tt_1_0[1]") (joined (portRef Y (instanceRef r_w_s_tt_RNO_1)) (portRef D (instanceRef r_w_s_tt_1)) )) (net N_4927 (joined (portRef Y (instanceRef r_w_s_tt_RNO_0_1)) (portRef A (instanceRef r_w_s_tt_RNO_1)) )) (net (rename irl_1 "irl[1]") (joined (portRef Q (instanceRef r_w_s_tt_1)) (portRef (member irl 2)) (portRef B (instanceRef r_w_s_tt_RNI63S61_1)) (portRef B (instanceRef r_w_s_tt_RNO_0_1)) (portRef A (instanceRef r_w_s_tt_RNIQ39B_1)) )) (net N_4140 (joined (portRef Y (instanceRef r_e_aluop_2_RNI526P_1)) (portRef A (instanceRef r_e_aluop_0_RNIRL3M1_0)) )) (net N_4108 (joined (portRef Y (instanceRef r_e_aluop_0_RNI21JC_2)) (portRef A (instanceRef r_e_aluop_2_RNI526P_1)) )) (net (rename logicout_3_0 "logicout_3[0]") (joined (portRef Y (instanceRef r_e_op2_RNI78NA_0_0)) (portRef B (instanceRef r_e_aluop_2_RNI526P_1)) )) (net (rename tba_1_5 "tba_1[5]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_5)) (portRef D (instanceRef r_w_s_tba_5)) )) (net N_4971 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_5)) (portRef A (instanceRef r_w_s_tba_RNO_5)) )) (net (rename tba_1_4 "tba_1[4]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_4)) (portRef D (instanceRef r_w_s_tba_4)) )) (net N_4970 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_4)) (portRef A (instanceRef r_w_s_tba_RNO_4)) )) (net (rename ddata_16 "ddata[16]") (joined (portRef (member ddata 15)) (portRef B (instanceRef r_m_dci_enaddr_RNI9FF32)) (portRef B (instanceRef r_f_pc_RNO_14_16)) (portRef B (instanceRef r_w_s_y_RNO_2_16)) (portRef A (instanceRef r_x_rstate_RNI302E_0)) (portRef B (instanceRef r_w_s_tba_RNO_4)) (portRef B (instanceRef ir_addr_RNO_1_16)) )) (net N_4151 (joined (portRef Y (instanceRef r_e_aluop_2_RNI5PVT1_1)) (portRef A (instanceRef r_e_aluop_0_RNIR3NV3_0)) )) (net N_4119 (joined (portRef Y (instanceRef r_e_aluop_0_RNIISVU_2)) (portRef A (instanceRef r_e_aluop_2_RNI5PVT1_1)) )) (net (rename logicout_3_11 "logicout_3[11]") (joined (portRef Y (instanceRef r_e_op2_RNIN34T_0_11)) (portRef B (instanceRef r_e_aluop_2_RNI5PVT1_1)) )) (net (rename tba_1_19 "tba_1[19]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_19)) (portRef D (instanceRef r_w_s_tba_19)) )) (net N_4985 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_19)) (portRef A (instanceRef r_w_s_tba_RNO_19)) )) (net (rename tba_1_0 "tba_1[0]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_0)) (portRef D (instanceRef r_w_s_tba_0)) )) (net N_4966 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_0)) (portRef A (instanceRef r_w_s_tba_RNO_0)) )) (net un2_rstn_5 (joined (portRef Y (instanceRef r_w_s_cwp_RNILU2151_2)) (portRef A (instanceRef r_w_s_cwp_RNIUFNQ32_2)) )) (net N_4146 (joined (portRef Y (instanceRef r_e_aluop_2_RNI7JJD1_1)) (portRef A (instanceRef r_e_aluop_0_RNIVNUU2_0)) )) (net N_4114 (joined (portRef Y (instanceRef r_e_aluop_0_RNIJPPM_2)) (portRef A (instanceRef r_e_aluop_2_RNI7JJD1_1)) )) (net (rename logicout_3_6 "logicout_3[6]") (joined (portRef Y (instanceRef r_e_op2_RNIO0UK_0_6)) (portRef B (instanceRef r_e_aluop_2_RNI7JJD1_1)) )) (net (rename tt_1_6 "tt_1[6]") (joined (portRef Y (instanceRef r_w_s_tt_RNO_6)) (portRef D (instanceRef r_w_s_tt_6)) )) (net N_4932 (joined (portRef Y (instanceRef r_w_s_tt_RNO_0_6)) (portRef A (instanceRef r_w_s_tt_RNO_6)) )) (net (rename ddata_11 "ddata[11]") (joined (portRef (member ddata 20)) (portRef B (instanceRef r_m_dci_enaddr_RNI4RE32)) (portRef A (instanceRef r_w_s_pil_RNO_3)) (portRef B (instanceRef r_w_s_tt_RNO_7)) (portRef B (instanceRef r_x_rstate_RNI53C83_0)) (portRef B (instanceRef r_w_s_y_RNO_2_11)) (portRef B (instanceRef ir_addr_RNO_1_11)) (portRef A (instanceRef r_x_rstate_RNIUB1E_0)) )) (net (rename y_3_15 "y_3[15]") (joined (portRef Q (instanceRef r_x_y_15)) (portRef B (instanceRef r_w_s_y_RNO_1_15)) (portRef B (instanceRef r_m_y_RNO_3_15)) )) (net N_4153 (joined (portRef Y (instanceRef r_e_aluop_2_RNILP0U1_1)) (portRef A (instanceRef r_e_aluop_RNIK7P54_0)) )) (net (rename aluop_1_0 "aluop_1[0]") (joined (portRef Q (instanceRef r_e_aluop_0)) (portRef S (instanceRef r_e_aluop_RNI7ERH4_0)) (portRef S (instanceRef r_e_aluop_RNI7VSG3_0)) (portRef S (instanceRef r_e_aluop_RNI3EHQ5_0)) (portRef S (instanceRef r_e_aluop_RNI7EIQ5_0)) (portRef S (instanceRef r_e_aluop_RNI711H3_0)) (portRef S (instanceRef r_e_aluop_RNIN2D82_0)) (portRef S (instanceRef r_e_aluop_RNINDQH4_0)) (portRef S (instanceRef r_e_aluop_RNINFUH4_0)) (portRef S (instanceRef r_e_aluop_RNINTPG3_0)) (portRef S (instanceRef r_e_aluop_RNI3VD35_0)) (portRef S (instanceRef r_e_aluop_RNIFDFQ5_0)) (portRef S (instanceRef r_e_aluop_RNI7GUH4_0)) (portRef A (instanceRef r_e_aluop_RNIV7P61_0)) (portRef S (instanceRef r_e_aluop_RNI7H0I4_0)) (portRef B (instanceRef r_e_aluop_RNI6NNF_2)) (portRef A (instanceRef r_e_aluop_RNIBCTU_0)) (portRef S (instanceRef r_e_aluop_RNIFRQA3_0)) (portRef S (instanceRef r_e_aluop_RNIH9DK5_0)) (portRef S (instanceRef r_e_aluop_RNIDAEK5_0)) (portRef S (instanceRef r_e_aluop_RNITAOB4_0)) (portRef S (instanceRef r_e_aluop_RNIT9NB4_0)) (portRef S (instanceRef r_e_aluop_RNIT8DK5_0)) (portRef S (instanceRef r_e_aluop_RNIK7P54_0)) )) (net N_4152 (joined (portRef Y (instanceRef r_e_aluop_2_RNIMRAO2_1)) (portRef A (instanceRef r_e_aluop_RNIT8DK5_0)) )) (net N_4121 (joined (portRef Y (instanceRef r_e_aluop_0_RNIQC0V_2)) (portRef A (instanceRef r_e_aluop_2_RNILP0U1_1)) )) (net (rename logicout_3_13 "logicout_3[13]") (joined (portRef Y (instanceRef r_e_op2_RNIVJ4T_0_13)) (portRef B (instanceRef r_e_aluop_2_RNILP0U1_1)) )) (net N_4120 (joined (portRef Y (instanceRef r_e_aluop_RNI7F5F1_2)) (portRef A (instanceRef r_e_aluop_2_RNIMRAO2_1)) )) (net (rename logicout_3_12 "logicout_3[12]") (joined (portRef Y (instanceRef r_e_op2_RNIJJ971_0_12)) (portRef B (instanceRef r_e_aluop_2_RNIMRAO2_1)) )) (net (rename aluop_1_2 "aluop_1[2]") (joined (portRef Q (instanceRef r_e_aluop_2)) (portRef B (instanceRef r_e_aluop_RNIRV051_2)) (portRef B (instanceRef r_e_aluop_RNI3CPS_2)) (portRef B (instanceRef r_e_aluop_RNIQF6F1_2)) (portRef B (instanceRef r_e_aluop_RNIRN6F1_2)) (portRef B (instanceRef r_e_aluop_RNIJCQS_2)) (portRef B (instanceRef r_e_aluop_RNIVCLI_2)) (portRef B (instanceRef r_e_aluop_RNINN051_2)) (portRef B (instanceRef r_e_aluop_RNI7O151_2)) (portRef B (instanceRef r_e_aluop_RNINJOS_2)) (portRef B (instanceRef r_e_aluop_RNI2KD91_2)) (portRef B (instanceRef r_e_aluop_RNILV5F1_2)) (portRef B (instanceRef r_e_aluop_RNIBO151_2)) (portRef B (instanceRef r_e_aluop_RNIJ8251_2)) (portRef A (instanceRef r_e_aluop_RNI6NNF_2)) (portRef A (instanceRef r_e_aluop_RNITGHQ_1)) (portRef A (instanceRef r_e_aluop_RNITGHQ_0_1)) (portRef B (instanceRef r_e_aluop_RNIRROS_2)) (portRef B (instanceRef r_e_aluop_RNICF5F1_2)) (portRef B (instanceRef r_e_aluop_RNIJN5F1_2)) (portRef B (instanceRef r_e_aluop_RNIN7051_2)) (portRef B (instanceRef r_e_aluop_RNIFVV41_2)) (portRef B (instanceRef r_e_aluop_RNI7F5F1_2)) )) (net (rename tt_1_0_0 "tt_1_0[0]") (joined (portRef Y (instanceRef r_w_s_tt_RNO_0)) (portRef D (instanceRef r_w_s_tt_0)) )) (net N_4926 (joined (portRef Y (instanceRef r_w_s_tt_RNO_0_0)) (portRef A (instanceRef r_w_s_tt_RNO_0)) )) (net (rename ddata_4 "ddata[4]") (joined (portRef (member ddata 27)) (portRef B (instanceRef dsur_asi_RNO_0_4)) (portRef B (instanceRef r_w_s_wim_RNI56BC01_4)) (portRef A (instanceRef r_x_rstate_RNIGQKB_0)) (portRef B (instanceRef ir_addr_RNO_1_4)) (portRef B (instanceRef r_w_s_y_RNO_2_4)) (portRef B (instanceRef r_x_rstate_RNINHV53_0)) (portRef B (instanceRef r_w_s_tt_RNO_0)) (portRef B (instanceRef r_e_op1_RNIVJLG4_4)) )) (net (rename y_2_4 "y_2[4]") (joined (portRef Q (instanceRef r_x_y_4)) (portRef A (instanceRef r_w_s_y_RNO_3_4)) (portRef A (instanceRef r_m_y_RNO_4_4)) )) (net (rename tba_1_16 "tba_1[16]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_16)) (portRef D (instanceRef r_w_s_tba_16)) )) (net N_4982 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_16)) (portRef A (instanceRef r_w_s_tba_RNO_16)) )) (net (rename tba_1_8 "tba_1[8]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_8)) (portRef D (instanceRef r_w_s_tba_8)) )) (net N_4974 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_8)) (portRef A (instanceRef r_w_s_tba_RNO_8)) )) (net (rename tba_1_7 "tba_1[7]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_7)) (portRef D (instanceRef r_w_s_tba_7)) )) (net N_4973 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_7)) (portRef A (instanceRef r_w_s_tba_RNO_7)) )) (net N_4160 (joined (portRef Y (instanceRef r_e_aluop_2_RNI6SV32_1)) (portRef A (instanceRef r_e_aluop_RNIT9NB4_0)) )) (net N_4128 (joined (portRef Y (instanceRef r_e_aluop_RNIFVV41_2)) (portRef A (instanceRef r_e_aluop_2_RNI6SV32_1)) )) (net (rename logicout_3_20 "logicout_3[20]") (joined (portRef Y (instanceRef r_e_op2_RNIR34T_0_20)) (portRef B (instanceRef r_e_aluop_2_RNI6SV32_1)) )) (net SUM0_0 (joined (portRef Y (instanceRef un1_r_w_s_cwp_1_1_SUM0_0)) (portRef A (instanceRef r_w_s_cwp_RNIB4H373_2)) )) (net (rename pc_2_7 "pc_2[7]") (joined (portRef Q (instanceRef r_a_ctrl_pc_7)) (portRef B (instanceRef un6_fe_npc_1_I_24)) (portRef C (instanceRef un6_fe_npc_1_I_30)) (portRef C (instanceRef un6_fe_npc_1_I_34)) (portRef D (instanceRef r_e_ctrl_pc_7)) (portRef B (instanceRef r_m_ctrl_pc_RNICMF8_7)) (portRef A (instanceRef ir_addr_RNO_5_7)) )) (net (rename pc_3_7 "pc_3[7]") (joined (portRef Q (instanceRef r_m_ctrl_pc_7)) (portRef D (instanceRef r_x_ctrl_pc_7)) (portRef A (instanceRef r_m_ctrl_pc_RNICMF8_7)) (portRef A (instanceRef ir_addr_RNO_4_7)) )) (net (rename y_3_19 "y_3[19]") (joined (portRef Q (instanceRef r_x_y_19)) (portRef B (instanceRef r_w_s_y_RNO_1_19)) (portRef B (instanceRef r_m_y_RNO_3_19)) )) (net (rename y_3_20 "y_3[20]") (joined (portRef Q (instanceRef r_m_y_20)) (portRef D (instanceRef r_x_y_20)) (portRef A (instanceRef r_m_y_RNINTN71_20)) (portRef A (instanceRef r_m_y_RNO_2_20)) (portRef A (instanceRef r_m_y_RNO_4_19)) )) (net (rename y_3_29 "y_3[29]") (joined (portRef Q (instanceRef r_m_y_29)) (portRef D (instanceRef r_x_y_29)) (portRef A (instanceRef r_m_y_RNO_2_29)) (portRef A (instanceRef r_m_y_RNO_5_28)) (portRef A (instanceRef r_m_y_RNI02P71_29)) )) (net N_5308 (joined (portRef Y (instanceRef r_x_data_0_RNIRVG8_29)) (portRef B (instanceRef r_e_op2_RNIHRJF_29)) )) (net (rename tba_1_17 "tba_1[17]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_17)) (portRef D (instanceRef r_w_s_tba_17)) )) (net N_4983 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_17)) (portRef A (instanceRef r_w_s_tba_RNO_17)) )) (net (rename pc_29 "pc[29]") (joined (portRef Q (instanceRef r_e_ctrl_pc_29)) (portRef D (instanceRef r_m_ctrl_pc_29)) (portRef B (instanceRef r_x_ctrl_pc_RNI32O9_29)) (portRef A (instanceRef ir_addr_RNO_6_29)) (portRef A (instanceRef r_e_ctrl_pc_RNITRKL_29)) )) (net (rename ddata_3 "ddata[3]") (joined (portRef (member ddata 28)) (portRef B (instanceRef dsur_asi_RNO_0_3)) (portRef B (instanceRef r_m_dci_enaddr_RNIL9212)) (portRef B (instanceRef r_w_s_y_RNO_2_3)) (portRef A (instanceRef r_x_rstate_RNIFQKB_0)) (portRef B (instanceRef r_w_s_wim_RNI22BC01_3)) (portRef B (instanceRef r_x_rstate_RNIMHV53_0)) (portRef B (instanceRef ir_addr_RNO_1_3)) )) (net N_4170 (joined (portRef Y (instanceRef r_e_aluop_2_RNIMC042_1)) (portRef A (instanceRef r_e_aluop_RNITAOB4_0)) )) (net N_4138 (joined (portRef Y (instanceRef r_e_aluop_RNIN7051_2)) (portRef A (instanceRef r_e_aluop_2_RNIMC042_1)) )) (net (rename logicout_3_30 "logicout_3[30]") (joined (portRef Y (instanceRef r_e_op2_RNI3C4T_0_30)) (portRef B (instanceRef r_e_aluop_2_RNIMC042_1)) )) (net (rename rpc_1 "rpc[3]") (joined (portRef Y (instanceRef r_f_pc_RNITK1A9C_3)) (portRef rpc_1) )) (net (rename npc1_3 "npc1[3]") (joined (portRef Y (instanceRef r_f_pc_RNI1MG2G1_3)) (portRef B (instanceRef r_f_pc_RNITK1A9C_3)) )) (net (rename pc_4_3 "pc_4[3]") (joined (portRef Y (instanceRef r_f_pc_RNI8PNIG_3)) (portRef B (instanceRef r_f_pc_RNO_1_3)) (portRef A (instanceRef r_f_pc_RNI1MG2G1_3)) )) (net N_5442 (joined (portRef Y (instanceRef r_f_pc_RNI9M6N7_3)) (portRef B (instanceRef r_f_pc_RNI1MG2G1_3)) )) (net (rename pc_4_29 "pc_4[29]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_29)) (portRef B (instanceRef r_f_pc_RNO_1_29)) )) (net (rename un6_fe_npc3_27 "un6_fe_npc3[27]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_196)) (portRef A (instanceRef r_f_pc_RNO_10_29)) (portRef A (instanceRef r_f_pc_RNO_6_29)) )) (net N_5215 (joined (portRef Y (instanceRef r_f_pc_RNO_11_29)) (portRef B (instanceRef r_f_pc_RNO_6_29)) )) (net (rename un6_fe_npc3_1 "un6_fe_npc3[1]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_5)) (portRef A (instanceRef r_e_bp_RNIQKTEI1)) (portRef A (instanceRef r_f_pc_RNO_3_3)) (portRef A (instanceRef r_f_pc_RNI8PNIG_3)) )) (net N_5189 (joined (portRef Y (instanceRef r_f_pc_RNIVPPFC_3)) (portRef B (instanceRef r_f_pc_RNI8PNIG_3)) )) (net N_5159 (joined (portRef Y (instanceRef r_f_pc_RNIAQE58_3)) (portRef A (instanceRef r_f_pc_RNIVPPFC_3)) )) (net (rename un6_fe_npc2_1 "un6_fe_npc2[1]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_5)) (portRef C (instanceRef r_x_rstate_0_RNI0NOIM1_1)) (portRef B (instanceRef r_f_pc_RNO_4_3)) (portRef B (instanceRef r_f_pc_RNIVPPFC_3)) )) (net (rename pc_m_13 "pc_m[13]") (joined (portRef Y (instanceRef r_f_pc_RNO_11_13)) (portRef B (instanceRef r_f_pc_RNO_7_13)) )) (net N_4171 (joined (portRef Y (instanceRef r_e_aluop_2_RNIECBO2_1)) (portRef A (instanceRef r_e_aluop_RNIDAEK5_0)) )) (net N_4139 (joined (portRef Y (instanceRef r_e_aluop_RNIJN5F1_2)) (portRef A (instanceRef r_e_aluop_2_RNIECBO2_1)) )) (net N_4161 (joined (portRef Y (instanceRef r_e_aluop_2_RNI0SAO2_1)) (portRef A (instanceRef r_e_aluop_RNIH9DK5_0)) )) (net N_4129 (joined (portRef Y (instanceRef r_e_aluop_RNICF5F1_2)) (portRef A (instanceRef r_e_aluop_2_RNI0SAO2_1)) )) (net (rename logicout_3_21 "logicout_3[21]") (joined (portRef Y (instanceRef r_e_op2_RNIOJ971_0_21)) (portRef B (instanceRef r_e_aluop_2_RNI0SAO2_1)) )) (net (rename pc_0_29 "pc_0[29]") (joined (portRef Q (instanceRef r_a_ctrl_pc_29)) (portRef B (instanceRef un6_fe_npc_1_I_196)) (portRef C (instanceRef un6_fe_npc_1_I_202)) (portRef A (instanceRef un6_fe_npc_1_I_206)) (portRef D (instanceRef r_e_ctrl_pc_29)) (portRef B (instanceRef r_m_ctrl_pc_RNIK1O9_29)) (portRef A (instanceRef ir_addr_RNO_5_29)) )) (net (rename pc_2_29 "pc_2[29]") (joined (portRef Q (instanceRef r_m_ctrl_pc_29)) (portRef D (instanceRef r_x_ctrl_pc_29)) (portRef A (instanceRef r_m_ctrl_pc_RNIK1O9_29)) (portRef A (instanceRef ir_addr_RNO_4_29)) )) (net (rename pc_3_29 "pc_3[29]") (joined (portRef Q (instanceRef r_x_ctrl_pc_29)) (portRef A (instanceRef r_x_ctrl_pc_RNI32O9_29)) (portRef A (instanceRef r_x_ctrl_pc_RNIVKJ3S_29)) (portRef A (instanceRef ir_addr_RNO_0_29)) )) (net ld_1_0 (joined (portRef Y (instanceRef r_m_ctrl_ld_RNO)) (portRef D (instanceRef r_m_ctrl_ld)) )) (net ld_3 (joined (portRef Q (instanceRef r_e_ctrl_ld)) (portRef B (instanceRef r_m_ctrl_ld_RNO)) )) (net (rename irl_0_0 "irl_0[0]") (joined (portRef Q (instanceRef r_w_s_tt_0)) (portRef (member irl_0 3)) (portRef B (instanceRef r_w_s_tt_RNIQK4I2_0)) (portRef B (instanceRef r_w_s_tt_RNI5VR61_0)) (portRef B (instanceRef r_w_s_tt_RNO_0_0)) )) (net (rename wim_4 "wim[4]") (joined (portRef Q (instanceRef r_w_s_wim_4)) (portRef A (instanceRef r_w_s_wim_RNICQ9TT_4)) (portRef A (instanceRef r_w_s_wim_RNI38RJ2_4)) (portRef A (instanceRef r_w_s_wim_RNIEII81_4)) )) (net (rename un6_fe_npc3_15 "un6_fe_npc3[15]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_91)) (portRef A (instanceRef r_f_pc_RNO_12_17)) (portRef A (instanceRef r_f_pc_RNO_3_17)) )) (net N_5203 (joined (portRef Y (instanceRef r_f_pc_RNO_5_17)) (portRef B (instanceRef r_f_pc_RNO_3_17)) )) (net N_4142 (joined (portRef Y (instanceRef r_e_aluop_2_RNIUKHJ1_1)) (portRef A (instanceRef r_e_aluop_RNIFRQA3_0)) )) (net N_4110 (joined (portRef Y (instanceRef r_e_aluop_RNIRROS_2)) (portRef A (instanceRef r_e_aluop_2_RNIUKHJ1_1)) )) (net (rename logicout_3_2 "logicout_3[2]") (joined (portRef Y (instanceRef r_e_op2_RNI70TK_0_2)) (portRef B (instanceRef r_e_aluop_2_RNIUKHJ1_1)) )) (net (rename pc_4_20 "pc_4[20]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_20)) (portRef B (instanceRef r_f_pc_RNO_1_20)) )) (net (rename un6_fe_npc3_18 "un6_fe_npc3[18]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_115)) (portRef A (instanceRef r_f_pc_RNO_10_20)) (portRef A (instanceRef r_f_pc_RNO_6_20)) )) (net N_5206 (joined (portRef Y (instanceRef r_f_pc_RNO_11_20)) (portRef B (instanceRef r_f_pc_RNO_6_20)) )) (net (rename addr_13 "addr[13]") (joined (portRef Q (instanceRef ir_addr_13)) (portRef B (instanceRef ir_addr_RNO_2_13)) (portRef B (instanceRef ir_addr_RNIRI091_13)) (portRef B (instanceRef r_f_pc_RNO_15_13)) )) (net N_3961 (joined (portRef Y (instanceRef r_x_ctrl_tt_RNI5HVQ_0)) (portRef A (instanceRef r_x_mexc_RNIAGPT)) )) (net (rename y_3_10 "y_3[10]") (joined (portRef Q (instanceRef r_m_y_10)) (portRef D (instanceRef r_x_y_10)) (portRef A (instanceRef r_m_y_RNO_2_10)) (portRef A (instanceRef r_m_y_RNIMTN71_10)) (portRef A (instanceRef r_m_y_RNO_1_9)) )) (net (rename tba_1_1 "tba_1[1]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_1)) (portRef D (instanceRef r_w_s_tba_1)) )) (net N_4967 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_1)) (portRef A (instanceRef r_w_s_tba_RNO_1)) )) (net (rename y_2_21 "y_2[21]") (joined (portRef Q (instanceRef r_x_y_21)) (portRef B (instanceRef comb_logic_op_y_iv_RNO_3_21)) (portRef B (instanceRef r_w_s_y_RNO_3_21)) )) (net (rename y_2_8 "y_2[8]") (joined (portRef Q (instanceRef r_x_y_8)) (portRef B (instanceRef r_w_s_y_RNO_1_8)) (portRef B (instanceRef r_m_y_RNO_4_8)) )) (net (rename y_3_8 "y_3[8]") (joined (portRef Q (instanceRef r_m_y_8)) (portRef D (instanceRef r_x_y_8)) (portRef A (instanceRef r_m_y_RNID4K91_8)) (portRef A (instanceRef r_m_y_RNO_10_7)) (portRef A (instanceRef r_m_y_RNO_2_8)) )) (net N_4953 (joined (portRef Y (instanceRef r_w_s_wim_RNIEU9TT_5)) (portRef A (instanceRef r_w_s_wim_RNI8ABC01_5)) )) (net (rename result_2 "result[2]") (joined (portRef Q (instanceRef r_x_result_2)) (portRef C (instanceRef r_x_result_RNIBT9VT_2)) (portRef A (instanceRef r_x_result_RNIFDBB_2)) (portRef B (instanceRef r_w_s_wim_RNI8I9TT_2)) (portRef B (instanceRef r_w_s_y_RNO_3_2)) (portRef A (instanceRef r_x_ctrl_tt_RNI9PVQ_2)) )) (net (rename y_2_23 "y_2[23]") (joined (portRef Q (instanceRef r_x_y_23)) (portRef B (instanceRef r_m_y_RNO_1_23)) (portRef B (instanceRef r_w_s_y_RNO_1_23)) )) (net (rename y_3_23 "y_3[23]") (joined (portRef Q (instanceRef r_w_s_y_23)) (portRef A (instanceRef r_w_s_y_RNIPNF61_23)) (portRef B (instanceRef r_m_y_RNO_2_23)) (portRef B (instanceRef r_w_s_y_RNO_2_23)) )) (net (rename tt_2_5 "tt_2[5]") (joined (portRef Q (instanceRef dsur_tt_5)) (portRef A (instanceRef dsur_tt_RNO_0_5)) (portRef A (instanceRef dsur_tt_RNI6B161_5)) )) (net (rename tt_7_4 "tt_7[4]") (joined (portRef Q (instanceRef dsur_tt_4)) (portRef A (instanceRef dsur_tt_RNO_0_4)) (portRef A (instanceRef dsur_tt_RNI5B161_4)) )) (net (rename aluop_3_1 "aluop_3[1]") (joined (portRef Q (instanceRef r_e_aluop_1)) (portRef S (instanceRef r_e_aluop_RNILV1A2_1)) (portRef S (instanceRef r_e_aluop_RNI5OIP1_1)) (portRef S (instanceRef r_e_aluop_RNIJVCU2_1)) (portRef S (instanceRef r_e_aluop_RNILFDU2_1)) (portRef S (instanceRef r_e_aluop_RNI5PKP1_1)) (portRef S (instanceRef r_e_aluop_RNITPA51_1)) (portRef S (instanceRef r_e_aluop_RNIDF1A2_1)) (portRef S (instanceRef r_e_aluop_RNIDG3A2_1)) (portRef S (instanceRef r_e_aluop_RNID7HP1_1)) (portRef S (instanceRef r_e_aluop_RNI38RI2_1)) (portRef S (instanceRef r_e_aluop_RNI9VBU2_1)) (portRef S (instanceRef r_e_aluop_RNILG3A2_1)) (portRef S (instanceRef r_e_aluop_RNI5H4A2_1)) (portRef C (instanceRef r_e_aluop_RNITGHQ_1)) (portRef B (instanceRef r_e_aluop_RNI9LLI_1)) (portRef C (instanceRef r_e_aluop_RNITGHQ_0_1)) (portRef A (instanceRef r_e_aluop_RNIPIJN_1)) )) (net (rename bpdata_29 "bpdata[29]") (joined (portRef Y (instanceRef r_x_result_RNITTKD3_29)) (portRef B (instanceRef r_x_result_RNIKJ6T3_29)) (portRef B (instanceRef r_x_result_RNI7LDV3_29)) )) (net (rename result_0_9 "result_0[9]") (joined (portRef Q (instanceRef r_x_result_9)) (portRef B (instanceRef r_w_s_y_RNO_1_9)) (portRef A (instanceRef r_w_s_pil_RNO_0_1)) (portRef A (instanceRef r_x_result_RNIT5DB_9)) )) (net ld_4 (joined (portRef Q (instanceRef r_x_ctrl_ld)) (portRef S (instanceRef r_x_result_RNILC6E_14)) (portRef S (instanceRef r_x_result_RNIRTCB_8)) (portRef S (instanceRef r_x_result_RNID5BB_1)) (portRef S (instanceRef r_x_result_RNIHLBB_3)) (portRef S (instanceRef r_x_result_RNIFDBB_2)) (portRef S (instanceRef r_x_result_RNINDCB_6)) (portRef S (instanceRef r_x_result_RNIBTAB_0)) (portRef S (instanceRef r_x_result_RNINC6E_15)) (portRef S (instanceRef r_x_result_RNI5L6E_29)) (portRef S (instanceRef r_x_result_RNIJC6E_13)) (portRef S (instanceRef r_x_result_RNITK6E_25)) (portRef S (instanceRef r_x_result_RNIPS6E_30)) (portRef S (instanceRef r_x_result_RNIJK6E_20)) (portRef S (instanceRef r_x_result_RNIFC6E_11)) (portRef S (instanceRef r_x_result_RNILK6E_21)) (portRef S (instanceRef r_x_result_RNIT5DB_9)) )) (net (rename result_RNILK6E_21 "result_RNILK6E[21]") (joined (portRef Y (instanceRef r_x_result_RNILK6E_21)) (portRef B (instanceRef r_a_rsel1_0_RNI1J1A55_1)) (portRef B (instanceRef r_e_op2_RNO_2_21)) (portRef B (instanceRef r_x_result_RNITSJD3_21)) (portRef A (instanceRef r_x_result_RNI9ABES_21)) )) (net N_245 (joined (portRef Y (instanceRef r_e_aluop_RNI9LLI_1)) (portRef B (instanceRef r_m_y_RNO_7_7)) (portRef B (instanceRef r_e_aluop_RNIBCTU_0)) )) (net N_289 (joined (portRef Y (instanceRef r_w_s_tba_RNO_3)) (portRef D (instanceRef r_w_s_tba_3)) )) (net N_280 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_3)) (portRef A (instanceRef r_w_s_tba_RNO_3)) )) (net (rename result_0_15 "result_0[15]") (joined (portRef Q (instanceRef r_x_result_15)) (portRef A (instanceRef r_x_result_RNINC6E_15)) (portRef B (instanceRef r_w_s_y_RNO_3_15)) (portRef B (instanceRef r_w_s_tba_RNO_0_3)) )) (net (rename y_3_18 "y_3[18]") (joined (portRef Q (instanceRef r_m_y_18)) (portRef D (instanceRef r_x_y_18)) (portRef A (instanceRef r_m_y_RNO_2_18)) (portRef A (instanceRef r_m_y_RNIUTO71_18)) (portRef A (instanceRef r_m_y_RNO_5_17)) )) (net (rename result_0_8 "result_0[8]") (joined (portRef Q (instanceRef r_x_result_8)) (portRef A (instanceRef r_x_result_RNIRTCB_8)) (portRef A (instanceRef r_w_s_pil_RNO_0_0)) (portRef B (instanceRef r_w_s_y_RNO_3_8)) )) (net (rename y_3_7 "y_3[7]") (joined (portRef Q (instanceRef r_m_y_7)) (portRef D (instanceRef r_x_y_7)) (portRef A (instanceRef r_m_y_RNO_1_6)) (portRef A (instanceRef r_m_y_RNIC4K91_7)) (portRef A (instanceRef r_m_y_RNO_11_7)) )) (net ex_ymsb_1 (joined (portRef Y (instanceRef r_m_y_RNO_5_31)) (portRef B (instanceRef r_m_y_RNO_4_31)) )) (net (rename pc_31 "pc[31]") (joined (portRef Q (instanceRef r_e_ctrl_pc_31)) (portRef D (instanceRef r_m_ctrl_pc_31)) (portRef A (instanceRef ir_addr_RNO_6_31)) (portRef B (instanceRef r_x_ctrl_pc_RNIL1M9_31)) (portRef A (instanceRef r_e_ctrl_pc_RNIMRJL_31)) )) (net (rename un6_ex_add_res_m_31 "un6_ex_add_res_m[31]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNI5L0H94)) (portRef B (instanceRef r_e_ldbp2_2_RNIKFD327)) )) (net ymsb (joined (portRef Q (instanceRef r_e_ymsb)) (portRef A (instanceRef r_m_y_RNO_5_31)) )) (net (rename cwp_1_2 "cwp_1[2]") (joined (portRef Q (instanceRef r_e_cwp_2)) (portRef A (instanceRef r_e_cwp_RNI1FVF2_2)) )) (net (rename aop1_1_i_31 "aop1_1_i[31]") (joined (portRef Y (instanceRef r_e_op1_RNO_0_31)) (portRef B (instanceRef r_e_op1_RNO_31)) )) (net (rename icco_1 "icco[1]") (joined (portRef Y (instanceRef r_e_ctrl_wicc_RNIB7MIC9)) (portRef D (instanceRef r_m_icc_1)) (portRef B (instanceRef r_e_op1_RNO_0_31)) )) (net (rename icc_RNIBSID_1 "icc_RNIBSID[1]") (joined (portRef Y (instanceRef r_x_icc_RNIBSID_1)) (portRef A (instanceRef r_m_ctrl_wicc_RNION9L)) )) (net (rename un6_ex_add_res_m_19 "un6_ex_add_res_m[19]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNIFFQO92)) (portRef B (instanceRef r_e_ldbp2_2_RNI4N7NI4)) )) (net (rename tt_1_3 "tt_1[3]") (joined (portRef Q (instanceRef dsur_tt_3)) (portRef A (instanceRef dsur_tt_RNO_0_3)) (portRef A (instanceRef dsur_tt_RNI4B161_3)) )) (net (rename tt_2_0 "tt_2[0]") (joined (portRef Q (instanceRef dsur_tt_0)) (portRef A (instanceRef dsur_tt_RNO_0_0)) (portRef A (instanceRef dsur_tt_RNI1B161_0)) )) (net (rename inst_2_24 "inst_2[24]") (joined (portRef Q (instanceRef r_x_ctrl_inst_24)) (portRef A (instanceRef r_x_ctrl_inst_RNIP51E_23)) )) (net (rename inst_2_23 "inst_2[23]") (joined (portRef Q (instanceRef r_x_ctrl_inst_23)) (portRef B (instanceRef r_x_ctrl_inst_RNIP51E_23)) )) (net wreg_9 (joined (portRef Q (instanceRef r_e_ctrl_wreg)) (portRef A (instanceRef r_m_ctrl_wreg_RNO)) (portRef C (instanceRef r_e_ctrl_wreg_RNIIPDC)) )) (net xc_wreg_1 (joined (portRef Y (instanceRef r_x_ctrl_wreg_RNIDRS0Q1)) (portRef A (instanceRef r_x_ctrl_wreg_RNIS7EBQ1)) )) (net wren (joined (portRef Y (instanceRef r_x_ctrl_wreg_RNIS7EBQ1)) (portRef A (instanceRef r_x_ctrl_wreg_RNIS7EBQ1_0)) (portRef wren) )) (net nerror_1 (joined (portRef Y (instanceRef r_x_nerror_RNO)) (portRef D (instanceRef r_x_nerror)) )) (net (rename asi_5 "asi[5]") (joined (portRef Q (instanceRef dsur_asi_5)) (portRef A (instanceRef dsur_asi_RNO_0_5)) (portRef A (instanceRef dsur_asi_RNIVL741_5)) )) (net (rename addr_5 "addr[5]") (joined (portRef Q (instanceRef ir_addr_5)) (portRef B (instanceRef ir_addr_RNI6HM71_5)) (portRef B (instanceRef ir_addr_RNO_2_5)) (portRef B (instanceRef ir_addr_RNIC1O41_5)) )) (net rstate_0_sqmuxa (joined (portRef Y (instanceRef r_x_debug_RNO_2)) (portRef B (instanceRef r_x_debug_RNO_0)) )) (net (rename pc_4 "pc[4]") (joined (portRef Q (instanceRef r_e_ctrl_pc_4)) (portRef D (instanceRef r_m_ctrl_pc_4)) (portRef B (instanceRef r_x_ctrl_pc_RNILMF8_4)) (portRef A (instanceRef ir_addr_RNO_6_4)) (portRef A (instanceRef r_e_ctrl_pc_RNI6M0L_4)) )) (net (rename pc_0_13 "pc_0[13]") (joined (portRef Q (instanceRef r_x_ctrl_pc_13)) (portRef A (instanceRef ir_addr_RNO_0_13)) (portRef A (instanceRef r_x_ctrl_pc_RNIB2HF_13)) (portRef A (instanceRef r_x_ctrl_pc_RNIOSI3S_13)) )) (net N_3866 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIB2HF_13)) (portRef A (instanceRef r_x_npc_RNIES111_0)) )) (net N_3896 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIS1HF_13)) (portRef B (instanceRef r_x_npc_RNIES111_0)) )) (net (rename pc_2_13 "pc_2[13]") (joined (portRef Q (instanceRef r_m_ctrl_pc_13)) (portRef D (instanceRef r_x_ctrl_pc_13)) (portRef A (instanceRef ir_addr_RNO_6_13)) (portRef A (instanceRef r_m_ctrl_pc_RNIS1HF_13)) )) (net (rename pc_3_13 "pc_3[13]") (joined (portRef Q (instanceRef r_e_ctrl_pc_13)) (portRef D (instanceRef r_m_ctrl_pc_13)) (portRef A (instanceRef ir_addr_RNO_4_13)) (portRef A (instanceRef r_e_ctrl_pc_RNIM3KL_13)) (portRef B (instanceRef r_x_ctrl_pc_RNIB2HF_13)) )) (net (rename ddata_12 "ddata[12]") (joined (portRef (member ddata 19)) (portRef B (instanceRef r_m_dci_enaddr_RNI5VE32)) (portRef B (instanceRef r_w_s_y_RNO_2_12)) (portRef B (instanceRef ir_addr_RNO_1_12)) (portRef B (instanceRef r_f_pc_RNO_14_12)) (portRef A (instanceRef r_x_rstate_RNIVF1E_0)) (portRef B (instanceRef r_w_s_tba_RNO_0)) )) (net (rename pc_12 "pc[12]") (joined (portRef Q (instanceRef r_x_ctrl_pc_12)) (portRef A (instanceRef ir_addr_RNO_3_12)) (portRef A (instanceRef r_x_ctrl_pc_RNI9QGF_12)) (portRef A (instanceRef r_x_ctrl_pc_RNINOI3S_12)) )) (net N_3865 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI9QGF_12)) (portRef A (instanceRef r_x_npc_RNIAC111_0)) )) (net N_3895 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIQPGF_12)) (portRef B (instanceRef r_x_npc_RNIAC111_0)) )) (net (rename pc_0_12 "pc_0[12]") (joined (portRef Q (instanceRef r_m_ctrl_pc_12)) (portRef D (instanceRef r_x_ctrl_pc_12)) (portRef A (instanceRef ir_addr_RNO_4_12)) (portRef A (instanceRef r_m_ctrl_pc_RNIQPGF_12)) )) (net (rename pc_2_12 "pc_2[12]") (joined (portRef Q (instanceRef r_a_ctrl_pc_12)) (portRef B (instanceRef un6_fe_npc_1_I_56)) (portRef C (instanceRef un6_fe_npc_1_I_65)) (portRef B (instanceRef un6_fe_npc_1_I_69)) (portRef D (instanceRef r_e_ctrl_pc_12)) (portRef A (instanceRef ir_addr_RNO_5_12)) (portRef B (instanceRef r_m_ctrl_pc_RNIQPGF_12)) )) (net (rename pc_3_12 "pc_3[12]") (joined (portRef Q (instanceRef r_e_ctrl_pc_12)) (portRef D (instanceRef r_m_ctrl_pc_12)) (portRef A (instanceRef r_e_ctrl_pc_RNILVJL_12)) (portRef A (instanceRef ir_addr_RNO_6_12)) (portRef B (instanceRef r_x_ctrl_pc_RNI9QGF_12)) )) (net (rename asi_0_3 "asi_0[3]") (joined (portRef Y (instanceRef r_m_dci_asi_RNO_3)) (portRef D (instanceRef r_m_dci_asi_3)) )) (net N_5545 (joined (portRef Y (instanceRef r_m_dci_asi_RNO_0_3)) (portRef A (instanceRef r_m_dci_asi_RNO_3)) )) (net (rename inst_1_8 "inst_1[8]") (joined (portRef Q (instanceRef r_e_ctrl_inst_8)) (portRef B (instanceRef r_m_dci_asi_RNO_0_3)) )) (net (rename asi_0_4 "asi_0[4]") (joined (portRef Y (instanceRef r_m_dci_asi_RNO_4)) (portRef D (instanceRef r_m_dci_asi_4)) )) (net N_5546 (joined (portRef Y (instanceRef r_m_dci_asi_RNO_0_4)) (portRef B (instanceRef r_m_dci_asi_RNO_4)) )) (net (rename inst_1_9 "inst_1[9]") (joined (portRef Q (instanceRef r_e_ctrl_inst_9)) (portRef B (instanceRef r_m_dci_asi_RNO_0_4)) )) (net (rename result_RNIJC6E_13 "result_RNIJC6E[13]") (joined (portRef Y (instanceRef r_x_result_RNIJC6E_13)) (portRef B (instanceRef r_x_result_RNIROJD3_13)) (portRef B (instanceRef r_a_rsel1_0_RNIUKFMA3_1)) (portRef B (instanceRef r_e_op2_RNO_2_13)) (portRef A (instanceRef r_x_result_RNI72BES_13)) )) (net (rename asi_6 "asi[6]") (joined (portRef Q (instanceRef dsur_asi_6)) (portRef A (instanceRef dsur_asi_RNO_0_6)) (portRef A (instanceRef dsur_asi_RNI0Q741_6)) )) (net (rename irl_0_2 "irl_0[2]") (joined (portRef Q (instanceRef r_w_s_tt_2)) (portRef (member irl_0 1)) (portRef B (instanceRef r_w_s_tt_RNISS4I2_2)) (portRef B (instanceRef r_w_s_tt_RNI77S61_2)) (portRef B (instanceRef r_w_s_tt_RNO_0_2)) )) (net esu (joined (portRef Q (instanceRef r_e_su)) (portRef A (instanceRef r_e_su_RNIFD4H2)) )) (net (rename tt_2_2 "tt_2[2]") (joined (portRef Q (instanceRef dsur_tt_2)) (portRef A (instanceRef dsur_tt_RNO_0_2)) (portRef A (instanceRef dsur_tt_RNI3B161_2)) )) (net N_262 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIK42S_23)) (portRef B (instanceRef r_a_ctrl_inst_RNI153H1_23)) (portRef B (instanceRef r_a_ctrl_inst_RNI479A3_22)) )) (net N_206 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIOH5D2_21)) (portRef B (instanceRef r_a_ctrl_cnt_RNI7RQQ3_1)) )) (net N_440 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIJ02S_22)) (portRef C (instanceRef r_a_ctrl_inst_RNI479A3_22)) )) (net N_438 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIOC231_23)) (portRef C (instanceRef r_a_ctrl_inst_RNIB94V1_23)) )) (net ldbp (joined (portRef Y (instanceRef r_e_ldbp1_RNO)) (portRef D (instanceRef r_e_ldbp1)) )) (net N_494 (joined (portRef Y (instanceRef r_a_ctrl_cnt_RNI0BU9_0)) (portRef A (instanceRef r_a_ctrl_cnt_RNI7NUN_0)) (portRef C (instanceRef r_e_ldbp1_RNO)) )) (net N_458 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNIS1AE1_31)) (portRef A (instanceRef r_a_ctrl_inst_RNICABA2_31)) )) (net N_429 (joined (portRef Y (instanceRef r_a_ctrl_inst_RNI7C0E_2_30)) (portRef B (instanceRef r_a_ctrl_inst_RNIS82H1_30)) )) (net sari_i (joined (portRef Y (instanceRef r_e_sari_RNO)) (portRef D (instanceRef r_e_sari)) )) (net (rename d_31 "d[31]") (joined (portRef Y (instanceRef r_a_rsel1_RNIFQ8K87_0)) (portRef B (instanceRef r_e_op1_RNO_30)) (portRef A (instanceRef r_e_op1_RNO_31)) (portRef B (instanceRef r_e_sari_RNO)) )) (net (rename tt_4_1 "tt_4[1]") (joined (portRef Q (instanceRef dsur_tt_1)) (portRef A (instanceRef dsur_tt_RNO_0_1)) (portRef A (instanceRef dsur_tt_RNI2B161_1)) )) (net (rename result_RNIRTCB_8 "result_RNIRTCB[8]") (joined (portRef Y (instanceRef r_x_result_RNIRTCB_8)) (portRef B (instanceRef r_e_op2_RNO_2_8)) (portRef A (instanceRef r_x_result_RNIFJHBS_8)) (portRef B (instanceRef r_a_rsel1_1_RNIG6DMH2_1)) (portRef B (instanceRef r_x_result_RNINKK13_8)) )) (net (rename rpc_8 "rpc[10]") (joined (portRef Y (instanceRef r_f_pc_RNIEP9EED_10)) (portRef rpc_8) )) (net (rename npc1_10 "npc1[10]") (joined (portRef Y (instanceRef r_f_pc_RNI1UBOV1_10)) (portRef B (instanceRef r_f_pc_RNIEP9EED_10)) )) (net (rename pc_4_10 "pc_4[10]") (joined (portRef Y (instanceRef r_f_pc_RNI1PCVU_10)) (portRef B (instanceRef r_f_pc_RNO_1_10)) (portRef A (instanceRef r_f_pc_RNI1UBOV1_10)) )) (net N_5449 (joined (portRef Y (instanceRef r_f_pc_RNIGUC09_10)) (portRef B (instanceRef r_f_pc_RNI1UBOV1_10)) )) (net (rename un6_fe_npc3_11 "un6_fe_npc3[11]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_66)) (portRef A (instanceRef r_f_pc_RNO_12_13)) (portRef A (instanceRef r_f_pc_RNO_3_13)) )) (net N_5199 (joined (portRef Y (instanceRef r_f_pc_RNO_5_13)) (portRef B (instanceRef r_f_pc_RNO_3_13)) )) (net N_5169 (joined (portRef Y (instanceRef r_f_pc_RNO_9_13)) (portRef A (instanceRef r_f_pc_RNO_5_13)) )) (net (rename fpc_13 "fpc[13]") (joined (portRef Q (instanceRef r_f_pc_13)) (portRef B (instanceRef un6_fe_npc_0_I_66)) (portRef C (instanceRef un6_fe_npc_0_I_69)) (portRef D (instanceRef r_d_pc_13)) (portRef B (instanceRef r_f_pc_RNIK7741_13)) (portRef A (instanceRef r_f_pc_RNO_9_13)) (portRef B (instanceRef r_f_pc_RNO_11_13)) (portRef (member fpc 18)) )) (net (rename pc_m_25 "pc_m[25]") (joined (portRef Y (instanceRef r_f_pc_RNO_11_25)) (portRef B (instanceRef r_f_pc_RNO_7_25)) )) (net (rename fpc_25 "fpc[25]") (joined (portRef Q (instanceRef r_f_pc_25)) (portRef B (instanceRef un6_fe_npc_0_I_156)) (portRef C (instanceRef un6_fe_npc_0_I_159)) (portRef D (instanceRef r_d_pc_25)) (portRef A (instanceRef r_f_pc_RNO_9_25)) (portRef B (instanceRef r_f_pc_RNO_11_25)) (portRef B (instanceRef r_f_pc_RNIKBNA2_25)) (portRef (member fpc 6)) )) (net (rename pc_21 "pc[21]") (joined (portRef Q (instanceRef r_x_ctrl_pc_21)) (portRef A (instanceRef ir_addr_RNO_0_21)) (portRef A (instanceRef r_x_ctrl_pc_RNIJ1M9_21)) (portRef A (instanceRef r_x_ctrl_pc_RNINKI3S_21)) )) (net N_3874 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIJ1M9_21)) (portRef A (instanceRef r_x_npc_RNIKB6R_0)) )) (net N_3904 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIQHGF_21)) (portRef B (instanceRef r_x_npc_RNIKB6R_0)) )) (net (rename pc_0_21 "pc_0[21]") (joined (portRef Q (instanceRef r_m_ctrl_pc_21)) (portRef D (instanceRef r_x_ctrl_pc_21)) (portRef A (instanceRef ir_addr_RNO_4_21)) (portRef A (instanceRef r_m_ctrl_pc_RNIQHGF_21)) )) (net (rename pc_2_21 "pc_2[21]") (joined (portRef Q (instanceRef r_a_ctrl_pc_21)) (portRef B (instanceRef un6_fe_npc_1_I_122)) (portRef B (instanceRef un6_fe_npc_1_I_125)) (portRef B (instanceRef un6_fe_npc_1_I_132)) (portRef D (instanceRef r_e_ctrl_pc_21)) (portRef A (instanceRef ir_addr_RNO_5_21)) (portRef B (instanceRef r_m_ctrl_pc_RNIQHGF_21)) )) (net (rename pc_3_21 "pc_3[21]") (joined (portRef Q (instanceRef r_e_ctrl_pc_21)) (portRef D (instanceRef r_m_ctrl_pc_21)) (portRef A (instanceRef ir_addr_RNO_6_21)) (portRef A (instanceRef r_e_ctrl_pc_RNILRJL_21)) (portRef B (instanceRef r_x_ctrl_pc_RNIJ1M9_21)) )) (net (rename npc_1 "npc[1]") (joined (portRef Q (instanceRef r_x_npc_1)) (portRef S (instanceRef r_x_ctrl_pc_RNI32O9_29)) (portRef S (instanceRef r_m_ctrl_pc_RNIK1O9_29)) (portRef S (instanceRef r_x_ctrl_pc_RNINPM9_14)) (portRef S (instanceRef r_x_ctrl_pc_RNIP1N9_15)) (portRef S (instanceRef r_m_ctrl_pc_RNIA1N9_15)) (portRef S (instanceRef r_m_ctrl_pc_RNI4PL9_30)) (portRef S (instanceRef r_x_ctrl_pc_RNILMF8_4)) (portRef S (instanceRef r_m_ctrl_pc_RNI6MF8_4)) (portRef S (instanceRef r_x_ctrl_pc_RNIPMF8_6)) (portRef S (instanceRef r_m_ctrl_pc_RNIAMF8_6)) (portRef S (instanceRef r_x_ctrl_pc_RNINHM9_23)) (portRef S (instanceRef r_m_ctrl_pc_RNI8HM9_23)) (portRef S (instanceRef r_x_ctrl_pc_RNIR1N9_25)) (portRef S (instanceRef r_m_ctrl_pc_RNIC1N9_25)) (portRef S (instanceRef r_x_ctrl_pc_RNI1QN9_28)) (portRef S (instanceRef r_m_ctrl_pc_RNIIPN9_28)) (portRef S (instanceRef r_m_ctrl_pc_RNICMF8_7)) (portRef S (instanceRef r_x_ctrl_pc_RNIJMF8_3)) (portRef S (instanceRef r_m_ctrl_pc_RNI4MF8_3)) (portRef S (instanceRef r_x_ctrl_pc_RNIL1M9_31)) (portRef S (instanceRef r_m_ctrl_pc_RNI61M9_31)) (portRef S (instanceRef r_x_ctrl_pc_RNIJ1M9_21)) )) (net un2_irl (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_11)) (portRef C (instanceRef comb_irq_trap_un3_irl)) )) (net (rename rd_2_2 "rd_2[2]") (joined (portRef Q (instanceRef r_a_ctrl_rd_2)) (portRef D (instanceRef r_e_ctrl_rd_2)) (portRef A (instanceRef r_a_ctrl_rd_RNI68JL_2)) (portRef A (instanceRef r_a_ctrl_rd_RNIDC1L_2)) )) (net (rename un3_de_ren1_124 "un3_de_ren1[124]") (joined (portRef Y (instanceRef r_a_imm_RNO_9)) (portRef D (instanceRef r_a_imm_9)) )) (net (rename un3_de_ren1_122 "un3_de_ren1[122]") (joined (portRef Y (instanceRef r_a_imm_RNO_7)) (portRef D (instanceRef r_a_imm_7)) )) (net (rename un3_de_ren1_121 "un3_de_ren1[121]") (joined (portRef Y (instanceRef r_a_imm_RNO_6)) (portRef D (instanceRef r_a_imm_6)) )) (net (rename un3_de_ren1_120 "un3_de_ren1[120]") (joined (portRef Y (instanceRef r_a_imm_RNO_5)) (portRef D (instanceRef r_a_imm_5)) )) (net (rename un3_de_ren1_119 "un3_de_ren1[119]") (joined (portRef Y (instanceRef r_a_imm_RNO_4)) (portRef D (instanceRef r_a_imm_4)) )) (net (rename un3_de_ren1_118 "un3_de_ren1[118]") (joined (portRef Y (instanceRef r_a_imm_RNO_3)) (portRef D (instanceRef r_a_imm_3)) )) (net (rename un3_de_ren1_116 "un3_de_ren1[116]") (joined (portRef Y (instanceRef r_a_imm_RNO_1)) (portRef D (instanceRef r_a_imm_1)) )) (net (rename un3_de_ren1_115 "un3_de_ren1[115]") (joined (portRef Y (instanceRef r_a_imm_RNO_0)) (portRef D (instanceRef r_a_imm_0)) )) (net (rename un3_de_ren1_146 "un3_de_ren1[146]") (joined (portRef Y (instanceRef r_a_imm_RNO_31)) (portRef D (instanceRef r_a_imm_31)) )) (net (rename un3_de_ren1_145 "un3_de_ren1[145]") (joined (portRef Y (instanceRef r_a_imm_RNO_30)) (portRef D (instanceRef r_a_imm_30)) )) (net (rename un3_de_ren1_143 "un3_de_ren1[143]") (joined (portRef Y (instanceRef r_a_imm_RNO_28)) (portRef D (instanceRef r_a_imm_28)) )) (net (rename un3_de_ren1_142 "un3_de_ren1[142]") (joined (portRef Y (instanceRef r_a_imm_RNO_27)) (portRef D (instanceRef r_a_imm_27)) )) (net (rename un3_de_ren1_141 "un3_de_ren1[141]") (joined (portRef Y (instanceRef r_a_imm_RNO_26)) (portRef D (instanceRef r_a_imm_26)) )) (net (rename un3_de_ren1_140 "un3_de_ren1[140]") (joined (portRef Y (instanceRef r_a_imm_RNO_25)) (portRef D (instanceRef r_a_imm_25)) )) (net (rename un3_de_ren1_139 "un3_de_ren1[139]") (joined (portRef Y (instanceRef r_a_imm_RNO_24)) (portRef D (instanceRef r_a_imm_24)) )) (net (rename un3_de_ren1_136 "un3_de_ren1[136]") (joined (portRef Y (instanceRef r_a_imm_RNO_21)) (portRef D (instanceRef r_a_imm_21)) )) (net (rename un3_de_ren1_135 "un3_de_ren1[135]") (joined (portRef Y (instanceRef r_a_imm_RNO_20)) (portRef D (instanceRef r_a_imm_20)) )) (net (rename inst_0_12 "inst_0[12]") (joined (portRef Q (instanceRef r_d_inst_0_12)) (portRef D (instanceRef r_a_ctrl_inst_12)) (portRef D (instanceRef r_a_imm_22)) (portRef B (instanceRef r_d_inst_0_RNILG9C62_12)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I12_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I12_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I62_Y)) (portRef B (instanceRef r_a_imm_RNO_12)) (portRef B (instanceRef r_a_imm_RNO_13)) (portRef B (instanceRef r_a_imm_RNO_15)) (portRef B (instanceRef r_a_imm_RNO_16)) (portRef B (instanceRef r_a_imm_RNO_17)) (portRef B (instanceRef r_a_imm_RNO_19)) (portRef B (instanceRef r_a_imm_RNO_20)) )) (net (rename un3_de_ren1_134 "un3_de_ren1[134]") (joined (portRef Y (instanceRef r_a_imm_RNO_19)) (portRef D (instanceRef r_a_imm_19)) )) (net (rename un3_de_ren1_132 "un3_de_ren1[132]") (joined (portRef Y (instanceRef r_a_imm_RNO_17)) (portRef D (instanceRef r_a_imm_17)) )) (net (rename un3_de_ren1_131 "un3_de_ren1[131]") (joined (portRef Y (instanceRef r_a_imm_RNO_16)) (portRef D (instanceRef r_a_imm_16)) )) (net (rename un3_de_ren1_130 "un3_de_ren1[130]") (joined (portRef Y (instanceRef r_a_imm_RNO_15)) (portRef D (instanceRef r_a_imm_15)) )) (net (rename un3_de_ren1_128 "un3_de_ren1[128]") (joined (portRef Y (instanceRef r_a_imm_RNO_13)) (portRef D (instanceRef r_a_imm_13)) )) (net (rename un3_de_ren1_127 "un3_de_ren1[127]") (joined (portRef Y (instanceRef r_a_imm_RNO_12)) (portRef D (instanceRef r_a_imm_12)) )) (net (rename un3_de_ren1_126 "un3_de_ren1[126]") (joined (portRef Y (instanceRef r_a_imm_RNO_11)) (portRef D (instanceRef r_a_imm_11)) )) (net (rename un3_de_ren1_125 "un3_de_ren1[125]") (joined (portRef Y (instanceRef r_a_imm_RNO_10)) (portRef D (instanceRef r_a_imm_10)) )) (net (rename raddr2_1 "raddr2[1]") (joined (portRef Y (instanceRef r_a_rfa2_RNI3HF11_1)) (portRef (member raddr2 5)) )) (net (rename raddr2_0 "raddr2[0]") (joined (portRef Y (instanceRef r_a_rfa2_RNI19F11_0)) (portRef (member raddr2 6)) )) (net (rename un6_fe_npc3_23 "un6_fe_npc3[23]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_156)) (portRef A (instanceRef r_f_pc_RNO_12_25)) (portRef A (instanceRef r_f_pc_RNO_3_25)) )) (net N_5211 (joined (portRef Y (instanceRef r_f_pc_RNO_5_25)) (portRef B (instanceRef r_f_pc_RNO_3_25)) )) (net N_5181 (joined (portRef Y (instanceRef r_f_pc_RNO_9_25)) (portRef A (instanceRef r_f_pc_RNO_5_25)) )) (net N_5020 (joined (portRef Y (instanceRef r_m_ctrl_wicc_RNION9L)) (portRef A (instanceRef r_e_ctrl_wicc_RNIB7MIC9)) )) (net branch_4_i (joined (portRef Y (instanceRef r_d_inst_0_RNIFA35_28)) (portRef A (instanceRef r_d_inst_0_RNIVIU9_27)) )) (net branch_8_i (joined (portRef Y (instanceRef r_m_icc_RNIA6A3_1)) (portRef B (instanceRef r_d_inst_0_RNIVIU9_27)) )) (net branch_7_i (joined (portRef Y (instanceRef r_m_icc_RNIC6A3_3)) (portRef B (instanceRef r_d_inst_0_RNI5NNB_27)) )) (net branch_3_i (joined (portRef Y (instanceRef r_m_icc_RNIJES6_2)) (portRef A (instanceRef r_d_inst_0_RNI5NNB_27)) )) (net branch_6_i (joined (portRef Y (instanceRef r_m_icc_RNI96A3_0)) (portRef B (instanceRef r_d_inst_0_RNIQE58_27)) )) (net branch_2_i (joined (portRef Y (instanceRef r_m_icc_RNIB6A3_2)) (portRef A (instanceRef r_d_inst_0_RNIQE58_27)) )) (net (rename cpi_i_m_53 "cpi_i_m[53]") (joined (portRef Y (instanceRef r_d_inst_0_RNIRA7G_14)) (portRef C (instanceRef r_d_inst_0_RNIKQ4F1_14)) )) (net N_3983 (joined (portRef Y (instanceRef r_d_inst_0_RNIT5TJ_26)) (portRef A (instanceRef r_d_inst_0_RNIVB391_25)) )) (net N_3986 (joined (portRef Y (instanceRef r_d_inst_0_RNIU3LJ_26)) (portRef B (instanceRef r_d_inst_0_RNIVB391_25)) )) (net N_3984 (joined (portRef Y (instanceRef r_d_inst_0_RNIQE58_27)) (portRef A (instanceRef r_d_inst_0_RNIU3LJ_26)) )) (net N_3985 (joined (portRef Y (instanceRef r_d_inst_0_RNIVIU9_27)) (portRef B (instanceRef r_d_inst_0_RNIU3LJ_26)) )) (net N_3981 (joined (portRef Y (instanceRef r_d_inst_0_RNIJCK6_27)) (portRef A (instanceRef r_d_inst_0_RNIT5TJ_26)) )) (net N_3982 (joined (portRef Y (instanceRef r_d_inst_0_RNI5NNB_27)) (portRef B (instanceRef r_d_inst_0_RNIT5TJ_26)) )) (net step_0 (joined (portRef Y (instanceRef r_d_step_RNO)) (portRef D (instanceRef r_d_step)) )) (net step_1 (joined (portRef step_1) (portRef A (instanceRef r_d_step_RNO)) )) (net (rename inst_0_1 "inst_0[1]") (joined (portRef Q (instanceRef r_d_inst_0_1)) (portRef B (instanceRef r_d_inst_0_RNO_0_1)) (portRef A (instanceRef r_d_inst_0_RNIR4AI_1)) )) (net (rename inst_RNII5CET_30 "inst_RNII5CET[30]") (joined (portRef Y (instanceRef r_x_ctrl_inst_RNII5CET_30)) (portRef A (instanceRef r_x_rstate_RNIV3C4L2_0)) )) (net (rename result_RNIHLBB_3 "result_RNIHLBB[3]") (joined (portRef Y (instanceRef r_x_result_RNIHLBB_3)) (portRef B (instanceRef r_a_rsel1_0_RNI267V12_1)) (portRef B (instanceRef r_a_rsel2_RNIE7UP12_1)) (portRef A (instanceRef r_x_result_RNI5BGBS_3)) (portRef B (instanceRef r_x_result_RNI3OI13_3)) )) (net (rename result_RNIPS6E_30 "result_RNIPS6E[30]") (joined (portRef Y (instanceRef r_x_result_RNIPS6E_30)) (portRef A (instanceRef r_x_result_RNIDIBES_30)) (portRef B (instanceRef r_a_rsel1_RNINR5557_1)) (portRef B (instanceRef r_e_op2_RNO_2_30)) (portRef B (instanceRef r_x_result_RNI35KD3_30)) )) (net (rename pc_0_31 "pc_0[31]") (joined (portRef Q (instanceRef r_x_ctrl_pc_31)) (portRef A (instanceRef ir_addr_RNO_0_31)) (portRef A (instanceRef r_x_ctrl_pc_RNIL1M9_31)) (portRef A (instanceRef r_x_ctrl_pc_RNIOKI3S_31)) )) (net (rename pc_2_31 "pc_2[31]") (joined (portRef Q (instanceRef r_m_ctrl_pc_31)) (portRef D (instanceRef r_x_ctrl_pc_31)) (portRef A (instanceRef ir_addr_RNO_4_31)) (portRef A (instanceRef r_m_ctrl_pc_RNI61M9_31)) )) (net (rename pc_3_31 "pc_3[31]") (joined (portRef Q (instanceRef r_a_ctrl_pc_31)) (portRef B (instanceRef un6_fe_npc_1_I_210)) (portRef D (instanceRef r_e_ctrl_pc_31)) (portRef A (instanceRef ir_addr_RNO_5_31)) (portRef B (instanceRef r_m_ctrl_pc_RNI61M9_31)) )) (net ps_0_sqmuxa (joined (portRef Y (instanceRef r_x_rstate_RNIV3C4L2_0)) (portRef B (instanceRef r_w_s_ps_RNIO8AJN2)) )) (net N_4951 (joined (portRef Y (instanceRef r_w_s_wim_RNIAM9TT_3)) (portRef A (instanceRef r_w_s_wim_RNI22BC01_3)) )) (net N_3856 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIJMF8_3)) (portRef A (instanceRef r_x_npc_RNIU4VI_0)) )) (net N_3886 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI4MF8_3)) (portRef B (instanceRef r_x_npc_RNIU4VI_0)) )) (net (rename ddata_25 "ddata[25]") (joined (portRef (member ddata 6)) (portRef A (instanceRef r_x_rstate_RNI3S1E_0)) (portRef B (instanceRef r_m_dci_enaddr_RNI9BF32)) (portRef B (instanceRef r_w_s_tba_RNO_13)) (portRef B (instanceRef r_w_s_y_RNO_2_25)) (portRef B (instanceRef ir_addr_RNO_1_25)) (portRef B (instanceRef r_f_pc_RNO_15_25)) )) (net (rename pc_25 "pc[25]") (joined (portRef Q (instanceRef r_e_ctrl_pc_25)) (portRef D (instanceRef r_m_ctrl_pc_25)) (portRef B (instanceRef r_x_ctrl_pc_RNIR1N9_25)) (portRef A (instanceRef r_e_ctrl_pc_RNIPBKL_25)) (portRef A (instanceRef ir_addr_RNO_6_25)) )) (net (rename addr_8 "addr[8]") (joined (portRef Q (instanceRef ir_addr_8)) (portRef B (instanceRef ir_addr_RNO_2_8)) (portRef B (instanceRef ir_addr_RNIF1O41_8)) (portRef B (instanceRef ir_addr_RNI9HM71_8)) )) (net (rename pc_m_27 "pc_m[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_27)) (portRef B (instanceRef r_f_pc_RNO_4_27)) )) (net (rename fpc_27 "fpc[27]") (joined (portRef Q (instanceRef r_f_pc_27)) (portRef B (instanceRef un6_fe_npc_0_I_173)) (portRef B (instanceRef un6_fe_npc_0_I_176)) (portRef B (instanceRef un6_fe_npc_0_I_189)) (portRef D (instanceRef r_d_pc_27)) (portRef A (instanceRef r_f_pc_RNO_14_27)) (portRef B (instanceRef r_f_pc_RNO_9_27)) (portRef B (instanceRef r_f_pc_RNI28M24_27)) (portRef (member fpc 4)) )) (net N_4169 (joined (portRef Y (instanceRef r_e_aluop_RNI5H4A2_1)) (portRef A (instanceRef r_e_aluop_RNI7H0I4_0)) )) (net N_4137 (joined (portRef Y (instanceRef r_e_aluop_RNIJ8251_2)) (portRef A (instanceRef r_e_aluop_RNI5H4A2_1)) )) (net (rename logicout_3_29 "logicout_3[29]") (joined (portRef Y (instanceRef r_e_op2_RNIVC6T_0_29)) (portRef B (instanceRef r_e_aluop_RNI5H4A2_1)) )) (net N_5290 (joined (portRef Y (instanceRef r_x_data_0_RNIGRG8_11)) (portRef B (instanceRef r_e_op2_RNITMIF_11)) )) (net (rename y_2_30 "y_2[30]") (joined (portRef Q (instanceRef r_x_y_30)) (portRef B (instanceRef r_m_y_RNO_3_30)) (portRef B (instanceRef r_w_s_y_RNO_3_30)) )) (net (rename pc_4_27 "pc_4[27]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_27)) (portRef B (instanceRef r_f_pc_RNO_1_27)) )) (net (rename un6_fe_npc3_25 "un6_fe_npc3[25]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_173)) (portRef A (instanceRef r_f_pc_RNO_10_27)) (portRef A (instanceRef r_f_pc_RNO_6_27)) )) (net N_5213 (joined (portRef Y (instanceRef r_f_pc_RNO_11_27)) (portRef B (instanceRef r_f_pc_RNO_6_27)) )) (net N_5183 (joined (portRef Y (instanceRef r_f_pc_RNO_14_27)) (portRef A (instanceRef r_f_pc_RNO_11_27)) )) (net (rename pc_0_25 "pc_0[25]") (joined (portRef Q (instanceRef r_a_ctrl_pc_25)) (portRef B (instanceRef un6_fe_npc_1_I_156)) (portRef C (instanceRef un6_fe_npc_1_I_159)) (portRef D (instanceRef r_e_ctrl_pc_25)) (portRef B (instanceRef r_m_ctrl_pc_RNIC1N9_25)) (portRef A (instanceRef ir_addr_RNO_5_25)) )) (net (rename pc_2_25 "pc_2[25]") (joined (portRef Q (instanceRef r_m_ctrl_pc_25)) (portRef D (instanceRef r_x_ctrl_pc_25)) (portRef A (instanceRef r_m_ctrl_pc_RNIC1N9_25)) (portRef A (instanceRef ir_addr_RNO_4_25)) )) (net (rename pc_3_25 "pc_3[25]") (joined (portRef Q (instanceRef r_x_ctrl_pc_25)) (portRef A (instanceRef r_x_ctrl_pc_RNIR1N9_25)) (portRef A (instanceRef r_x_ctrl_pc_RNIR4J3S_25)) (portRef A (instanceRef ir_addr_RNO_3_25)) )) (net (rename pc_28 "pc[28]") (joined (portRef Q (instanceRef r_e_ctrl_pc_28)) (portRef D (instanceRef r_m_ctrl_pc_28)) (portRef B (instanceRef r_x_ctrl_pc_RNI1QN9_28)) (portRef A (instanceRef ir_addr_RNO_6_28)) (portRef A (instanceRef r_e_ctrl_pc_RNISNKL_28)) )) (net (rename rpc_7 "rpc[9]") (joined (portRef Y (instanceRef r_f_pc_RNIFJ957D_9)) (portRef rpc_7) )) (net (rename npc1_9 "npc1[9]") (joined (portRef Y (instanceRef r_f_pc_RNI7B87S1_9)) (portRef B (instanceRef r_f_pc_RNIFJ957D_9)) )) (net (rename pc_4_9 "pc_4[9]") (joined (portRef Y (instanceRef r_f_pc_RNIU4OIR_9)) (portRef B (instanceRef r_f_pc_RNO_1_9)) (portRef A (instanceRef r_f_pc_RNI7B87S1_9)) )) (net N_5448 (joined (portRef Y (instanceRef r_f_pc_RNIPVTR8_9)) (portRef B (instanceRef r_f_pc_RNI7B87S1_9)) )) (net (rename fpc_9 "fpc[9]") (joined (portRef Q (instanceRef r_f_pc_9)) (portRef B (instanceRef un6_fe_npc_0_I_38)) (portRef B (instanceRef un6_fe_npc_0_I_41)) (portRef B (instanceRef un6_fe_npc_0_I_59)) (portRef D (instanceRef r_d_pc_9)) (portRef A (instanceRef r_f_pc_RNIBOM4_9)) (portRef A (instanceRef r_f_pc_RNIIMNBI_9)) (portRef B (instanceRef r_f_pc_RNIGBR43_9)) (portRef A (instanceRef r_f_pc_RNIPVTR8_9)) (portRef B (instanceRef r_f_pc_RNIUK7C2_9)) (portRef (member fpc 22)) )) (net (rename un6_fe_npc3_19 "un6_fe_npc3[19]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_122)) (portRef A (instanceRef r_f_pc_RNO_12_21)) (portRef A (instanceRef r_f_pc_RNO_3_21)) )) (net N_5207 (joined (portRef Y (instanceRef r_f_pc_RNO_5_21)) (portRef B (instanceRef r_f_pc_RNO_3_21)) )) (net N_5177 (joined (portRef Y (instanceRef r_f_pc_RNO_9_21)) (portRef A (instanceRef r_f_pc_RNO_5_21)) )) (net (rename fpc_21 "fpc[21]") (joined (portRef Q (instanceRef r_f_pc_21)) (portRef B (instanceRef un6_fe_npc_0_I_122)) (portRef B (instanceRef un6_fe_npc_0_I_125)) (portRef B (instanceRef un6_fe_npc_0_I_132)) (portRef D (instanceRef r_d_pc_21)) (portRef B (instanceRef r_f_pc_RNO_11_21)) (portRef A (instanceRef r_f_pc_RNO_9_21)) (portRef B (instanceRef r_f_pc_RNICRMA2_21)) (portRef (member fpc 10)) )) (net (rename pc_m_21 "pc_m[21]") (joined (portRef Y (instanceRef r_f_pc_RNO_11_21)) (portRef B (instanceRef r_f_pc_RNO_7_21)) )) (net (rename fpc_19 "fpc[19]") (joined (portRef Q (instanceRef r_f_pc_19)) (portRef B (instanceRef un6_fe_npc_0_I_105)) (portRef C (instanceRef un6_fe_npc_0_I_108)) (portRef D (instanceRef r_d_pc_19)) (portRef A (instanceRef r_f_pc_RNO_13_19)) (portRef B (instanceRef r_f_pc_RNO_9_19)) (portRef B (instanceRef r_f_pc_RNIQ7741_19)) (portRef (member fpc 12)) )) (net (rename pc_m_12 "pc_m[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_12)) (portRef B (instanceRef r_f_pc_RNO_4_12)) )) (net (rename fpc_12 "fpc[12]") (joined (portRef Q (instanceRef r_f_pc_12)) (portRef B (instanceRef un6_fe_npc_0_I_56)) (portRef C (instanceRef un6_fe_npc_0_I_65)) (portRef B (instanceRef un6_fe_npc_0_I_69)) (portRef D (instanceRef r_d_pc_12)) (portRef A (instanceRef r_f_pc_RNO_15_12)) (portRef B (instanceRef r_f_pc_RNO_9_12)) (portRef B (instanceRef r_f_pc_RNIARMA2_12)) (portRef (member fpc 19)) )) (net (rename fpc_16 "fpc[16]") (joined (portRef Q (instanceRef r_f_pc_16)) (portRef B (instanceRef un6_fe_npc_0_I_84)) (portRef C (instanceRef un6_fe_npc_0_I_87)) (portRef D (instanceRef r_d_pc_16)) (portRef B (instanceRef r_f_pc_RNO_9_16)) (portRef B (instanceRef r_f_pc_RNIN7741_16)) (portRef A (instanceRef r_f_pc_RNO_15_16)) (portRef (member fpc 15)) )) (net (rename pc_0_28 "pc_0[28]") (joined (portRef Q (instanceRef r_a_ctrl_pc_28)) (portRef B (instanceRef un6_fe_npc_1_I_186)) (portRef C (instanceRef un6_fe_npc_1_I_189)) (portRef D (instanceRef r_e_ctrl_pc_28)) (portRef B (instanceRef r_m_ctrl_pc_RNIIPN9_28)) (portRef A (instanceRef ir_addr_RNO_5_28)) )) (net (rename pc_2_28 "pc_2[28]") (joined (portRef Q (instanceRef r_m_ctrl_pc_28)) (portRef D (instanceRef r_x_ctrl_pc_28)) (portRef A (instanceRef r_m_ctrl_pc_RNIIPN9_28)) (portRef A (instanceRef ir_addr_RNO_4_28)) )) (net (rename pc_3_28 "pc_3[28]") (joined (portRef Q (instanceRef r_x_ctrl_pc_28)) (portRef A (instanceRef r_x_ctrl_pc_RNI1QN9_28)) (portRef A (instanceRef r_x_ctrl_pc_RNIUGJ3S_28)) (portRef A (instanceRef ir_addr_RNO_0_28)) )) (net (rename npc1_5 "npc1[7]") (joined (portRef Y (instanceRef r_f_pc_RNID830N1_7)) (portRef npc1_5) )) (net (rename pc_4_7 "pc_4[7]") (joined (portRef Y (instanceRef r_f_pc_RNIGQJBM_7)) (portRef B (instanceRef r_f_pc_RNO_1_7)) (portRef A (instanceRef r_f_pc_RNID830N1_7)) )) (net N_5446 (joined (portRef Y (instanceRef r_f_pc_RNID7TR8_7)) (portRef B (instanceRef r_f_pc_RNID830N1_7)) )) (net (rename fpc_7 "fpc[7]") (joined (portRef Q (instanceRef r_f_pc_7)) (portRef B (instanceRef un6_fe_npc_0_I_24)) (portRef C (instanceRef un6_fe_npc_0_I_30)) (portRef C (instanceRef un6_fe_npc_0_I_34)) (portRef D (instanceRef r_d_pc_7)) (portRef B (instanceRef r_f_pc_RNIE3R43_7)) (portRef B (instanceRef r_f_pc_RNIPQK31_7)) (portRef A (instanceRef r_f_pc_RNI6C6DD_7)) (portRef A (instanceRef r_f_pc_RNID7TR8_7)) (portRef A (instanceRef r_f_pc_RNIKCQHD1_7)) (portRef (member fpc 24)) )) (net (rename un6_fe_npc3_5 "un6_fe_npc3[5]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_24)) (portRef A (instanceRef r_e_bp_RNI4GTRI1)) (portRef A (instanceRef r_f_pc_RNO_3_7)) (portRef A (instanceRef r_f_pc_RNIGQJBM_7)) )) (net N_5193 (joined (portRef Y (instanceRef r_f_pc_RNITVLRH_7)) (portRef B (instanceRef r_f_pc_RNIGQJBM_7)) )) (net N_5163 (joined (portRef Y (instanceRef r_f_pc_RNI6C6DD_7)) (portRef A (instanceRef r_f_pc_RNITVLRH_7)) )) (net (rename un6_fe_npc2_5 "un6_fe_npc2[5]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_24)) (portRef C (instanceRef r_x_rstate_0_RNI2BTMM1_1)) (portRef B (instanceRef r_f_pc_RNO_4_7)) (portRef B (instanceRef r_f_pc_RNITVLRH_7)) )) (net (rename rpc_4 "rpc[6]") (joined (portRef Y (instanceRef r_f_pc_RNI1CO8OC_6)) (portRef rpc_4) )) (net (rename npc1_6 "npc1[6]") (joined (portRef Y (instanceRef r_f_pc_RNIAVTVL1_6)) (portRef B (instanceRef r_f_pc_RNI1CO8OC_6)) )) (net N_5445 (joined (portRef Y (instanceRef r_f_pc_RNI7RSR8_6)) (portRef B (instanceRef r_f_pc_RNIAVTVL1_6)) )) (net (rename fpc_28 "fpc[28]") (joined (portRef Q (instanceRef r_f_pc_28)) (portRef B (instanceRef un6_fe_npc_0_I_186)) (portRef C (instanceRef un6_fe_npc_0_I_189)) (portRef D (instanceRef r_d_pc_28)) (portRef B (instanceRef r_f_pc_RNO_13_28)) (portRef B (instanceRef r_f_pc_RNISB741_28)) (portRef A (instanceRef r_f_pc_RNO_9_28)) (portRef (member fpc 3)) )) (net (rename tt_1_0_5 "tt_1_0[5]") (joined (portRef Y (instanceRef r_w_s_tt_RNO_5)) (portRef D (instanceRef r_w_s_tt_5)) )) (net N_4931 (joined (portRef Y (instanceRef r_w_s_tt_RNO_0_5)) (portRef A (instanceRef r_w_s_tt_RNO_5)) )) (net (rename ddata_9 "ddata[9]") (joined (portRef (member ddata 22)) (portRef B (instanceRef r_m_dci_enaddr_RNIR9212)) (portRef B (instanceRef ir_addr_RNO_1_9)) (portRef B (instanceRef r_w_s_y_RNO_2_9)) (portRef A (instanceRef r_w_s_pil_RNO_1)) (portRef B (instanceRef r_x_rstate_RNISHV53_0)) (portRef B (instanceRef r_w_s_tt_RNO_5)) (portRef A (instanceRef r_x_rstate_RNILQKB_0)) )) (net (rename rpc_3 "rpc[5]") (joined (portRef Y (instanceRef r_f_pc_RNIQPRPKC_5)) (portRef rpc_3) )) (net (rename npc1Z0Z_5 "npc1[5]") (joined (portRef Y (instanceRef r_f_pc_RNIPBMIK1_5)) (portRef B (instanceRef r_f_pc_RNIQPRPKC_5)) )) (net N_5444 (joined (portRef Y (instanceRef r_f_pc_RNI1FSR8_5)) (portRef B (instanceRef r_f_pc_RNIPBMIK1_5)) )) (net (rename npc1_2 "npc1[4]") (joined (portRef Y (instanceRef r_f_pc_RNIVTLAI1_4)) (portRef npc1_2) )) (net N_5443 (joined (portRef Y (instanceRef r_f_pc_RNIR2SR8_4)) (portRef B (instanceRef r_f_pc_RNIVTLAI1_4)) )) (net N_3881 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI1QN9_28)) (portRef A (instanceRef r_x_npc_RNIQBFL_0)) )) (net N_3911 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIIPN9_28)) (portRef B (instanceRef r_x_npc_RNIQBFL_0)) )) (net (rename tt_1_0_4 "tt_1_0[4]") (joined (portRef Y (instanceRef r_w_s_tt_RNO_4)) (portRef D (instanceRef r_w_s_tt_4)) )) (net N_4930 (joined (portRef Y (instanceRef r_w_s_tt_RNO_0_4)) (portRef A (instanceRef r_w_s_tt_RNO_4)) )) (net (rename pc_4_12 "pc_4[12]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_12)) (portRef B (instanceRef r_f_pc_RNO_1_12)) )) (net (rename un6_fe_npc3_10 "un6_fe_npc3[10]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_56)) (portRef A (instanceRef r_f_pc_RNO_10_12)) (portRef A (instanceRef r_f_pc_RNO_6_12)) )) (net N_5198 (joined (portRef Y (instanceRef r_f_pc_RNO_11_12)) (portRef B (instanceRef r_f_pc_RNO_6_12)) )) (net N_5168 (joined (portRef Y (instanceRef r_f_pc_RNO_15_12)) (portRef A (instanceRef r_f_pc_RNO_11_12)) )) (net (rename tt_1_0_3 "tt_1_0[3]") (joined (portRef Y (instanceRef r_w_s_tt_RNO_3)) (portRef D (instanceRef r_w_s_tt_3)) )) (net N_4929 (joined (portRef Y (instanceRef r_w_s_tt_RNO_0_3)) (portRef A (instanceRef r_w_s_tt_RNO_3)) )) (net (rename irl_0_3 "irl_0[3]") (joined (portRef Q (instanceRef r_w_s_tt_3)) (portRef (member irl_0 0)) (portRef B (instanceRef r_w_s_tt_RNI8BS61_3)) (portRef B (instanceRef r_w_s_tt_RNO_0_3)) (portRef B (instanceRef r_w_s_tt_RNIT05I2_3)) )) (net N_4167 (joined (portRef Y (instanceRef r_e_aluop_RNILG3A2_1)) (portRef A (instanceRef r_e_aluop_RNI7GUH4_0)) )) (net N_4135 (joined (portRef Y (instanceRef r_e_aluop_RNIBO151_2)) (portRef A (instanceRef r_e_aluop_RNILG3A2_1)) )) (net (rename logicout_3_27 "logicout_3[27]") (joined (portRef Y (instanceRef r_e_op2_RNINS5T_0_27)) (portRef B (instanceRef r_e_aluop_RNILG3A2_1)) )) (net N_4163 (joined (portRef Y (instanceRef r_e_aluop_RNI9VBU2_1)) (portRef A (instanceRef r_e_aluop_RNIFDFQ5_0)) )) (net N_4131 (joined (portRef Y (instanceRef r_e_aluop_RNILV5F1_2)) (portRef A (instanceRef r_e_aluop_RNI9VBU2_1)) )) (net (rename logicout_3_23 "logicout_3[23]") (joined (portRef Y (instanceRef r_e_op2_RNI14A71_0_23)) (portRef B (instanceRef r_e_aluop_RNI9VBU2_1)) )) (net (rename cpi_m_353 "cpi_m[353]") (joined (portRef Y (instanceRef r_x_result_RNI1RFBS_1)) (portRef C (instanceRef r_x_rstate_RNIEL4NS_0)) )) (net (rename result_RNID5BB_1 "result_RNID5BB[1]") (joined (portRef Y (instanceRef r_x_result_RNID5BB_1)) (portRef B (instanceRef r_x_result_RNIRVH13_1)) (portRef B (instanceRef r_a_rsel1_1_RNIDHLIV1_1)) (portRef B (instanceRef r_a_rsel2_RNINICDV1_1)) (portRef A (instanceRef r_x_result_RNI1RFBS_1)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIBDCQT_2)) (portRef (member waddr 4)) )) (net (rename rd_3_2 "rd_3[2]") (joined (portRef Q (instanceRef r_x_ctrl_rd_2)) (portRef A (instanceRef r_x_ctrl_rd_RNIFVH6_2)) (portRef B (instanceRef r_x_ctrl_rd_RNIBDCQT_2)) )) (net (rename dbgi_m_0_9 "dbgi_m_0[9]") (joined (portRef Y (instanceRef r_x_rstate_RNIMNUM1_0)) (portRef C (instanceRef r_x_ctrl_rd_RNIBDCQT_2)) )) (net (rename pil_1_1 "pil_1[1]") (joined (portRef Y (instanceRef r_w_s_pil_RNO_1)) (portRef D (instanceRef r_w_s_pil_1)) )) (net N_4915 (joined (portRef Y (instanceRef r_w_s_pil_RNO_0_1)) (portRef B (instanceRef r_w_s_pil_RNO_1)) )) (net N_5306 (joined (portRef Y (instanceRef r_x_data_0_RNIPVG8_27)) (portRef B (instanceRef r_e_op2_RNIDJJF_27)) )) (net (rename tba_1_15 "tba_1[15]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_15)) (portRef D (instanceRef r_w_s_tba_15)) )) (net N_4981 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_15)) (portRef A (instanceRef r_w_s_tba_RNO_15)) )) (net (rename result_RNINC6E_15 "result_RNINC6E[15]") (joined (portRef Y (instanceRef r_x_result_RNINC6E_15)) (portRef B (instanceRef r_a_rsel1_RNI4C0AL3_1)) (portRef A (instanceRef r_x_result_RNIB2BES_15)) (portRef B (instanceRef r_e_op2_RNO_2_15)) (portRef B (instanceRef r_x_result_RNI31KD3_15)) )) (net N_4954 (joined (portRef Y (instanceRef r_w_s_wim_RNIG2ATT_6)) (portRef A (instanceRef r_w_s_wim_RNIBEBC01_6)) )) (net (rename pil_1_2 "pil_1[2]") (joined (portRef Y (instanceRef r_w_s_pil_RNO_2)) (portRef D (instanceRef r_w_s_pil_2)) )) (net N_4916 (joined (portRef Y (instanceRef r_w_s_pil_RNO_0_2)) (portRef B (instanceRef r_w_s_pil_RNO_2)) )) (net (rename pc_0_4 "pc_0[4]") (joined (portRef Q (instanceRef r_a_ctrl_pc_4)) (portRef B (instanceRef un6_fe_npc_1_I_9)) (portRef C (instanceRef un6_fe_npc_1_I_12)) (portRef C (instanceRef un6_fe_npc_1_I_16)) (portRef C (instanceRef un6_fe_npc_1_I_149)) (portRef D (instanceRef r_e_ctrl_pc_4)) (portRef B (instanceRef r_m_ctrl_pc_RNI6MF8_4)) (portRef A (instanceRef ir_addr_RNO_5_4)) )) (net (rename pc_2_4 "pc_2[4]") (joined (portRef Q (instanceRef r_m_ctrl_pc_4)) (portRef D (instanceRef r_x_ctrl_pc_4)) (portRef A (instanceRef r_m_ctrl_pc_RNI6MF8_4)) (portRef A (instanceRef ir_addr_RNO_4_4)) )) (net (rename pc_3_4 "pc_3[4]") (joined (portRef Q (instanceRef r_x_ctrl_pc_4)) (portRef A (instanceRef r_x_ctrl_pc_RNILMF8_4)) (portRef A (instanceRef r_x_ctrl_pc_RNI8FV2S_4)) (portRef A (instanceRef ir_addr_RNO_3_4)) )) (net (rename y_3_2 "y_3[2]") (joined (portRef Q (instanceRef r_w_s_y_2)) (portRef A (instanceRef r_w_s_y_RNI6IG91_2)) (portRef B (instanceRef r_m_y_RNO_4_2)) (portRef B (instanceRef r_w_s_y_RNO_2_2)) )) (net N_4950 (joined (portRef Y (instanceRef r_w_s_wim_RNI8I9TT_2)) (portRef A (instanceRef r_w_s_wim_RNIVTAC01_2)) )) (net (rename pil_1_0 "pil_1[0]") (joined (portRef Y (instanceRef r_w_s_pil_RNO_0)) (portRef D (instanceRef r_w_s_pil_0)) )) (net N_4914 (joined (portRef Y (instanceRef r_w_s_pil_RNO_0_0)) (portRef B (instanceRef r_w_s_pil_RNO_0)) )) (net (rename pil_0 "pil[0]") (joined (portRef Q (instanceRef r_w_s_pil_0)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_1)) (portRef A (instanceRef r_w_s_pil_RNI2II81_0)) (portRef A (instanceRef r_w_s_pil_RNIN7RJ2_0)) (portRef B (instanceRef r_w_s_pil_RNO_0_0)) )) (net (rename pc_4_14 "pc_4[14]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_14)) (portRef B (instanceRef r_f_pc_RNO_1_14)) )) (net (rename un6_fe_npc3_12 "un6_fe_npc3[12]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_73)) (portRef A (instanceRef r_f_pc_RNO_10_14)) (portRef A (instanceRef r_f_pc_RNO_6_14)) )) (net N_5200 (joined (portRef Y (instanceRef r_f_pc_RNO_11_14)) (portRef B (instanceRef r_f_pc_RNO_6_14)) )) (net (rename tt_1_7 "tt_1[7]") (joined (portRef Y (instanceRef r_w_s_tt_RNO_7)) (portRef D (instanceRef r_w_s_tt_7)) )) (net N_4933 (joined (portRef Y (instanceRef r_w_s_tt_RNO_0_7)) (portRef A (instanceRef r_w_s_tt_RNO_7)) )) (net (rename raddr2_3 "raddr2[3]") (joined (portRef Y (instanceRef r_a_rfa2_RNI71G11_3)) (portRef (member raddr2 3)) )) (net (rename pil_1_3 "pil_1[3]") (joined (portRef Y (instanceRef r_w_s_pil_RNO_3)) (portRef D (instanceRef r_w_s_pil_3)) )) (net N_4917 (joined (portRef Y (instanceRef r_w_s_pil_RNO_0_3)) (portRef B (instanceRef r_w_s_pil_RNO_3)) )) (net (rename maddress_2 "maddress[2]") (joined (portRef Q (instanceRef r_m_result_2)) (portRef D (instanceRef r_x_result_2)) (portRef B (instanceRef r_d_cwp_RNO_1_2)) (portRef A (instanceRef r_w_result_RNIOCDA_2)) (portRef A (instanceRef r_w_result_RNIBTO7_2)) (portRef C (instanceRef r_m_result_RNIF7CB_1)) (portRef (member maddress 29)) )) (net (rename result_0_2 "result_0[2]") (joined (portRef Q (instanceRef r_w_result_2)) (portRef B (instanceRef r_w_result_RNIOCDA_2)) (portRef B (instanceRef r_w_result_RNIBTO7_2)) )) (net N_4815 (joined (portRef Y (instanceRef r_e_op2_RNO_5_6)) (portRef A (instanceRef r_e_op2_RNO_2_6)) )) (net (rename result_RNINDCB_6 "result_RNINDCB[6]") (joined (portRef Y (instanceRef r_x_result_RNINDCB_6)) (portRef A (instanceRef r_x_result_RNIB3HBS_6)) (portRef B (instanceRef r_x_result_RNIFSJ13_6)) (portRef B (instanceRef r_a_rsel1_RNI8UIKD2_1)) (portRef B (instanceRef r_e_op2_RNO_2_6)) )) (net (rename y_2_24 "y_2[24]") (joined (portRef Q (instanceRef r_m_y_24)) (portRef D (instanceRef r_x_y_24)) (portRef A (instanceRef r_m_y_RNO_4_23)) (portRef A (instanceRef r_m_y_RNO_2_24)) (portRef A (instanceRef r_m_y_RNIRDO71_24)) )) (net N_4835 (joined (portRef Y (instanceRef r_e_op2_RNO_5_26)) (portRef A (instanceRef r_e_op2_RNO_2_26)) )) (net (rename rsel2_1 "rsel2[1]") (joined (portRef Q (instanceRef r_a_rsel2_1)) (portRef S (instanceRef r_a_rsel2_RNII8ML2_1)) (portRef S (instanceRef r_a_rsel2_RNI7EP7Q1_1)) (portRef S (instanceRef r_e_op2_RNO_2_8)) (portRef S (instanceRef r_a_rsel2_RNI2PNL2_1)) (portRef S (instanceRef r_a_rsel2_RNIL7GK62_1)) (portRef S (instanceRef r_a_rsel2_RNIMKML2_1)) (portRef S (instanceRef r_a_rsel2_RNINICDV1_1)) (portRef S (instanceRef r_e_op2_RNO_2_19)) (portRef S (instanceRef r_e_op2_RNO_2_29)) (portRef S (instanceRef r_a_rsel2_RNIUCNL2_1)) (portRef S (instanceRef r_a_rsel2_RNIE7UP12_1)) (portRef S (instanceRef r_e_op2_RNO_2_7)) (portRef S (instanceRef r_e_op2_RNO_2_30)) (portRef S (instanceRef r_e_op2_RNO_2_17)) (portRef S (instanceRef r_e_op2_RNO_2_21)) (portRef S (instanceRef r_e_op2_RNO_2_28)) (portRef S (instanceRef r_e_op2_RNO_2_15)) (portRef S (instanceRef r_e_op2_RNO_2_11)) (portRef S (instanceRef r_e_op2_RNO_2_10)) (portRef S (instanceRef r_e_op2_RNO_2_13)) (portRef S (instanceRef r_e_op2_RNO_2_12)) (portRef S (instanceRef r_e_op2_RNO_2_26)) )) (net (rename un6_ex_add_res_m_13 "un6_ex_add_res_m[13]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNIL54AP)) (portRef B (instanceRef r_e_ldbp2_1_RNIGSK0V2)) )) (net N_4821 (joined (portRef Y (instanceRef r_e_op2_RNO_5_12)) (portRef A (instanceRef r_e_op2_RNO_2_12)) )) (net N_4822 (joined (portRef Y (instanceRef r_e_op2_RNO_5_13)) (portRef A (instanceRef r_e_op2_RNO_2_13)) )) (net N_4622 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIDUE5A3_2)) (portRef A (instanceRef r_a_rsel1_0_RNIUKFMA3_1)) )) (net N_4526_i (joined (portRef Y (instanceRef r_a_rsel1_0_RNICVSC2_2)) (portRef A (instanceRef r_a_rsel1_0_RNIRJ0L2_1)) )) (net (rename data1_13 "data1[13]") (joined (portRef (member data1 18)) (portRef A (instanceRef r_a_rsel1_0_RNICVSC2_2)) (portRef A (instanceRef rfo_m_13)) )) (net (rename d_13 "d[13]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNIMIAED3_0)) (portRef B (instanceRef r_e_op1_RNO_12)) (portRef A (instanceRef r_e_op1_RNO_13)) )) (net N_4590 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIRJ0L2_1)) (portRef A (instanceRef r_a_rsel1_0_RNIMIAED3_0)) )) (net N_4654 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIUKFMA3_1)) (portRef B (instanceRef r_a_rsel1_0_RNIMIAED3_0)) )) (net N_4558 (joined (portRef Y (instanceRef r_w_result_RNIHA95_13)) (portRef B (instanceRef r_a_rsel1_0_RNIRJ0L2_1)) )) (net (rename maddress_13 "maddress[13]") (joined (portRef Q (instanceRef r_m_result_13)) (portRef D (instanceRef r_x_result_13)) (portRef A (instanceRef r_w_result_RNIHA95_13)) (portRef A (instanceRef r_e_op2_RNO_4_13)) (portRef (member maddress 18)) )) (net N_4819 (joined (portRef Y (instanceRef r_e_op2_RNO_5_10)) (portRef A (instanceRef r_e_op2_RNO_2_10)) )) (net N_4820 (joined (portRef Y (instanceRef r_e_op2_RNO_5_11)) (portRef A (instanceRef r_e_op2_RNO_2_11)) )) (net (rename tba_1_9 "tba_1[9]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_9)) (portRef D (instanceRef r_w_s_tba_9)) )) (net N_4975 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_9)) (portRef A (instanceRef r_w_s_tba_RNO_9)) )) (net (rename result_0_20 "result_0[20]") (joined (portRef Q (instanceRef r_w_result_20)) (portRef B (instanceRef r_w_result_RNIHI95_20)) (portRef B (instanceRef r_e_op2_RNO_4_20)) )) (net (rename data2_20 "data2[20]") (joined (portRef (member data2 11)) (portRef A (instanceRef r_e_op2_RNO_3_20)) )) (net (rename imm_20 "imm[20]") (joined (portRef Q (instanceRef r_a_imm_20)) (portRef B (instanceRef r_e_op2_RNO_3_20)) )) (net N_4824 (joined (portRef Y (instanceRef r_e_op2_RNO_5_15)) (portRef A (instanceRef r_e_op2_RNO_2_15)) )) (net (rename cwp_1_1 "cwp_1[1]") (joined (portRef Q (instanceRef r_e_cwp_1)) (portRef A (instanceRef r_e_cwp_RNI0FVF2_1)) )) (net N_4837 (joined (portRef Y (instanceRef r_e_op2_RNO_5_28)) (portRef A (instanceRef r_e_op2_RNO_2_28)) )) (net N_4830 (joined (portRef Y (instanceRef r_e_op2_RNO_5_21)) (portRef A (instanceRef r_e_op2_RNO_2_21)) )) (net N_4826 (joined (portRef Y (instanceRef r_e_op2_RNO_5_17)) (portRef A (instanceRef r_e_op2_RNO_2_17)) )) (net N_4839 (joined (portRef Y (instanceRef r_e_op2_RNO_5_30)) (portRef A (instanceRef r_e_op2_RNO_2_30)) )) (net N_4816 (joined (portRef Y (instanceRef r_e_op2_RNO_5_7)) (portRef A (instanceRef r_e_op2_RNO_2_7)) )) (net N_4812 (joined (portRef Y (instanceRef r_a_rsel2_RNI9NCE12_2)) (portRef A (instanceRef r_a_rsel2_RNIE7UP12_1)) )) (net N_4780 (joined (portRef Y (instanceRef r_a_rsel2_RNIUCNL2_1)) (portRef A (instanceRef r_a_rsel2_RNIVERF42_0)) )) (net N_4844 (joined (portRef Y (instanceRef r_a_rsel2_RNIE7UP12_1)) (portRef B (instanceRef r_a_rsel2_RNIVERF42_0)) )) (net N_4716 (joined (portRef Y (instanceRef r_a_imm_RNITCOD2_3)) (portRef A (instanceRef r_a_rsel2_RNIUCNL2_1)) )) (net N_4748 (joined (portRef Y (instanceRef r_w_result_RNID5P7_3)) (portRef B (instanceRef r_a_rsel2_RNIUCNL2_1)) )) (net (rename maddress_3 "maddress[3]") (joined (portRef Q (instanceRef r_m_result_3)) (portRef D (instanceRef r_x_result_3)) (portRef A (instanceRef r_w_result_RNIOKDA_3)) (portRef A (instanceRef r_w_result_RNID5P7_3)) (portRef B (instanceRef comb_mem_trap_orv_tmp)) (portRef (member maddress 28)) )) (net (rename result_0_3 "result_0[3]") (joined (portRef Q (instanceRef r_w_result_3)) (portRef B (instanceRef r_w_result_RNIOKDA_3)) (portRef B (instanceRef r_w_result_RNID5P7_3)) )) (net (rename data2_3 "data2[3]") (joined (portRef (member data2 28)) (portRef A (instanceRef r_a_imm_RNITCOD2_3)) )) (net (rename imm_3 "imm[3]") (joined (portRef Q (instanceRef r_a_imm_3)) (portRef B (instanceRef r_a_imm_RNITCOD2_3)) )) (net N_4838 (joined (portRef Y (instanceRef r_e_op2_RNO_5_29)) (portRef A (instanceRef r_e_op2_RNO_2_29)) )) (net N_4828 (joined (portRef Y (instanceRef r_e_op2_RNO_5_19)) (portRef A (instanceRef r_e_op2_RNO_2_19)) )) (net N_4810 (joined (portRef Y (instanceRef r_a_rsel2_RNIMIR1V1_2)) (portRef A (instanceRef r_a_rsel2_RNINICDV1_1)) )) (net N_4778 (joined (portRef Y (instanceRef r_a_rsel2_RNIMKML2_1)) (portRef A (instanceRef r_a_rsel2_RNI029322_0)) )) (net N_4842 (joined (portRef Y (instanceRef r_a_rsel2_RNINICDV1_1)) (portRef B (instanceRef r_a_rsel2_RNI029322_0)) )) (net (rename result_RNI5L6E_29 "result_RNI5L6E[29]") (joined (portRef Y (instanceRef r_x_result_RNI5L6E_29)) (portRef A (instanceRef r_x_result_RNIPABES_29)) (portRef B (instanceRef r_x_result_RNITTKD3_29)) (portRef B (instanceRef r_a_rsel1_1_RNI05H6N6_1)) (portRef B (instanceRef r_e_op2_RNO_2_29)) )) (net N_4714 (joined (portRef Y (instanceRef r_a_imm_RNIP4OD2_1)) (portRef A (instanceRef r_a_rsel2_RNIMKML2_1)) )) (net N_4746 (joined (portRef Y (instanceRef r_w_result_RNI9LO7_1)) (portRef B (instanceRef r_a_rsel2_RNIMKML2_1)) )) (net (rename result_0_1 "result_0[1]") (joined (portRef Q (instanceRef r_w_result_1)) (portRef B (instanceRef r_w_result_RNIK4DA_1)) (portRef B (instanceRef r_w_result_RNI9LO7_1)) )) (net (rename data2_1 "data2[1]") (joined (portRef (member data2 30)) (portRef A (instanceRef r_a_imm_RNIP4OD2_1)) )) (net (rename imm_1 "imm[1]") (joined (portRef Q (instanceRef r_a_imm_1)) (portRef B (instanceRef r_a_imm_RNIP4OD2_1)) )) (net N_4813 (joined (portRef Y (instanceRef r_a_rsel2_RNI95M962_2)) (portRef A (instanceRef r_a_rsel2_RNIL7GK62_1)) )) (net N_4781 (joined (portRef Y (instanceRef r_a_rsel2_RNI2PNL2_1)) (portRef A (instanceRef r_a_rsel2_RNIARDA92_0)) )) (net N_4845 (joined (portRef Y (instanceRef r_a_rsel2_RNIL7GK62_1)) (portRef B (instanceRef r_a_rsel2_RNIARDA92_0)) )) (net N_4717 (joined (portRef Y (instanceRef r_a_imm_RNIVGOD2_4)) (portRef A (instanceRef r_a_rsel2_RNI2PNL2_1)) )) (net N_4749 (joined (portRef Y (instanceRef r_w_result_RNIFDP7_4)) (portRef B (instanceRef r_a_rsel2_RNI2PNL2_1)) )) (net (rename maddress_4 "maddress[4]") (joined (portRef Q (instanceRef r_m_result_4)) (portRef D (instanceRef r_x_result_4)) (portRef A (instanceRef r_w_result_RNIQSDA_4)) (portRef A (instanceRef r_w_result_RNIFDP7_4)) (portRef A (instanceRef comb_mem_trap_orv_tmp)) (portRef (member maddress 27)) )) (net (rename result_0_4 "result_0[4]") (joined (portRef Q (instanceRef r_w_result_4)) (portRef B (instanceRef r_w_result_RNIQSDA_4)) (portRef B (instanceRef r_w_result_RNIFDP7_4)) )) (net (rename data2_4 "data2[4]") (joined (portRef (member data2 27)) (portRef A (instanceRef r_a_imm_RNIVGOD2_4)) )) (net (rename imm_4 "imm[4]") (joined (portRef Q (instanceRef r_a_imm_4)) (portRef B (instanceRef r_a_imm_RNIVGOD2_4)) )) (net (rename daddr_19 "daddr[19]") (joined (portRef (member daddr 4)) (portRef C (instanceRef r_m_dci_enaddr_RNIISR02)) )) (net (rename daddr_18 "daddr[18]") (joined (portRef (member daddr 5)) (portRef C (instanceRef r_m_dci_enaddr_RNIHSR02)) )) (net (rename daddr_15 "daddr[15]") (joined (portRef (member daddr 8)) (portRef C (instanceRef r_m_dci_enaddr_RNIESR02)) )) (net (rename daddr_14 "daddr[14]") (joined (portRef (member daddr 9)) (portRef C (instanceRef r_m_dci_enaddr_RNIDSR02)) )) (net (rename daddr_11 "daddr[11]") (joined (portRef (member daddr 12)) (portRef C (instanceRef r_m_dci_enaddr_RNIASR02)) )) (net (rename daddr_10 "daddr[10]") (joined (portRef (member daddr 13)) (portRef C (instanceRef r_m_dci_enaddr_RNI9SR02)) )) (net (rename result_0_25 "result_0[25]") (joined (portRef Q (instanceRef r_x_result_25)) (portRef B (instanceRef r_w_s_tba_RNO_0_13)) (portRef B (instanceRef r_w_s_y_RNO_1_25)) (portRef A (instanceRef r_x_result_RNITK6E_25)) )) (net (rename aop1_31 "aop1[31]") (joined (portRef Y (instanceRef r_e_op1_RNO_31)) (portRef D (instanceRef r_e_op1_31)) )) (net (rename aop1_24 "aop1[24]") (joined (portRef Y (instanceRef r_e_op1_RNO_24)) (portRef D (instanceRef r_e_op1_24)) )) (net (rename d_24 "d[24]") (joined (portRef Y (instanceRef r_a_rsel1_RNI1GUTT5_0)) (portRef B (instanceRef r_e_op1_RNO_23)) (portRef A (instanceRef r_e_op1_RNO_24)) )) (net (rename d_25 "d[25]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNISG4A26_0)) (portRef A (instanceRef r_e_op1_RNO_25)) (portRef B (instanceRef r_e_op1_RNO_24)) )) (net (rename aop1_23 "aop1[23]") (joined (portRef Y (instanceRef r_e_op1_RNO_23)) (portRef D (instanceRef r_e_op1_23)) )) (net (rename d_23 "d[23]") (joined (portRef Y (instanceRef r_a_rsel1_RNIT4FGI5_0)) (portRef B (instanceRef r_e_op1_RNO_22)) (portRef A (instanceRef r_e_op1_RNO_23)) )) (net (rename aop1_20 "aop1[20]") (joined (portRef Y (instanceRef r_e_op1_RNO_20)) (portRef D (instanceRef r_e_op1_20)) )) (net (rename d_20 "d[20]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNI9AKT35_0)) (portRef B (instanceRef r_e_op1_RNO_19)) (portRef A (instanceRef r_e_op1_RNO_20)) )) (net (rename d_21 "d[21]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNIVSS185_0)) (portRef A (instanceRef r_e_op1_RNO_21)) (portRef B (instanceRef r_e_op1_RNO_20)) )) (net (rename aop1_19 "aop1[19]") (joined (portRef Y (instanceRef r_e_op1_RNO_19)) (portRef D (instanceRef r_e_op1_19)) )) (net (rename d_19 "d[19]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNI9JMSO4_0)) (portRef B (instanceRef r_e_op1_RNO_18)) (portRef A (instanceRef r_e_op1_RNO_19)) )) (net (rename aop1_18 "aop1[18]") (joined (portRef Y (instanceRef r_e_op1_RNO_18)) (portRef D (instanceRef r_e_op1_18)) )) (net (rename d_18 "d[18]") (joined (portRef Y (instanceRef r_a_rsel1_RNI9RE6Q4_0)) (portRef B (instanceRef r_e_op1_RNO_17)) (portRef A (instanceRef r_e_op1_RNO_18)) )) (net (rename aop1_17 "aop1[17]") (joined (portRef Y (instanceRef r_e_op1_RNO_17)) (portRef D (instanceRef r_e_op1_17)) )) (net (rename d_17 "d[17]") (joined (portRef Y (instanceRef r_a_rsel1_RNI7PFIH4_0)) (portRef B (instanceRef r_e_op1_RNO_16)) (portRef A (instanceRef r_e_op1_RNO_17)) )) (net (rename aop1_16 "aop1[16]") (joined (portRef Y (instanceRef r_e_op1_RNO_16)) (portRef D (instanceRef r_e_op1_16)) )) (net (rename d_16 "d[16]") (joined (portRef Y (instanceRef r_a_rsel1_RNIKHSHT3_0)) (portRef B (instanceRef r_e_op1_RNO_15)) (portRef A (instanceRef r_e_op1_RNO_16)) )) (net (rename aop1_15 "aop1[15]") (joined (portRef Y (instanceRef r_e_op1_RNO_15)) (portRef D (instanceRef r_e_op1_15)) )) (net (rename d_15 "d[15]") (joined (portRef Y (instanceRef r_a_rsel1_RNIMC9NN3_0)) (portRef B (instanceRef r_e_op1_RNO_14)) (portRef A (instanceRef r_e_op1_RNO_15)) )) (net (rename aop1_14 "aop1[14]") (joined (portRef Y (instanceRef r_e_op1_RNO_14)) (portRef D (instanceRef r_e_op1_14)) )) (net (rename d_14 "d[14]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNISEUMN3_0)) (portRef B (instanceRef r_e_op1_RNO_13)) (portRef A (instanceRef r_e_op1_RNO_14)) )) (net (rename aop1_13 "aop1[13]") (joined (portRef Y (instanceRef r_e_op1_RNO_13)) (portRef D (instanceRef r_e_op1_13)) )) (net (rename aop1_12 "aop1[12]") (joined (portRef Y (instanceRef r_e_op1_RNO_12)) (portRef D (instanceRef r_e_op1_12)) )) (net (rename d_12 "d[12]") (joined (portRef Y (instanceRef r_a_rsel1_RNI6S0T63_0)) (portRef B (instanceRef r_e_op1_RNO_11)) (portRef A (instanceRef r_e_op1_RNO_12)) )) (net (rename aop1_11 "aop1[11]") (joined (portRef Y (instanceRef r_e_op1_RNO_11)) (portRef D (instanceRef r_e_op1_11)) )) (net (rename d_11 "d[11]") (joined (portRef Y (instanceRef r_a_rsel1_RNIDIDH33_0)) (portRef B (instanceRef r_e_op1_RNO_10)) (portRef A (instanceRef r_e_op1_RNO_11)) )) (net (rename aop1_10 "aop1[10]") (joined (portRef Y (instanceRef r_e_op1_RNO_10)) (portRef D (instanceRef r_e_op1_10)) )) (net (rename d_10 "d[10]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNIJOS303_0)) (portRef B (instanceRef r_e_op1_RNO_9)) (portRef A (instanceRef r_e_op1_RNO_10)) )) (net (rename aop1_9 "aop1[9]") (joined (portRef Y (instanceRef r_e_op1_RNO_9)) (portRef D (instanceRef r_e_op1_9)) )) (net (rename d_9 "d[9]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNIFRC6O2_0)) (portRef B (instanceRef r_e_op1_RNO_8)) (portRef A (instanceRef r_e_op1_RNO_9)) )) (net (rename aop1_8 "aop1[8]") (joined (portRef Y (instanceRef r_e_op1_RNO_8)) (portRef D (instanceRef r_e_op1_8)) )) (net (rename d_8 "d[8]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNIIC1MK2_0)) (portRef B (instanceRef r_e_op1_RNO_7)) (portRef A (instanceRef r_e_op1_RNO_8)) )) (net (rename aop1_7 "aop1[7]") (joined (portRef Y (instanceRef r_e_op1_RNO_7)) (portRef D (instanceRef r_e_op1_7)) )) (net (rename d_7 "d[7]") (joined (portRef Y (instanceRef r_a_rsel1_RNIV0BVE2_0)) (portRef B (instanceRef r_e_op1_RNO_6)) (portRef A (instanceRef r_e_op1_RNO_7)) )) (net (rename aop1_6 "aop1[6]") (joined (portRef Y (instanceRef r_e_op1_RNO_6)) (portRef D (instanceRef r_e_op1_6)) )) (net (rename aop1_4 "aop1[4]") (joined (portRef Y (instanceRef r_e_op1_RNO_4)) (portRef D (instanceRef r_e_op1_4)) )) (net (rename d_4 "d[4]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNISRBP92_0)) (portRef B (instanceRef r_e_op1_RNO_3)) (portRef A (instanceRef r_e_op1_RNO_4)) )) (net (rename aop1_3 "aop1[3]") (joined (portRef Y (instanceRef r_e_op1_RNO_3)) (portRef D (instanceRef r_e_op1_3)) )) (net (rename d_3 "d[3]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNIIFPU42_0)) (portRef B (instanceRef r_e_op1_RNO_2)) (portRef A (instanceRef r_e_op1_RNO_3)) )) (net (rename aop1_2 "aop1[2]") (joined (portRef Y (instanceRef r_e_op1_RNO_2)) (portRef D (instanceRef r_e_op1_2)) )) (net (rename d_2 "d[2]") (joined (portRef Y (instanceRef r_a_rsel1_RNI7LNV72_0)) (portRef B (instanceRef r_e_op1_RNO_1)) (portRef A (instanceRef r_e_op1_RNO_2)) )) (net (rename aop1_1 "aop1[1]") (joined (portRef Y (instanceRef r_e_op1_RNO_1)) (portRef D (instanceRef r_e_op1_1)) )) (net (rename d_1 "d[1]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNIP27I22_0)) (portRef B (instanceRef r_e_op1_RNO_0)) (portRef A (instanceRef r_e_op1_RNO_1)) )) (net (rename aop1_0 "aop1[0]") (joined (portRef Y (instanceRef r_e_op1_RNO_0)) (portRef D (instanceRef r_e_op1_0)) )) (net (rename d_0 "d[0]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNI6IJCT1_0)) (portRef D (instanceRef r_e_ymsb)) (portRef A (instanceRef r_e_op1_RNO_0)) )) (net N_4629 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIV1OK05_2)) (portRef A (instanceRef r_a_rsel1_0_RNIG0P515_1)) )) (net N_4619 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIKQORS2_2)) (portRef A (instanceRef r_a_rsel1_0_RNI4R1CT2_1)) )) (net N_4618 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIIPGOK2_2)) (portRef A (instanceRef r_a_rsel1_0_RNID9O6L2_1)) )) (net N_4613 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIJKAC62_2)) (portRef A (instanceRef r_a_rsel1_0_RNI96PP62_1)) )) (net N_4612 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIJ61H12_2)) (portRef A (instanceRef r_a_rsel1_0_RNI267V12_1)) )) (net N_4533 (joined (portRef Y (instanceRef r_a_rsel1_0_RNID3TC2_2)) (portRef A (instanceRef r_a_rsel1_0_RNISV0L2_1)) )) (net N_4523 (joined (portRef Y (instanceRef r_a_rsel1_0_RNI9VSC2_2)) (portRef A (instanceRef r_a_rsel1_0_RNIIJ0L2_1)) )) (net (rename data1_10 "data1[10]") (joined (portRef (member data1 21)) (portRef A (instanceRef r_a_rsel1_0_RNI9VSC2_2)) (portRef A (instanceRef rfo_m_10)) )) (net N_4522 (joined (portRef Y (instanceRef r_a_rsel1_0_RNI3PGF2_2)) (portRef A (instanceRef r_a_rsel1_0_RNI58QS2_1)) )) (net (rename data1_9 "data1[9]") (joined (portRef (member data1 22)) (portRef A (instanceRef r_a_rsel1_0_RNI3PGF2_2)) (portRef A (instanceRef rfo_m_9)) )) (net N_4517 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIU4GF2_2)) (portRef A (instanceRef r_a_rsel1_0_RNIMBOS2_1)) )) (net (rename data1_4 "data1[4]") (joined (portRef (member data1 27)) (portRef A (instanceRef r_a_rsel1_0_RNIU4GF2_2)) (portRef A (instanceRef rfo_m_4)) )) (net N_4516 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIT0GF2_2)) (portRef A (instanceRef r_a_rsel1_0_RNIJVNS2_1)) )) (net N_4597 (joined (portRef Y (instanceRef r_a_rsel1_0_RNISV0L2_1)) (portRef A (instanceRef r_a_rsel1_0_RNI9AKT35_0)) )) (net N_4661 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIG0P515_1)) (portRef B (instanceRef r_a_rsel1_0_RNI9AKT35_0)) )) (net N_4587 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIIJ0L2_1)) (portRef A (instanceRef r_a_rsel1_0_RNIJOS303_0)) )) (net N_4651 (joined (portRef Y (instanceRef r_a_rsel1_0_RNI4R1CT2_1)) (portRef B (instanceRef r_a_rsel1_0_RNIJOS303_0)) )) (net N_4586 (joined (portRef Y (instanceRef r_a_rsel1_0_RNI58QS2_1)) (portRef A (instanceRef r_a_rsel1_0_RNIFRC6O2_0)) )) (net N_4650 (joined (portRef Y (instanceRef r_a_rsel1_0_RNID9O6L2_1)) (portRef B (instanceRef r_a_rsel1_0_RNIFRC6O2_0)) )) (net N_4581 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIMBOS2_1)) (portRef A (instanceRef r_a_rsel1_0_RNISRBP92_0)) )) (net N_4645 (joined (portRef Y (instanceRef r_a_rsel1_0_RNI96PP62_1)) (portRef B (instanceRef r_a_rsel1_0_RNISRBP92_0)) )) (net N_4580 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIJVNS2_1)) (portRef A (instanceRef r_a_rsel1_0_RNIIFPU42_0)) )) (net N_4644 (joined (portRef Y (instanceRef r_a_rsel1_0_RNI267V12_1)) (portRef B (instanceRef r_a_rsel1_0_RNIIFPU42_0)) )) (net N_4565 (joined (portRef Y (instanceRef r_w_result_RNIHI95_20)) (portRef B (instanceRef r_a_rsel1_0_RNISV0L2_1)) )) (net N_4555 (joined (portRef Y (instanceRef r_w_result_RNIBA95_10)) (portRef B (instanceRef r_a_rsel1_0_RNIIJ0L2_1)) )) (net N_4554 (joined (portRef Y (instanceRef r_w_result_RNI45FA_9)) (portRef B (instanceRef r_a_rsel1_0_RNI58QS2_1)) )) (net N_4549 (joined (portRef Y (instanceRef r_w_result_RNIQSDA_4)) (portRef B (instanceRef r_a_rsel1_0_RNIMBOS2_1)) )) (net N_4548 (joined (portRef Y (instanceRef r_w_result_RNIOKDA_3)) (portRef B (instanceRef r_a_rsel1_0_RNIJVNS2_1)) )) (net (rename maddress_20 "maddress[20]") (joined (portRef Q (instanceRef r_m_result_20)) (portRef D (instanceRef r_x_result_20)) (portRef A (instanceRef r_w_result_RNIHI95_20)) (portRef A (instanceRef r_e_op2_RNO_4_20)) (portRef (member maddress 11)) )) (net (rename maddress_10 "maddress[10]") (joined (portRef Q (instanceRef r_m_result_10)) (portRef D (instanceRef r_x_result_10)) (portRef A (instanceRef r_w_result_RNIBA95_10)) (portRef A (instanceRef r_e_op2_RNO_4_10)) (portRef (member maddress 21)) )) (net (rename maddress_9 "maddress[9]") (joined (portRef Q (instanceRef r_m_result_9)) (portRef D (instanceRef r_x_result_9)) (portRef A (instanceRef r_w_result_RNI45FA_9)) (portRef A (instanceRef r_e_op2_RNO_4_9)) (portRef (member maddress 22)) )) (net (rename aop1_27 "aop1[27]") (joined (portRef Y (instanceRef r_e_op1_RNO_27)) (portRef D (instanceRef r_e_op1_27)) )) (net (rename d_27 "d[27]") (joined (portRef Y (instanceRef r_a_rsel1_RNIFE71B6_0)) (portRef B (instanceRef r_e_op1_RNO_26)) (portRef A (instanceRef r_e_op1_RNO_27)) )) (net (rename d_28 "d[28]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNI6Q3JH6_0)) (portRef A (instanceRef r_e_op1_RNO_28)) (portRef B (instanceRef r_e_op1_RNO_27)) )) (net (rename aop1_22 "aop1[22]") (joined (portRef Y (instanceRef r_e_op1_RNO_22)) (portRef D (instanceRef r_e_op1_22)) )) (net (rename aop1_21 "aop1[21]") (joined (portRef Y (instanceRef r_e_op1_RNO_21)) (portRef D (instanceRef r_e_op1_21)) )) (net N_4637 (joined (portRef Y (instanceRef r_a_rsel1_1_RNID6VAE6_2)) (portRef A (instanceRef r_a_rsel1_0_RNIJF8RE6_1)) )) (net N_4630 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIEK0P45_2)) (portRef A (instanceRef r_a_rsel1_0_RNI1J1A55_1)) )) (net N_4628 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIPJIKL4_2)) (portRef A (instanceRef r_a_rsel1_0_RNIRKR4M4_1)) )) (net N_4623 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIBQ2EK3_2)) (portRef A (instanceRef r_a_rsel1_0_RNIUG3VK3_1)) )) (net N_4541 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIM3TC2_2)) (portRef A (instanceRef r_a_rsel1_0_RNIM01L2_1)) )) (net (rename data1_28 "data1[28]") (joined (portRef (member data1 3)) (portRef A (instanceRef r_a_rsel1_1_RNIM3TC2_2)) (portRef A (instanceRef r_x_data_0_RNI9SEU2_28)) )) (net N_4534 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIF3TC2_2)) (portRef A (instanceRef r_a_rsel1_0_RNI101L2_1)) )) (net (rename data1_21 "data1[21]") (joined (portRef (member data1 10)) (portRef A (instanceRef r_a_rsel1_1_RNIF3TC2_2)) (portRef A (instanceRef rfo_m_21)) )) (net N_4532 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIKVSC2_2)) (portRef A (instanceRef r_a_rsel1_1_RNIHK0L2_1)) )) (net (rename data1_19 "data1[19]") (joined (portRef (member data1 12)) (portRef A (instanceRef r_a_rsel1_1_RNIKVSC2_2)) (portRef A (instanceRef rfo_m_19)) )) (net N_4527_i (joined (portRef Y (instanceRef r_a_rsel1_1_RNIEVSC2_2)) (portRef A (instanceRef r_a_rsel1_1_RNI1K0L2_1)) )) (net (rename data1_14 "data1[14]") (joined (portRef (member data1 17)) (portRef A (instanceRef r_a_rsel1_1_RNIEVSC2_2)) (portRef A (instanceRef rfo_m_14)) )) (net N_4605 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIM01L2_1)) (portRef A (instanceRef r_a_rsel1_0_RNI6Q3JH6_0)) )) (net N_4669 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIJF8RE6_1)) (portRef B (instanceRef r_a_rsel1_0_RNI6Q3JH6_0)) )) (net N_4598 (joined (portRef Y (instanceRef r_a_rsel1_0_RNI101L2_1)) (portRef A (instanceRef r_a_rsel1_0_RNIVSS185_0)) )) (net N_4662 (joined (portRef Y (instanceRef r_a_rsel1_0_RNI1J1A55_1)) (portRef B (instanceRef r_a_rsel1_0_RNIVSS185_0)) )) (net N_4596 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIHK0L2_1)) (portRef A (instanceRef r_a_rsel1_0_RNI9JMSO4_0)) )) (net N_4660 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIRKR4M4_1)) (portRef B (instanceRef r_a_rsel1_0_RNI9JMSO4_0)) )) (net N_4591 (joined (portRef Y (instanceRef r_a_rsel1_1_RNI1K0L2_1)) (portRef A (instanceRef r_a_rsel1_0_RNISEUMN3_0)) )) (net N_4655 (joined (portRef Y (instanceRef r_a_rsel1_0_RNIUG3VK3_1)) (portRef B (instanceRef r_a_rsel1_0_RNISEUMN3_0)) )) (net N_4573 (joined (portRef Y (instanceRef r_w_result_RNI2J95_28)) (portRef B (instanceRef r_a_rsel1_0_RNIM01L2_1)) )) (net N_4566 (joined (portRef Y (instanceRef r_w_result_RNIKI95_21)) (portRef B (instanceRef r_a_rsel1_0_RNI101L2_1)) )) (net N_4564 (joined (portRef Y (instanceRef r_w_result_RNIUA95_19)) (portRef B (instanceRef r_a_rsel1_1_RNIHK0L2_1)) )) (net N_4559 (joined (portRef Y (instanceRef r_w_result_RNIKA95_14)) (portRef B (instanceRef r_a_rsel1_1_RNI1K0L2_1)) )) (net (rename maddress_28 "maddress[28]") (joined (portRef Q (instanceRef r_m_result_28)) (portRef D (instanceRef r_x_result_28)) (portRef A (instanceRef r_w_result_RNI2J95_28)) (portRef A (instanceRef r_e_op2_RNO_4_28)) (portRef (member maddress 3)) )) (net (rename maddress_21 "maddress[21]") (joined (portRef Q (instanceRef r_m_result_21)) (portRef D (instanceRef r_x_result_21)) (portRef A (instanceRef r_w_result_RNIKI95_21)) (portRef A (instanceRef r_e_op2_RNO_4_21)) (portRef (member maddress 10)) )) (net (rename maddress_19 "maddress[19]") (joined (portRef Q (instanceRef r_m_result_19)) (portRef D (instanceRef r_x_result_19)) (portRef A (instanceRef r_w_result_RNIUA95_19)) (portRef A (instanceRef r_e_op2_RNO_4_19)) (portRef (member maddress 12)) )) (net (rename maddress_14 "maddress[14]") (joined (portRef Q (instanceRef r_m_result_14)) (portRef D (instanceRef r_x_result_14)) (portRef A (instanceRef r_w_result_RNIKA95_14)) (portRef A (instanceRef r_e_op2_RNO_4_14)) (portRef (member maddress 17)) )) (net N_4610 (joined (portRef Y (instanceRef r_a_rsel1_1_RNI12G4V1_2)) (portRef A (instanceRef r_a_rsel1_1_RNIDHLIV1_1)) )) (net N_4514 (joined (portRef Y (instanceRef r_a_rsel1_1_RNISOFF2_2)) (portRef A (instanceRef r_a_rsel1_1_RNIF7NS2_1)) )) (net (rename data1_1 "data1[1]") (joined (portRef (member data1 30)) (portRef A (instanceRef r_a_rsel1_1_RNISOFF2_2)) (portRef A (instanceRef rfo_m_1)) )) (net N_4578 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIF7NS2_1)) (portRef A (instanceRef r_a_rsel1_0_RNIP27I22_0)) )) (net N_4642 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIDHLIV1_1)) (portRef B (instanceRef r_a_rsel1_0_RNIP27I22_0)) )) (net N_4546 (joined (portRef Y (instanceRef r_w_result_RNIK4DA_1)) (portRef B (instanceRef r_a_rsel1_1_RNIF7NS2_1)) )) (net N_4617 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIMU58H2_2)) (portRef A (instanceRef r_a_rsel1_1_RNIG6DMH2_1)) )) (net N_4521 (joined (portRef Y (instanceRef r_a_rsel1_1_RNI3LGF2_2)) (portRef A (instanceRef r_a_rsel1_1_RNI5SPS2_1)) )) (net N_4585 (joined (portRef Y (instanceRef r_a_rsel1_1_RNI5SPS2_1)) (portRef A (instanceRef r_a_rsel1_0_RNIIC1MK2_0)) )) (net N_4649 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIG6DMH2_1)) (portRef B (instanceRef r_a_rsel1_0_RNIIC1MK2_0)) )) (net N_4553 (joined (portRef Y (instanceRef r_w_result_RNI3TEA_8)) (portRef B (instanceRef r_a_rsel1_1_RNI5SPS2_1)) )) (net (rename maddress_8 "maddress[8]") (joined (portRef Q (instanceRef r_m_result_8)) (portRef D (instanceRef r_x_result_8)) (portRef A (instanceRef r_w_result_RNI3TEA_8)) (portRef A (instanceRef r_e_op2_RNO_4_8)) (portRef (member maddress 23)) )) (net N_5305_i (joined (portRef Y (instanceRef r_x_data_0_RNIOVG8_26)) (portRef B (instanceRef r_e_op2_RNIBFJF_26)) )) (net (rename aop1_26 "aop1[26]") (joined (portRef Y (instanceRef r_e_op1_RNO_26)) (portRef D (instanceRef r_e_op1_26)) )) (net (rename d_26 "d[26]") (joined (portRef Y (instanceRef r_a_rsel1_0_RNI4LFG96_0)) (portRef B (instanceRef r_e_op1_RNO_25)) (portRef A (instanceRef r_e_op1_RNO_26)) )) (net (rename aop1_25 "aop1[25]") (joined (portRef Y (instanceRef r_e_op1_RNO_25)) (portRef D (instanceRef r_e_op1_25)) )) (net N_4635 (joined (portRef Y (instanceRef r_a_rsel1_2_RNIH1B866_2)) (portRef A (instanceRef r_a_rsel1_1_RNIKAKO66_1)) )) (net N_4539 (joined (portRef Y (instanceRef r_a_rsel1_2_RNIL3TC2_2)) (portRef A (instanceRef r_a_rsel1_1_RNIJ01L2_1)) )) (net N_4603 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIJ01L2_1)) (portRef A (instanceRef r_a_rsel1_0_RNI4LFG96_0)) )) (net N_4667 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIKAKO66_1)) (portRef B (instanceRef r_a_rsel1_0_RNI4LFG96_0)) )) (net N_4571 (joined (portRef Y (instanceRef r_w_result_RNIVI95_26)) (portRef B (instanceRef r_a_rsel1_1_RNIJ01L2_1)) )) (net (rename maddress_26 "maddress[26]") (joined (portRef Q (instanceRef r_m_result_26)) (portRef D (instanceRef r_x_result_26)) (portRef A (instanceRef r_w_result_RNIVI95_26)) (portRef A (instanceRef r_e_op2_RNO_4_26)) (portRef (member maddress 5)) )) (net N_4634 (joined (portRef Y (instanceRef r_a_rsel1_2_RNIJ781V5_2)) (portRef A (instanceRef r_a_rsel1_1_RNIF69IV5_1)) )) (net N_4538 (joined (portRef Y (instanceRef r_a_rsel1_2_RNIK3TC2_2)) (portRef A (instanceRef r_a_rsel1_1_RNIG01L2_1)) )) (net (rename data1_25 "data1[25]") (joined (portRef (member data1 6)) (portRef A (instanceRef r_a_rsel1_2_RNIK3TC2_2)) (portRef A (instanceRef r_x_data_0_RNI3SEU2_25)) )) (net N_4513_i (joined (portRef Y (instanceRef r_a_rsel1_2_RNISKFF2_2)) (portRef A (instanceRef r_a_rsel1_1_RNIERMS2_1)) )) (net (rename data1_0 "data1[0]") (joined (portRef (member data1 31)) (portRef A (instanceRef r_a_rsel1_2_RNISKFF2_2)) (portRef A (instanceRef rfo_m_0)) )) (net N_4602 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIG01L2_1)) (portRef A (instanceRef r_a_rsel1_0_RNISG4A26_0)) )) (net N_4666 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIF69IV5_1)) (portRef B (instanceRef r_a_rsel1_0_RNISG4A26_0)) )) (net N_4577 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIERMS2_1)) (portRef A (instanceRef r_a_rsel1_0_RNI6IJCT1_0)) )) (net N_4570 (joined (portRef Y (instanceRef r_w_result_RNITI95_25)) (portRef B (instanceRef r_a_rsel1_1_RNIG01L2_1)) )) (net N_4545 (joined (portRef Y (instanceRef r_w_result_RNIJSCA_0)) (portRef B (instanceRef r_a_rsel1_1_RNIERMS2_1)) )) (net (rename maddress_25 "maddress[25]") (joined (portRef Q (instanceRef r_m_result_25)) (portRef D (instanceRef r_x_result_25)) (portRef A (instanceRef r_w_result_RNITI95_25)) (portRef A (instanceRef r_e_op2_RNO_4_25)) (portRef (member maddress 6)) )) (net (rename result_0_0 "result_0[0]") (joined (portRef Q (instanceRef r_w_result_0)) (portRef B (instanceRef r_w_result_RNI7DO7_0)) (portRef B (instanceRef r_w_result_RNIJSCA_0)) )) (net (rename aop1_29 "aop1[29]") (joined (portRef Y (instanceRef r_e_op1_RNO_29)) (portRef D (instanceRef r_e_op1_29)) )) (net (rename d_29 "d[29]") (joined (portRef Y (instanceRef r_a_rsel1_RNIE0ORP6_0)) (portRef B (instanceRef r_e_op1_RNO_28)) (portRef A (instanceRef r_e_op1_RNO_29)) )) (net (rename d_30 "d[30]") (joined (portRef Y (instanceRef r_a_rsel1_RNIDKFI77_0)) (portRef A (instanceRef r_e_op1_RNO_30)) (portRef B (instanceRef r_e_op1_RNO_29)) )) (net (rename aop1_28 "aop1[28]") (joined (portRef Y (instanceRef r_e_op1_RNO_28)) (portRef D (instanceRef r_e_op1_28)) )) (net N_4638 (joined (portRef Y (instanceRef r_a_rsel1_2_RNIS5GLM6_2)) (portRef A (instanceRef r_a_rsel1_1_RNI05H6N6_1)) )) (net N_4542 (joined (portRef Y (instanceRef r_a_rsel1_2_RNIO3TC2_2)) (portRef A (instanceRef r_a_rsel1_1_RNIS01L2_1)) )) (net (rename data1_29 "data1[29]") (joined (portRef (member data1 2)) (portRef A (instanceRef r_a_rsel1_2_RNIO3TC2_2)) (portRef A (instanceRef r_x_data_0_RNIBSEU2_29)) )) (net N_4606 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIS01L2_1)) (portRef A (instanceRef r_a_rsel1_RNIE0ORP6_0)) )) (net N_4670 (joined (portRef Y (instanceRef r_a_rsel1_1_RNI05H6N6_1)) (portRef B (instanceRef r_a_rsel1_RNIE0ORP6_0)) )) (net (rename rsel1_0 "rsel1[0]") (joined (portRef Q (instanceRef r_a_rsel1_0)) (portRef S (instanceRef r_a_rsel1_RNI9RE6Q4_0)) (portRef S (instanceRef r_a_rsel1_RNIMC9NN3_0)) (portRef S (instanceRef r_a_rsel1_RNIFQ8K87_0)) (portRef S (instanceRef r_a_rsel1_RNIT4FGI5_0)) (portRef S (instanceRef r_a_rsel1_RNIDKFI77_0)) (portRef S (instanceRef r_a_rsel1_RNI1GUTT5_0)) (portRef S (instanceRef r_a_rsel1_RNIGDTEG2_0)) (portRef S (instanceRef r_a_rsel1_RNIV0BVE2_0)) (portRef S (instanceRef r_a_rsel1_RNIDIDH33_0)) (portRef S (instanceRef r_a_rsel1_RNI7LNV72_0)) (portRef S (instanceRef r_a_rsel1_RNI9T14C2_0)) (portRef S (instanceRef r_a_rsel1_RNI6S0T63_0)) (portRef S (instanceRef r_a_rsel1_RNIKHSHT3_0)) (portRef S (instanceRef r_a_rsel1_RNI7PFIH4_0)) (portRef S (instanceRef r_a_rsel1_RNIFE71B6_0)) (portRef S (instanceRef r_a_rsel1_RNIE0ORP6_0)) )) (net N_4574 (joined (portRef Y (instanceRef r_w_result_RNI5J95_29)) (portRef B (instanceRef r_a_rsel1_1_RNIS01L2_1)) )) (net (rename maddress_29 "maddress[29]") (joined (portRef Q (instanceRef r_m_result_29)) (portRef D (instanceRef r_x_result_29)) (portRef A (instanceRef r_w_result_RNI5J95_29)) (portRef A (instanceRef r_e_op2_RNO_4_29)) (portRef (member maddress 2)) )) (net N_4636 (joined (portRef Y (instanceRef r_a_rsel1_2_RNI2ANR76_2)) (portRef A (instanceRef r_a_rsel1_1_RNI7J0C86_1)) )) (net N_4540 (joined (portRef Y (instanceRef r_a_rsel1_2_RNIM3TC2_2)) (portRef A (instanceRef r_a_rsel1_1_RNIM01L2_1)) )) (net (rename data1_27 "data1[27]") (joined (portRef (member data1 4)) (portRef A (instanceRef r_a_rsel1_2_RNIM3TC2_2)) (portRef A (instanceRef rfo_m_27)) )) (net (rename tba_1_13 "tba_1[13]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_13)) (portRef D (instanceRef r_w_s_tba_13)) )) (net N_4979 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_13)) (portRef A (instanceRef r_w_s_tba_RNO_13)) )) (net N_4604 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIM01L2_1)) (portRef A (instanceRef r_a_rsel1_RNIFE71B6_0)) )) (net N_4668 (joined (portRef Y (instanceRef r_a_rsel1_1_RNI7J0C86_1)) (portRef B (instanceRef r_a_rsel1_RNIFE71B6_0)) )) (net N_4572 (joined (portRef Y (instanceRef r_w_result_RNI1J95_27)) (portRef B (instanceRef r_a_rsel1_1_RNIM01L2_1)) )) (net (rename maddress_27 "maddress[27]") (joined (portRef Q (instanceRef r_m_result_27)) (portRef D (instanceRef r_x_result_27)) (portRef A (instanceRef r_w_result_RNI1J95_27)) (portRef A (instanceRef r_e_op2_RNO_4_27)) (portRef (member maddress 4)) )) (net (rename un6_ex_add_res_m_21 "un6_ex_add_res_m[21]") (joined (portRef Y (instanceRef r_e_ldbp2_2_RNI2L20H2)) (portRef B (instanceRef r_e_ldbp2_2_RNIVTNUT4)) )) (net N_4626 (joined (portRef Y (instanceRef r_a_rsel1_2_RNIA90DE4_2)) (portRef A (instanceRef r_a_rsel1_1_RNI9A9TE4_1)) )) (net N_4530_i (joined (portRef Y (instanceRef r_a_rsel1_2_RNIIVSC2_2)) (portRef A (instanceRef r_a_rsel1_1_RNICK0L2_1)) )) (net (rename data1_17 "data1[17]") (joined (portRef (member data1 14)) (portRef A (instanceRef r_a_rsel1_2_RNIIVSC2_2)) (portRef A (instanceRef rfo_m_17)) )) (net N_4594 (joined (portRef Y (instanceRef r_a_rsel1_1_RNICK0L2_1)) (portRef A (instanceRef r_a_rsel1_RNI7PFIH4_0)) )) (net N_4658 (joined (portRef Y (instanceRef r_a_rsel1_1_RNI9A9TE4_1)) (portRef B (instanceRef r_a_rsel1_RNI7PFIH4_0)) )) (net N_4562 (joined (portRef Y (instanceRef r_w_result_RNIRA95_17)) (portRef B (instanceRef r_a_rsel1_1_RNICK0L2_1)) )) (net (rename maddress_17 "maddress[17]") (joined (portRef Q (instanceRef r_m_result_17)) (portRef D (instanceRef r_x_result_17)) (portRef A (instanceRef r_w_result_RNIRA95_17)) (portRef A (instanceRef r_e_op2_RNO_4_17)) (portRef (member maddress 14)) )) (net N_4625 (joined (portRef Y (instanceRef r_a_rsel1_2_RNIR1DCQ3_2)) (portRef A (instanceRef r_a_rsel1_1_RNIO2MSQ3_1)) )) (net N_4529_i (joined (portRef Y (instanceRef r_a_rsel1_2_RNIHVSC2_2)) (portRef A (instanceRef r_a_rsel1_1_RNIAK0L2_1)) )) (net (rename data1_16 "data1[16]") (joined (portRef (member data1 15)) (portRef A (instanceRef r_a_rsel1_2_RNIHVSC2_2)) (portRef A (instanceRef rfo_m_16)) )) (net N_4593 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIAK0L2_1)) (portRef A (instanceRef r_a_rsel1_RNIKHSHT3_0)) )) (net N_4657 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIO2MSQ3_1)) (portRef B (instanceRef r_a_rsel1_RNIKHSHT3_0)) )) (net N_4561 (joined (portRef Y (instanceRef r_w_result_RNIQA95_16)) (portRef B (instanceRef r_a_rsel1_1_RNIAK0L2_1)) )) (net (rename maddress_16 "maddress[16]") (joined (portRef Q (instanceRef r_m_result_16)) (portRef D (instanceRef r_x_result_16)) (portRef A (instanceRef r_w_result_RNIQA95_16)) (portRef A (instanceRef r_e_op2_RNO_4_16)) (portRef (member maddress 15)) )) (net N_4621 (joined (portRef Y (instanceRef r_a_rsel1_3_RNI0DHN33_2)) (portRef A (instanceRef r_a_rsel1_1_RNILDQ743_1)) )) (net N_4525 (joined (portRef Y (instanceRef r_a_rsel1_3_RNIEVSC2_2)) (portRef A (instanceRef r_a_rsel1_1_RNIVJ0L2_1)) )) (net (rename data1_12 "data1[12]") (joined (portRef (member data1 19)) (portRef A (instanceRef r_a_rsel1_3_RNIEVSC2_2)) (portRef A (instanceRef rfo_m_12)) )) (net N_4589 (joined (portRef Y (instanceRef r_a_rsel1_1_RNIVJ0L2_1)) (portRef A (instanceRef r_a_rsel1_RNI6S0T63_0)) )) (net N_4653 (joined (portRef Y (instanceRef r_a_rsel1_1_RNILDQ743_1)) (portRef B (instanceRef r_a_rsel1_RNI6S0T63_0)) )) (net N_4557 (joined (portRef Y (instanceRef r_w_result_RNIIA95_12)) (portRef B (instanceRef r_a_rsel1_1_RNIVJ0L2_1)) )) (net (rename maddress_12 "maddress[12]") (joined (portRef Q (instanceRef r_m_result_12)) (portRef D (instanceRef r_x_result_12)) (portRef A (instanceRef r_w_result_RNIIA95_12)) (portRef A (instanceRef r_e_op2_RNO_4_12)) (portRef (member maddress 19)) )) (net N_4614 (joined (portRef Y (instanceRef r_a_rsel1_3_RNIB09S82_2)) (portRef A (instanceRef r_a_rsel1_1_RNI4QN992_1)) )) (net N_4611 (joined (portRef Y (instanceRef r_a_rsel1_3_RNI9ETP42_2)) (portRef A (instanceRef r_a_rsel1_RNIBME552_1)) )) (net N_4518 (joined (portRef Y (instanceRef r_a_rsel1_3_RNI29GF2_2)) (portRef A (instanceRef r_a_rsel1_RNIJ84Q2_1)) )) (net (rename data1_5 "data1[5]") (joined (portRef (member data1 26)) (portRef A (instanceRef r_a_rsel1_3_RNI29GF2_2)) (portRef A (instanceRef rfo_m_5)) )) (net N_4515 (joined (portRef Y (instanceRef r_a_rsel1_3_RNIVSFF2_2)) (portRef A (instanceRef r_a_rsel1_RNIA43Q2_1)) )) (net (rename data1_2 "data1[2]") (joined (portRef (member data1 29)) (portRef A (instanceRef r_a_rsel1_3_RNIVSFF2_2)) (portRef A (instanceRef rfo_m_2)) )) (net N_4582 (joined (portRef Y (instanceRef r_a_rsel1_RNIJ84Q2_1)) (portRef A (instanceRef r_a_rsel1_RNI9T14C2_0)) )) (net N_4646 (joined (portRef Y (instanceRef r_a_rsel1_1_RNI4QN992_1)) (portRef B (instanceRef r_a_rsel1_RNI9T14C2_0)) )) (net N_4579 (joined (portRef Y (instanceRef r_a_rsel1_RNIA43Q2_1)) (portRef A (instanceRef r_a_rsel1_RNI7LNV72_0)) )) (net N_4643 (joined (portRef Y (instanceRef r_a_rsel1_RNIBME552_1)) (portRef B (instanceRef r_a_rsel1_RNI7LNV72_0)) )) (net (rename rsel1_1 "rsel1[1]") (joined (portRef Q (instanceRef r_a_rsel1_1)) (portRef S (instanceRef r_a_rsel1_RNIA63D2_1)) (portRef S (instanceRef r_a_rsel1_RNIDQ5PN4_1)) (portRef S (instanceRef r_a_rsel1_RNI063D2_1)) (portRef S (instanceRef r_a_rsel1_RNI4C0AL3_1)) (portRef S (instanceRef r_a_rsel1_RNI7U3D2_1)) (portRef S (instanceRef r_a_rsel1_RNIM1V667_1)) (portRef S (instanceRef r_a_rsel1_RNI4I3D2_1)) (portRef S (instanceRef r_a_rsel1_RNI4U3D2_1)) (portRef S (instanceRef r_a_rsel1_RNI7O53G5_1)) (portRef S (instanceRef r_a_rsel1_RNINR5557_1)) (portRef S (instanceRef r_a_rsel1_RNI7I3D2_1)) (portRef S (instanceRef r_a_rsel1_RNI83LGR5_1)) (portRef S (instanceRef r_a_rsel1_RNIMK4Q2_1)) (portRef S (instanceRef r_a_rsel1_RNI8UIKD2_1)) (portRef S (instanceRef r_a_rsel1_RNIP05Q2_1)) (portRef S (instanceRef r_a_rsel1_RNIK505C2_1)) (portRef S (instanceRef r_a_rsel1_RNIG4CI2_1)) (portRef S (instanceRef r_a_rsel1_RNIBJRU03_1)) (portRef S (instanceRef r_a_rsel1_RNIA43Q2_1)) (portRef S (instanceRef r_a_rsel1_RNIJ84Q2_1)) (portRef S (instanceRef r_a_rsel1_RNIBME552_1)) )) (net N_4550 (joined (portRef Y (instanceRef r_w_result_RNIU4EA_5)) (portRef B (instanceRef r_a_rsel1_RNIJ84Q2_1)) )) (net N_4547 (joined (portRef Y (instanceRef r_w_result_RNIOCDA_2)) (portRef B (instanceRef r_a_rsel1_RNIA43Q2_1)) )) (net (rename maddress_5 "maddress[5]") (joined (portRef Q (instanceRef r_m_result_5)) (portRef D (instanceRef r_x_result_5)) (portRef A (instanceRef r_w_result_RNIU4EA_5)) (portRef A (instanceRef r_e_op2_RNO_4_5)) (portRef (member maddress 26)) )) (net N_4620 (joined (portRef Y (instanceRef r_a_rsel1_3_RNI9CFG03_2)) (portRef A (instanceRef r_a_rsel1_RNIBJRU03_1)) )) (net N_4524 (joined (portRef Y (instanceRef r_a_rsel1_3_RNIDVSC2_2)) (portRef A (instanceRef r_a_rsel1_RNIG4CI2_1)) )) (net (rename data1_11 "data1[11]") (joined (portRef (member data1 20)) (portRef A (instanceRef r_a_rsel1_3_RNIDVSC2_2)) (portRef A (instanceRef rfo_m_11)) )) (net N_4588 (joined (portRef Y (instanceRef r_a_rsel1_RNIG4CI2_1)) (portRef A (instanceRef r_a_rsel1_RNIDIDH33_0)) )) (net N_4652 (joined (portRef Y (instanceRef r_a_rsel1_RNIBJRU03_1)) (portRef B (instanceRef r_a_rsel1_RNIDIDH33_0)) )) (net N_4556 (joined (portRef Y (instanceRef r_w_result_RNIGA95_11)) (portRef B (instanceRef r_a_rsel1_RNIG4CI2_1)) )) (net (rename maddress_11 "maddress[11]") (joined (portRef Q (instanceRef r_m_result_11)) (portRef D (instanceRef r_x_result_11)) (portRef A (instanceRef r_w_result_RNIGA95_11)) (portRef A (instanceRef r_e_op2_RNO_4_11)) (portRef (member maddress 20)) )) (net N_4616 (joined (portRef Y (instanceRef r_a_rsel1_3_RNI3B5QB2_2)) (portRef A (instanceRef r_a_rsel1_RNIK505C2_1)) )) (net N_4520 (joined (portRef Y (instanceRef r_a_rsel1_3_RNI4HGF2_2)) (portRef A (instanceRef r_a_rsel1_RNIP05Q2_1)) )) (net N_4584 (joined (portRef Y (instanceRef r_a_rsel1_RNIP05Q2_1)) (portRef A (instanceRef r_a_rsel1_RNIV0BVE2_0)) )) (net N_4648 (joined (portRef Y (instanceRef r_a_rsel1_RNIK505C2_1)) (portRef B (instanceRef r_a_rsel1_RNIV0BVE2_0)) )) (net N_4552 (joined (portRef Y (instanceRef r_w_result_RNI2LEA_7)) (portRef B (instanceRef r_a_rsel1_RNIP05Q2_1)) )) (net (rename maddress_7 "maddress[7]") (joined (portRef Q (instanceRef r_m_result_7)) (portRef D (instanceRef r_x_result_7)) (portRef A (instanceRef r_w_result_RNI2LEA_7)) (portRef A (instanceRef r_e_op2_RNO_4_7)) (portRef (member maddress 24)) )) (net N_4615 (joined (portRef Y (instanceRef r_a_rsel1_3_RNIUL09D2_2)) (portRef A (instanceRef r_a_rsel1_RNI8UIKD2_1)) )) (net N_4519 (joined (portRef Y (instanceRef r_a_rsel1_3_RNI3DGF2_2)) (portRef A (instanceRef r_a_rsel1_RNIMK4Q2_1)) )) (net (rename data1_6 "data1[6]") (joined (portRef (member data1 25)) (portRef A (instanceRef r_a_rsel1_3_RNI3DGF2_2)) (portRef B (instanceRef rfo_m_6)) )) (net N_4583 (joined (portRef Y (instanceRef r_a_rsel1_RNIMK4Q2_1)) (portRef A (instanceRef r_a_rsel1_RNIGDTEG2_0)) )) (net N_4647 (joined (portRef Y (instanceRef r_a_rsel1_RNI8UIKD2_1)) (portRef B (instanceRef r_a_rsel1_RNIGDTEG2_0)) )) (net N_4551 (joined (portRef Y (instanceRef r_w_result_RNI0DEA_6)) (portRef B (instanceRef r_a_rsel1_RNIMK4Q2_1)) )) (net (rename maddress_6 "maddress[6]") (joined (portRef Q (instanceRef r_m_result_6)) (portRef D (instanceRef r_x_result_6)) (portRef A (instanceRef r_w_result_RNI0DEA_6)) (portRef A (instanceRef r_e_op2_RNO_4_6)) (portRef (member maddress 25)) )) (net N_4633 (joined (portRef Y (instanceRef r_a_rsel1_3_RNIL903R5_2)) (portRef A (instanceRef r_a_rsel1_RNI83LGR5_1)) )) (net N_4537_i (joined (portRef Y (instanceRef r_a_rsel1_RNI6K8A2_2)) (portRef A (instanceRef r_a_rsel1_RNI7I3D2_1)) )) (net (rename data1_24 "data1[24]") (joined (portRef (member data1 7)) (portRef A (instanceRef r_a_rsel1_RNI6K8A2_2)) (portRef A (instanceRef r_x_data_0_RNI1SEU2_24)) )) (net (rename rsel1_2 "rsel1[2]") (joined (portRef Q (instanceRef r_a_rsel1_2)) (portRef S (instanceRef r_w_result_RNIGRK2_18)) (portRef B (instanceRef r_a_rsel1_RNI7G8A2_2)) (portRef B (instanceRef r_a_rsel1_RNIO8HBN4_2)) (portRef S (instanceRef r_w_result_RNIARK2_15)) (portRef B (instanceRef r_a_rsel1_RNI3G8A2_2)) (portRef B (instanceRef r_a_rsel1_RNIQ4KRK3_2)) (portRef S (instanceRef r_w_result_RNIEBL2_31)) (portRef B (instanceRef r_a_rsel1_RNI6O8A2_2)) (portRef B (instanceRef r_a_rsel1_RNI30AP57_2)) (portRef S (instanceRef r_w_result_RNIC3L2_23)) (portRef S (instanceRef r_w_result_RNICBL2_30)) (portRef B (instanceRef r_a_rsel1_RNI5K8A2_2)) (portRef B (instanceRef r_a_rsel1_RNI5O8A2_2)) (portRef B (instanceRef r_a_rsel1_RNIMUGLF5_2)) (portRef B (instanceRef r_a_rsel1_RNIB4PM47_2)) (portRef S (instanceRef r_w_result_RNIE3L2_24)) (portRef B (instanceRef r_a_rsel1_RNI6K8A2_2)) )) (net N_4601 (joined (portRef Y (instanceRef r_a_rsel1_RNI7I3D2_1)) (portRef A (instanceRef r_a_rsel1_RNI1GUTT5_0)) )) (net N_4665 (joined (portRef Y (instanceRef r_a_rsel1_RNI83LGR5_1)) (portRef B (instanceRef r_a_rsel1_RNI1GUTT5_0)) )) (net N_4569 (joined (portRef Y (instanceRef r_w_result_RNIE3L2_24)) (portRef B (instanceRef r_a_rsel1_RNI7I3D2_1)) )) (net (rename maddress_24 "maddress[24]") (joined (portRef Q (instanceRef r_m_result_24)) (portRef D (instanceRef r_x_result_24)) (portRef A (instanceRef r_w_result_RNIE3L2_24)) (portRef A (instanceRef r_e_op2_RNO_3_24)) (portRef (member maddress 7)) )) (net (rename data1_20 "data1[20]") (joined (portRef (member data1 11)) (portRef A (instanceRef rfo_m_20)) (portRef A (instanceRef r_a_rsel1_0_RNID3TC2_2)) )) (net N_4639 (joined (portRef Y (instanceRef r_a_rsel1_RNIB4PM47_2)) (portRef A (instanceRef r_a_rsel1_RNINR5557_1)) )) (net N_4632 (joined (portRef Y (instanceRef r_a_rsel1_RNIMUGLF5_2)) (portRef A (instanceRef r_a_rsel1_RNI7O53G5_1)) )) (net N_4543_i (joined (portRef Y (instanceRef r_a_rsel1_RNI5O8A2_2)) (portRef A (instanceRef r_a_rsel1_RNI4U3D2_1)) )) (net (rename data1_30 "data1[30]") (joined (portRef (member data1 1)) (portRef A (instanceRef r_a_rsel1_RNI5O8A2_2)) (portRef A (instanceRef rfo_m_30)) )) (net N_4536 (joined (portRef Y (instanceRef r_a_rsel1_RNI5K8A2_2)) (portRef A (instanceRef r_a_rsel1_RNI4I3D2_1)) )) (net (rename data1_23 "data1[23]") (joined (portRef (member data1 8)) (portRef A (instanceRef r_a_rsel1_RNI5K8A2_2)) (portRef A (instanceRef rfo_m_23)) )) (net N_4607 (joined (portRef Y (instanceRef r_a_rsel1_RNI4U3D2_1)) (portRef A (instanceRef r_a_rsel1_RNIDKFI77_0)) )) (net N_4671 (joined (portRef Y (instanceRef r_a_rsel1_RNINR5557_1)) (portRef B (instanceRef r_a_rsel1_RNIDKFI77_0)) )) (net N_4600 (joined (portRef Y (instanceRef r_a_rsel1_RNI4I3D2_1)) (portRef A (instanceRef r_a_rsel1_RNIT4FGI5_0)) )) (net N_4664 (joined (portRef Y (instanceRef r_a_rsel1_RNI7O53G5_1)) (portRef B (instanceRef r_a_rsel1_RNIT4FGI5_0)) )) (net N_4575 (joined (portRef Y (instanceRef r_w_result_RNICBL2_30)) (portRef B (instanceRef r_a_rsel1_RNI4U3D2_1)) )) (net N_4568 (joined (portRef Y (instanceRef r_w_result_RNIC3L2_23)) (portRef B (instanceRef r_a_rsel1_RNI4I3D2_1)) )) (net (rename maddress_30 "maddress[30]") (joined (portRef Q (instanceRef r_m_result_30)) (portRef D (instanceRef r_x_result_30)) (portRef A (instanceRef r_w_result_RNICBL2_30)) (portRef A (instanceRef r_e_op2_RNO_4_30)) (portRef (member maddress 1)) )) (net (rename maddress_23 "maddress[23]") (joined (portRef Q (instanceRef r_m_result_23)) (portRef D (instanceRef r_x_result_23)) (portRef A (instanceRef r_w_result_RNIC3L2_23)) (portRef A (instanceRef r_e_op2_RNO_4_23)) (portRef (member maddress 8)) )) (net (rename rd_3_3 "rd_3[3]") (joined (portRef Q (instanceRef r_x_ctrl_rd_3)) (portRef B (instanceRef r_x_ctrl_rd_RNIDHCQT_3)) (portRef A (instanceRef r_x_ctrl_rd_RNIHVH6_3)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef Y (instanceRef r_x_ctrl_rd_RNIDHCQT_3)) (portRef (member waddr 3)) )) (net (rename cpi_m_0_390 "cpi_m_0[390]") (joined (portRef Y (instanceRef r_x_rstate_RNINRUM1_0)) (portRef C (instanceRef r_x_ctrl_rd_RNIDHCQT_3)) )) (net (rename aop1_30 "aop1[30]") (joined (portRef Y (instanceRef r_e_op1_RNO_30)) (portRef D (instanceRef r_e_op1_30)) )) (net N_4640 (joined (portRef Y (instanceRef r_a_rsel1_RNI30AP57_2)) (portRef A (instanceRef r_a_rsel1_RNIM1V667_1)) )) (net N_4544_i (joined (portRef Y (instanceRef r_a_rsel1_RNI6O8A2_2)) (portRef A (instanceRef r_a_rsel1_RNI7U3D2_1)) )) (net N_4608 (joined (portRef Y (instanceRef r_a_rsel1_RNI7U3D2_1)) (portRef A (instanceRef r_a_rsel1_RNIFQ8K87_0)) )) (net N_4672 (joined (portRef Y (instanceRef r_a_rsel1_RNIM1V667_1)) (portRef B (instanceRef r_a_rsel1_RNIFQ8K87_0)) )) (net N_4576 (joined (portRef Y (instanceRef r_w_result_RNIEBL2_31)) (portRef B (instanceRef r_a_rsel1_RNI7U3D2_1)) )) (net (rename maddress_31 "maddress[31]") (joined (portRef Q (instanceRef r_m_result_31)) (portRef D (instanceRef r_x_result_31)) (portRef A (instanceRef r_w_result_RNIEBL2_31)) (portRef A (instanceRef r_e_op2_RNO_4_31)) (portRef (member maddress 0)) )) (net (rename daddr_17 "daddr[17]") (joined (portRef (member daddr 6)) (portRef C (instanceRef r_m_dci_enaddr_RNIGSR02)) )) (net (rename daddr_16 "daddr[16]") (joined (portRef (member daddr 7)) (portRef C (instanceRef r_m_dci_enaddr_RNIFSR02)) )) (net (rename data1_26 "data1[26]") (joined (portRef (member data1 5)) (portRef A (instanceRef rfo_m_26)) (portRef A (instanceRef r_a_rsel1_2_RNIL3TC2_2)) )) (net N_3878 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIR1N9_25)) (portRef A (instanceRef r_x_npc_RNIERDL_0)) )) (net N_3908 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIC1N9_25)) (portRef B (instanceRef r_x_npc_RNIERDL_0)) )) (net N_3876 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNINHM9_23)) (portRef A (instanceRef r_x_npc_RNI6RCL_0)) )) (net N_3906 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI8HM9_23)) (portRef B (instanceRef r_x_npc_RNI6RCL_0)) )) (net (rename pc_0_6 "pc_0[6]") (joined (portRef Q (instanceRef r_a_ctrl_pc_6)) (portRef B (instanceRef un6_fe_npc_1_I_20)) (portRef C (instanceRef un6_fe_npc_1_I_23)) (portRef B (instanceRef un6_fe_npc_1_I_27)) (portRef B (instanceRef un6_fe_npc_1_I_34)) (portRef D (instanceRef r_e_ctrl_pc_6)) (portRef B (instanceRef r_m_ctrl_pc_RNIAMF8_6)) (portRef A (instanceRef ir_addr_RNO_5_6)) )) (net (rename pc_2_6 "pc_2[6]") (joined (portRef Q (instanceRef r_m_ctrl_pc_6)) (portRef D (instanceRef r_x_ctrl_pc_6)) (portRef A (instanceRef r_m_ctrl_pc_RNIAMF8_6)) (portRef A (instanceRef ir_addr_RNO_4_6)) )) (net (rename pc_3_6 "pc_3[6]") (joined (portRef Q (instanceRef r_x_ctrl_pc_6)) (portRef A (instanceRef r_x_ctrl_pc_RNIPMF8_6)) (portRef A (instanceRef r_x_ctrl_pc_RNIAFV2S_6)) (portRef A (instanceRef ir_addr_RNO_3_6)) )) (net N_5292 (joined (portRef Y (instanceRef r_x_data_0_RNIIRG8_13)) (portRef B (instanceRef r_e_op2_RNI1VIF_13)) )) (net (rename daddr_8 "daddr[8]") (joined (portRef (member daddr 15)) (portRef C (instanceRef r_m_dci_enaddr_RNIUOL32)) (portRef B (instanceRef r_x_rstate_RNIQ7VM1_0)) (portRef B (instanceRef r_a_rfa1_RNI78534_6)) )) (net (rename rd_1_6 "rd_1[6]") (joined (portRef Q (instanceRef r_x_ctrl_rd_6)) (portRef A (instanceRef r_x_ctrl_rd_RNINVH6_6)) (portRef A (instanceRef r_x_ctrl_rd_RNIPLD3S_6)) )) (net N_3859 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIPMF8_6)) (portRef A (instanceRef r_x_npc_RNIA5VI_0)) )) (net N_3889 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIAMF8_6)) (portRef B (instanceRef r_x_npc_RNIA5VI_0)) )) (net N_3857 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNILMF8_4)) (portRef A (instanceRef r_x_npc_RNI25VI_0)) )) (net N_3887 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI6MF8_4)) (portRef B (instanceRef r_x_npc_RNI25VI_0)) )) (net N_3913 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNI4PL9_30)) (portRef B (instanceRef r_x_npc_RNIJR5R_0)) )) (net (rename y_3_24 "y_3[24]") (joined (portRef Q (instanceRef r_x_y_24)) (portRef B (instanceRef r_w_s_y_RNO_3_24)) (portRef B (instanceRef r_m_y_RNO_4_24)) )) (net (rename data1_7 "data1[7]") (joined (portRef (member data1 24)) (portRef A (instanceRef rfo_m_7)) (portRef A (instanceRef r_a_rsel1_3_RNI4HGF2_2)) )) (net (rename data1_31 "data1[31]") (joined (portRef (member data1 0)) (portRef A (instanceRef rfo_m_31)) (portRef A (instanceRef r_a_rsel1_RNI6O8A2_2)) )) (net (rename cwp_1_0_2 "cwp_1_0[2]") (joined (portRef Y (instanceRef r_d_cwp_RNO_2)) (portRef D (instanceRef r_d_cwp_2)) )) (net N_5116 (joined (portRef Y (instanceRef r_d_cwp_RNO_0_2)) (portRef A (instanceRef r_d_cwp_RNO_2)) )) (net (rename cwp_1_0_1 "cwp_1_0[1]") (joined (portRef Y (instanceRef r_d_cwp_RNO_1)) (portRef D (instanceRef r_d_cwp_1)) )) (net N_5115 (joined (portRef Y (instanceRef r_d_cwp_RNO_0_1)) (portRef A (instanceRef r_d_cwp_RNO_1)) )) (net N_5107 (joined (portRef Y (instanceRef r_d_cwp_RNO_1_2)) (portRef B (instanceRef r_d_cwp_RNO_0_2)) )) (net N_5106 (joined (portRef Y (instanceRef r_d_cwp_RNO_1_1)) (portRef B (instanceRef r_d_cwp_RNO_0_1)) )) (net N_4948 (joined (portRef Y (instanceRef r_w_s_wim_RNI4A9TT_0)) (portRef A (instanceRef r_w_s_wim_RNIPLAC01_0)) )) (net (rename cpi_m_0_392 "cpi_m_0[392]") (joined (portRef Y (instanceRef r_x_rstate_RNICQKB_0)) (portRef C (instanceRef r_x_result_RNIBD4NS_0)) )) (net (rename data1_3 "data1[3]") (joined (portRef (member data1 28)) (portRef A (instanceRef rfo_m_3)) (portRef A (instanceRef r_a_rsel1_0_RNIT0GF2_2)) )) (net wovf_exc_1_sqmuxa (joined (portRef Y (instanceRef r_a_wunf_RNO)) (portRef D (instanceRef r_a_wunf)) )) (net wovf_exc_0_sqmuxa_1_i (joined (portRef Y (instanceRef r_a_wovf_RNO)) (portRef D (instanceRef r_a_wovf)) )) (net (rename rd_2_6 "rd_2[6]") (joined (portRef Q (instanceRef r_e_ctrl_rd_6)) (portRef D (instanceRef r_m_ctrl_rd_6)) (portRef A (instanceRef r_e_ctrl_rd_RNI0O544_6)) (portRef A (instanceRef r_e_ctrl_rd_RNI9S6A2_6)) (portRef B (instanceRef r_x_ctrl_rd_RNINVH6_6)) )) (net (rename rd_1_4 "rd_1[4]") (joined (portRef Q (instanceRef r_x_ctrl_rd_4)) (portRef A (instanceRef r_x_ctrl_rd_RNINLD3S_4)) (portRef A (instanceRef r_x_ctrl_rd_RNIJVH6_4)) )) (net (rename rd_2_4 "rd_2[4]") (joined (portRef Q (instanceRef r_e_ctrl_rd_4)) (portRef D (instanceRef r_m_ctrl_rd_4)) (portRef A (instanceRef r_e_ctrl_rd_RNIJ4VV3_4)) (portRef A (instanceRef r_e_ctrl_rd_RNIHLP12_4)) (portRef B (instanceRef r_x_ctrl_rd_RNIJVH6_4)) )) (net (rename raddr2_6 "raddr2[6]") (joined (portRef Y (instanceRef r_a_rfa2_RNIRO2G4_6)) (portRef (member raddr2 0)) )) (net (rename raddr2_5 "raddr2[5]") (joined (portRef Y (instanceRef r_a_rfa2_RNI4BVD4_5)) (portRef (member raddr2 1)) )) (net (rename raddr2_4 "raddr2[4]") (joined (portRef Y (instanceRef r_a_rfa2_RNIETRB4_4)) (portRef (member raddr2 2)) )) (net N_4624 (joined (portRef Y (instanceRef r_a_rsel1_RNIQ4KRK3_2)) (portRef A (instanceRef r_a_rsel1_RNI4C0AL3_1)) )) (net N_4528_i (joined (portRef Y (instanceRef r_a_rsel1_RNI3G8A2_2)) (portRef A (instanceRef r_a_rsel1_RNI063D2_1)) )) (net (rename data1_15 "data1[15]") (joined (portRef (member data1 16)) (portRef A (instanceRef r_a_rsel1_RNI3G8A2_2)) (portRef A (instanceRef rfo_m_15)) )) (net N_4592 (joined (portRef Y (instanceRef r_a_rsel1_RNI063D2_1)) (portRef A (instanceRef r_a_rsel1_RNIMC9NN3_0)) )) (net N_4656 (joined (portRef Y (instanceRef r_a_rsel1_RNI4C0AL3_1)) (portRef B (instanceRef r_a_rsel1_RNIMC9NN3_0)) )) (net N_4560 (joined (portRef Y (instanceRef r_w_result_RNIARK2_15)) (portRef B (instanceRef r_a_rsel1_RNI063D2_1)) )) (net (rename maddress_15 "maddress[15]") (joined (portRef Q (instanceRef r_m_result_15)) (portRef D (instanceRef r_x_result_15)) (portRef A (instanceRef r_w_result_RNIARK2_15)) (portRef A (instanceRef r_e_op2_RNO_4_15)) (portRef (member maddress 16)) )) (net N_3868 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIP1N9_15)) (portRef A (instanceRef r_x_npc_RNIARDL_0)) )) (net N_3898 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIA1N9_15)) (portRef B (instanceRef r_x_npc_RNIARDL_0)) )) (net N_4817 (joined (portRef Y (instanceRef r_e_op2_RNO_5_8)) (portRef A (instanceRef r_e_op2_RNO_2_8)) )) (net (rename tba_1_12 "tba_1[12]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_12)) (portRef D (instanceRef r_w_s_tba_12)) )) (net N_4978 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_12)) (portRef A (instanceRef r_w_s_tba_RNO_12)) )) (net N_4164 (joined (portRef Y (instanceRef r_e_aluop_RNI38RI2_1)) (portRef A (instanceRef r_e_aluop_RNI3VD35_0)) )) (net N_4132 (joined (portRef Y (instanceRef r_e_aluop_RNI2KD91_2)) (portRef A (instanceRef r_e_aluop_RNI38RI2_1)) )) (net N_4141 (joined (portRef Y (instanceRef r_e_aluop_RNID7HP1_1)) (portRef A (instanceRef r_e_aluop_RNINTPG3_0)) )) (net N_4109 (joined (portRef Y (instanceRef r_e_aluop_RNINJOS_2)) (portRef A (instanceRef r_e_aluop_RNID7HP1_1)) )) (net (rename logicout_3_1 "logicout_3[1]") (joined (portRef Y (instanceRef r_e_op2_RNI3OSK_0_1)) (portRef B (instanceRef r_e_aluop_RNID7HP1_1)) )) (net N_5297 (joined (portRef Y (instanceRef r_x_data_0_RNINRG8_18)) (portRef B (instanceRef r_e_op2_RNIBJJF_18)) )) (net N_4627 (joined (portRef Y (instanceRef r_a_rsel1_RNIO8HBN4_2)) (portRef A (instanceRef r_a_rsel1_RNIDQ5PN4_1)) )) (net N_4531_i (joined (portRef Y (instanceRef r_a_rsel1_RNI7G8A2_2)) (portRef A (instanceRef r_a_rsel1_RNIA63D2_1)) )) (net (rename data1_18 "data1[18]") (joined (portRef (member data1 13)) (portRef A (instanceRef r_a_rsel1_RNI7G8A2_2)) (portRef A (instanceRef rfo_m_18)) )) (net (rename tba_1_6 "tba_1[6]") (joined (portRef Y (instanceRef r_w_s_tba_RNO_6)) (portRef D (instanceRef r_w_s_tba_6)) )) (net N_4972 (joined (portRef Y (instanceRef r_w_s_tba_RNO_0_6)) (portRef A (instanceRef r_w_s_tba_RNO_6)) )) (net N_4595 (joined (portRef Y (instanceRef r_a_rsel1_RNIA63D2_1)) (portRef A (instanceRef r_a_rsel1_RNI9RE6Q4_0)) )) (net N_4659 (joined (portRef Y (instanceRef r_a_rsel1_RNIDQ5PN4_1)) (portRef B (instanceRef r_a_rsel1_RNI9RE6Q4_0)) )) (net N_4563 (joined (portRef Y (instanceRef r_w_result_RNIGRK2_18)) (portRef B (instanceRef r_a_rsel1_RNIA63D2_1)) )) (net (rename maddress_18 "maddress[18]") (joined (portRef Q (instanceRef r_m_result_18)) (portRef D (instanceRef r_x_result_18)) (portRef A (instanceRef r_w_result_RNIGRK2_18)) (portRef A (instanceRef r_e_op2_RNO_4_18)) (portRef (member maddress 13)) )) (net (rename pc_m_18 "pc_m[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_9_18)) (portRef B (instanceRef r_f_pc_RNO_4_18)) )) (net (rename fpc_18 "fpc[18]") (joined (portRef Q (instanceRef r_f_pc_18)) (portRef B (instanceRef un6_fe_npc_0_I_98)) (portRef B (instanceRef un6_fe_npc_0_I_101)) (portRef B (instanceRef un6_fe_npc_0_I_108)) (portRef D (instanceRef r_d_pc_18)) (portRef A (instanceRef r_f_pc_RNO_15_18)) (portRef B (instanceRef r_f_pc_RNO_9_18)) (portRef B (instanceRef r_f_pc_RNIP7741_18)) (portRef (member fpc 13)) )) (net N_4158 (joined (portRef Y (instanceRef r_e_aluop_RNIDG3A2_1)) (portRef A (instanceRef r_e_aluop_RNINFUH4_0)) )) (net N_4126 (joined (portRef Y (instanceRef r_e_aluop_RNI7O151_2)) (portRef A (instanceRef r_e_aluop_RNIDG3A2_1)) )) (net (rename logicout_3_18 "logicout_3[18]") (joined (portRef Y (instanceRef r_e_op2_RNIJS5T_0_18)) (portRef B (instanceRef r_e_aluop_RNIDG3A2_1)) )) (net N_5293 (joined (portRef Y (instanceRef r_x_data_0_RNIJRG8_14)) (portRef B (instanceRef r_e_op2_RNI33JF_14)) )) (net (rename inst_0_RNO_14 "inst_0_RNO[14]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_14)) (portRef D (instanceRef r_d_inst_0_14)) )) (net (rename inst_0_RNO_0_14 "inst_0_RNO_0[14]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_14)) (portRef B (instanceRef r_d_inst_0_RNO_14)) )) (net (rename inst_0_RNO_10 "inst_0_RNO[10]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_10)) (portRef D (instanceRef r_d_inst_0_10)) )) (net (rename inst_0_RNO_0_10 "inst_0_RNO_0[10]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_10)) (portRef B (instanceRef r_d_inst_0_RNO_10)) )) (net (rename pc_4_18 "pc_4[18]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_18)) (portRef B (instanceRef r_f_pc_RNO_1_18)) )) (net (rename un6_fe_npc3_16 "un6_fe_npc3[16]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_98)) (portRef A (instanceRef r_f_pc_RNO_10_18)) (portRef A (instanceRef r_f_pc_RNO_6_18)) )) (net N_5204 (joined (portRef Y (instanceRef r_f_pc_RNO_11_18)) (portRef B (instanceRef r_f_pc_RNO_6_18)) )) (net (rename un6_fe_npc3_9 "un6_fe_npc3[9]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_52)) (portRef A (instanceRef r_e_bp_RNICI3AJ1)) (portRef A (instanceRef r_f_pc_RNO_6_11)) (portRef A (instanceRef r_f_pc_RNIJB8D31_11)) )) (net N_5197 (joined (portRef Y (instanceRef r_f_pc_RNIOE4FU_11)) (portRef B (instanceRef r_f_pc_RNIJB8D31_11)) )) (net N_5174 (joined (portRef Y (instanceRef r_f_pc_RNO_15_18)) (portRef A (instanceRef r_f_pc_RNO_11_18)) )) (net N_5167 (joined (portRef Y (instanceRef r_f_pc_RNI59ARP_11)) (portRef A (instanceRef r_f_pc_RNIOE4FU_11)) )) (net (rename pc_3_14 "pc_3[14]") (joined (portRef Q (instanceRef r_e_ctrl_pc_14)) (portRef D (instanceRef r_m_ctrl_pc_14)) (portRef B (instanceRef r_x_ctrl_pc_RNINPM9_14)) (portRef A (instanceRef r_e_ctrl_pc_RNIN7KL_14)) (portRef A (instanceRef ir_addr_RNO_6_14)) )) (net (rename un6_ex_add_res_m_15 "un6_ex_add_res_m[15]") (joined (portRef Y (instanceRef r_e_ldbp2_1_RNICIAD81)) (portRef B (instanceRef r_e_ldbp2_1_RNINP5NF3)) )) (net N_4154 (joined (portRef Y (instanceRef r_e_aluop_RNIDF1A2_1)) (portRef A (instanceRef r_e_aluop_RNINDQH4_0)) )) (net N_4122 (joined (portRef Y (instanceRef r_e_aluop_RNINN051_2)) (portRef A (instanceRef r_e_aluop_RNIDF1A2_1)) )) (net (rename logicout_3_14 "logicout_3[14]") (joined (portRef Y (instanceRef r_e_op2_RNI3S4T_0_14)) (portRef B (instanceRef r_e_aluop_RNIDF1A2_1)) )) (net N_5288 (joined (portRef Y (instanceRef r_x_data_0_RNIJN43_9)) (portRef B (instanceRef r_e_op2_RNINDD6_9)) )) (net (rename inst_0_RNO_19 "inst_0_RNO[19]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_19)) (portRef D (instanceRef r_d_inst_0_19)) )) (net (rename inst_0_RNO_0_19 "inst_0_RNO_0[19]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_19)) (portRef B (instanceRef r_d_inst_0_RNO_19)) )) (net (rename inst_0_RNO_18 "inst_0_RNO[18]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_18)) (portRef D (instanceRef r_d_inst_0_18)) )) (net (rename inst_0_RNO_0_18 "inst_0_RNO_0[18]") (joined (portRef Y (instanceRef r_d_inst_0_RNO_0_18)) (portRef B (instanceRef r_d_inst_0_RNO_18)) )) (net (rename tt_RNO_4 "tt_RNO[4]") (joined (portRef Y (instanceRef dsur_tt_RNO_4)) (portRef D (instanceRef dsur_tt_4)) )) (net (rename tt_RNO_0_4 "tt_RNO_0[4]") (joined (portRef Y (instanceRef dsur_tt_RNO_0_4)) (portRef B (instanceRef dsur_tt_RNO_4)) )) (net N_5195 (joined (portRef Y (instanceRef r_f_pc_RNIGCASM_9)) (portRef B (instanceRef r_f_pc_RNIU4OIR_9)) )) (net N_5165 (joined (portRef Y (instanceRef r_f_pc_RNIIMNBI_9)) (portRef A (instanceRef r_f_pc_RNIGCASM_9)) )) (net (rename daddr_9 "daddr[9]") (joined (portRef (member daddr 14)) (portRef C (instanceRef r_m_dci_enaddr_RNIVSL32)) )) (net N_4149 (joined (portRef Y (instanceRef r_e_aluop_RNITPA51_1)) (portRef A (instanceRef r_e_aluop_RNIN2D82_0)) )) (net N_4117 (joined (portRef Y (instanceRef r_e_aluop_RNIVCLI_2)) (portRef A (instanceRef r_e_aluop_RNITPA51_1)) )) (net (rename logicout_3_9 "logicout_3[9]") (joined (portRef Y (instanceRef r_e_op2_RNIBHPA_0_9)) (portRef B (instanceRef r_e_aluop_RNITPA51_1)) )) (net N_3882 (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI32O9_29)) (portRef A (instanceRef r_x_npc_RNIURFL_0)) )) (net N_3912 (joined (portRef Y (instanceRef r_m_ctrl_pc_RNIK1O9_29)) (portRef B (instanceRef r_x_npc_RNIURFL_0)) )) (net (rename fpc_22 "fpc[22]") (joined (portRef Q (instanceRef r_f_pc_22)) (portRef B (instanceRef un6_fe_npc_0_I_129)) (portRef C (instanceRef un6_fe_npc_0_I_132)) (portRef D (instanceRef r_d_pc_22)) (portRef B (instanceRef r_f_pc_RNO_9_22)) (portRef A (instanceRef r_f_pc_RNO_15_22)) (portRef B (instanceRef r_f_pc_RNIEVMA2_22)) (portRef (member fpc 9)) )) (net (rename data1_8 "data1[8]") (joined (portRef (member data1 23)) (portRef A (instanceRef rfo_m_8)) (portRef A (instanceRef r_a_rsel1_1_RNI3LGF2_2)) )) (net N_4148 (joined (portRef Y (instanceRef r_e_aluop_RNI5PKP1_1)) (portRef A (instanceRef r_e_aluop_RNI711H3_0)) )) (net N_4116 (joined (portRef Y (instanceRef r_e_aluop_RNIJCQS_2)) (portRef A (instanceRef r_e_aluop_RNI5PKP1_1)) )) (net (rename logicout_3_8 "logicout_3[8]") (joined (portRef Y (instanceRef r_e_op2_RNIVGUK_0_8)) (portRef B (instanceRef r_e_aluop_RNI5PKP1_1)) )) (net lock (joined (portRef Y (instanceRef r_m_dci_lock_RNI09G7)) (portRef lock) )) (net lock_1 (joined (portRef Q (instanceRef r_m_dci_lock)) (portRef A (instanceRef r_m_dci_lock_RNI09G7)) )) (net N_4952 (joined (portRef Y (instanceRef r_w_s_wim_RNICQ9TT_4)) (portRef A (instanceRef r_w_s_wim_RNI56BC01_4)) )) (net N_4157 (joined (portRef Y (instanceRef r_e_aluop_RNILFDU2_1)) (portRef A (instanceRef r_e_aluop_RNI7EIQ5_0)) )) (net N_4156 (joined (portRef Y (instanceRef r_e_aluop_RNIJVCU2_1)) (portRef A (instanceRef r_e_aluop_RNI3EHQ5_0)) )) (net N_4144 (joined (portRef Y (instanceRef r_e_aluop_RNI5OIP1_1)) (portRef A (instanceRef r_e_aluop_RNI7VSG3_0)) )) (net N_4125 (joined (portRef Y (instanceRef r_e_aluop_RNIRN6F1_2)) (portRef A (instanceRef r_e_aluop_RNILFDU2_1)) )) (net (rename logicout_3_17 "logicout_3[17]") (joined (portRef Y (instanceRef r_e_op2_RNI7SA71_0_17)) (portRef B (instanceRef r_e_aluop_RNILFDU2_1)) )) (net N_4124 (joined (portRef Y (instanceRef r_e_aluop_RNIQF6F1_2)) (portRef A (instanceRef r_e_aluop_RNIJVCU2_1)) )) (net (rename logicout_3_16 "logicout_3[16]") (joined (portRef Y (instanceRef r_e_op2_RNI6KA71_0_16)) (portRef B (instanceRef r_e_aluop_RNIJVCU2_1)) )) (net N_4112 (joined (portRef Y (instanceRef r_e_aluop_RNI3CPS_2)) (portRef A (instanceRef r_e_aluop_RNI5OIP1_1)) )) (net (rename logicout_3_4 "logicout_3[4]") (joined (portRef Y (instanceRef r_e_op2_RNIFGTK_0_4)) (portRef B (instanceRef r_e_aluop_RNI5OIP1_1)) )) (net N_5294 (joined (portRef Y (instanceRef r_x_data_0_RNIKRG8_15)) (portRef B (instanceRef r_e_op2_RNI57JF_15)) )) (net N_4809 (joined (portRef Y (instanceRef r_a_rsel2_RNI8M8SP1_2)) (portRef A (instanceRef r_a_rsel2_RNI7EP7Q1_1)) )) (net N_4777 (joined (portRef Y (instanceRef r_a_rsel2_RNII8ML2_1)) (portRef A (instanceRef r_a_rsel2_RNICHLTS1_0)) )) (net N_4841 (joined (portRef Y (instanceRef r_a_rsel2_RNI7EP7Q1_1)) (portRef B (instanceRef r_a_rsel2_RNICHLTS1_0)) )) (net N_4713 (joined (portRef Y (instanceRef r_a_imm_RNIN0OD2_0)) (portRef A (instanceRef r_a_rsel2_RNII8ML2_1)) )) (net N_4745 (joined (portRef Y (instanceRef r_w_result_RNI7DO7_0)) (portRef B (instanceRef r_a_rsel2_RNII8ML2_1)) )) (net (rename data2_0 "data2[0]") (joined (portRef (member data2 31)) (portRef A (instanceRef r_a_imm_RNIN0OD2_0)) )) (net (rename imm_0 "imm[0]") (joined (portRef Q (instanceRef r_a_imm_0)) (portRef B (instanceRef r_a_imm_RNIN0OD2_0)) )) (net N_4155 (joined (portRef Y (instanceRef r_e_aluop_RNILV1A2_1)) (portRef A (instanceRef r_e_aluop_RNI7ERH4_0)) )) (net N_4123 (joined (portRef Y (instanceRef r_e_aluop_RNIRV051_2)) (portRef A (instanceRef r_e_aluop_RNILV1A2_1)) )) (net (rename logicout_3_15 "logicout_3[15]") (joined (portRef Y (instanceRef r_e_op2_RNI745T_0_15)) (portRef B (instanceRef r_e_aluop_RNILV1A2_1)) )) (net (rename inst_0_3 "inst_0[3]") (joined (portRef Q (instanceRef r_d_inst_0_3)) (portRef B (instanceRef r_d_inst_0_RNO_0_3)) (portRef A (instanceRef r_d_inst_0_RNITCAI_3)) )) (net (rename inst_0_0 "inst_0[0]") (joined (portRef Q (instanceRef r_d_inst_0_0)) (portRef B (instanceRef r_d_inst_0_RNO_0_0)) (portRef A (instanceRef r_d_inst_0_RNIQ0AI_0)) )) (net (rename raddr2_2 "raddr2[2]") (joined (portRef Y (instanceRef r_a_rfa2_RNI5PF11_2)) (portRef (member raddr2 4)) )) (net (rename pc_4_26 "pc_4[26]") (joined (portRef Y (instanceRef r_f_pc_RNO_6_26)) (portRef B (instanceRef r_f_pc_RNO_1_26)) )) (net (rename un6_fe_npc3_24 "un6_fe_npc3[24]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_166)) (portRef A (instanceRef r_f_pc_RNO_10_26)) (portRef A (instanceRef r_f_pc_RNO_6_26)) )) (net N_5212 (joined (portRef Y (instanceRef r_f_pc_RNO_11_26)) (portRef B (instanceRef r_f_pc_RNO_6_26)) )) (net wicc_1_2 (joined (portRef Y (instanceRef r_e_ctrl_wicc_RNO)) (portRef D (instanceRef r_e_ctrl_wicc)) )) (net wreg_1_7 (joined (portRef Y (instanceRef r_e_ctrl_wreg_RNO)) (portRef D (instanceRef r_e_ctrl_wreg)) )) (net ren2 (joined (portRef Y (instanceRef r_a_rfe2_RNISE0OL1)) (portRef A (instanceRef r_a_rfe2_RNO)) (portRef ren2) )) (net (rename un6_fe_npc3_8 "un6_fe_npc3[8]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_45)) (portRef A (instanceRef r_e_bp_RNI5E86J1)) (portRef A (instanceRef r_f_pc_RNO_6_10)) (portRef A (instanceRef r_f_pc_RNI1PCVU_10)) )) (net N_5196 (joined (portRef Y (instanceRef r_f_pc_RNID045Q_10)) (portRef B (instanceRef r_f_pc_RNI1PCVU_10)) )) (net N_5166 (joined (portRef Y (instanceRef r_f_pc_RNILITIL_10)) (portRef A (instanceRef r_f_pc_RNID045Q_10)) )) (net wreg_1_8 (joined (portRef Y (instanceRef r_m_ctrl_wreg_RNO)) (portRef D (instanceRef r_m_ctrl_wreg)) )) (net I168_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I168_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I168_Y)) )) (net N398_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I13_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I61_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I111_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I114_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I115_Y)) )) (net N480 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I63_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I118_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I119_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I115_Y)) )) (net N475_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I58_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I110_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I114_Y)) )) (net I114_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I114_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I114_Y)) )) (net N479_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I62_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I118_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I114_un1_Y)) )) (net N472 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I55_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I107_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I110_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I111_Y)) )) (net N471 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I54_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I106_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I110_Y)) )) (net N468 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I51_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I103_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I106_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I107_Y)) )) (net N464_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I47_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I102_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I99_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I103_Y)) )) (net N460_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I43_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I98_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I95_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I99_Y)) )) (net N456 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I39_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I91_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I94_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I95_Y)) )) (net N395 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I12_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I61_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I63_Y)) )) (net N397_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I13_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I58_Y)) )) (net N362 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I1_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I83_Y)) )) (net N361 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I1_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I82_Y)) )) (net N383 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I8_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I69_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I70_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I71_Y)) )) (net I223_un1_Y_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I223_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I223_Y)) )) (net I185_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I185_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I185_Y)) )) (net N539 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I119_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I165_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I172_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I173_Y)) )) (net N538_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I118_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I164_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I172_Y)) )) (net I164_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I164_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I164_Y)) )) (net N483 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I66_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I122_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I118_Y)) )) (net N463_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I46_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I98_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I102_Y)) )) (net N391 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I11_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I64_Y_0)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I220_Y_0_o3)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I62_Y)) )) (net N419_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I20_P0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I45_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I47_Y)) )) (net N415_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I19_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I48_Y_0_o3)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I46_Y)) )) (net N608_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I182_Y_0_o3)) (portRef A (instanceRef r_x_rstate_0_RNITFU3L1_1)) (portRef A (instanceRef r_f_pc_RNO_5_10)) )) (net N376 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I6_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I72_Y_0)) )) (net N388 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I10_G0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I66_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I64_Y_0)) )) (net N400_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I14_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I56_Y_0)) )) (net N373 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I5_G0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I74_Y)) )) (net I66_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I66_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I66_Y)) )) (net N410_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I17_P0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I53_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I51_Y)) )) (net N434 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I25_P0N)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I36_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I35_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I37_Y)) )) (net I221_un1_Y_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I221_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I221_Y)) )) (net N452_2 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I35_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I87_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I90_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I91_Y)) )) (net N433_0 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I25_G0N)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I36_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I34_Y)) )) (net N443_1 (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I28_P0N)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I30_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I31_Y)) )) (net I184_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I184_un1_Y)) (portRef C (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I184_Y)) )) (net I178_un1_Y (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I178_un1_Y)) (portRef B (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I178_Y)) )) (net I36_un1_Y_i (joined (portRef Y (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I36_un1_Y)) (portRef A (instanceRef comb_branch_address_tmp_ADD_30x30_fast_I36_Y)) )) (net N540_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I81_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I139_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I143_Y)) )) (net I143_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I143_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I143_Y)) )) (net N425_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I10_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I132_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I75_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I135_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I136_Y)) )) (net N537_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I78_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I139_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I140_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I136_Y)) )) (net I135_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I135_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I135_Y)) )) (net N536_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I77_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I139_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I135_un1_Y)) )) (net N529_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I70_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I132_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I128_Y)) )) (net N525_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I66_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I127_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I128_Y)) )) (net I127_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I127_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I127_Y)) )) (net I103_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I103_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I103_Y)) )) (net I81_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I81_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I81_Y)) )) (net N517_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I58_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I116_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I119_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I120_Y)) )) (net N520_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I61_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I123_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I119_Y)) )) (net N516_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I57_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I115_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I119_Y)) )) (net N434_0 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I13_P0N)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I70_Y)) )) (net N602_1 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I139_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I191_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I199_Y)) )) (net N595_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I132_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I184_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I191_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I192_Y)) )) (net I191_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I191_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I191_Y)) )) (net N594_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I131_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I183_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I191_Y)) )) (net I183_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I183_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I183_Y)) )) (net N586_2 (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I123_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I175_Y)) (portRef B (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I183_Y)) )) (net I139_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I139_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d2_ADD_33x33_fast_I139_Y)) )) (net N479_2 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I28_P0N)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I38_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I39_Y_0_o3)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I40_Y_i_o3)) )) (net N476_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I27_P0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I41_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I42_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I40_Y_i_o3)) )) (net N475_2 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I27_G0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I41_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I39_Y_0_o3)) )) (net N478_2 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I28_G0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I37_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I39_Y_0_o3)) )) (net N_53_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I63_Y_0_a3)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I121_un1_Y)) )) (net N437_2 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I14_P0N)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I67_un1_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I68_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I66_Y)) )) (net I41_un1_Y_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I41_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I41_Y)) )) (net N482_0 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I29_P0N)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I38_Y)) (portRef C (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I36_Y)) )) (net I181_un1_Y_i (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I181_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I181_Y)) )) (net ADD_33x33_fast_I173_un1_Y (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I173_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I173_Y)) )) (net N561_1 (joined (portRef Y (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I98_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I157_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d1_ADD_33x33_fast_I158_Y)) )) (net I143_un1_Y_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I143_un1_Y)) (portRef B (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I143_Y)) )) (net I139_un1_Y_i_0 (joined (portRef Y (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I139_un1_Y)) (portRef A (instanceRef un6_ex_add_res_d0_ADD_33x33_fast_I139_Y)) )) (net (rename data_0_2_30 "data_0_2[31]") (joined (portRef data_0_2_30) (portRef A (instanceRef r_d_inst_0_RNO_0_31)) )) (net (rename data_0_0_30 "data_0_0[30]") (joined (portRef data_0_0_30) (portRef A (instanceRef r_d_inst_0_RNO_0_30)) )) (net (rename data_0_0_28 "data_0_0[28]") (joined (portRef data_0_0_28) (portRef A (instanceRef r_d_inst_0_RNO_0_28)) )) (net (rename data_0_2_25 "data_0_2[26]") (joined (portRef data_0_2_25) (portRef A (instanceRef r_d_inst_0_RNO_0_26)) )) (net (rename data_0_2_24 "data_0_2[25]") (joined (portRef data_0_2_24) (portRef A (instanceRef r_d_inst_0_RNO_0_25)) )) (net (rename data_0_2_23 "data_0_2[24]") (joined (portRef data_0_2_23) (portRef A (instanceRef r_d_inst_0_RNO_0_24)) )) (net (rename data_0_2_21 "data_0_2[22]") (joined (portRef data_0_2_21) (portRef A (instanceRef r_d_inst_0_RNO_0_22)) )) (net (rename data_0_0_21 "data_0_0[21]") (joined (portRef data_0_0_21) (portRef A (instanceRef r_d_inst_0_RNIA8DP52_21)) )) (net (rename data_0_0_20 "data_0_0[20]") (joined (portRef data_0_0_20) (portRef A (instanceRef r_d_inst_0_RNO_0_20)) )) (net (rename data_0_0_19 "data_0_0[19]") (joined (portRef data_0_0_19) (portRef A (instanceRef r_d_inst_0_RNO_0_19)) )) (net (rename data_0_2_17 "data_0_2[18]") (joined (portRef data_0_2_17) (portRef A (instanceRef r_d_inst_0_RNO_0_18)) )) (net (rename data_0_0_16 "data_0_0[16]") (joined (portRef data_0_0_16) (portRef A (instanceRef r_d_inst_0_RNO_0_16)) )) (net (rename data_0_0_15 "data_0_0[15]") (joined (portRef data_0_0_15) (portRef A (instanceRef r_d_inst_0_RNO_0_15)) )) (net (rename data_0_2_13 "data_0_2[14]") (joined (portRef data_0_2_13) (portRef A (instanceRef r_d_inst_0_RNO_0_14)) )) (net (rename data_0_2_12 "data_0_2[13]") (joined (portRef data_0_2_12) (portRef A (instanceRef r_d_inst_0_RNO_0_13)) )) (net (rename data_0_0_12 "data_0_0[12]") (joined (portRef data_0_0_12) (portRef A (instanceRef r_d_inst_0_RNILG9C62_12)) )) (net (rename data_0_2_10 "data_0_2[11]") (joined (portRef data_0_2_10) (portRef A (instanceRef r_d_inst_0_RNO_0_11)) )) (net (rename data_0_2_9 "data_0_2[10]") (joined (portRef data_0_2_9) (portRef A (instanceRef r_d_inst_0_RNO_0_10)) )) (net (rename data_0_2_8 "data_0_2[9]") (joined (portRef data_0_2_8) (portRef A (instanceRef r_d_inst_0_RNO_0_9)) )) (net (rename data_0_2_6 "data_0_2[7]") (joined (portRef data_0_2_6) (portRef A (instanceRef r_d_inst_0_RNO_0_7)) )) (net (rename data_0_2_5 "data_0_2[6]") (joined (portRef data_0_2_5) (portRef A (instanceRef r_d_inst_0_RNO_0_6)) )) (net (rename data_0_2_4 "data_0_2[5]") (joined (portRef data_0_2_4) (portRef A (instanceRef r_d_inst_0_RNO_0_5)) )) (net (rename data_0_0_4 "data_0_0[4]") (joined (portRef data_0_0_4) (portRef A (instanceRef r_d_inst_0_RNO_0_4)) )) (net (rename data_0_0_3 "data_0_0[3]") (joined (portRef data_0_0_3) (portRef A (instanceRef r_d_inst_0_RNO_0_3)) )) (net (rename data_0_2_0 "data_0_2[1]") (joined (portRef data_0_2_0) (portRef A (instanceRef r_d_inst_0_RNO_0_1)) )) (net (rename data_0_0_0 "data_0_0[0]") (joined (portRef data_0_0_0) (portRef A (instanceRef r_d_inst_0_RNO_0_0)) )) (net (rename rfa1_0 "rfa1[0]") (joined (portRef Q (instanceRef r_a_rfa1_0)) (portRef B (instanceRef r_a_rfa1_RNIOI9U1_0)) )) (net (rename un6_fe_npc0_27 "un6_fe_npc0[27]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_196)) (portRef B (instanceRef r_f_pc_RNO_3_29)) )) (net (rename un6_fe_npc0_25 "un6_fe_npc0[25]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_173)) (portRef B (instanceRef r_f_pc_RNO_3_27)) )) (net (rename un6_fe_npc0_24 "un6_fe_npc0[24]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_166)) (portRef B (instanceRef r_f_pc_RNO_3_26)) )) (net (rename un6_fe_npc0_23 "un6_fe_npc0[23]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_156)) (portRef B (instanceRef r_f_pc_RNO_6_25)) )) (net (rename un6_fe_npc0_19 "un6_fe_npc0[19]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_122)) (portRef B (instanceRef r_f_pc_RNO_6_21)) )) (net (rename un6_fe_npc0_18 "un6_fe_npc0[18]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_115)) (portRef B (instanceRef r_f_pc_RNO_3_20)) )) (net (rename un6_fe_npc0_16 "un6_fe_npc0[16]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_98)) (portRef B (instanceRef r_f_pc_RNO_3_18)) )) (net (rename un6_fe_npc0_15 "un6_fe_npc0[15]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_91)) (portRef B (instanceRef r_f_pc_RNO_6_17)) )) (net (rename un6_fe_npc0_12 "un6_fe_npc0[12]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_73)) (portRef B (instanceRef r_f_pc_RNO_3_14)) )) (net (rename un6_fe_npc0_11 "un6_fe_npc0[11]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_66)) (portRef B (instanceRef r_f_pc_RNO_6_13)) )) (net (rename un6_fe_npc0_10 "un6_fe_npc0[10]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_56)) (portRef B (instanceRef r_f_pc_RNO_3_12)) )) (net (rename un6_fe_npc0_7 "un6_fe_npc0[7]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_38)) (portRef B (instanceRef r_a_bp_RNIPD0PM1)) (portRef B (instanceRef r_f_pc_RNO_3_9)) )) (net (rename rdatav_0_1_1_iv_3_21 "rdatav_0_1_1_iv_3[21]") (joined (portRef (member rdatav_0_1_1_iv_3 0)) (portRef A (instanceRef r_x_data_0_RNO_0_21)) (portRef A (instanceRef r_x_data_0_RNO_2_5)) )) (net nomds_RNIOCKU6 (joined (portRef nomds_RNIOCKU6) (portRef B (instanceRef r_x_data_0_RNO_0_21)) (portRef B (instanceRef r_x_data_0_RNO_2_5)) )) (net (rename rdatav_0_1_1_iv_4_11 "rdatav_0_1_1_iv_4[28]") (joined (portRef rdatav_0_1_1_iv_4_11) (portRef A (instanceRef r_x_data_0_RNO_5_4)) (portRef A (instanceRef r_x_data_0_RNO_3_12)) (portRef A (instanceRef r_x_data_0_RNO_1_28)) )) (net (rename ico_m_28 "ico_m[162]") (joined (portRef ico_m_28) (portRef B (instanceRef r_x_data_0_RNO_5_4)) (portRef B (instanceRef r_x_data_0_RNO_3_12)) (portRef B (instanceRef r_x_data_0_RNO_1_28)) )) (net (rename data_0_0_7 "data_0_0[7]") (joined (portRef data_0_0_7) (portRef B (instanceRef r_x_data_0_RNO_4_7)) (portRef B (instanceRef r_x_dci_signed_RNIDCC371)) )) (net (rename data_0_0_22 "data_0_0[22]") (joined (portRef data_0_0_22) (portRef A (instanceRef r_x_data_0_RNO_4_6)) (portRef B (instanceRef r_x_data_0_RNO_0_22)) )) (net (rename rdatav_0_1_0_iv_0_3_2 "rdatav_0_1_0_iv_0_3[4]") (joined (portRef rdatav_0_1_0_iv_0_3_2) (portRef A (instanceRef r_x_data_0_RNO_0_4)) )) (net N_2682 (joined (portRef N_2682) (portRef B (instanceRef r_x_data_0_RNO_0_4)) )) (net (rename data_0_2_19 "data_0_2[20]") (joined (portRef data_0_2_19) (portRef A (instanceRef r_x_data_0_RNO_1_4)) (portRef B (instanceRef r_x_data_0_RNO_2_20)) )) (net (rename rdatav_0_1_0_iv_0_2_0 "rdatav_0_1_0_iv_0_2[12]") (joined (portRef rdatav_0_1_0_iv_0_2_0) (portRef A (instanceRef r_x_data_0_RNO_3_4)) (portRef A (instanceRef r_x_data_0_RNO_1_12)) )) (net N_2835 (joined (portRef N_2835) (portRef B (instanceRef r_x_data_0_RNO_3_4)) (portRef B (instanceRef r_x_data_0_RNO_1_12)) )) (net (rename rdatav_0_1_0_iv_3_3 "rdatav_0_1_0_iv_3[3]") (joined (portRef rdatav_0_1_0_iv_3_3) (portRef A (instanceRef r_x_data_0_RNO_0_3)) )) (net (rename ico_m_3 "ico_m[137]") (joined (portRef ico_m_3) (portRef B (instanceRef r_x_data_0_RNO_0_3)) )) (net (rename rdatav_0_1_0_iv_0_2_7 "rdatav_0_1_0_iv_0_2[19]") (joined (portRef rdatav_0_1_0_iv_0_2_7) (portRef A (instanceRef r_x_data_0_RNO_1_3)) (portRef A (instanceRef r_x_data_0_RNO_0_19)) )) (net N_2851 (joined (portRef N_2851) (portRef B (instanceRef r_x_data_0_RNO_1_3)) (portRef B (instanceRef r_x_data_0_RNO_0_19)) )) (net (rename rdatav_0_1_0_iv_3_0 "rdatav_0_1_0_iv_3[0]") (joined (portRef rdatav_0_1_0_iv_3_0) (portRef A (instanceRef r_x_data_0_RNO_0_0)) )) (net (rename ico_m_0 "ico_m[134]") (joined (portRef ico_m_0) (portRef B (instanceRef r_x_data_0_RNO_0_0)) )) (net (rename rdatav_0_1_0_iv_3_16 "rdatav_0_1_0_iv_3[16]") (joined (portRef rdatav_0_1_0_iv_3_16) (portRef A (instanceRef r_x_data_0_RNO_1_0)) (portRef A (instanceRef r_x_data_0_RNO_0_16)) )) (net (rename ico_m_16 "ico_m[150]") (joined (portRef ico_m_16) (portRef B (instanceRef r_x_data_0_RNO_1_0)) (portRef B (instanceRef r_x_data_0_RNO_0_16)) )) (net intack_2 (joined (portRef Y (instanceRef r_x_intack_RNI3VGC)) (portRef intack_2) )) (net intack_3 (joined (portRef Q (instanceRef r_x_intack)) (portRef A (instanceRef r_x_intack_RNI3VGC)) )) (net werr_0 (joined (portRef Q (instanceRef r_m_werr)) (portRef A (instanceRef r_m_werr_RNIA2H4)) )) (net werr_1 (joined (portRef werr_1) (portRef B (instanceRef r_m_werr_RNIA2H4)) )) (net rfe2 (joined (portRef Q (instanceRef r_a_rfe2)) (portRef B (instanceRef r_a_rfe2_RNISE0OL1)) (portRef rfe2) )) (net eenaddr (joined (portRef Y (instanceRef r_e_ctrl_inst_RNIGPQ8V2_21)) (portRef D (instanceRef r_m_dci_enaddr)) (portRef eenaddr) )) (net fbranch (joined (portRef Q (instanceRef r_f_branch)) (portRef B (instanceRef r_f_branch_RNI574NO3)) (portRef fbranch) )) (net rbranch (joined (portRef Y (instanceRef r_f_branch_RNIPK5FND)) (portRef D (instanceRef r_f_branch)) (portRef rbranch) )) (net wy_2 (joined (portRef Q (instanceRef r_a_ctrl_wy)) (portRef D (instanceRef r_e_ctrl_wy)) )) (net mexc_1 (joined (portRef Q (instanceRef r_d_mexc)) (portRef D (instanceRef r_a_ctrl_trap)) )) (net mexc_2 (joined (portRef mexc_2) (portRef D (instanceRef r_d_mexc)) )) (net write_1 (joined (portRef Q (instanceRef r_m_dci_write)) (portRef write_1) )) (net read_0 (joined (portRef Q (instanceRef r_m_dci_read)) (portRef read_0) )) (net step_2 (joined (portRef Q (instanceRef r_d_step)) (portRef D (instanceRef r_a_step)) )) (net (rename dbgi_i_2_23 "dbgi_i_2[23]") (joined (portRef Q (instanceRef r_x_nerror)) (portRef A (instanceRef r_x_nerror_RNIC6T1)) (portRef (member dbgi_i_2 0)) )) (net (rename inst_3_25 "inst_3[25]") (joined (portRef Q (instanceRef r_m_ctrl_inst_25)) (portRef D (instanceRef r_x_ctrl_inst_25)) )) (net (rename inst_3_26 "inst_3[26]") (joined (portRef Q (instanceRef r_m_ctrl_inst_26)) (portRef D (instanceRef r_x_ctrl_inst_26)) )) (net (rename inst_3_27 "inst_3[27]") (joined (portRef Q (instanceRef r_m_ctrl_inst_27)) (portRef D (instanceRef r_x_ctrl_inst_27)) )) (net (rename inst_3_28 "inst_3[28]") (joined (portRef Q (instanceRef r_m_ctrl_inst_28)) (portRef D (instanceRef r_x_ctrl_inst_28)) )) (net (rename inst_3_29 "inst_3[29]") (joined (portRef Q (instanceRef r_m_ctrl_inst_29)) (portRef D (instanceRef r_x_ctrl_inst_29)) )) (net (rename cwp_3_0 "cwp_3[0]") (joined (portRef Q (instanceRef r_a_cwp_0)) (portRef D (instanceRef r_e_cwp_0)) )) (net (rename cwp_2_1 "cwp_2[1]") (joined (portRef Q (instanceRef r_a_cwp_1)) (portRef D (instanceRef r_e_cwp_1)) )) (net (rename cwp_2_2 "cwp_2[2]") (joined (portRef Q (instanceRef r_a_cwp_2)) (portRef D (instanceRef r_e_cwp_2)) )) (net (rename inst_1_14 "inst_1[14]") (joined (portRef Q (instanceRef r_a_ctrl_inst_14)) (portRef D (instanceRef r_e_ctrl_inst_14)) )) (net (rename inst_1_17 "inst_1[17]") (joined (portRef Q (instanceRef r_a_ctrl_inst_17)) (portRef D (instanceRef r_e_ctrl_inst_17)) )) (net (rename inst_1_18 "inst_1[18]") (joined (portRef Q (instanceRef r_a_ctrl_inst_18)) (portRef D (instanceRef r_e_ctrl_inst_18)) )) (net (rename asi_1_0 "asi_1[0]") (joined (portRef Q (instanceRef r_m_dci_asi_0)) (portRef (member asi_1 4)) )) (net (rename asi_1_1 "asi_1[1]") (joined (portRef Q (instanceRef r_m_dci_asi_1)) (portRef (member asi_1 3)) )) (net (rename asi_1_2 "asi_1[2]") (joined (portRef Q (instanceRef r_m_dci_asi_2)) (portRef (member asi_1 2)) )) (net (rename asi_1_3 "asi_1[3]") (joined (portRef Q (instanceRef r_m_dci_asi_3)) (portRef (member asi_1 1)) )) (net (rename asi_1_4 "asi_1[4]") (joined (portRef Q (instanceRef r_m_dci_asi_4)) (portRef (member asi_1 0)) )) (net (rename size_0_0 "size_0[0]") (joined (portRef Q (instanceRef r_m_dci_size_0)) (portRef D (instanceRef r_x_dci_size_0)) (portRef A (instanceRef r_x_dci_size_RNIK15I3_0)) (portRef size_0_0) )) (net (rename wdata_0 "wdata[0]") (joined (portRef Y (instanceRef r_x_result_RNIBD4NS_0)) (portRef D (instanceRef r_w_result_0)) (portRef (member wdata 31)) )) (net (rename wdata_1 "wdata[1]") (joined (portRef Y (instanceRef r_x_rstate_RNIEL4NS_0)) (portRef D (instanceRef r_w_result_1)) (portRef (member wdata 30)) )) (net (rename wdata_2 "wdata[2]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIL428Q1_2)) (portRef D (instanceRef r_w_result_2)) (portRef (member wdata 29)) )) (net (rename wdata_3 "wdata[3]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI7I8IP1_3)) (portRef D (instanceRef r_w_result_3)) (portRef (member wdata 28)) )) (net (rename wdata_4 "wdata[4]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIK4HHP1_4)) (portRef D (instanceRef r_w_result_4)) (portRef (member wdata 27)) )) (net (rename wdata_5 "wdata[5]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIG7B7Q1_5)) (portRef D (instanceRef r_w_result_5)) (portRef (member wdata 26)) )) (net (rename wdata_6 "wdata[6]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIVA9IP1_6)) (portRef D (instanceRef r_w_result_6)) (portRef (member wdata 25)) )) (net (rename wdata_7 "wdata[7]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIB7H1Q1_7)) (portRef D (instanceRef r_w_result_7)) (portRef (member wdata 24)) )) (net (rename wdata_8 "wdata[8]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI3M38Q1_8)) (portRef D (instanceRef r_w_result_8)) (portRef (member wdata 23)) )) (net (rename wdata_9 "wdata[9]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI35VTP1_9)) (portRef D (instanceRef r_w_result_9)) (portRef (member wdata 22)) )) (net (rename wdata_10 "wdata[10]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI79C5Q1_10)) (portRef D (instanceRef r_w_result_10)) (portRef (member wdata 21)) )) (net (rename wdata_11 "wdata[11]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIBN46Q1_11)) (portRef D (instanceRef r_w_result_11)) (portRef (member wdata 20)) )) (net (rename wdata_12 "wdata[12]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIOPD5Q1_12)) (portRef D (instanceRef r_w_result_12)) (portRef (member wdata 19)) )) (net (rename wdata_13 "wdata[13]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIR766Q1_13)) (portRef D (instanceRef r_w_result_13)) (portRef (member wdata 18)) )) (net (rename wdata_14 "wdata[14]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIDFC0Q1_14)) (portRef D (instanceRef r_w_result_14)) (portRef (member wdata 17)) )) (net (rename wdata_15 "wdata[15]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIVMIQP1_15)) (portRef D (instanceRef r_w_result_15)) (portRef (member wdata 16)) )) (net (rename wdata_16 "wdata[16]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIDBBBQ1_16)) (portRef D (instanceRef r_w_result_16)) (portRef (member wdata 15)) )) (net (rename wdata_17 "wdata[17]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI8CMFQ1_17)) (portRef D (instanceRef r_w_result_17)) (portRef (member wdata 14)) )) (net (rename wdata_18 "wdata[18]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIG4NFQ1_18)) (portRef D (instanceRef r_w_result_18)) (portRef (member wdata 13)) )) (net (rename wdata_19 "wdata[19]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIG3J5Q1_19)) (portRef D (instanceRef r_w_result_19)) (portRef (member wdata 12)) )) (net (rename wdata_20 "wdata[20]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIF746Q1_20)) (portRef D (instanceRef r_w_result_20)) (portRef (member wdata 11)) )) (net (rename wdata_21 "wdata[21]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI1FA0Q1_21)) (portRef D (instanceRef r_w_result_21)) (portRef (member wdata 10)) )) (net (rename wdata_22 "wdata[22]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNICRIFQ1_22)) (portRef D (instanceRef r_w_result_22)) (portRef (member wdata 9)) )) (net (rename wdata_23 "wdata[23]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI0PPPP1_23)) (portRef D (instanceRef r_w_result_23)) (portRef (member wdata 8)) )) (net (rename wdata_24 "wdata[24]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNISBKFQ1_24)) (portRef D (instanceRef r_w_result_24)) (portRef (member wdata 7)) )) (net (rename wdata_25 "wdata[25]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIBVIQP1_25)) (portRef D (instanceRef r_w_result_25)) (portRef (member wdata 6)) )) (net (rename wdata_26 "wdata[26]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI43H5Q1_26)) (portRef D (instanceRef r_w_result_26)) (portRef (member wdata 5)) )) (net (rename wdata_27 "wdata[27]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNILKMFQ1_27)) (portRef D (instanceRef r_w_result_27)) (portRef (member wdata 4)) )) (net (rename wdata_28 "wdata[28]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI8ITPP1_28)) (portRef D (instanceRef r_w_result_28)) (portRef (member wdata 3)) )) (net (rename wdata_29 "wdata[29]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNIB0MQP1_29)) (portRef D (instanceRef r_w_result_29)) (portRef (member wdata 2)) )) (net (rename wdata_30 "wdata[30]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI4V90Q1_30)) (portRef D (instanceRef r_w_result_30)) (portRef (member wdata 1)) )) (net (rename wdata_31 "wdata[31]") (joined (portRef Y (instanceRef r_x_ctrl_pc_RNI6AT3Q1_31)) (portRef D (instanceRef r_w_result_31)) (portRef (member wdata 0)) )) (net (rename tt_3_0 "tt_3[0]") (joined (portRef Q (instanceRef r_e_ctrl_tt_0)) (portRef D (instanceRef r_m_ctrl_tt_0)) )) (net (rename tt_5_1 "tt_5[1]") (joined (portRef Q (instanceRef r_e_ctrl_tt_1)) (portRef D (instanceRef r_m_ctrl_tt_1)) )) (net (rename tt_3_2 "tt_3[2]") (joined (portRef Q (instanceRef r_e_ctrl_tt_2)) (portRef D (instanceRef r_m_ctrl_tt_2)) )) (net (rename tt_2_3 "tt_2[3]") (joined (portRef Q (instanceRef r_e_ctrl_tt_3)) (portRef D (instanceRef r_m_ctrl_tt_3)) )) (net (rename tt_8_4 "tt_8[4]") (joined (portRef Q (instanceRef r_e_ctrl_tt_4)) (portRef D (instanceRef r_m_ctrl_tt_4)) )) (net (rename tt_3_5 "tt_3[5]") (joined (portRef Q (instanceRef r_e_ctrl_tt_5)) (portRef D (instanceRef r_m_ctrl_tt_5)) )) (net (rename rfa2_0 "rfa2[0]") (joined (portRef Q (instanceRef r_a_rfa2_0)) (portRef B (instanceRef r_a_rfa2_RNI19F11_0)) (portRef (member rfa2 6)) )) (net (rename rfa2_1 "rfa2[1]") (joined (portRef Q (instanceRef r_a_rfa2_1)) (portRef B (instanceRef r_a_rfa2_RNI3HF11_1)) (portRef (member rfa2 5)) )) (net (rename rfa2_2 "rfa2[2]") (joined (portRef Q (instanceRef r_a_rfa2_2)) (portRef B (instanceRef r_a_rfa2_RNI5PF11_2)) (portRef (member rfa2 4)) )) (net (rename rfa2_3 "rfa2[3]") (joined (portRef Q (instanceRef r_a_rfa2_3)) (portRef B (instanceRef r_a_rfa2_RNI71G11_3)) (portRef (member rfa2 3)) )) (net (rename rfa2_4 "rfa2[4]") (joined (portRef Q (instanceRef r_a_rfa2_4)) (portRef B (instanceRef r_a_rfa2_RNIETRB4_4)) (portRef (member rfa2 2)) )) (net (rename rfa2_5 "rfa2[5]") (joined (portRef Q (instanceRef r_a_rfa2_5)) (portRef B (instanceRef r_a_rfa2_RNI4BVD4_5)) (portRef (member rfa2 1)) )) (net (rename rfa2_6 "rfa2[6]") (joined (portRef Q (instanceRef r_a_rfa2_6)) (portRef B (instanceRef r_a_rfa2_RNIRO2G4_6)) (portRef (member rfa2 0)) )) (net (rename ACT_LT4_E_3 "ACT_LT4_E[3]") (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_4)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_11)) )) (net (rename ACT_LT4_E_6 "ACT_LT4_E[6]") (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_7)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_11)) )) (net (rename ACT_LT4_E_10 "ACT_LT4_E[10]") (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_10)) (portRef C (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_11)) )) (net (rename ACT_LT4_E_7 "ACT_LT4_E[7]") (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_8)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_10)) )) (net (rename ACT_LT4_E_8 "ACT_LT4_E[8]") (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_9)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_10)) )) (net (rename ACT_LT4_E_5 "ACT_LT4_E[5]") (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_6)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_7)) (portRef C (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_10)) )) (net (rename ACT_LT4_E_4 "ACT_LT4_E[4]") (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_5)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_7)) )) (net (rename ACT_LT4_E_0 "ACT_LT4_E[0]") (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_1)) (portRef A (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_4)) )) (net (rename ACT_LT4_E_1 "ACT_LT4_E[1]") (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_2)) (portRef B (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_4)) )) (net (rename ACT_LT4_E_2 "ACT_LT4_E[2]") (joined (portRef Y (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_3)) (portRef C (instanceRef comb_irq_trap_op_gt_un2_irl_0_I_4)) )) (net (rename DWACT_ADD_CI_0_g_array_1_3_0 "DWACT_ADD_CI_0_g_array_1_3[0]") (joined (portRef Y (instanceRef un1_r_d_cwp_1_I_15)) (portRef B (instanceRef un1_r_d_cwp_1_I_14)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_0 "DWACT_ADD_CI_0_pog_array_0[0]") (joined (portRef Y (instanceRef un1_r_d_cwp_1_I_7)) (portRef A (instanceRef un1_r_d_cwp_1_I_15)) )) (net (rename DWACT_ADD_CI_0_g_array_0_1_0 "DWACT_ADD_CI_0_g_array_0_1[0]") (joined (portRef Y (instanceRef un1_r_d_cwp_1_I_5)) (portRef C (instanceRef un1_r_d_cwp_1_I_15)) )) (net (rename DWACT_ADD_CI_0_partial_sum_2 "DWACT_ADD_CI_0_partial_sum[2]") (joined (portRef Y (instanceRef un1_r_d_cwp_1_I_11)) (portRef A (instanceRef un1_r_d_cwp_1_I_14)) )) (net (rename DWACT_ADD_CI_0_partial_sum_1 "DWACT_ADD_CI_0_partial_sum[1]") (joined (portRef Y (instanceRef un1_r_d_cwp_1_I_10)) (portRef A (instanceRef un1_r_d_cwp_1_I_13)) )) (net N_4 (joined (portRef Y (instanceRef un6_fe_npc_1_I_209)) (portRef A (instanceRef un6_fe_npc_1_I_210)) )) (net (rename DWACT_FINC_E_24 "DWACT_FINC_E[24]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_199)) (portRef A (instanceRef un6_fe_npc_1_I_202)) (portRef A (instanceRef un6_fe_npc_1_I_209)) )) (net (rename DWACT_FINC_E_23 "DWACT_FINC_E[23]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_192)) (portRef C (instanceRef un6_fe_npc_1_I_195)) (portRef B (instanceRef un6_fe_npc_1_I_202)) (portRef B (instanceRef un6_fe_npc_1_I_209)) )) (net (rename DWACT_FINC_E_25 "DWACT_FINC_E[25]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_206)) (portRef C (instanceRef un6_fe_npc_1_I_209)) )) (net N_9 (joined (portRef Y (instanceRef un6_fe_npc_1_I_202)) (portRef A (instanceRef un6_fe_npc_1_I_203)) )) (net (rename DWACT_FINC_E_29 "DWACT_FINC_E[29]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_152)) (portRef A (instanceRef un6_fe_npc_1_I_155)) (portRef A (instanceRef un6_fe_npc_1_I_165)) (portRef A (instanceRef un6_fe_npc_1_I_172)) (portRef A (instanceRef un6_fe_npc_1_I_185)) (portRef A (instanceRef un6_fe_npc_1_I_195)) (portRef A (instanceRef un6_fe_npc_1_I_199)) )) (net (rename DWACT_FINC_E_30 "DWACT_FINC_E[30]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_182)) (portRef B (instanceRef un6_fe_npc_1_I_185)) (portRef B (instanceRef un6_fe_npc_1_I_195)) (portRef B (instanceRef un6_fe_npc_1_I_199)) )) (net N_14 (joined (portRef Y (instanceRef un6_fe_npc_1_I_195)) (portRef A (instanceRef un6_fe_npc_1_I_196)) )) (net (rename DWACT_FINC_E_15 "DWACT_FINC_E[15]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_132)) (portRef C (instanceRef un6_fe_npc_1_I_135)) (portRef A (instanceRef un6_fe_npc_1_I_139)) (portRef A (instanceRef un6_fe_npc_1_I_146)) (portRef A (instanceRef un6_fe_npc_1_I_162)) (portRef A (instanceRef un6_fe_npc_1_I_169)) (portRef A (instanceRef un6_fe_npc_1_I_179)) (portRef A (instanceRef un6_fe_npc_1_I_192)) )) (net (rename DWACT_FINC_E_17 "DWACT_FINC_E[17]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_159)) (portRef B (instanceRef un6_fe_npc_1_I_162)) (portRef B (instanceRef un6_fe_npc_1_I_169)) (portRef B (instanceRef un6_fe_npc_1_I_179)) (portRef B (instanceRef un6_fe_npc_1_I_192)) )) (net (rename DWACT_FINC_E_22 "DWACT_FINC_E[22]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_189)) (portRef C (instanceRef un6_fe_npc_1_I_192)) )) (net N_21_0 (joined (portRef Y (instanceRef un6_fe_npc_1_I_185)) (portRef A (instanceRef un6_fe_npc_1_I_186)) )) (net (rename DWACT_FINC_E_21 "DWACT_FINC_E[21]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_179)) (portRef C (instanceRef un6_fe_npc_1_I_185)) )) (net (rename DWACT_FINC_E_9 "DWACT_FINC_E[9]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_87)) (portRef C (instanceRef un6_fe_npc_1_I_90)) (portRef B (instanceRef un6_fe_npc_1_I_94)) (portRef B (instanceRef un6_fe_npc_1_I_118)) (portRef B (instanceRef un6_fe_npc_1_I_182)) )) (net (rename DWACT_FINC_E_12 "DWACT_FINC_E[12]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_108)) (portRef C (instanceRef un6_fe_npc_1_I_114)) (portRef C (instanceRef un6_fe_npc_1_I_118)) (portRef C (instanceRef un6_fe_npc_1_I_182)) )) (net (rename DWACT_FINC_E_20 "DWACT_FINC_E[20]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_176)) (portRef C (instanceRef un6_fe_npc_1_I_179)) )) (net N_30_0 (joined (portRef Y (instanceRef un6_fe_npc_1_I_172)) (portRef A (instanceRef un6_fe_npc_1_I_173)) )) (net (rename DWACT_FINC_E_13 "DWACT_FINC_E[13]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_118)) (portRef B (instanceRef un6_fe_npc_1_I_121)) (portRef B (instanceRef un6_fe_npc_1_I_128)) (portRef B (instanceRef un6_fe_npc_1_I_135)) (portRef B (instanceRef un6_fe_npc_1_I_142)) (portRef B (instanceRef un6_fe_npc_1_I_155)) (portRef B (instanceRef un6_fe_npc_1_I_165)) (portRef B (instanceRef un6_fe_npc_1_I_172)) )) (net (rename DWACT_FINC_E_19 "DWACT_FINC_E[19]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_169)) (portRef C (instanceRef un6_fe_npc_1_I_172)) )) (net N_35 (joined (portRef Y (instanceRef un6_fe_npc_1_I_165)) (portRef A (instanceRef un6_fe_npc_1_I_166)) )) (net (rename DWACT_FINC_E_18 "DWACT_FINC_E[18]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_162)) (portRef C (instanceRef un6_fe_npc_1_I_165)) )) (net N_42_0 (joined (portRef Y (instanceRef un6_fe_npc_1_I_155)) (portRef A (instanceRef un6_fe_npc_1_I_156)) )) (net (rename DWACT_FINC_E_33 "DWACT_FINC_E[33]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_146)) (portRef C (instanceRef un6_fe_npc_1_I_155)) )) (net (rename DWACT_FINC_E_34 "DWACT_FINC_E[34]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_149)) (portRef A (instanceRef un6_fe_npc_1_I_152)) )) (net (rename DWACT_FINC_E_2 "DWACT_FINC_E[2]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_34)) (portRef B (instanceRef un6_fe_npc_1_I_37)) (portRef B (instanceRef un6_fe_npc_1_I_44)) (portRef B (instanceRef un6_fe_npc_1_I_48)) (portRef B (instanceRef un6_fe_npc_1_I_62)) (portRef B (instanceRef un6_fe_npc_1_I_111)) (portRef B (instanceRef un6_fe_npc_1_I_152)) )) (net (rename DWACT_FINC_E_5 "DWACT_FINC_E[5]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_59)) (portRef C (instanceRef un6_fe_npc_1_I_62)) (portRef C (instanceRef un6_fe_npc_1_I_111)) (portRef C (instanceRef un6_fe_npc_1_I_152)) )) (net N_51_1 (joined (portRef Y (instanceRef un6_fe_npc_1_I_142)) (portRef A (instanceRef un6_fe_npc_1_I_143)) )) (net (rename DWACT_FINC_E_28 "DWACT_FINC_E[28]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_111)) (portRef A (instanceRef un6_fe_npc_1_I_114)) (portRef A (instanceRef un6_fe_npc_1_I_121)) (portRef A (instanceRef un6_fe_npc_1_I_128)) (portRef A (instanceRef un6_fe_npc_1_I_135)) (portRef A (instanceRef un6_fe_npc_1_I_142)) )) (net (rename DWACT_FINC_E_16 "DWACT_FINC_E[16]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_139)) (portRef C (instanceRef un6_fe_npc_1_I_142)) )) (net N_56 (joined (portRef Y (instanceRef un6_fe_npc_1_I_135)) (portRef A (instanceRef un6_fe_npc_1_I_136)) )) (net N_61 (joined (portRef Y (instanceRef un6_fe_npc_1_I_128)) (portRef A (instanceRef un6_fe_npc_1_I_129)) )) (net (rename DWACT_FINC_E_14 "DWACT_FINC_E[14]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_125)) (portRef C (instanceRef un6_fe_npc_1_I_128)) )) (net N_66 (joined (portRef Y (instanceRef un6_fe_npc_1_I_121)) (portRef A (instanceRef un6_fe_npc_1_I_122)) )) (net N_71 (joined (portRef Y (instanceRef un6_fe_npc_1_I_114)) (portRef A (instanceRef un6_fe_npc_1_I_115)) )) (net (rename DWACT_FINC_E_10 "DWACT_FINC_E[10]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_94)) (portRef B (instanceRef un6_fe_npc_1_I_97)) (portRef B (instanceRef un6_fe_npc_1_I_104)) (portRef B (instanceRef un6_fe_npc_1_I_114)) )) (net N_78 (joined (portRef Y (instanceRef un6_fe_npc_1_I_104)) (portRef A (instanceRef un6_fe_npc_1_I_105)) )) (net (rename DWACT_FINC_E_11 "DWACT_FINC_E[11]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_101)) (portRef C (instanceRef un6_fe_npc_1_I_104)) )) (net N_83 (joined (portRef Y (instanceRef un6_fe_npc_1_I_97)) (portRef A (instanceRef un6_fe_npc_1_I_98)) )) (net N_88 (joined (portRef Y (instanceRef un6_fe_npc_1_I_90)) (portRef A (instanceRef un6_fe_npc_1_I_91)) )) (net N_93 (joined (portRef Y (instanceRef un6_fe_npc_1_I_83)) (portRef A (instanceRef un6_fe_npc_1_I_84)) )) (net (rename DWACT_FINC_E_8 "DWACT_FINC_E[8]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_80)) (portRef C (instanceRef un6_fe_npc_1_I_83)) )) (net N_98 (joined (portRef Y (instanceRef un6_fe_npc_1_I_76)) (portRef A (instanceRef un6_fe_npc_1_I_77)) )) (net N_106_0 (joined (portRef Y (instanceRef un6_fe_npc_1_I_65)) (portRef A (instanceRef un6_fe_npc_1_I_66)) )) (net N_113 (joined (portRef Y (instanceRef un6_fe_npc_1_I_55)) (portRef A (instanceRef un6_fe_npc_1_I_56)) )) (net (rename DWACT_FINC_E_3 "DWACT_FINC_E[3]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_41)) (portRef C (instanceRef un6_fe_npc_1_I_44)) (portRef C (instanceRef un6_fe_npc_1_I_48)) )) (net N_121 (joined (portRef Y (instanceRef un6_fe_npc_1_I_44)) (portRef A (instanceRef un6_fe_npc_1_I_45)) )) (net N_126_0 (joined (portRef Y (instanceRef un6_fe_npc_1_I_37)) (portRef A (instanceRef un6_fe_npc_1_I_38)) )) (net N_131 (joined (portRef Y (instanceRef un6_fe_npc_1_I_30)) (portRef A (instanceRef un6_fe_npc_1_I_31)) )) (net (rename DWACT_FINC_E_1 "DWACT_FINC_E[1]") (joined (portRef Y (instanceRef un6_fe_npc_1_I_27)) (portRef B (instanceRef un6_fe_npc_1_I_30)) )) (net N_136 (joined (portRef Y (instanceRef un6_fe_npc_1_I_23)) (portRef A (instanceRef un6_fe_npc_1_I_24)) )) (net N_144 (joined (portRef Y (instanceRef un6_fe_npc_1_I_12)) (portRef A (instanceRef un6_fe_npc_1_I_13)) )) (net N_4_1 (joined (portRef Y (instanceRef un6_fe_npc_0_I_209)) (portRef A (instanceRef un6_fe_npc_0_I_210)) )) (net (rename DWACT_FINC_E_0_24 "DWACT_FINC_E_0[24]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_199)) (portRef A (instanceRef un6_fe_npc_0_I_202)) (portRef A (instanceRef un6_fe_npc_0_I_209)) )) (net (rename DWACT_FINC_E_0_23 "DWACT_FINC_E_0[23]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_192)) (portRef C (instanceRef un6_fe_npc_0_I_195)) (portRef B (instanceRef un6_fe_npc_0_I_202)) (portRef B (instanceRef un6_fe_npc_0_I_209)) )) (net (rename DWACT_FINC_E_0_25 "DWACT_FINC_E_0[25]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_206)) (portRef C (instanceRef un6_fe_npc_0_I_209)) )) (net N_9_1 (joined (portRef Y (instanceRef un6_fe_npc_0_I_202)) (portRef A (instanceRef un6_fe_npc_0_I_203)) )) (net (rename DWACT_FINC_E_0_29 "DWACT_FINC_E_0[29]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_152)) (portRef A (instanceRef un6_fe_npc_0_I_155)) (portRef A (instanceRef un6_fe_npc_0_I_165)) (portRef A (instanceRef un6_fe_npc_0_I_172)) (portRef A (instanceRef un6_fe_npc_0_I_185)) (portRef A (instanceRef un6_fe_npc_0_I_195)) (portRef A (instanceRef un6_fe_npc_0_I_199)) )) (net (rename DWACT_FINC_E_0_30 "DWACT_FINC_E_0[30]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_182)) (portRef B (instanceRef un6_fe_npc_0_I_185)) (portRef B (instanceRef un6_fe_npc_0_I_195)) (portRef B (instanceRef un6_fe_npc_0_I_199)) )) (net N_14_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_195)) (portRef A (instanceRef un6_fe_npc_0_I_196)) )) (net (rename DWACT_FINC_E_0_15 "DWACT_FINC_E_0[15]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_132)) (portRef C (instanceRef un6_fe_npc_0_I_135)) (portRef A (instanceRef un6_fe_npc_0_I_139)) (portRef A (instanceRef un6_fe_npc_0_I_146)) (portRef A (instanceRef un6_fe_npc_0_I_162)) (portRef A (instanceRef un6_fe_npc_0_I_169)) (portRef A (instanceRef un6_fe_npc_0_I_179)) (portRef A (instanceRef un6_fe_npc_0_I_192)) )) (net (rename DWACT_FINC_E_0_17 "DWACT_FINC_E_0[17]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_159)) (portRef B (instanceRef un6_fe_npc_0_I_162)) (portRef B (instanceRef un6_fe_npc_0_I_169)) (portRef B (instanceRef un6_fe_npc_0_I_179)) (portRef B (instanceRef un6_fe_npc_0_I_192)) )) (net (rename DWACT_FINC_E_0_22 "DWACT_FINC_E_0[22]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_189)) (portRef C (instanceRef un6_fe_npc_0_I_192)) )) (net N_21_1 (joined (portRef Y (instanceRef un6_fe_npc_0_I_185)) (portRef A (instanceRef un6_fe_npc_0_I_186)) )) (net (rename DWACT_FINC_E_0_21 "DWACT_FINC_E_0[21]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_179)) (portRef C (instanceRef un6_fe_npc_0_I_185)) )) (net (rename DWACT_FINC_E_0_9 "DWACT_FINC_E_0[9]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_87)) (portRef C (instanceRef un6_fe_npc_0_I_90)) (portRef B (instanceRef un6_fe_npc_0_I_94)) (portRef B (instanceRef un6_fe_npc_0_I_118)) (portRef B (instanceRef un6_fe_npc_0_I_182)) )) (net (rename DWACT_FINC_E_0_12 "DWACT_FINC_E_0[12]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_108)) (portRef C (instanceRef un6_fe_npc_0_I_114)) (portRef C (instanceRef un6_fe_npc_0_I_118)) (portRef C (instanceRef un6_fe_npc_0_I_182)) )) (net (rename DWACT_FINC_E_0_20 "DWACT_FINC_E_0[20]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_176)) (portRef C (instanceRef un6_fe_npc_0_I_179)) )) (net N_30_1 (joined (portRef Y (instanceRef un6_fe_npc_0_I_172)) (portRef A (instanceRef un6_fe_npc_0_I_173)) )) (net (rename DWACT_FINC_E_0_13 "DWACT_FINC_E_0[13]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_118)) (portRef B (instanceRef un6_fe_npc_0_I_121)) (portRef B (instanceRef un6_fe_npc_0_I_128)) (portRef B (instanceRef un6_fe_npc_0_I_135)) (portRef B (instanceRef un6_fe_npc_0_I_142)) (portRef B (instanceRef un6_fe_npc_0_I_155)) (portRef B (instanceRef un6_fe_npc_0_I_165)) (portRef B (instanceRef un6_fe_npc_0_I_172)) )) (net (rename DWACT_FINC_E_0_19 "DWACT_FINC_E_0[19]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_169)) (portRef C (instanceRef un6_fe_npc_0_I_172)) )) (net N_35_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_165)) (portRef A (instanceRef un6_fe_npc_0_I_166)) )) (net (rename DWACT_FINC_E_0_18 "DWACT_FINC_E_0[18]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_162)) (portRef C (instanceRef un6_fe_npc_0_I_165)) )) (net N_42_1 (joined (portRef Y (instanceRef un6_fe_npc_0_I_155)) (portRef A (instanceRef un6_fe_npc_0_I_156)) )) (net (rename DWACT_FINC_E_0_33 "DWACT_FINC_E_0[33]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_146)) (portRef C (instanceRef un6_fe_npc_0_I_155)) )) (net (rename DWACT_FINC_E_0_34 "DWACT_FINC_E_0[34]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_149)) (portRef A (instanceRef un6_fe_npc_0_I_152)) )) (net (rename DWACT_FINC_E_0_2 "DWACT_FINC_E_0[2]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_34)) (portRef B (instanceRef un6_fe_npc_0_I_37)) (portRef B (instanceRef un6_fe_npc_0_I_44)) (portRef B (instanceRef un6_fe_npc_0_I_48)) (portRef B (instanceRef un6_fe_npc_0_I_62)) (portRef B (instanceRef un6_fe_npc_0_I_111)) (portRef B (instanceRef un6_fe_npc_0_I_152)) )) (net (rename DWACT_FINC_E_0_5 "DWACT_FINC_E_0[5]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_59)) (portRef C (instanceRef un6_fe_npc_0_I_62)) (portRef C (instanceRef un6_fe_npc_0_I_111)) (portRef C (instanceRef un6_fe_npc_0_I_152)) )) (net N_51_2 (joined (portRef Y (instanceRef un6_fe_npc_0_I_142)) (portRef A (instanceRef un6_fe_npc_0_I_143)) )) (net (rename DWACT_FINC_E_0_28 "DWACT_FINC_E_0[28]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_111)) (portRef A (instanceRef un6_fe_npc_0_I_114)) (portRef A (instanceRef un6_fe_npc_0_I_121)) (portRef A (instanceRef un6_fe_npc_0_I_128)) (portRef A (instanceRef un6_fe_npc_0_I_135)) (portRef A (instanceRef un6_fe_npc_0_I_142)) )) (net (rename DWACT_FINC_E_0_16 "DWACT_FINC_E_0[16]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_139)) (portRef C (instanceRef un6_fe_npc_0_I_142)) )) (net N_56_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_135)) (portRef A (instanceRef un6_fe_npc_0_I_136)) )) (net N_61_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_128)) (portRef A (instanceRef un6_fe_npc_0_I_129)) )) (net (rename DWACT_FINC_E_0_14 "DWACT_FINC_E_0[14]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_125)) (portRef C (instanceRef un6_fe_npc_0_I_128)) )) (net N_66_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_121)) (portRef A (instanceRef un6_fe_npc_0_I_122)) )) (net N_71_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_114)) (portRef A (instanceRef un6_fe_npc_0_I_115)) )) (net (rename DWACT_FINC_E_0_10 "DWACT_FINC_E_0[10]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_94)) (portRef B (instanceRef un6_fe_npc_0_I_97)) (portRef B (instanceRef un6_fe_npc_0_I_104)) (portRef B (instanceRef un6_fe_npc_0_I_114)) )) (net N_78_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_104)) (portRef A (instanceRef un6_fe_npc_0_I_105)) )) (net (rename DWACT_FINC_E_0_11 "DWACT_FINC_E_0[11]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_101)) (portRef C (instanceRef un6_fe_npc_0_I_104)) )) (net N_83_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_97)) (portRef A (instanceRef un6_fe_npc_0_I_98)) )) (net N_88_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_90)) (portRef A (instanceRef un6_fe_npc_0_I_91)) )) (net N_93_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_83)) (portRef A (instanceRef un6_fe_npc_0_I_84)) )) (net (rename DWACT_FINC_E_0_8 "DWACT_FINC_E_0[8]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_80)) (portRef C (instanceRef un6_fe_npc_0_I_83)) )) (net N_98_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_76)) (portRef A (instanceRef un6_fe_npc_0_I_77)) )) (net N_106_1 (joined (portRef Y (instanceRef un6_fe_npc_0_I_65)) (portRef A (instanceRef un6_fe_npc_0_I_66)) )) (net N_113_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_55)) (portRef A (instanceRef un6_fe_npc_0_I_56)) )) (net (rename DWACT_FINC_E_0_3 "DWACT_FINC_E_0[3]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_41)) (portRef C (instanceRef un6_fe_npc_0_I_44)) (portRef C (instanceRef un6_fe_npc_0_I_48)) )) (net N_121_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_44)) (portRef A (instanceRef un6_fe_npc_0_I_45)) )) (net N_126_1 (joined (portRef Y (instanceRef un6_fe_npc_0_I_37)) (portRef A (instanceRef un6_fe_npc_0_I_38)) )) (net N_131_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_30)) (portRef A (instanceRef un6_fe_npc_0_I_31)) )) (net (rename DWACT_FINC_E_0_1 "DWACT_FINC_E_0[1]") (joined (portRef Y (instanceRef un6_fe_npc_0_I_27)) (portRef B (instanceRef un6_fe_npc_0_I_30)) )) (net N_136_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_23)) (portRef A (instanceRef un6_fe_npc_0_I_24)) )) (net N_144_0 (joined (portRef Y (instanceRef un6_fe_npc_0_I_12)) (portRef A (instanceRef un6_fe_npc_0_I_13)) )) (net N_4_2 (joined (portRef Y (instanceRef un6_fe_npc_2_I_209)) (portRef A (instanceRef un6_fe_npc_2_I_210)) )) (net (rename DWACT_FINC_E_1_24 "DWACT_FINC_E_1[24]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_199)) (portRef A (instanceRef un6_fe_npc_2_I_202)) (portRef A (instanceRef un6_fe_npc_2_I_209)) )) (net (rename DWACT_FINC_E_1_23 "DWACT_FINC_E_1[23]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_192)) (portRef C (instanceRef un6_fe_npc_2_I_195)) (portRef B (instanceRef un6_fe_npc_2_I_202)) (portRef B (instanceRef un6_fe_npc_2_I_209)) )) (net (rename DWACT_FINC_E_1_25 "DWACT_FINC_E_1[25]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_206)) (portRef C (instanceRef un6_fe_npc_2_I_209)) )) (net N_9_2 (joined (portRef Y (instanceRef un6_fe_npc_2_I_202)) (portRef A (instanceRef un6_fe_npc_2_I_203)) )) (net (rename DWACT_FINC_E_1_29 "DWACT_FINC_E_1[29]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_152)) (portRef A (instanceRef un6_fe_npc_2_I_155)) (portRef A (instanceRef un6_fe_npc_2_I_165)) (portRef A (instanceRef un6_fe_npc_2_I_172)) (portRef A (instanceRef un6_fe_npc_2_I_185)) (portRef A (instanceRef un6_fe_npc_2_I_195)) (portRef A (instanceRef un6_fe_npc_2_I_199)) )) (net (rename DWACT_FINC_E_1_30 "DWACT_FINC_E_1[30]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_182)) (portRef B (instanceRef un6_fe_npc_2_I_185)) (portRef B (instanceRef un6_fe_npc_2_I_195)) (portRef B (instanceRef un6_fe_npc_2_I_199)) )) (net N_14_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_195)) (portRef A (instanceRef un6_fe_npc_2_I_196)) )) (net (rename DWACT_FINC_E_1_15 "DWACT_FINC_E_1[15]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_132)) (portRef C (instanceRef un6_fe_npc_2_I_135)) (portRef A (instanceRef un6_fe_npc_2_I_139)) (portRef A (instanceRef un6_fe_npc_2_I_146)) (portRef A (instanceRef un6_fe_npc_2_I_162)) (portRef A (instanceRef un6_fe_npc_2_I_169)) (portRef A (instanceRef un6_fe_npc_2_I_179)) (portRef A (instanceRef un6_fe_npc_2_I_192)) )) (net (rename DWACT_FINC_E_1_17 "DWACT_FINC_E_1[17]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_159)) (portRef B (instanceRef un6_fe_npc_2_I_162)) (portRef B (instanceRef un6_fe_npc_2_I_169)) (portRef B (instanceRef un6_fe_npc_2_I_179)) (portRef B (instanceRef un6_fe_npc_2_I_192)) )) (net (rename DWACT_FINC_E_1_22 "DWACT_FINC_E_1[22]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_189)) (portRef C (instanceRef un6_fe_npc_2_I_192)) )) (net N_21_2 (joined (portRef Y (instanceRef un6_fe_npc_2_I_185)) (portRef A (instanceRef un6_fe_npc_2_I_186)) )) (net (rename DWACT_FINC_E_1_21 "DWACT_FINC_E_1[21]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_179)) (portRef C (instanceRef un6_fe_npc_2_I_185)) )) (net (rename DWACT_FINC_E_1_9 "DWACT_FINC_E_1[9]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_87)) (portRef C (instanceRef un6_fe_npc_2_I_90)) (portRef B (instanceRef un6_fe_npc_2_I_94)) (portRef B (instanceRef un6_fe_npc_2_I_118)) (portRef B (instanceRef un6_fe_npc_2_I_182)) )) (net (rename DWACT_FINC_E_1_12 "DWACT_FINC_E_1[12]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_108)) (portRef C (instanceRef un6_fe_npc_2_I_114)) (portRef C (instanceRef un6_fe_npc_2_I_118)) (portRef C (instanceRef un6_fe_npc_2_I_182)) )) (net (rename DWACT_FINC_E_1_20 "DWACT_FINC_E_1[20]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_176)) (portRef C (instanceRef un6_fe_npc_2_I_179)) )) (net N_30_2 (joined (portRef Y (instanceRef un6_fe_npc_2_I_172)) (portRef A (instanceRef un6_fe_npc_2_I_173)) )) (net (rename DWACT_FINC_E_1_13 "DWACT_FINC_E_1[13]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_118)) (portRef B (instanceRef un6_fe_npc_2_I_121)) (portRef B (instanceRef un6_fe_npc_2_I_128)) (portRef B (instanceRef un6_fe_npc_2_I_135)) (portRef B (instanceRef un6_fe_npc_2_I_142)) (portRef B (instanceRef un6_fe_npc_2_I_155)) (portRef B (instanceRef un6_fe_npc_2_I_165)) (portRef B (instanceRef un6_fe_npc_2_I_172)) )) (net (rename DWACT_FINC_E_1_19 "DWACT_FINC_E_1[19]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_169)) (portRef C (instanceRef un6_fe_npc_2_I_172)) )) (net N_35_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_165)) (portRef A (instanceRef un6_fe_npc_2_I_166)) )) (net (rename DWACT_FINC_E_1_18 "DWACT_FINC_E_1[18]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_162)) (portRef C (instanceRef un6_fe_npc_2_I_165)) )) (net N_42_2 (joined (portRef Y (instanceRef un6_fe_npc_2_I_155)) (portRef A (instanceRef un6_fe_npc_2_I_156)) )) (net (rename DWACT_FINC_E_1_33 "DWACT_FINC_E_1[33]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_146)) (portRef C (instanceRef un6_fe_npc_2_I_155)) )) (net (rename DWACT_FINC_E_1_34 "DWACT_FINC_E_1[34]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_149)) (portRef A (instanceRef un6_fe_npc_2_I_152)) )) (net (rename DWACT_FINC_E_1_2 "DWACT_FINC_E_1[2]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_34)) (portRef B (instanceRef un6_fe_npc_2_I_37)) (portRef B (instanceRef un6_fe_npc_2_I_44)) (portRef B (instanceRef un6_fe_npc_2_I_48)) (portRef B (instanceRef un6_fe_npc_2_I_62)) (portRef B (instanceRef un6_fe_npc_2_I_111)) (portRef B (instanceRef un6_fe_npc_2_I_152)) )) (net (rename DWACT_FINC_E_1_5 "DWACT_FINC_E_1[5]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_59)) (portRef C (instanceRef un6_fe_npc_2_I_62)) (portRef C (instanceRef un6_fe_npc_2_I_111)) (portRef C (instanceRef un6_fe_npc_2_I_152)) )) (net N_51_3 (joined (portRef Y (instanceRef un6_fe_npc_2_I_142)) (portRef A (instanceRef un6_fe_npc_2_I_143)) )) (net (rename DWACT_FINC_E_1_28 "DWACT_FINC_E_1[28]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_111)) (portRef A (instanceRef un6_fe_npc_2_I_114)) (portRef A (instanceRef un6_fe_npc_2_I_121)) (portRef A (instanceRef un6_fe_npc_2_I_128)) (portRef A (instanceRef un6_fe_npc_2_I_135)) (portRef A (instanceRef un6_fe_npc_2_I_142)) )) (net (rename DWACT_FINC_E_1_16 "DWACT_FINC_E_1[16]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_139)) (portRef C (instanceRef un6_fe_npc_2_I_142)) )) (net N_56_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_135)) (portRef A (instanceRef un6_fe_npc_2_I_136)) )) (net N_61_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_128)) (portRef A (instanceRef un6_fe_npc_2_I_129)) )) (net (rename DWACT_FINC_E_1_14 "DWACT_FINC_E_1[14]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_125)) (portRef C (instanceRef un6_fe_npc_2_I_128)) )) (net N_66_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_121)) (portRef A (instanceRef un6_fe_npc_2_I_122)) )) (net N_71_2 (joined (portRef Y (instanceRef un6_fe_npc_2_I_114)) (portRef A (instanceRef un6_fe_npc_2_I_115)) )) (net (rename DWACT_FINC_E_1_10 "DWACT_FINC_E_1[10]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_94)) (portRef B (instanceRef un6_fe_npc_2_I_97)) (portRef B (instanceRef un6_fe_npc_2_I_104)) (portRef B (instanceRef un6_fe_npc_2_I_114)) )) (net N_78_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_104)) (portRef A (instanceRef un6_fe_npc_2_I_105)) )) (net (rename DWACT_FINC_E_1_11 "DWACT_FINC_E_1[11]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_101)) (portRef C (instanceRef un6_fe_npc_2_I_104)) )) (net N_83_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_97)) (portRef A (instanceRef un6_fe_npc_2_I_98)) )) (net N_88_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_90)) (portRef A (instanceRef un6_fe_npc_2_I_91)) )) (net N_93_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_83)) (portRef A (instanceRef un6_fe_npc_2_I_84)) )) (net (rename DWACT_FINC_E_1_8 "DWACT_FINC_E_1[8]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_80)) (portRef C (instanceRef un6_fe_npc_2_I_83)) )) (net N_98_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_76)) (portRef A (instanceRef un6_fe_npc_2_I_77)) )) (net N_106_2 (joined (portRef Y (instanceRef un6_fe_npc_2_I_65)) (portRef A (instanceRef un6_fe_npc_2_I_66)) )) (net N_113_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_55)) (portRef A (instanceRef un6_fe_npc_2_I_56)) )) (net (rename DWACT_FINC_E_1_3 "DWACT_FINC_E_1[3]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_41)) (portRef C (instanceRef un6_fe_npc_2_I_44)) (portRef C (instanceRef un6_fe_npc_2_I_48)) )) (net N_121_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_44)) (portRef A (instanceRef un6_fe_npc_2_I_45)) )) (net N_126_2 (joined (portRef Y (instanceRef un6_fe_npc_2_I_37)) (portRef A (instanceRef un6_fe_npc_2_I_38)) )) (net N_131_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_30)) (portRef A (instanceRef un6_fe_npc_2_I_31)) )) (net (rename DWACT_FINC_E_1_1 "DWACT_FINC_E_1[1]") (joined (portRef Y (instanceRef un6_fe_npc_2_I_27)) (portRef B (instanceRef un6_fe_npc_2_I_30)) )) (net N_136_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_23)) (portRef A (instanceRef un6_fe_npc_2_I_24)) )) (net N_144_1 (joined (portRef Y (instanceRef un6_fe_npc_2_I_12)) (portRef A (instanceRef un6_fe_npc_2_I_13)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property nwin (integer 7)) (property isets (integer 1)) (property dsets (integer 1)) (property fpu (integer 0)) (property v8 (integer 0)) (property cp (integer 0)) (property mac (integer 0)) (property dsu (integer 1)) (property nwp (integer 0)) (property pclow (integer 2)) (property notag (integer 0)) (property index (integer 0)) (property lddel (integer 1)) (property irfwt (integer 1)) (property disas (integer 0)) (property tbuf (integer 0)) (property pwd (integer 2)) (property svt (integer 0)) (property rstaddr (integer 0)) (property smp (integer 0)) (property fabtech (integer 10)) (property clk2x (integer 0)) (property bp (integer 1)) ) ) (cell dcom (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename un1_dcom0_0 "un1_dcom0_0[36:36]") 1) (direction INPUT)) (port (array (rename hrdatas_rnio2l41 "hrdatas_RNIO2L41[5:5]") 1) (direction INPUT)) (port dmao_i_1_0 (direction INPUT)) (port dmao_i_1_15 (direction INPUT)) (port dmao_i_1_22 (direction INPUT)) (port dmao_i_1_24 (direction INPUT)) (port dmao_i_1_25 (direction INPUT)) (port dmao_i_1_26 (direction INPUT)) (port dmao_i_1_28 (direction INPUT)) (port dmao_i_1_29 (direction INPUT)) (port dmao_i_1_30 (direction INPUT)) (port dmao_i_1_17 (direction INPUT)) (port dmao_i_1_14 (direction INPUT)) (port dmao_i_1_31 (direction INPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction OUTPUT)) (port hrdata_2 (direction INPUT)) (port hrdata_0 (direction INPUT)) (port hrdata_15 (direction INPUT)) (port hrdata_17 (direction INPUT)) (port hrdata_18 (direction INPUT)) (port hrdata_19 (direction INPUT)) (port hrdata_20 (direction INPUT)) (port hrdata_26 (direction INPUT)) (port hrdata_7 (direction INPUT)) (port hrdata_8 (direction INPUT)) (port hrdata_9 (direction INPUT)) (port hrdata_10 (direction INPUT)) (port hrdata_1 (direction INPUT)) (port hrdata_22 (direction INPUT)) (port hrdata_5 (direction INPUT)) (port hrdata_3 (direction INPUT)) (port hrdata_6 (direction INPUT)) (port (array (rename data "data[7:0]") 8) (direction INPUT)) (port (array (rename state_i "state_i[5:5]") 1) (direction OUTPUT)) (port (array (rename haddr "haddr[31:0]") 32) (direction OUTPUT)) (port cfgsel_RNILM2N1 (direction INPUT)) (port cfgsel_RNIFO4S1 (direction INPUT)) (port rstn (direction INPUT)) (port active (direction INPUT)) (port hbusreq_i_3 (direction OUTPUT)) (port N_646 (direction OUTPUT)) (port thempty (direction INPUT)) (port ready (direction INPUT)) (port hwrite (direction OUTPUT)) (port dready (direction INPUT)) (port write (direction OUTPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename r_state_0_4 "r.state_0[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_state_0_3 "r.state_0[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_state_RNI0G7T1_0_1 "r.state_RNI0G7T1_0[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_state_0_RNIUUDG_4 "r.state_0_RNIUUDG[4]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_state_0_RNIA4KQ1_1_4 "r.state_0_RNIA4KQ1_1[4]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_state_0_RNIA4KQ1_4 "r.state_0_RNIA4KQ1[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un5_newlen_I_8 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newlen_I_19 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_8 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_19 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_51 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_72 (viewRef prim (cellRef NOR2B (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename r_state_i_RNO_0_5 "r.state_i_RNO_0[5]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_state_RNO_0_1 "r.state_RNO_0[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_state_RNIFBPS1_2 "r.state_RNIFBPS1[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_state_RNO_1_1 "r.state_RNO_1[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_clen_RNO_0_1 "r.clen_RNO_0[1]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_clen_RNO_1_0 "r.clen_RNO_1[0]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_state_RNIU4BD_0 "r.state_RNIU4BD[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_31 "r.addr_RNO[31]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_clen_RNO_0 "r.clen_RNO[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_clen_RNO_1 "r.clen_RNO[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_state_RNI0G7T1_1 "r.state_RNI0G7T1[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_state_RNO_2_1 "r.state_RNO_2[1]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_state_RNO_1 "r.state_RNO[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_state_i_RNI3KN01_5 "r.state_i_RNI3KN01[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_state_RNIUGCD1_2 "r.state_RNIUGCD1[2]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_state_0_RNIIU3S4_3 "r.state_0_RNIIU3S4[3]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_3 "r.addr_RNO[3]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_addr_RNO_5 "r.addr_RNO[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_state_i_RNO_5 "r.state_i_RNO[5]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_addr_RNO_6 "r.addr_RNO[6]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_write_RNI26501 "r.write_RNI26501") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_state_0_RNI1D5V1_3 "r.state_0_RNI1D5V1[3]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_addr_RNO_8 "r.addr_RNO[8]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_addr_RNO_30 "r.addr_RNO[30]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_state_RNO_0 "r.state_RNO[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_state_0_RNIUUDG_0_4 "r.state_0_RNIUUDG_0[4]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_addr_RNO_25 "r.addr_RNO[25]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_15 "r.addr_RNO[15]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_7 "r.addr_RNO[7]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_addr_RNO_9 "r.addr_RNO[9]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_addr_RNO_10 "r.addr_RNO[10]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_11 "r.addr_RNO[11]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_13 "r.addr_RNO[13]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_24 "r.addr_RNO[24]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_26 "r.addr_RNO[26]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_27 "r.addr_RNO[27]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_23 "r.addr_RNO[23]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_28 "r.addr_RNO[28]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_29 "r.addr_RNO[29]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_2 "r.addr_RNO[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_addr_RNO_4 "r.addr_RNO[4]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_addr_RNO_14 "r.addr_RNO[14]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_16 "r.addr_RNO[16]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_17 "r.addr_RNO[17]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_18 "r.addr_RNO[18]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_19 "r.addr_RNO[19]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_20 "r.addr_RNO[20]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_addr_RNO_21 "r.addr_RNO[21]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_22 "r.addr_RNO[22]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_addr_RNO_12 "r.addr_RNO[12]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_state_RNO_2 "r.state_RNO[2]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_state_RNO_0_2 "r.state_RNO_0[2]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_31 "r.addr_RNO_0[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_31 "r.addr_RNO_2[31]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_31 "r.addr_RNO_1[31]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_data_RNO_7 "r.data_RNO[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_len_RNO_1 "r.len_RNO[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_len_RNO_4 "r.len_RNO[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNO_4 "r.data_RNO[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNO_31 "r.data_RNO[31]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_state_RNI7EEE_2 "r.state_RNI7EEE[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_state_RNI7EEE_0_2 "r.state_RNI7EEE_0[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_clen_RNO_1_1 "r.clen_RNO_1[1]") (viewRef prim (cellRef AXOI1 (libraryRef PA3))) ) (instance (rename r_clen_RNO_0_0 "r.clen_RNO_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_state_0_RNIA4KQ1_0_4 "r.state_0_RNIA4KQ1_0[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_state_RNI2A1F_2 "r.state_RNI2A1F[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_state_RNIIJB8_1 "r.state_RNIIJB8[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_state_RNIFCO9_1 "r.state_RNIFCO9[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_state_RNIILCN_0 "r.state_RNIILCN[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_state_RNIDF28_2 "r.state_RNIDF28[2]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_state_nsss_i_i_0_0_o2_0 "r.state_nsss_i_i_0_0_o2[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_clen_RNIER7D_1 "r.clen_RNIER7D[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_clen_RNIKSR6_1 "r.clen_RNIKSR6[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_state_0_RNIDMM61_3 "r.state_0_RNIDMM61[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_state_RNIP7MB1_0 "r.state_RNIP7MB1[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_state_RNO_1_0 "r.state_RNO_1[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_state_RNICB28_1 "r.state_RNICB28[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_write_RNO "r.write_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_state_i_RNICJV3_5 "r.state_i_RNICJV3[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_state_RNIH9B9_0 "r.state_RNIH9B9[0]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_len_RNO_2 "r.len_RNO[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_len_RNO_3 "r.len_RNO[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_len_RNO_5 "r.len_RNO[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_len_RNO_0 "r.len_RNO[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_5 "r.data_RNO[5]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_state_RNINPJV1_0 "r.state_RNINPJV1[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_state_RNI73UO1_0 "r.state_RNI73UO1[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_state_i_RNI3DJ32_5 "r.state_i_RNI3DJ32[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_state_RNIUHTJ_2 "r.state_RNIUHTJ[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_state_0_RNIA4KQ1_2_4 "r.state_0_RNIA4KQ1_2[4]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_state_i_RNI3NE9_5 "r.state_i_RNI3NE9[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_state_i_RNIVT57_5 "r.state_i_RNIVT57[5]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance un1_rst_0_o4 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_write_RNO_0 "r.write_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_6 "r.addr_RNO_0[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_6 "r.addr_RNO_2[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_6 "r.addr_RNO_1[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_5 "r.addr_RNO_0[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_5 "r.addr_RNO_2[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_5 "r.addr_RNO_1[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_3 "r.addr_RNO_0[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_3 "r.addr_RNO_2[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_3 "r.addr_RNO_1[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_state_RNIKMEO_2 "r.state_RNIKMEO[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_state_0_RNI40PH_4 "r.state_0_RNI40PH[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_len_RNIS3A_5 "r.len_RNIS3A[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_data_RNO_14 "r.data_RNO[14]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_len_RNIT2DG_5 "r.len_RNIT2DG[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_data_RNO_13 "r.data_RNO[13]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_17 "r.data_RNO[17]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_6 "r.data_RNO[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_8 "r.addr_RNO_0[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_8 "r.addr_RNO_2[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_8 "r.addr_RNO_1[8]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_state_RNO_0_0 "r.state_RNO_0[0]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_30 "r.addr_RNO_0[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_30 "r.addr_RNO_2[30]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_30 "r.addr_RNO_1[30]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_25 "r.addr_RNO_0[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_25 "r.addr_RNO_2[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_25 "r.addr_RNO_1[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_data_RNO_23 "r.data_RNO[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_7 "r.addr_RNO_0[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_7 "r.addr_RNO_2[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_7 "r.addr_RNO_1[7]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_15 "r.addr_RNO_0[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_15 "r.addr_RNO_2[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_15 "r.addr_RNO_1[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_data_RNO_2 "r.data_RNO[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_state_0_RNI104I_4 "r.state_0_RNI104I[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_data_RNO_12 "r.data_RNO[12]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_11 "r.data_RNO[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNO_10 "r.data_RNO[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNO_9 "r.data_RNO[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNO_8 "r.data_RNO[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNO_30 "r.data_RNO[30]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_29 "r.data_RNO[29]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_28 "r.data_RNO[28]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_27 "r.data_RNO[27]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_26 "r.data_RNO[26]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_25 "r.data_RNO[25]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_24 "r.data_RNO[24]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_22 "r.data_RNO[22]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_21 "r.data_RNO[21]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_20 "r.data_RNO[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNO_19 "r.data_RNO[19]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_18 "r.data_RNO[18]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_16 "r.data_RNO[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNO_15 "r.data_RNO[15]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_1 "r.data_RNO[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_data_RNO_0 "r.data_RNO[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_data_RNO_3 "r.data_RNO[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_12 "r.addr_RNO_1[12]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_12 "r.addr_RNO_0[12]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_12 "r.addr_RNO_2[12]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_22 "r.addr_RNO_1[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_22 "r.addr_RNO_0[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_22 "r.addr_RNO_2[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_21 "r.addr_RNO_1[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_21 "r.addr_RNO_2[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_21 "r.addr_RNO_0[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_20 "r.addr_RNO_1[20]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_20 "r.addr_RNO_0[20]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_20 "r.addr_RNO_2[20]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_19 "r.addr_RNO_1[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_19 "r.addr_RNO_2[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_19 "r.addr_RNO_0[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_18 "r.addr_RNO_1[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_18 "r.addr_RNO_2[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_18 "r.addr_RNO_0[18]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_17 "r.addr_RNO_1[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_17 "r.addr_RNO_2[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_17 "r.addr_RNO_0[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_16 "r.addr_RNO_1[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_16 "r.addr_RNO_2[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_16 "r.addr_RNO_0[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_14 "r.addr_RNO_1[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_14 "r.addr_RNO_2[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_14 "r.addr_RNO_0[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_4 "r.addr_RNO_1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_4 "r.addr_RNO_2[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_4 "r.addr_RNO_0[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_2 "r.addr_RNO_1[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_2 "r.addr_RNO_2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_2 "r.addr_RNO_0[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_29 "r.addr_RNO_1[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_29 "r.addr_RNO_2[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_29 "r.addr_RNO_0[29]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_28 "r.addr_RNO_1[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_28 "r.addr_RNO_2[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_28 "r.addr_RNO_0[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_23 "r.addr_RNO_1[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_23 "r.addr_RNO_2[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_23 "r.addr_RNO_0[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_27 "r.addr_RNO_1[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_27 "r.addr_RNO_2[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_27 "r.addr_RNO_0[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_26 "r.addr_RNO_1[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_26 "r.addr_RNO_2[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_26 "r.addr_RNO_0[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_24 "r.addr_RNO_1[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_24 "r.addr_RNO_2[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_24 "r.addr_RNO_0[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_13 "r.addr_RNO_1[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_13 "r.addr_RNO_2[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_13 "r.addr_RNO_0[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_11 "r.addr_RNO_1[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_11 "r.addr_RNO_2[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_11 "r.addr_RNO_0[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_10 "r.addr_RNO_1[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_10 "r.addr_RNO_2[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_10 "r.addr_RNO_0[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_1_9 "r.addr_RNO_1[9]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_addr_RNO_2_9 "r.addr_RNO_2[9]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_addr_RNO_0_9 "r.addr_RNO_0[9]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_write "r.write") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_state_i_5 "r.state_i[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_state_4 "r.state[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_state_3 "r.state[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_state_2 "r.state[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_state_1 "r.state[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_state_0 "r.state[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_addr_0 "r.addr[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_addr_1 "r.addr[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_addr_2 "r.addr[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_3 "r.addr[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_4 "r.addr[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_5 "r.addr[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_6 "r.addr[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_7 "r.addr[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_8 "r.addr[8]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_9 "r.addr[9]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_10 "r.addr[10]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_11 "r.addr[11]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_12 "r.addr[12]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_13 "r.addr[13]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_14 "r.addr[14]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_15 "r.addr[15]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_16 "r.addr[16]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_17 "r.addr[17]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_18 "r.addr[18]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_19 "r.addr[19]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_20 "r.addr[20]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_21 "r.addr[21]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_22 "r.addr[22]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_23 "r.addr[23]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_24 "r.addr[24]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_25 "r.addr[25]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_26 "r.addr[26]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_27 "r.addr[27]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_28 "r.addr[28]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_29 "r.addr[29]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_30 "r.addr[30]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_addr_31 "r.addr[31]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_len_0 "r.len[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_len_1 "r.len[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_len_2 "r.len[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_len_3 "r.len[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_len_4 "r.len[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_len_5 "r.len[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_clen_0 "r.clen[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_clen_1 "r.clen[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_data_0 "r.data[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_1 "r.data[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_2 "r.data[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_3 "r.data[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_4 "r.data[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_5 "r.data[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_6 "r.data[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_7 "r.data[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_8 "r.data[8]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_9 "r.data[9]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_10 "r.data[10]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_11 "r.data[11]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_12 "r.data[12]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_13 "r.data[13]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_14 "r.data[14]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_15 "r.data[15]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_16 "r.data[16]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_17 "r.data[17]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_18 "r.data[18]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_19 "r.data[19]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_20 "r.data[20]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_21 "r.data[21]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_22 "r.data[22]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_23 "r.data[23]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_24 "r.data[24]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_25 "r.data[25]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_26 "r.data[26]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_27 "r.data[27]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_28 "r.data[28]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_29 "r.data[29]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_30 "r.data[30]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_data_31 "r.data[31]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance un5_newaddr_I_210 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_209 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_206 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_203 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_202 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_199 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_196 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_195 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_192 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_189 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_186 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_185 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_182 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_179 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_176 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_173 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_172 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_169 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_166 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_165 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_162 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_159 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_156 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_155 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_152 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_149 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_146 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_143 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_142 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_139 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_136 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_135 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_132 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_129 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_128 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_125 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_122 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_121 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_118 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_115 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_114 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_111 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_108 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_105 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_104 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_101 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_98 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_97 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_94 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_91 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_90 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_87 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_84 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_83 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_80 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_77 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_76 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_73 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_69 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_66 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_65 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_62 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_59 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_56 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_55 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_52 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_48 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_45 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_44 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_41 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_38 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_37 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_34 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_31 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_30 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_27 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_24 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_23 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_20 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_16 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_13 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_12 (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_9 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newaddr_I_5 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newlen_I_24 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newlen_I_23 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newlen_I_20 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newlen_I_16 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newlen_I_13 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newlen_I_12 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newlen_I_9 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un5_newlen_I_5 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename state_0_4 "state_0[4]") (joined (portRef Q (instanceRef r_state_0_4)) (portRef A (instanceRef r_addr_RNO_1_12)) (portRef B (instanceRef r_state_0_RNI104I_4)) (portRef A (instanceRef r_addr_RNO_1_15)) (portRef A (instanceRef r_addr_RNO_1_7)) (portRef A (instanceRef r_addr_RNO_1_25)) (portRef A (instanceRef r_addr_RNO_1_30)) (portRef A (instanceRef r_addr_RNO_1_8)) (portRef A (instanceRef r_state_0_RNI40PH_4)) (portRef A (instanceRef r_addr_RNO_1_3)) (portRef A (instanceRef r_addr_RNO_1_5)) (portRef A (instanceRef r_addr_RNO_1_6)) (portRef C (instanceRef r_state_i_RNIVT57_5)) (portRef A (instanceRef r_state_0_RNIA4KQ1_2_4)) (portRef A (instanceRef r_state_RNIIJB8_1)) (portRef B (instanceRef r_state_0_RNIA4KQ1_0_4)) (portRef A (instanceRef r_addr_RNO_1_31)) (portRef B (instanceRef r_state_0_RNIUUDG_0_4)) (portRef B (instanceRef r_state_RNIUGCD1_2)) (portRef B (instanceRef r_state_0_RNIA4KQ1_4)) (portRef A (instanceRef r_state_0_RNIA4KQ1_1_4)) (portRef B (instanceRef r_state_0_RNIUUDG_4)) )) (net (rename state_i_RNI3KN01_5 "state_i_RNI3KN01[5]") (joined (portRef Y (instanceRef r_state_i_RNI3KN01_5)) (portRef D (instanceRef r_state_4)) (portRef D (instanceRef r_state_0_4)) )) (net (rename state_0_3 "state_0[3]") (joined (portRef Q (instanceRef r_state_0_3)) (portRef S (instanceRef r_data_RNO_9)) (portRef S (instanceRef r_data_RNO_10)) (portRef S (instanceRef r_data_RNO_11)) (portRef S (instanceRef r_data_RNO_12)) (portRef S (instanceRef r_data_RNO_2)) (portRef S (instanceRef r_data_RNO_23)) (portRef S (instanceRef r_data_RNO_6)) (portRef S (instanceRef r_data_RNO_17)) (portRef S (instanceRef r_data_RNO_13)) (portRef S (instanceRef r_data_RNO_14)) (portRef S (instanceRef r_data_RNO_5)) (portRef A (instanceRef r_state_RNIH9B9_0)) (portRef B (instanceRef r_state_0_RNIDMM61_3)) (portRef B (instanceRef r_state_RNIILCN_0)) (portRef S (instanceRef r_data_RNO_31)) (portRef S (instanceRef r_data_RNO_4)) (portRef S (instanceRef r_data_RNO_7)) (portRef A (instanceRef r_state_RNO_0_2)) (portRef A (instanceRef r_state_0_RNI1D5V1_3)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_data_31)) (portRef CLK (instanceRef r_data_30)) (portRef CLK (instanceRef r_data_29)) (portRef CLK (instanceRef r_data_28)) (portRef CLK (instanceRef r_data_27)) (portRef CLK (instanceRef r_data_26)) (portRef CLK (instanceRef r_data_25)) (portRef CLK (instanceRef r_data_24)) (portRef CLK (instanceRef r_data_23)) (portRef CLK (instanceRef r_data_22)) (portRef CLK (instanceRef r_data_21)) (portRef CLK (instanceRef r_data_20)) (portRef CLK (instanceRef r_data_19)) (portRef CLK (instanceRef r_data_18)) (portRef CLK (instanceRef r_data_17)) (portRef CLK (instanceRef r_data_16)) (portRef CLK (instanceRef r_data_15)) (portRef CLK (instanceRef r_data_14)) (portRef CLK (instanceRef r_data_13)) (portRef CLK (instanceRef r_data_12)) (portRef CLK (instanceRef r_data_11)) (portRef CLK (instanceRef r_data_10)) (portRef CLK (instanceRef r_data_9)) (portRef CLK (instanceRef r_data_8)) (portRef CLK (instanceRef r_data_7)) (portRef CLK (instanceRef r_data_6)) (portRef CLK (instanceRef r_data_5)) (portRef CLK (instanceRef r_data_4)) (portRef CLK (instanceRef r_data_3)) (portRef CLK (instanceRef r_data_2)) (portRef CLK (instanceRef r_data_1)) (portRef CLK (instanceRef r_data_0)) (portRef CLK (instanceRef r_clen_1)) (portRef CLK (instanceRef r_clen_0)) (portRef CLK (instanceRef r_len_5)) (portRef CLK (instanceRef r_len_4)) (portRef CLK (instanceRef r_len_3)) (portRef CLK (instanceRef r_len_2)) (portRef CLK (instanceRef r_len_1)) (portRef CLK (instanceRef r_len_0)) (portRef CLK (instanceRef r_addr_31)) (portRef CLK (instanceRef r_addr_30)) (portRef CLK (instanceRef r_addr_29)) (portRef CLK (instanceRef r_addr_28)) (portRef CLK (instanceRef r_addr_27)) (portRef CLK (instanceRef r_addr_26)) (portRef CLK (instanceRef r_addr_25)) (portRef CLK (instanceRef r_addr_24)) (portRef CLK (instanceRef r_addr_23)) (portRef CLK (instanceRef r_addr_22)) (portRef CLK (instanceRef r_addr_21)) (portRef CLK (instanceRef r_addr_20)) (portRef CLK (instanceRef r_addr_19)) (portRef CLK (instanceRef r_addr_18)) (portRef CLK (instanceRef r_addr_17)) (portRef CLK (instanceRef r_addr_16)) (portRef CLK (instanceRef r_addr_15)) (portRef CLK (instanceRef r_addr_14)) (portRef CLK (instanceRef r_addr_13)) (portRef CLK (instanceRef r_addr_12)) (portRef CLK (instanceRef r_addr_11)) (portRef CLK (instanceRef r_addr_10)) (portRef CLK (instanceRef r_addr_9)) (portRef CLK (instanceRef r_addr_8)) (portRef CLK (instanceRef r_addr_7)) (portRef CLK (instanceRef r_addr_6)) (portRef CLK (instanceRef r_addr_5)) (portRef CLK (instanceRef r_addr_4)) (portRef CLK (instanceRef r_addr_3)) (portRef CLK (instanceRef r_addr_2)) (portRef CLK (instanceRef r_addr_1)) (portRef CLK (instanceRef r_addr_0)) (portRef CLK (instanceRef r_state_0)) (portRef CLK (instanceRef r_state_1)) (portRef CLK (instanceRef r_state_2)) (portRef CLK (instanceRef r_state_3)) (portRef CLK (instanceRef r_state_4)) (portRef CLK (instanceRef r_state_i_5)) (portRef CLK (instanceRef r_write)) (portRef CLK (instanceRef r_state_0_3)) (portRef CLK (instanceRef r_state_0_4)) )) (net N_64 (joined (portRef Y (instanceRef r_state_0_RNIIU3S4_3)) (portRef D (instanceRef r_state_3)) (portRef D (instanceRef r_state_0_3)) )) (net data_0_sqmuxa_0 (joined (portRef Y (instanceRef r_state_RNI0G7T1_0_1)) (portRef E (instanceRef r_data_23)) (portRef E (instanceRef r_data_22)) (portRef E (instanceRef r_data_21)) (portRef E (instanceRef r_data_20)) (portRef E (instanceRef r_data_19)) (portRef E (instanceRef r_data_18)) (portRef E (instanceRef r_data_17)) (portRef E (instanceRef r_data_16)) (portRef E (instanceRef r_data_15)) (portRef E (instanceRef r_data_14)) (portRef E (instanceRef r_data_13)) (portRef E (instanceRef r_data_12)) (portRef E (instanceRef r_data_11)) (portRef E (instanceRef r_data_10)) (portRef E (instanceRef r_data_1)) (portRef E (instanceRef r_data_0)) )) (net N_364 (joined (portRef Y (instanceRef r_state_RNICB28_1)) (portRef B (instanceRef r_state_RNI0G7T1_1)) (portRef B (instanceRef r_state_RNI0G7T1_0_1)) )) (net N_695 (joined (portRef Y (instanceRef r_state_0_RNIDMM61_3)) (portRef B (instanceRef r_state_RNO_2)) (portRef C (instanceRef r_state_RNI0G7T1_1)) (portRef C (instanceRef r_state_RNI0G7T1_0_1)) )) (net state_4_0 (joined (portRef Y (instanceRef r_state_0_RNIUUDG_4)) (portRef E (instanceRef r_addr_24)) (portRef E (instanceRef r_addr_23)) (portRef E (instanceRef r_addr_22)) (portRef E (instanceRef r_addr_21)) (portRef E (instanceRef r_addr_20)) (portRef E (instanceRef r_addr_19)) (portRef E (instanceRef r_addr_18)) (portRef E (instanceRef r_addr_17)) (portRef E (instanceRef r_addr_16)) (portRef E (instanceRef r_addr_15)) (portRef E (instanceRef r_addr_14)) (portRef E (instanceRef r_addr_13)) (portRef E (instanceRef r_addr_12)) (portRef E (instanceRef r_addr_11)) (portRef E (instanceRef r_addr_10)) (portRef A (instanceRef r_state_0_RNI104I_4)) )) (net state_4_0_0_0_0 (joined (portRef Y (instanceRef r_state_RNIU4BD_0)) (portRef C (instanceRef r_state_0_RNIUUDG_0_4)) (portRef C (instanceRef r_state_0_RNIUUDG_4)) )) (net N_554_0 (joined (portRef Y (instanceRef r_state_0_RNIA4KQ1_1_4)) (portRef B (instanceRef r_addr_RNO_2_18)) (portRef B (instanceRef r_addr_RNO_2_19)) (portRef B (instanceRef r_addr_RNO_0_20)) (portRef B (instanceRef r_addr_RNO_2_21)) (portRef B (instanceRef r_addr_RNO_0_22)) (portRef B (instanceRef r_addr_RNO_0_12)) (portRef B (instanceRef r_addr_RNO_2_15)) (portRef B (instanceRef r_addr_RNO_2_7)) (portRef B (instanceRef r_addr_RNO_2_25)) (portRef B (instanceRef r_addr_RNO_2_30)) (portRef B (instanceRef r_addr_RNO_2_8)) (portRef B (instanceRef r_addr_RNO_2_3)) (portRef B (instanceRef r_addr_RNO_2_5)) (portRef B (instanceRef r_addr_RNO_2_6)) (portRef B (instanceRef r_addr_RNO_2_31)) )) (net N_635 (joined (portRef Y (instanceRef r_state_RNI73UO1_0)) (portRef B (instanceRef r_state_0_RNIA4KQ1_2_4)) (portRef A (instanceRef r_state_0_RNIA4KQ1_0_4)) (portRef A (instanceRef r_state_0_RNIA4KQ1_4)) (portRef B (instanceRef r_state_0_RNIA4KQ1_1_4)) )) (net N_556_0 (joined (portRef Y (instanceRef r_state_0_RNIA4KQ1_4)) (portRef B (instanceRef r_addr_RNO_0_18)) (portRef B (instanceRef r_addr_RNO_0_19)) (portRef A (instanceRef r_addr_RNO_2_20)) (portRef B (instanceRef r_addr_RNO_0_21)) (portRef B (instanceRef r_addr_RNO_2_22)) (portRef A (instanceRef r_addr_RNO_2_12)) (portRef B (instanceRef r_addr_RNO_0_15)) (portRef A (instanceRef r_addr_RNO_0_7)) (portRef B (instanceRef r_addr_RNO_0_25)) (portRef B (instanceRef r_addr_RNO_0_30)) (portRef A (instanceRef r_addr_RNO_0_8)) (portRef A (instanceRef r_addr_RNO_0_3)) (portRef A (instanceRef r_addr_RNO_0_5)) (portRef A (instanceRef r_addr_RNO_0_6)) (portRef B (instanceRef r_addr_RNO_0_31)) )) (net N_15 (joined (portRef Y (instanceRef un5_newlen_I_8)) (portRef A (instanceRef un5_newlen_I_9)) )) (net (rename len_1 "len[1]") (joined (portRef Q (instanceRef r_len_1)) (portRef B (instanceRef un5_newlen_I_5)) (portRef B (instanceRef un5_newlen_I_12)) (portRef B (instanceRef un5_newlen_I_16)) (portRef A (instanceRef un5_newlen_I_8)) )) (net (rename len_0 "len[0]") (joined (portRef Q (instanceRef r_len_0)) (portRef A (instanceRef un5_newlen_I_5)) (portRef A (instanceRef un5_newlen_I_12)) (portRef A (instanceRef un5_newlen_I_16)) (portRef B (instanceRef r_len_RNO_0)) (portRef B (instanceRef un5_newlen_I_8)) )) (net N_7 (joined (portRef Y (instanceRef un5_newlen_I_19)) (portRef A (instanceRef un5_newlen_I_20)) )) (net (rename len_3 "len[3]") (joined (portRef Q (instanceRef r_len_3)) (portRef B (instanceRef un5_newlen_I_13)) (portRef B (instanceRef un5_newlen_I_23)) (portRef A (instanceRef un5_newlen_I_19)) )) (net (rename DWACT_FDEC_E_0 "DWACT_FDEC_E[0]") (joined (portRef Y (instanceRef un5_newlen_I_16)) (portRef A (instanceRef un5_newlen_I_23)) (portRef B (instanceRef un5_newlen_I_19)) )) (net N_147 (joined (portRef Y (instanceRef un5_newaddr_I_8)) (portRef A (instanceRef un5_newaddr_I_9)) )) (net N_139 (joined (portRef Y (instanceRef un5_newaddr_I_19)) (portRef A (instanceRef un5_newaddr_I_20)) )) (net (rename DWACT_FINC_E_0 "DWACT_FINC_E[0]") (joined (portRef Y (instanceRef un5_newaddr_I_16)) (portRef A (instanceRef un5_newaddr_I_23)) (portRef A (instanceRef un5_newaddr_I_30)) (portRef A (instanceRef un5_newaddr_I_37)) (portRef A (instanceRef un5_newaddr_I_44)) (portRef A (instanceRef un5_newaddr_I_48)) (portRef A (instanceRef un5_newaddr_I_62)) (portRef A (instanceRef un5_newaddr_I_111)) (portRef B (instanceRef un5_newaddr_I_19)) )) (net N_116 (joined (portRef Y (instanceRef un5_newaddr_I_51)) (portRef A (instanceRef un5_newaddr_I_52)) )) (net (rename DWACT_FINC_E_4 "DWACT_FINC_E[4]") (joined (portRef Y (instanceRef un5_newaddr_I_48)) (portRef A (instanceRef un5_newaddr_I_55)) (portRef B (instanceRef un5_newaddr_I_51)) )) (net N_101 (joined (portRef Y (instanceRef un5_newaddr_I_72)) (portRef A (instanceRef un5_newaddr_I_73)) )) (net (rename DWACT_FINC_E_7 "DWACT_FINC_E[7]") (joined (portRef Y (instanceRef un5_newaddr_I_69)) (portRef B (instanceRef un5_newaddr_I_76)) (portRef B (instanceRef un5_newaddr_I_83)) (portRef B (instanceRef un5_newaddr_I_90)) (portRef A (instanceRef un5_newaddr_I_94)) (portRef A (instanceRef un5_newaddr_I_118)) (portRef A (instanceRef un5_newaddr_I_182)) (portRef A (instanceRef un5_newaddr_I_72)) )) (net (rename DWACT_FINC_E_6 "DWACT_FINC_E[6]") (joined (portRef Y (instanceRef un5_newaddr_I_62)) (portRef A (instanceRef un5_newaddr_I_65)) (portRef A (instanceRef un5_newaddr_I_76)) (portRef A (instanceRef un5_newaddr_I_83)) (portRef A (instanceRef un5_newaddr_I_90)) (portRef A (instanceRef un5_newaddr_I_97)) (portRef A (instanceRef un5_newaddr_I_104)) (portRef B (instanceRef un5_newaddr_I_72)) )) (net (rename state_nsss_i_i_0_0_0_0 "state_nsss_i_i_0_0_0[0]") (joined (portRef Y (instanceRef r_state_i_RNO_0_5)) (portRef C (instanceRef r_state_i_RNO_5)) )) (net N_645 (joined (portRef Y (instanceRef r_state_nsss_i_i_0_0_o2_0)) (portRef A (instanceRef r_state_i_RNIVT57_5)) (portRef B (instanceRef r_state_i_RNO_0_5)) )) (net (rename un1_rst_0_oZ0Z4 "un1_rst_0_o4") (joined (portRef Y (instanceRef un1_rst_0_o4)) (portRef B (instanceRef r_len_RNIT2DG_5)) (portRef B (instanceRef r_state_0_RNI40PH_4)) (portRef B (instanceRef r_write_RNO)) (portRef C (instanceRef r_state_RNO_2)) (portRef A (instanceRef r_state_RNO_0)) (portRef C (instanceRef r_state_0_RNI1D5V1_3)) (portRef C (instanceRef r_state_i_RNI3KN01_5)) (portRef C (instanceRef r_state_RNO_2_1)) (portRef C (instanceRef r_state_i_RNO_0_5)) )) (net (rename state_srsts_0_0_0_0_0_1 "state_srsts_0_0_0_0_0[1]") (joined (portRef Y (instanceRef r_state_RNO_0_1)) (portRef C (instanceRef r_state_RNO_1)) )) (net (rename state_srsts_0_0_0_a2_4_0_1 "state_srsts_0_0_0_a2_4_0[1]") (joined (portRef Y (instanceRef r_state_RNO_1_1)) (portRef A (instanceRef r_state_RNO_0_1)) )) (net N_561 (joined (portRef Y (instanceRef r_state_0_RNI40PH_4)) (portRef B (instanceRef r_state_RNIKMEO_2)) (portRef C (instanceRef r_write_RNI26501)) (portRef B (instanceRef r_state_RNO_0_1)) )) (net N_406 (joined (portRef Y (instanceRef r_state_RNO_2_1)) (portRef C (instanceRef r_state_RNO_0_1)) )) (net (rename state_srsts_0_i_i_0_0_3 "state_srsts_0_i_i_0_0[3]") (joined (portRef Y (instanceRef r_state_RNIFBPS1_2)) (portRef A (instanceRef r_state_0_RNIIU3S4_3)) )) (net N_696 (joined (portRef Y (instanceRef r_state_RNIUHTJ_2)) (portRef A (instanceRef r_state_RNINPJV1_0)) (portRef A (instanceRef r_state_RNIFBPS1_2)) )) (net N_670_1 (joined (portRef Y (instanceRef r_len_RNIT2DG_5)) (portRef B (instanceRef r_state_RNO_1)) (portRef B (instanceRef r_state_RNIFBPS1_2)) )) (net N_504 (joined (portRef Y (instanceRef r_state_RNIKMEO_2)) (portRef C (instanceRef r_state_RNIFBPS1_2)) )) (net (rename clen_RNIKSR6_1 "clen_RNIKSR6[1]") (joined (portRef Y (instanceRef r_clen_RNIKSR6_1)) (portRef B (instanceRef r_state_RNO_0_0)) (portRef B (instanceRef r_state_i_RNI3NE9_5)) (portRef A (instanceRef r_write_RNI26501)) (portRef A (instanceRef r_state_RNO_2_1)) (portRef B (instanceRef r_state_RNO_1_1)) )) (net (rename clen_1_i_0_0_1 "clen_1_i_0_0[1]") (joined (portRef Y (instanceRef r_clen_RNO_0_1)) (portRef B (instanceRef r_clen_RNO_1)) )) (net N_534_1 (joined (portRef Y (instanceRef r_state_RNIDF28_2)) (portRef B (instanceRef r_clen_RNO_1_0)) (portRef A (instanceRef r_clen_RNO_0_1)) )) (net (rename clen_1 "clen[1]") (joined (portRef Q (instanceRef r_clen_1)) (portRef B (instanceRef r_clen_RNIKSR6_1)) (portRef B (instanceRef r_clen_RNIER7D_1)) (portRef C (instanceRef r_clen_RNO_1_1)) (portRef B (instanceRef r_clen_RNO_0_1)) )) (net (rename state_i_5 "state_i[5]") (joined (portRef Q (instanceRef r_state_i_5)) (portRef B (instanceRef r_state_i_RNIVT57_5)) (portRef A (instanceRef r_state_i_RNI3NE9_5)) (portRef S (instanceRef r_len_RNO_0)) (portRef S (instanceRef r_len_RNO_5)) (portRef S (instanceRef r_len_RNO_3)) (portRef S (instanceRef r_len_RNO_2)) (portRef B (instanceRef r_state_i_RNICJV3_5)) (portRef S (instanceRef r_len_RNO_4)) (portRef S (instanceRef r_len_RNO_1)) (portRef C (instanceRef r_clen_RNO_1_0)) (portRef C (instanceRef r_clen_RNO_0_1)) (portRef A (instanceRef r_state_i_RNO_0_5)) (portRef (member state_i 0)) )) (net (rename clen_1_i_0_0_0 "clen_1_i_0_0[0]") (joined (portRef Y (instanceRef r_clen_RNO_1_0)) (portRef B (instanceRef r_clen_RNO_0)) )) (net N_422_i_1 (joined (portRef Q (instanceRef r_clen_0)) (portRef A (instanceRef r_clen_RNIKSR6_1)) (portRef A (instanceRef r_clen_RNIER7D_1)) (portRef A (instanceRef r_clen_RNO_0_0)) (portRef B (instanceRef r_clen_RNO_1_1)) (portRef A (instanceRef r_clen_RNO_1_0)) )) (net (rename state_0 "state[0]") (joined (portRef Q (instanceRef r_state_0)) (portRef C (instanceRef r_state_RNO_0_0)) (portRef S (instanceRef r_state_RNI73UO1_0)) (portRef B (instanceRef r_state_RNIH9B9_0)) (portRef B (instanceRef r_state_RNIP7MB1_0)) (portRef A (instanceRef r_state_RNIILCN_0)) (portRef A (instanceRef r_state_RNIU4BD_0)) )) (net (rename state_2 "state[2]") (joined (portRef Q (instanceRef r_state_2)) (portRef A (instanceRef r_state_RNIKMEO_2)) (portRef A (instanceRef r_state_RNIUHTJ_2)) (portRef B (instanceRef r_state_RNIDF28_2)) (portRef B (instanceRef r_state_RNI2A1F_2)) (portRef A (instanceRef r_state_RNI7EEE_0_2)) (portRef B (instanceRef r_state_RNI7EEE_2)) (portRef C (instanceRef r_state_RNO_0_2)) (portRef C (instanceRef r_state_RNIUGCD1_2)) (portRef B (instanceRef r_state_RNIU4BD_0)) )) (net N_182 (joined (portRef Y (instanceRef r_addr_RNO_31)) (portRef D (instanceRef r_addr_31)) )) (net N_421 (joined (portRef Y (instanceRef r_addr_RNO_0_31)) (portRef A (instanceRef r_addr_RNO_31)) )) (net N_424 (joined (portRef Y (instanceRef r_addr_RNO_1_31)) (portRef B (instanceRef r_addr_RNO_31)) )) (net N_661 (joined (portRef Y (instanceRef r_addr_RNO_2_31)) (portRef C (instanceRef r_addr_RNO_31)) )) (net N_11 (joined (portRef Y (instanceRef r_clen_RNO_0)) (portRef D (instanceRef r_clen_0)) )) (net N_677 (joined (portRef Y (instanceRef r_clen_RNO_0_0)) (portRef A (instanceRef r_clen_RNO_0)) )) (net N_309 (joined (portRef Y (instanceRef r_state_RNIILCN_0)) (portRef A (instanceRef r_clen_RNO_1)) (portRef C (instanceRef r_clen_RNO_0)) )) (net N_13 (joined (portRef Y (instanceRef r_clen_RNO_1)) (portRef D (instanceRef r_clen_1)) )) (net N_672 (joined (portRef Y (instanceRef r_clen_RNO_1_1)) (portRef C (instanceRef r_clen_RNO_1)) )) (net (rename state_RNI0G7T1_1 "state_RNI0G7T1[1]") (joined (portRef Y (instanceRef r_state_RNI0G7T1_1)) (portRef E (instanceRef r_data_31)) (portRef E (instanceRef r_data_30)) (portRef E (instanceRef r_data_29)) (portRef E (instanceRef r_data_28)) (portRef E (instanceRef r_data_27)) (portRef E (instanceRef r_data_26)) (portRef E (instanceRef r_data_25)) (portRef E (instanceRef r_data_24)) (portRef E (instanceRef r_data_9)) (portRef E (instanceRef r_data_8)) (portRef E (instanceRef r_data_7)) (portRef E (instanceRef r_data_6)) (portRef E (instanceRef r_data_5)) (portRef E (instanceRef r_data_4)) (portRef E (instanceRef r_data_3)) (portRef E (instanceRef r_data_2)) )) (net write (joined (portRef Y (instanceRef r_state_RNI7EEE_2)) (portRef A (instanceRef r_state_RNI0G7T1_1)) (portRef A (instanceRef r_state_RNI0G7T1_0_1)) (portRef write) )) (net (rename state_1 "state[1]") (joined (portRef Q (instanceRef r_state_1)) (portRef A (instanceRef r_state_RNO_0_0)) (portRef B (instanceRef r_state_RNICB28_1)) (portRef B (instanceRef r_state_RNO_1_0)) (portRef B (instanceRef r_state_RNIIJB8_1)) (portRef B (instanceRef r_state_RNO_2_1)) )) (net (rename state_nsss_4 "state_nsss[4]") (joined (portRef Y (instanceRef r_state_RNO_1)) (portRef D (instanceRef r_state_1)) )) (net N_694 (joined (portRef Y (instanceRef r_state_RNIP7MB1_0)) (portRef B (instanceRef r_state_RNINPJV1_0)) (portRef A (instanceRef r_state_RNO_1)) )) (net N_414 (joined (portRef Y (instanceRef r_state_i_RNI3NE9_5)) (portRef A (instanceRef r_state_i_RNI3KN01_5)) )) (net N_413 (joined (portRef Y (instanceRef r_state_i_RNIVT57_5)) (portRef B (instanceRef r_state_i_RNI3KN01_5)) )) (net N_306 (joined (portRef Y (instanceRef r_state_RNIUGCD1_2)) (portRef B (instanceRef r_state_0_RNI1D5V1_3)) )) (net N_506 (joined (portRef Y (instanceRef r_write_RNI26501)) (portRef B (instanceRef r_state_0_RNIIU3S4_3)) )) (net N_499 (joined (portRef Y (instanceRef r_state_0_RNI1D5V1_3)) (portRef C (instanceRef r_state_0_RNIIU3S4_3)) )) (net N_515 (joined (portRef Y (instanceRef r_addr_RNO_3)) (portRef D (instanceRef r_addr_3)) )) (net N_437 (joined (portRef Y (instanceRef r_addr_RNO_0_3)) (portRef A (instanceRef r_addr_RNO_3)) )) (net N_439 (joined (portRef Y (instanceRef r_addr_RNO_1_3)) (portRef B (instanceRef r_addr_RNO_3)) )) (net N_438 (joined (portRef Y (instanceRef r_addr_RNO_2_3)) (portRef C (instanceRef r_addr_RNO_3)) )) (net N_95 (joined (portRef Y (instanceRef r_addr_RNO_5)) (portRef D (instanceRef r_addr_5)) )) (net N_415 (joined (portRef Y (instanceRef r_addr_RNO_0_5)) (portRef A (instanceRef r_addr_RNO_5)) )) (net N_417 (joined (portRef Y (instanceRef r_addr_RNO_1_5)) (portRef B (instanceRef r_addr_RNO_5)) )) (net N_416 (joined (portRef Y (instanceRef r_addr_RNO_2_5)) (portRef C (instanceRef r_addr_RNO_5)) )) (net (rename state_i_RNO_5 "state_i_RNO[5]") (joined (portRef Y (instanceRef r_state_i_RNO_5)) (portRef D (instanceRef r_state_i_5)) )) (net N_641 (joined (portRef Y (instanceRef r_len_RNIS3A_5)) (portRef A (instanceRef r_len_RNIT2DG_5)) (portRef A (instanceRef r_state_i_RNO_5)) )) (net N_648 (joined (portRef Y (instanceRef r_state_RNINPJV1_0)) (portRef A (instanceRef r_state_i_RNI3DJ32_5)) (portRef B (instanceRef r_state_i_RNO_5)) )) (net N_21 (joined (portRef Y (instanceRef r_addr_RNO_6)) (portRef D (instanceRef r_addr_6)) )) (net N_365 (joined (portRef Y (instanceRef r_addr_RNO_0_6)) (portRef A (instanceRef r_addr_RNO_6)) )) (net N_367 (joined (portRef Y (instanceRef r_addr_RNO_1_6)) (portRef B (instanceRef r_addr_RNO_6)) )) (net N_366 (joined (portRef Y (instanceRef r_addr_RNO_2_6)) (portRef C (instanceRef r_addr_RNO_6)) )) (net hwrite (joined (portRef Q (instanceRef r_write)) (portRef A (instanceRef r_write_RNO_0)) (portRef B (instanceRef r_write_RNI26501)) (portRef A (instanceRef r_state_RNO_1_1)) (portRef hwrite) )) (net N_25 (joined (portRef Y (instanceRef r_addr_RNO_8)) (portRef D (instanceRef r_addr_8)) )) (net N_372 (joined (portRef Y (instanceRef r_addr_RNO_0_8)) (portRef A (instanceRef r_addr_RNO_8)) )) (net N_654 (joined (portRef Y (instanceRef r_addr_RNO_1_8)) (portRef B (instanceRef r_addr_RNO_8)) )) (net N_374 (joined (portRef Y (instanceRef r_addr_RNO_2_8)) (portRef C (instanceRef r_addr_RNO_8)) )) (net N_197 (joined (portRef Y (instanceRef r_addr_RNO_30)) (portRef D (instanceRef r_addr_30)) )) (net N_431 (joined (portRef Y (instanceRef r_addr_RNO_0_30)) (portRef A (instanceRef r_addr_RNO_30)) )) (net N_433 (joined (portRef Y (instanceRef r_addr_RNO_1_30)) (portRef B (instanceRef r_addr_RNO_30)) )) (net N_432 (joined (portRef Y (instanceRef r_addr_RNO_2_30)) (portRef C (instanceRef r_addr_RNO_30)) )) (net (rename state_RNO_0 "state_RNO[0]") (joined (portRef Y (instanceRef r_state_RNO_0)) (portRef D (instanceRef r_state_0)) )) (net N_409 (joined (portRef Y (instanceRef r_state_RNO_0_0)) (portRef B (instanceRef r_state_RNO_0)) )) (net N_410 (joined (portRef Y (instanceRef r_state_RNO_1_0)) (portRef C (instanceRef r_state_RNO_0)) )) (net (rename state_0_RNIUUDG_0_4 "state_0_RNIUUDG_0[4]") (joined (portRef Y (instanceRef r_state_0_RNIUUDG_0_4)) (portRef E (instanceRef r_addr_31)) (portRef E (instanceRef r_addr_30)) (portRef E (instanceRef r_addr_29)) (portRef E (instanceRef r_addr_28)) (portRef E (instanceRef r_addr_27)) (portRef E (instanceRef r_addr_26)) (portRef E (instanceRef r_addr_25)) (portRef E (instanceRef r_addr_9)) (portRef E (instanceRef r_addr_8)) (portRef E (instanceRef r_addr_7)) (portRef E (instanceRef r_addr_6)) (portRef E (instanceRef r_addr_5)) (portRef E (instanceRef r_addr_4)) (portRef E (instanceRef r_addr_3)) (portRef E (instanceRef r_addr_2)) )) (net dready (joined (portRef dready) (portRef A (instanceRef r_state_i_RNICJV3_5)) (portRef A (instanceRef r_state_RNICB28_1)) (portRef C (instanceRef r_clen_RNIKSR6_1)) (portRef A (instanceRef r_state_nsss_i_i_0_0_o2_0)) (portRef A (instanceRef r_state_RNIDF28_2)) (portRef A (instanceRef r_state_RNIFCO9_1)) (portRef A (instanceRef r_state_0_RNIUUDG_0_4)) (portRef A (instanceRef r_state_0_RNIUUDG_4)) )) (net N_55 (joined (portRef Y (instanceRef r_addr_RNO_25)) (portRef D (instanceRef r_addr_25)) )) (net N_394 (joined (portRef Y (instanceRef r_addr_RNO_0_25)) (portRef A (instanceRef r_addr_RNO_25)) )) (net N_396 (joined (portRef Y (instanceRef r_addr_RNO_1_25)) (portRef B (instanceRef r_addr_RNO_25)) )) (net N_395 (joined (portRef Y (instanceRef r_addr_RNO_2_25)) (portRef C (instanceRef r_addr_RNO_25)) )) (net N_206 (joined (portRef Y (instanceRef r_addr_RNO_15)) (portRef D (instanceRef r_addr_15)) )) (net N_446 (joined (portRef Y (instanceRef r_addr_RNO_0_15)) (portRef A (instanceRef r_addr_RNO_15)) )) (net N_448 (joined (portRef Y (instanceRef r_addr_RNO_1_15)) (portRef B (instanceRef r_addr_RNO_15)) )) (net N_447 (joined (portRef Y (instanceRef r_addr_RNO_2_15)) (portRef C (instanceRef r_addr_RNO_15)) )) (net N_23 (joined (portRef Y (instanceRef r_addr_RNO_7)) (portRef D (instanceRef r_addr_7)) )) (net N_368 (joined (portRef Y (instanceRef r_addr_RNO_0_7)) (portRef A (instanceRef r_addr_RNO_7)) )) (net N_371 (joined (portRef Y (instanceRef r_addr_RNO_1_7)) (portRef B (instanceRef r_addr_RNO_7)) )) (net N_369 (joined (portRef Y (instanceRef r_addr_RNO_2_7)) (portRef C (instanceRef r_addr_RNO_7)) )) (net N_27 (joined (portRef Y (instanceRef r_addr_RNO_9)) (portRef D (instanceRef r_addr_9)) )) (net N_376 (joined (portRef Y (instanceRef r_addr_RNO_0_9)) (portRef A (instanceRef r_addr_RNO_9)) )) (net N_379 (joined (portRef Y (instanceRef r_addr_RNO_1_9)) (portRef B (instanceRef r_addr_RNO_9)) )) (net N_378 (joined (portRef Y (instanceRef r_addr_RNO_2_9)) (portRef C (instanceRef r_addr_RNO_9)) )) (net (rename addr_RNO_10 "addr_RNO[10]") (joined (portRef Y (instanceRef r_addr_RNO_10)) (portRef D (instanceRef r_addr_10)) )) (net N_381 (joined (portRef Y (instanceRef r_addr_RNO_0_10)) (portRef A (instanceRef r_addr_RNO_10)) )) (net (rename addr_RNO_1_10 "addr_RNO_1[10]") (joined (portRef Y (instanceRef r_addr_RNO_1_10)) (portRef B (instanceRef r_addr_RNO_10)) )) (net N_383 (joined (portRef Y (instanceRef r_addr_RNO_2_10)) (portRef C (instanceRef r_addr_RNO_10)) )) (net (rename addr_RNO_11 "addr_RNO[11]") (joined (portRef Y (instanceRef r_addr_RNO_11)) (portRef D (instanceRef r_addr_11)) )) (net N_385 (joined (portRef Y (instanceRef r_addr_RNO_0_11)) (portRef A (instanceRef r_addr_RNO_11)) )) (net N_387 (joined (portRef Y (instanceRef r_addr_RNO_1_11)) (portRef B (instanceRef r_addr_RNO_11)) )) (net N_386 (joined (portRef Y (instanceRef r_addr_RNO_2_11)) (portRef C (instanceRef r_addr_RNO_11)) )) (net (rename addr_RNO_13 "addr_RNO[13]") (joined (portRef Y (instanceRef r_addr_RNO_13)) (portRef D (instanceRef r_addr_13)) )) (net N_388 (joined (portRef Y (instanceRef r_addr_RNO_0_13)) (portRef A (instanceRef r_addr_RNO_13)) )) (net N_390 (joined (portRef Y (instanceRef r_addr_RNO_1_13)) (portRef B (instanceRef r_addr_RNO_13)) )) (net N_389 (joined (portRef Y (instanceRef r_addr_RNO_2_13)) (portRef C (instanceRef r_addr_RNO_13)) )) (net (rename addr_RNO_24 "addr_RNO[24]") (joined (portRef Y (instanceRef r_addr_RNO_24)) (portRef D (instanceRef r_addr_24)) )) (net N_391 (joined (portRef Y (instanceRef r_addr_RNO_0_24)) (portRef A (instanceRef r_addr_RNO_24)) )) (net N_393 (joined (portRef Y (instanceRef r_addr_RNO_1_24)) (portRef B (instanceRef r_addr_RNO_24)) )) (net N_392 (joined (portRef Y (instanceRef r_addr_RNO_2_24)) (portRef C (instanceRef r_addr_RNO_24)) )) (net N_58 (joined (portRef Y (instanceRef r_addr_RNO_26)) (portRef D (instanceRef r_addr_26)) )) (net N_397 (joined (portRef Y (instanceRef r_addr_RNO_0_26)) (portRef A (instanceRef r_addr_RNO_26)) )) (net N_399 (joined (portRef Y (instanceRef r_addr_RNO_1_26)) (portRef B (instanceRef r_addr_RNO_26)) )) (net N_398 (joined (portRef Y (instanceRef r_addr_RNO_2_26)) (portRef C (instanceRef r_addr_RNO_26)) )) (net N_62 (joined (portRef Y (instanceRef r_addr_RNO_27)) (portRef D (instanceRef r_addr_27)) )) (net N_400 (joined (portRef Y (instanceRef r_addr_RNO_0_27)) (portRef A (instanceRef r_addr_RNO_27)) )) (net N_402 (joined (portRef Y (instanceRef r_addr_RNO_1_27)) (portRef B (instanceRef r_addr_RNO_27)) )) (net N_401 (joined (portRef Y (instanceRef r_addr_RNO_2_27)) (portRef C (instanceRef r_addr_RNO_27)) )) (net (rename addr_RNO_23 "addr_RNO[23]") (joined (portRef Y (instanceRef r_addr_RNO_23)) (portRef D (instanceRef r_addr_23)) )) (net N_418 (joined (portRef Y (instanceRef r_addr_RNO_0_23)) (portRef A (instanceRef r_addr_RNO_23)) )) (net N_420 (joined (portRef Y (instanceRef r_addr_RNO_1_23)) (portRef B (instanceRef r_addr_RNO_23)) )) (net N_419 (joined (portRef Y (instanceRef r_addr_RNO_2_23)) (portRef C (instanceRef r_addr_RNO_23)) )) (net N_184 (joined (portRef Y (instanceRef r_addr_RNO_28)) (portRef D (instanceRef r_addr_28)) )) (net N_425 (joined (portRef Y (instanceRef r_addr_RNO_0_28)) (portRef A (instanceRef r_addr_RNO_28)) )) (net N_427 (joined (portRef Y (instanceRef r_addr_RNO_1_28)) (portRef B (instanceRef r_addr_RNO_28)) )) (net N_426 (joined (portRef Y (instanceRef r_addr_RNO_2_28)) (portRef C (instanceRef r_addr_RNO_28)) )) (net N_189 (joined (portRef Y (instanceRef r_addr_RNO_29)) (portRef D (instanceRef r_addr_29)) )) (net N_428 (joined (portRef Y (instanceRef r_addr_RNO_0_29)) (portRef A (instanceRef r_addr_RNO_29)) )) (net N_430 (joined (portRef Y (instanceRef r_addr_RNO_1_29)) (portRef B (instanceRef r_addr_RNO_29)) )) (net N_429 (joined (portRef Y (instanceRef r_addr_RNO_2_29)) (portRef C (instanceRef r_addr_RNO_29)) )) (net (rename addr_RNO_2 "addr_RNO[2]") (joined (portRef Y (instanceRef r_addr_RNO_2)) (portRef D (instanceRef r_addr_2)) )) (net N_434 (joined (portRef Y (instanceRef r_addr_RNO_0_2)) (portRef A (instanceRef r_addr_RNO_2)) )) (net N_436 (joined (portRef Y (instanceRef r_addr_RNO_1_2)) (portRef B (instanceRef r_addr_RNO_2)) )) (net N_435 (joined (portRef Y (instanceRef r_addr_RNO_2_2)) (portRef C (instanceRef r_addr_RNO_2)) )) (net (rename addr_RNO_4 "addr_RNO[4]") (joined (portRef Y (instanceRef r_addr_RNO_4)) (portRef D (instanceRef r_addr_4)) )) (net N_440 (joined (portRef Y (instanceRef r_addr_RNO_0_4)) (portRef A (instanceRef r_addr_RNO_4)) )) (net N_442 (joined (portRef Y (instanceRef r_addr_RNO_1_4)) (portRef B (instanceRef r_addr_RNO_4)) )) (net N_441 (joined (portRef Y (instanceRef r_addr_RNO_2_4)) (portRef C (instanceRef r_addr_RNO_4)) )) (net N_204 (joined (portRef Y (instanceRef r_addr_RNO_14)) (portRef D (instanceRef r_addr_14)) )) (net N_443 (joined (portRef Y (instanceRef r_addr_RNO_0_14)) (portRef A (instanceRef r_addr_RNO_14)) )) (net N_445 (joined (portRef Y (instanceRef r_addr_RNO_1_14)) (portRef B (instanceRef r_addr_RNO_14)) )) (net N_444 (joined (portRef Y (instanceRef r_addr_RNO_2_14)) (portRef C (instanceRef r_addr_RNO_14)) )) (net N_208 (joined (portRef Y (instanceRef r_addr_RNO_16)) (portRef D (instanceRef r_addr_16)) )) (net N_449 (joined (portRef Y (instanceRef r_addr_RNO_0_16)) (portRef A (instanceRef r_addr_RNO_16)) )) (net N_451 (joined (portRef Y (instanceRef r_addr_RNO_1_16)) (portRef B (instanceRef r_addr_RNO_16)) )) (net N_450 (joined (portRef Y (instanceRef r_addr_RNO_2_16)) (portRef C (instanceRef r_addr_RNO_16)) )) (net N_210 (joined (portRef Y (instanceRef r_addr_RNO_17)) (portRef D (instanceRef r_addr_17)) )) (net N_452 (joined (portRef Y (instanceRef r_addr_RNO_0_17)) (portRef A (instanceRef r_addr_RNO_17)) )) (net N_454 (joined (portRef Y (instanceRef r_addr_RNO_1_17)) (portRef B (instanceRef r_addr_RNO_17)) )) (net N_453 (joined (portRef Y (instanceRef r_addr_RNO_2_17)) (portRef C (instanceRef r_addr_RNO_17)) )) (net N_212 (joined (portRef Y (instanceRef r_addr_RNO_18)) (portRef D (instanceRef r_addr_18)) )) (net N_455 (joined (portRef Y (instanceRef r_addr_RNO_0_18)) (portRef A (instanceRef r_addr_RNO_18)) )) (net N_457 (joined (portRef Y (instanceRef r_addr_RNO_1_18)) (portRef B (instanceRef r_addr_RNO_18)) )) (net N_456 (joined (portRef Y (instanceRef r_addr_RNO_2_18)) (portRef C (instanceRef r_addr_RNO_18)) )) (net N_215 (joined (portRef Y (instanceRef r_addr_RNO_19)) (portRef D (instanceRef r_addr_19)) )) (net N_458 (joined (portRef Y (instanceRef r_addr_RNO_0_19)) (portRef A (instanceRef r_addr_RNO_19)) )) (net N_460 (joined (portRef Y (instanceRef r_addr_RNO_1_19)) (portRef B (instanceRef r_addr_RNO_19)) )) (net N_459 (joined (portRef Y (instanceRef r_addr_RNO_2_19)) (portRef C (instanceRef r_addr_RNO_19)) )) (net (rename addr_RNO_20 "addr_RNO[20]") (joined (portRef Y (instanceRef r_addr_RNO_20)) (portRef D (instanceRef r_addr_20)) )) (net N_483 (joined (portRef Y (instanceRef r_addr_RNO_0_20)) (portRef A (instanceRef r_addr_RNO_20)) )) (net N_484 (joined (portRef Y (instanceRef r_addr_RNO_1_20)) (portRef B (instanceRef r_addr_RNO_20)) )) (net (rename addr_RNO_2_20 "addr_RNO_2[20]") (joined (portRef Y (instanceRef r_addr_RNO_2_20)) (portRef C (instanceRef r_addr_RNO_20)) )) (net N_218 (joined (portRef Y (instanceRef r_addr_RNO_21)) (portRef D (instanceRef r_addr_21)) )) (net N_485 (joined (portRef Y (instanceRef r_addr_RNO_0_21)) (portRef A (instanceRef r_addr_RNO_21)) )) (net N_487 (joined (portRef Y (instanceRef r_addr_RNO_1_21)) (portRef B (instanceRef r_addr_RNO_21)) )) (net N_486 (joined (portRef Y (instanceRef r_addr_RNO_2_21)) (portRef C (instanceRef r_addr_RNO_21)) )) (net N_220 (joined (portRef Y (instanceRef r_addr_RNO_22)) (portRef D (instanceRef r_addr_22)) )) (net N_489 (joined (portRef Y (instanceRef r_addr_RNO_0_22)) (portRef A (instanceRef r_addr_RNO_22)) )) (net N_490 (joined (portRef Y (instanceRef r_addr_RNO_1_22)) (portRef B (instanceRef r_addr_RNO_22)) )) (net (rename addr_RNO_2_22 "addr_RNO_2[22]") (joined (portRef Y (instanceRef r_addr_RNO_2_22)) (portRef C (instanceRef r_addr_RNO_22)) )) (net (rename addr_RNO_12 "addr_RNO[12]") (joined (portRef Y (instanceRef r_addr_RNO_12)) (portRef D (instanceRef r_addr_12)) )) (net N_492 (joined (portRef Y (instanceRef r_addr_RNO_0_12)) (portRef A (instanceRef r_addr_RNO_12)) )) (net N_497 (joined (portRef Y (instanceRef r_addr_RNO_1_12)) (portRef B (instanceRef r_addr_RNO_12)) )) (net (rename addr_RNO_2_12 "addr_RNO_2[12]") (joined (portRef Y (instanceRef r_addr_RNO_2_12)) (portRef C (instanceRef r_addr_RNO_12)) )) (net N_72 (joined (portRef Y (instanceRef r_state_RNO_2)) (portRef D (instanceRef r_state_2)) )) (net (rename state_srsts_0_i_0_i_a2_0_2 "state_srsts_0_i_0_i_a2_0[2]") (joined (portRef Y (instanceRef r_state_RNO_0_2)) (portRef A (instanceRef r_state_RNO_2)) )) (net (rename clen_RNIER7D_1 "clen_RNIER7D[1]") (joined (portRef Y (instanceRef r_clen_RNIER7D_1)) (portRef B (instanceRef r_state_RNIUHTJ_2)) (portRef A (instanceRef r_state_RNI73UO1_0)) (portRef B (instanceRef r_state_RNO_0_2)) )) (net I_210 (joined (portRef Y (instanceRef un5_newaddr_I_210)) (portRef A (instanceRef r_addr_RNO_2_31)) )) (net N_319 (joined (portRef Y (instanceRef r_data_RNO_7)) (portRef D (instanceRef r_data_7)) )) (net (rename hrdata_6 "hrdata[7]") (joined (portRef hrdata_6) (portRef B (instanceRef r_data_RNO_7)) )) (net N_321 (joined (portRef Y (instanceRef r_len_RNO_1)) (portRef D (instanceRef r_len_1)) )) (net I_5_2 (joined (portRef Y (instanceRef un5_newlen_I_5)) (portRef B (instanceRef r_len_RNO_1)) )) (net N_325 (joined (portRef Y (instanceRef r_len_RNO_4)) (portRef D (instanceRef r_len_4)) )) (net I_20_2 (joined (portRef Y (instanceRef un5_newlen_I_20)) (portRef B (instanceRef r_len_RNO_4)) )) (net N_348 (joined (portRef Y (instanceRef r_data_RNO_4)) (portRef D (instanceRef r_data_4)) )) (net (rename data_4 "data[4]") (joined (portRef (member data 3)) (portRef B (instanceRef r_addr_RNO_1_4)) (portRef A (instanceRef r_data_RNO_4)) (portRef A (instanceRef r_len_RNO_4)) )) (net (rename hrdata_3 "hrdata[4]") (joined (portRef hrdata_3) (portRef B (instanceRef r_data_RNO_4)) )) (net N_347 (joined (portRef Y (instanceRef r_data_RNO_31)) (portRef D (instanceRef r_data_31)) )) (net (rename dmao_i_1_31 "dmao_i_1[46]") (joined (portRef dmao_i_1_31) (portRef B (instanceRef r_data_RNO_31)) )) (net write_1_sqmuxa (joined (portRef Y (instanceRef r_state_RNI7EEE_0_2)) (portRef E (instanceRef r_clen_1)) (portRef E (instanceRef r_clen_0)) )) (net thempty (joined (portRef thempty) (portRef C (instanceRef r_clen_RNIER7D_1)) (portRef B (instanceRef r_state_RNI7EEE_0_2)) (portRef A (instanceRef r_state_RNI7EEE_2)) )) (net N_647 (joined (portRef Y (instanceRef r_state_RNIFCO9_1)) (portRef B (instanceRef r_clen_RNO_0_0)) (portRef A (instanceRef r_clen_RNO_1_1)) )) (net N_556 (joined (portRef Y (instanceRef r_state_0_RNIA4KQ1_0_4)) (portRef A (instanceRef r_addr_RNO_0_9)) (portRef B (instanceRef r_addr_RNO_0_10)) (portRef B (instanceRef r_addr_RNO_0_11)) (portRef B (instanceRef r_addr_RNO_0_13)) (portRef B (instanceRef r_addr_RNO_0_24)) (portRef B (instanceRef r_addr_RNO_0_26)) (portRef B (instanceRef r_addr_RNO_0_27)) (portRef B (instanceRef r_addr_RNO_0_23)) (portRef B (instanceRef r_addr_RNO_0_28)) (portRef B (instanceRef r_addr_RNO_0_29)) (portRef A (instanceRef r_addr_RNO_0_2)) (portRef A (instanceRef r_addr_RNO_0_4)) (portRef B (instanceRef r_addr_RNO_0_14)) (portRef B (instanceRef r_addr_RNO_0_16)) (portRef B (instanceRef r_addr_RNO_0_17)) )) (net N_559 (joined (portRef Y (instanceRef r_state_RNI2A1F_2)) (portRef C (instanceRef r_state_RNIILCN_0)) )) (net N_646 (joined (portRef Y (instanceRef r_state_RNIIJB8_1)) (portRef B (instanceRef r_state_RNIFCO9_1)) (portRef A (instanceRef r_state_RNI2A1F_2)) (portRef N_646) )) (net (rename data_7 "data[7]") (joined (portRef (member data 0)) (portRef B (instanceRef r_addr_RNO_1_7)) (portRef B (instanceRef r_state_nsss_i_i_0_0_o2_0)) (portRef A (instanceRef r_data_RNO_7)) )) (net ready (joined (portRef ready) (portRef B (instanceRef r_state_RNI73UO1_0)) (portRef A (instanceRef r_state_RNO_1_0)) (portRef A (instanceRef r_state_RNIP7MB1_0)) (portRef A (instanceRef r_state_0_RNIDMM61_3)) (portRef A (instanceRef r_state_RNIUGCD1_2)) )) (net write_RNO (joined (portRef Y (instanceRef r_write_RNO)) (portRef D (instanceRef r_write)) )) (net N_226 (joined (portRef Y (instanceRef r_write_RNO_0)) (portRef A (instanceRef r_write_RNO)) )) (net write_0_sqmuxa (joined (portRef Y (instanceRef r_state_i_RNICJV3_5)) (portRef S (instanceRef r_write_RNO_0)) (portRef B (instanceRef r_state_i_RNI3DJ32_5)) )) (net hbusreq_i_3 (joined (portRef Y (instanceRef r_state_RNIH9B9_0)) (portRef hbusreq_i_3) )) (net active (joined (portRef active) (portRef C (instanceRef r_state_RNIH9B9_0)) )) (net N_323 (joined (portRef Y (instanceRef r_len_RNO_2)) (portRef D (instanceRef r_len_2)) )) (net I_9_2 (joined (portRef Y (instanceRef un5_newlen_I_9)) (portRef B (instanceRef r_len_RNO_2)) )) (net N_324 (joined (portRef Y (instanceRef r_len_RNO_3)) (portRef D (instanceRef r_len_3)) )) (net I_13_7 (joined (portRef Y (instanceRef un5_newlen_I_13)) (portRef B (instanceRef r_len_RNO_3)) )) (net N_322 (joined (portRef Y (instanceRef r_len_RNO_5)) (portRef D (instanceRef r_len_5)) )) (net I_24_2 (joined (portRef Y (instanceRef un5_newlen_I_24)) (portRef A (instanceRef r_len_RNIS3A_5)) (portRef B (instanceRef r_len_RNO_5)) )) (net N_320 (joined (portRef Y (instanceRef r_len_RNO_0)) (portRef D (instanceRef r_len_0)) )) (net N_318 (joined (portRef Y (instanceRef r_data_RNO_5)) (portRef D (instanceRef r_data_5)) )) (net (rename data_5 "data[5]") (joined (portRef (member data 2)) (portRef B (instanceRef r_addr_RNO_1_5)) (portRef A (instanceRef r_data_RNO_5)) (portRef A (instanceRef r_len_RNO_5)) )) (net (rename hrdatas_RNIO2L41_5 "hrdatas_RNIO2L41[5]") (joined (portRef (member hrdatas_rnio2l41 0)) (portRef B (instanceRef r_data_RNO_5)) )) (net write_0_sqmuxa_0 (joined (portRef Y (instanceRef r_state_i_RNI3DJ32_5)) (portRef E (instanceRef r_len_5)) (portRef E (instanceRef r_len_4)) (portRef E (instanceRef r_len_3)) (portRef E (instanceRef r_len_2)) (portRef E (instanceRef r_len_1)) (portRef E (instanceRef r_len_0)) )) (net N_554 (joined (portRef Y (instanceRef r_state_0_RNIA4KQ1_2_4)) (portRef B (instanceRef r_addr_RNO_2_9)) (portRef B (instanceRef r_addr_RNO_2_10)) (portRef B (instanceRef r_addr_RNO_2_11)) (portRef B (instanceRef r_addr_RNO_2_13)) (portRef B (instanceRef r_addr_RNO_2_24)) (portRef B (instanceRef r_addr_RNO_2_26)) (portRef B (instanceRef r_addr_RNO_2_27)) (portRef B (instanceRef r_addr_RNO_2_23)) (portRef B (instanceRef r_addr_RNO_2_28)) (portRef B (instanceRef r_addr_RNO_2_29)) (portRef B (instanceRef r_addr_RNO_2_2)) (portRef B (instanceRef r_addr_RNO_2_4)) (portRef B (instanceRef r_addr_RNO_2_14)) (portRef B (instanceRef r_addr_RNO_2_16)) (portRef B (instanceRef r_addr_RNO_2_17)) )) (net rstn (joined (portRef rstn) (portRef A (instanceRef un1_rst_0_o4)) )) (net (rename un1_dcom0_0_36 "un1_dcom0_0[36]") (joined (portRef (member un1_dcom0_0 0)) (portRef B (instanceRef un1_rst_0_o4)) )) (net I_20_1 (joined (portRef Y (instanceRef un5_newaddr_I_20)) (portRef A (instanceRef r_addr_RNO_2_6)) )) (net (rename data_6 "data[6]") (joined (portRef (member data 1)) (portRef A (instanceRef r_data_RNO_6)) (portRef B (instanceRef r_addr_RNO_1_6)) (portRef B (instanceRef r_write_RNO_0)) )) (net (rename haddr_5 "haddr[5]") (joined (portRef Q (instanceRef r_addr_5)) (portRef B (instanceRef un5_newaddr_I_13)) (portRef B (instanceRef un5_newaddr_I_23)) (portRef A (instanceRef un5_newaddr_I_27)) (portRef A (instanceRef un5_newaddr_I_34)) (portRef B (instanceRef r_addr_RNO_1_13)) (portRef B (instanceRef r_addr_RNO_0_5)) (portRef A (instanceRef un5_newaddr_I_19)) (portRef (member haddr 26)) )) (net I_13_6 (joined (portRef Y (instanceRef un5_newaddr_I_13)) (portRef A (instanceRef r_addr_RNO_2_5)) )) (net (rename haddr_3 "haddr[3]") (joined (portRef Q (instanceRef r_addr_3)) (portRef B (instanceRef un5_newaddr_I_5)) (portRef B (instanceRef un5_newaddr_I_12)) (portRef B (instanceRef un5_newaddr_I_16)) (portRef B (instanceRef un5_newaddr_I_149)) (portRef B (instanceRef r_addr_RNO_1_11)) (portRef B (instanceRef r_addr_RNO_0_3)) (portRef A (instanceRef un5_newaddr_I_8)) (portRef (member haddr 28)) )) (net I_5_1 (joined (portRef Y (instanceRef un5_newaddr_I_5)) (portRef A (instanceRef r_addr_RNO_2_3)) )) (net (rename data_3 "data[3]") (joined (portRef (member data 4)) (portRef A (instanceRef r_data_RNO_3)) (portRef B (instanceRef r_addr_RNO_1_3)) (portRef A (instanceRef r_len_RNO_3)) )) (net (rename len_5 "len[5]") (joined (portRef Q (instanceRef r_len_5)) (portRef B (instanceRef un5_newlen_I_24)) (portRef B (instanceRef r_len_RNIS3A_5)) )) (net N_330 (joined (portRef Y (instanceRef r_data_RNO_14)) (portRef D (instanceRef r_data_14)) )) (net (rename dmao_i_1_14 "dmao_i_1[29]") (joined (portRef dmao_i_1_14) (portRef B (instanceRef r_data_RNO_14)) )) (net N_355 (joined (portRef Y (instanceRef r_data_RNO_13)) (portRef D (instanceRef r_data_13)) )) (net cfgsel_RNIFO4S1 (joined (portRef cfgsel_RNIFO4S1) (portRef B (instanceRef r_data_RNO_13)) )) (net N_333 (joined (portRef Y (instanceRef r_data_RNO_17)) (portRef D (instanceRef r_data_17)) )) (net (rename dmao_i_1_17 "dmao_i_1[32]") (joined (portRef dmao_i_1_17) (portRef B (instanceRef r_data_RNO_17)) )) (net N_349 (joined (portRef Y (instanceRef r_data_RNO_6)) (portRef D (instanceRef r_data_6)) )) (net (rename hrdata_5 "hrdata[6]") (joined (portRef hrdata_5) (portRef B (instanceRef r_data_RNO_6)) )) (net I_31_1 (joined (portRef Y (instanceRef un5_newaddr_I_31)) (portRef A (instanceRef r_addr_RNO_2_8)) )) (net I_203 (joined (portRef Y (instanceRef un5_newaddr_I_203)) (portRef A (instanceRef r_addr_RNO_2_30)) )) (net I_156 (joined (portRef Y (instanceRef un5_newaddr_I_156)) (portRef A (instanceRef r_addr_RNO_2_25)) )) (net N_339 (joined (portRef Y (instanceRef r_data_RNO_23)) (portRef D (instanceRef r_data_23)) )) (net (rename hrdata_22 "hrdata[23]") (joined (portRef hrdata_22) (portRef B (instanceRef r_data_RNO_23)) )) (net I_24_1 (joined (portRef Y (instanceRef un5_newaddr_I_24)) (portRef A (instanceRef r_addr_RNO_2_7)) )) (net I_77 (joined (portRef Y (instanceRef un5_newaddr_I_77)) (portRef A (instanceRef r_addr_RNO_2_15)) )) (net (rename haddr_7 "haddr[7]") (joined (portRef Q (instanceRef r_addr_7)) (portRef B (instanceRef un5_newaddr_I_24)) (portRef C (instanceRef un5_newaddr_I_30)) (portRef C (instanceRef un5_newaddr_I_34)) (portRef B (instanceRef r_addr_RNO_1_15)) (portRef B (instanceRef r_addr_RNO_0_7)) (portRef (member haddr 24)) )) (net N_326 (joined (portRef Y (instanceRef r_data_RNO_2)) (portRef D (instanceRef r_data_2)) )) (net (rename data_2 "data[2]") (joined (portRef (member data 5)) (portRef B (instanceRef r_addr_RNO_1_2)) (portRef A (instanceRef r_data_RNO_2)) (portRef A (instanceRef r_len_RNO_2)) )) (net (rename hrdata_1 "hrdata[2]") (joined (portRef hrdata_1) (portRef B (instanceRef r_data_RNO_2)) )) (net (rename state_0_RNI104I_4 "state_0_RNI104I[4]") (joined (portRef Y (instanceRef r_state_0_RNI104I_4)) (portRef E (instanceRef r_addr_1)) (portRef E (instanceRef r_addr_0)) )) (net N_354 (joined (portRef Y (instanceRef r_data_RNO_12)) (portRef D (instanceRef r_data_12)) )) (net cfgsel_RNILM2N1 (joined (portRef cfgsel_RNILM2N1) (portRef B (instanceRef r_data_RNO_12)) )) (net N_353 (joined (portRef Y (instanceRef r_data_RNO_11)) (portRef D (instanceRef r_data_11)) )) (net (rename hrdata_10 "hrdata[11]") (joined (portRef hrdata_10) (portRef B (instanceRef r_data_RNO_11)) )) (net N_352 (joined (portRef Y (instanceRef r_data_RNO_10)) (portRef D (instanceRef r_data_10)) )) (net (rename hrdata_9 "hrdata[10]") (joined (portRef hrdata_9) (portRef B (instanceRef r_data_RNO_10)) )) (net N_351 (joined (portRef Y (instanceRef r_data_RNO_9)) (portRef D (instanceRef r_data_9)) )) (net (rename hrdata_8 "hrdata[9]") (joined (portRef hrdata_8) (portRef B (instanceRef r_data_RNO_9)) )) (net N_350 (joined (portRef Y (instanceRef r_data_RNO_8)) (portRef D (instanceRef r_data_8)) )) (net (rename hrdata_7 "hrdata[8]") (joined (portRef hrdata_7) (portRef B (instanceRef r_data_RNO_8)) )) (net (rename state_3 "state[3]") (joined (portRef Q (instanceRef r_state_3)) (portRef S (instanceRef r_data_RNO_3)) (portRef S (instanceRef r_data_RNO_0)) (portRef S (instanceRef r_data_RNO_1)) (portRef S (instanceRef r_data_RNO_15)) (portRef S (instanceRef r_data_RNO_16)) (portRef S (instanceRef r_data_RNO_18)) (portRef S (instanceRef r_data_RNO_19)) (portRef S (instanceRef r_data_RNO_20)) (portRef S (instanceRef r_data_RNO_21)) (portRef S (instanceRef r_data_RNO_22)) (portRef S (instanceRef r_data_RNO_24)) (portRef S (instanceRef r_data_RNO_25)) (portRef S (instanceRef r_data_RNO_26)) (portRef S (instanceRef r_data_RNO_27)) (portRef S (instanceRef r_data_RNO_28)) (portRef S (instanceRef r_data_RNO_29)) (portRef S (instanceRef r_data_RNO_30)) (portRef S (instanceRef r_data_RNO_8)) )) (net N_346 (joined (portRef Y (instanceRef r_data_RNO_30)) (portRef D (instanceRef r_data_30)) )) (net (rename dmao_i_1_30 "dmao_i_1[45]") (joined (portRef dmao_i_1_30) (portRef B (instanceRef r_data_RNO_30)) )) (net N_345 (joined (portRef Y (instanceRef r_data_RNO_29)) (portRef D (instanceRef r_data_29)) )) (net (rename dmao_i_1_29 "dmao_i_1[44]") (joined (portRef dmao_i_1_29) (portRef B (instanceRef r_data_RNO_29)) )) (net N_344 (joined (portRef Y (instanceRef r_data_RNO_28)) (portRef D (instanceRef r_data_28)) )) (net (rename dmao_i_1_28 "dmao_i_1[43]") (joined (portRef dmao_i_1_28) (portRef B (instanceRef r_data_RNO_28)) )) (net N_343 (joined (portRef Y (instanceRef r_data_RNO_27)) (portRef D (instanceRef r_data_27)) )) (net (rename hrdata_26 "hrdata[27]") (joined (portRef hrdata_26) (portRef B (instanceRef r_data_RNO_27)) )) (net N_342 (joined (portRef Y (instanceRef r_data_RNO_26)) (portRef D (instanceRef r_data_26)) )) (net (rename dmao_i_1_26 "dmao_i_1[41]") (joined (portRef dmao_i_1_26) (portRef B (instanceRef r_data_RNO_26)) )) (net N_341 (joined (portRef Y (instanceRef r_data_RNO_25)) (portRef D (instanceRef r_data_25)) )) (net (rename dmao_i_1_25 "dmao_i_1[40]") (joined (portRef dmao_i_1_25) (portRef B (instanceRef r_data_RNO_25)) )) (net N_340 (joined (portRef Y (instanceRef r_data_RNO_24)) (portRef D (instanceRef r_data_24)) )) (net (rename dmao_i_1_24 "dmao_i_1[39]") (joined (portRef dmao_i_1_24) (portRef B (instanceRef r_data_RNO_24)) )) (net N_338 (joined (portRef Y (instanceRef r_data_RNO_22)) (portRef D (instanceRef r_data_22)) )) (net (rename dmao_i_1_22 "dmao_i_1[37]") (joined (portRef dmao_i_1_22) (portRef B (instanceRef r_data_RNO_22)) )) (net N_337 (joined (portRef Y (instanceRef r_data_RNO_21)) (portRef D (instanceRef r_data_21)) )) (net (rename hrdata_20 "hrdata[21]") (joined (portRef hrdata_20) (portRef B (instanceRef r_data_RNO_21)) )) (net N_336 (joined (portRef Y (instanceRef r_data_RNO_20)) (portRef D (instanceRef r_data_20)) )) (net (rename hrdata_19 "hrdata[20]") (joined (portRef hrdata_19) (portRef B (instanceRef r_data_RNO_20)) )) (net N_335 (joined (portRef Y (instanceRef r_data_RNO_19)) (portRef D (instanceRef r_data_19)) )) (net (rename hrdata_18 "hrdata[19]") (joined (portRef hrdata_18) (portRef B (instanceRef r_data_RNO_19)) )) (net N_334 (joined (portRef Y (instanceRef r_data_RNO_18)) (portRef D (instanceRef r_data_18)) )) (net (rename hrdata_17 "hrdata[18]") (joined (portRef hrdata_17) (portRef B (instanceRef r_data_RNO_18)) )) (net N_332 (joined (portRef Y (instanceRef r_data_RNO_16)) (portRef D (instanceRef r_data_16)) )) (net (rename hrdata_15 "hrdata[16]") (joined (portRef hrdata_15) (portRef B (instanceRef r_data_RNO_16)) )) (net N_331 (joined (portRef Y (instanceRef r_data_RNO_15)) (portRef D (instanceRef r_data_15)) )) (net (rename dmao_i_1_15 "dmao_i_1[30]") (joined (portRef dmao_i_1_15) (portRef B (instanceRef r_data_RNO_15)) )) (net N_329 (joined (portRef Y (instanceRef r_data_RNO_1)) (portRef D (instanceRef r_data_1)) )) (net (rename data_1 "data[1]") (joined (portRef (member data 6)) (portRef D (instanceRef r_addr_1)) (portRef A (instanceRef r_data_RNO_1)) (portRef A (instanceRef r_len_RNO_1)) )) (net (rename hrdata_0 "hrdata[1]") (joined (portRef hrdata_0) (portRef B (instanceRef r_data_RNO_1)) )) (net N_328 (joined (portRef Y (instanceRef r_data_RNO_0)) (portRef D (instanceRef r_data_0)) )) (net (rename data_0 "data[0]") (joined (portRef (member data 7)) (portRef D (instanceRef r_addr_0)) (portRef A (instanceRef r_data_RNO_0)) (portRef A (instanceRef r_len_RNO_0)) )) (net (rename dmao_i_1_0 "dmao_i_1[15]") (joined (portRef dmao_i_1_0) (portRef B (instanceRef r_data_RNO_0)) )) (net N_327 (joined (portRef Y (instanceRef r_data_RNO_3)) (portRef D (instanceRef r_data_3)) )) (net (rename hrdata_2 "hrdata[3]") (joined (portRef hrdata_2) (portRef B (instanceRef r_data_RNO_3)) )) (net I_56 (joined (portRef Y (instanceRef un5_newaddr_I_56)) (portRef A (instanceRef r_addr_RNO_0_12)) )) (net (rename state_4 "state[4]") (joined (portRef Q (instanceRef r_state_4)) (portRef A (instanceRef r_addr_RNO_1_9)) (portRef A (instanceRef r_addr_RNO_1_10)) (portRef A (instanceRef r_addr_RNO_1_11)) (portRef A (instanceRef r_addr_RNO_1_13)) (portRef A (instanceRef r_addr_RNO_1_24)) (portRef A (instanceRef r_addr_RNO_1_26)) (portRef A (instanceRef r_addr_RNO_1_27)) (portRef A (instanceRef r_addr_RNO_1_23)) (portRef A (instanceRef r_addr_RNO_1_28)) (portRef A (instanceRef r_addr_RNO_1_29)) (portRef A (instanceRef r_addr_RNO_1_2)) (portRef A (instanceRef r_addr_RNO_1_4)) (portRef A (instanceRef r_addr_RNO_1_14)) (portRef A (instanceRef r_addr_RNO_1_16)) (portRef A (instanceRef r_addr_RNO_1_17)) (portRef A (instanceRef r_addr_RNO_1_18)) (portRef A (instanceRef r_addr_RNO_1_19)) (portRef A (instanceRef r_addr_RNO_1_20)) (portRef A (instanceRef r_addr_RNO_1_21)) (portRef A (instanceRef r_addr_RNO_1_22)) )) (net I_129 (joined (portRef Y (instanceRef un5_newaddr_I_129)) (portRef A (instanceRef r_addr_RNO_0_22)) )) (net (rename haddr_22 "haddr[22]") (joined (portRef Q (instanceRef r_addr_22)) (portRef B (instanceRef un5_newaddr_I_129)) (portRef C (instanceRef un5_newaddr_I_132)) (portRef A (instanceRef r_addr_RNO_2_22)) (portRef B (instanceRef r_addr_RNO_1_30)) (portRef (member haddr 9)) )) (net I_122 (joined (portRef Y (instanceRef un5_newaddr_I_122)) (portRef A (instanceRef r_addr_RNO_2_21)) )) (net (rename haddr_12 "haddr[12]") (joined (portRef Q (instanceRef r_addr_12)) (portRef B (instanceRef un5_newaddr_I_56)) (portRef C (instanceRef un5_newaddr_I_65)) (portRef B (instanceRef un5_newaddr_I_69)) (portRef B (instanceRef r_addr_RNO_1_20)) (portRef B (instanceRef r_addr_RNO_2_12)) (portRef (member haddr 19)) )) (net I_115 (joined (portRef Y (instanceRef un5_newaddr_I_115)) (portRef A (instanceRef r_addr_RNO_0_20)) )) (net I_105 (joined (portRef Y (instanceRef un5_newaddr_I_105)) (portRef A (instanceRef r_addr_RNO_2_19)) )) (net (rename haddr_10 "haddr[10]") (joined (portRef Q (instanceRef r_addr_10)) (portRef B (instanceRef un5_newaddr_I_45)) (portRef B (instanceRef un5_newaddr_I_55)) (portRef C (instanceRef un5_newaddr_I_59)) (portRef A (instanceRef r_addr_RNO_0_10)) (portRef B (instanceRef r_addr_RNO_1_18)) (portRef A (instanceRef un5_newaddr_I_51)) (portRef (member haddr 21)) )) (net I_98 (joined (portRef Y (instanceRef un5_newaddr_I_98)) (portRef A (instanceRef r_addr_RNO_2_18)) )) (net I_91 (joined (portRef Y (instanceRef un5_newaddr_I_91)) (portRef A (instanceRef r_addr_RNO_2_17)) )) (net (rename haddr_17 "haddr[17]") (joined (portRef Q (instanceRef r_addr_17)) (portRef B (instanceRef un5_newaddr_I_91)) (portRef C (instanceRef un5_newaddr_I_97)) (portRef A (instanceRef un5_newaddr_I_101)) (portRef A (instanceRef un5_newaddr_I_108)) (portRef A (instanceRef r_addr_RNO_0_17)) (portRef B (instanceRef r_addr_RNO_1_25)) (portRef (member haddr 14)) )) (net (rename haddr_8 "haddr[8]") (joined (portRef Q (instanceRef r_addr_8)) (portRef B (instanceRef un5_newaddr_I_31)) (portRef C (instanceRef un5_newaddr_I_37)) (portRef A (instanceRef un5_newaddr_I_41)) (portRef A (instanceRef un5_newaddr_I_59)) (portRef B (instanceRef r_addr_RNO_1_16)) (portRef B (instanceRef r_addr_RNO_0_8)) (portRef (member haddr 23)) )) (net I_84 (joined (portRef Y (instanceRef un5_newaddr_I_84)) (portRef A (instanceRef r_addr_RNO_2_16)) )) (net (rename haddr_6 "haddr[6]") (joined (portRef Q (instanceRef r_addr_6)) (portRef B (instanceRef un5_newaddr_I_20)) (portRef C (instanceRef un5_newaddr_I_23)) (portRef B (instanceRef un5_newaddr_I_27)) (portRef B (instanceRef un5_newaddr_I_34)) (portRef B (instanceRef r_addr_RNO_1_14)) (portRef B (instanceRef r_addr_RNO_0_6)) (portRef (member haddr 25)) )) (net I_73 (joined (portRef Y (instanceRef un5_newaddr_I_73)) (portRef A (instanceRef r_addr_RNO_2_14)) )) (net (rename haddr_14 "haddr[14]") (joined (portRef Q (instanceRef r_addr_14)) (portRef B (instanceRef un5_newaddr_I_73)) (portRef C (instanceRef un5_newaddr_I_76)) (portRef A (instanceRef un5_newaddr_I_80)) (portRef A (instanceRef un5_newaddr_I_87)) (portRef A (instanceRef r_addr_RNO_0_14)) (portRef B (instanceRef r_addr_RNO_1_22)) (portRef (member haddr 17)) )) (net I_9_1 (joined (portRef Y (instanceRef un5_newaddr_I_9)) (portRef A (instanceRef r_addr_RNO_2_4)) )) (net (rename haddr_4 "haddr[4]") (joined (portRef Q (instanceRef r_addr_4)) (portRef B (instanceRef un5_newaddr_I_9)) (portRef C (instanceRef un5_newaddr_I_12)) (portRef C (instanceRef un5_newaddr_I_16)) (portRef C (instanceRef un5_newaddr_I_149)) (portRef B (instanceRef r_addr_RNO_0_4)) (portRef B (instanceRef r_addr_RNO_1_12)) (portRef (member haddr 27)) )) (net (rename haddr_2 "haddr[2]") (joined (portRef Q (instanceRef r_addr_2)) (portRef A (instanceRef un5_newaddr_I_5)) (portRef A (instanceRef un5_newaddr_I_12)) (portRef A (instanceRef un5_newaddr_I_16)) (portRef A (instanceRef un5_newaddr_I_149)) (portRef B (instanceRef r_addr_RNO_1_10)) (portRef B (instanceRef r_addr_RNO_0_2)) (portRef A (instanceRef r_addr_RNO_2_2)) (portRef B (instanceRef un5_newaddr_I_8)) (portRef (member haddr 29)) )) (net (rename haddr_21 "haddr[21]") (joined (portRef Q (instanceRef r_addr_21)) (portRef B (instanceRef un5_newaddr_I_122)) (portRef B (instanceRef un5_newaddr_I_125)) (portRef B (instanceRef un5_newaddr_I_132)) (portRef B (instanceRef r_addr_RNO_1_29)) (portRef A (instanceRef r_addr_RNO_0_21)) (portRef (member haddr 10)) )) (net I_196 (joined (portRef Y (instanceRef un5_newaddr_I_196)) (portRef A (instanceRef r_addr_RNO_2_29)) )) (net (rename haddr_20 "haddr[20]") (joined (portRef Q (instanceRef r_addr_20)) (portRef B (instanceRef un5_newaddr_I_115)) (portRef C (instanceRef un5_newaddr_I_121)) (portRef A (instanceRef un5_newaddr_I_125)) (portRef A (instanceRef un5_newaddr_I_132)) (portRef B (instanceRef r_addr_RNO_1_28)) (portRef B (instanceRef r_addr_RNO_2_20)) (portRef (member haddr 11)) )) (net I_186 (joined (portRef Y (instanceRef un5_newaddr_I_186)) (portRef A (instanceRef r_addr_RNO_2_28)) )) (net (rename haddr_15 "haddr[15]") (joined (portRef Q (instanceRef r_addr_15)) (portRef B (instanceRef un5_newaddr_I_77)) (portRef B (instanceRef un5_newaddr_I_80)) (portRef B (instanceRef un5_newaddr_I_87)) (portRef B (instanceRef r_addr_RNO_1_23)) (portRef A (instanceRef r_addr_RNO_0_15)) (portRef (member haddr 16)) )) (net I_136 (joined (portRef Y (instanceRef un5_newaddr_I_136)) (portRef A (instanceRef r_addr_RNO_2_23)) )) (net (rename haddr_23 "haddr[23]") (joined (portRef Q (instanceRef r_addr_23)) (portRef B (instanceRef un5_newaddr_I_136)) (portRef B (instanceRef un5_newaddr_I_139)) (portRef B (instanceRef un5_newaddr_I_146)) (portRef A (instanceRef un5_newaddr_I_159)) (portRef A (instanceRef r_addr_RNO_0_23)) (portRef B (instanceRef r_addr_RNO_1_31)) (portRef (member haddr 8)) )) (net (rename haddr_19 "haddr[19]") (joined (portRef Q (instanceRef r_addr_19)) (portRef B (instanceRef un5_newaddr_I_105)) (portRef C (instanceRef un5_newaddr_I_108)) (portRef B (instanceRef r_addr_RNO_1_27)) (portRef A (instanceRef r_addr_RNO_0_19)) (portRef (member haddr 12)) )) (net I_173 (joined (portRef Y (instanceRef un5_newaddr_I_173)) (portRef A (instanceRef r_addr_RNO_2_27)) )) (net (rename haddr_18 "haddr[18]") (joined (portRef Q (instanceRef r_addr_18)) (portRef B (instanceRef un5_newaddr_I_98)) (portRef B (instanceRef un5_newaddr_I_101)) (portRef B (instanceRef un5_newaddr_I_108)) (portRef B (instanceRef r_addr_RNO_1_26)) (portRef A (instanceRef r_addr_RNO_0_18)) (portRef (member haddr 13)) )) (net I_166 (joined (portRef Y (instanceRef un5_newaddr_I_166)) (portRef A (instanceRef r_addr_RNO_2_26)) )) (net (rename haddr_16 "haddr[16]") (joined (portRef Q (instanceRef r_addr_16)) (portRef B (instanceRef un5_newaddr_I_84)) (portRef C (instanceRef un5_newaddr_I_87)) (portRef B (instanceRef r_addr_RNO_1_24)) (portRef A (instanceRef r_addr_RNO_0_16)) (portRef (member haddr 15)) )) (net I_143 (joined (portRef Y (instanceRef un5_newaddr_I_143)) (portRef A (instanceRef r_addr_RNO_2_24)) )) (net I_66 (joined (portRef Y (instanceRef un5_newaddr_I_66)) (portRef A (instanceRef r_addr_RNO_2_13)) )) (net (rename haddr_13 "haddr[13]") (joined (portRef Q (instanceRef r_addr_13)) (portRef B (instanceRef un5_newaddr_I_66)) (portRef C (instanceRef un5_newaddr_I_69)) (portRef A (instanceRef r_addr_RNO_0_13)) (portRef B (instanceRef r_addr_RNO_1_21)) (portRef (member haddr 18)) )) (net I_52 (joined (portRef Y (instanceRef un5_newaddr_I_52)) (portRef A (instanceRef r_addr_RNO_2_11)) )) (net (rename haddr_11 "haddr[11]") (joined (portRef Q (instanceRef r_addr_11)) (portRef B (instanceRef un5_newaddr_I_52)) (portRef C (instanceRef un5_newaddr_I_55)) (portRef B (instanceRef un5_newaddr_I_65)) (portRef A (instanceRef un5_newaddr_I_69)) (portRef A (instanceRef r_addr_RNO_0_11)) (portRef B (instanceRef r_addr_RNO_1_19)) (portRef (member haddr 20)) )) (net I_45 (joined (portRef Y (instanceRef un5_newaddr_I_45)) (portRef A (instanceRef r_addr_RNO_2_10)) )) (net I_38_1 (joined (portRef Y (instanceRef un5_newaddr_I_38)) (portRef A (instanceRef r_addr_RNO_2_9)) )) (net (rename haddr_9 "haddr[9]") (joined (portRef Q (instanceRef r_addr_9)) (portRef B (instanceRef un5_newaddr_I_38)) (portRef B (instanceRef un5_newaddr_I_41)) (portRef B (instanceRef un5_newaddr_I_59)) (portRef B (instanceRef r_addr_RNO_0_9)) (portRef B (instanceRef r_addr_RNO_1_17)) (portRef (member haddr 22)) )) (net (rename haddr_0 "haddr[0]") (joined (portRef Q (instanceRef r_addr_0)) (portRef B (instanceRef r_addr_RNO_1_8)) (portRef (member haddr 31)) )) (net (rename haddr_1 "haddr[1]") (joined (portRef Q (instanceRef r_addr_1)) (portRef B (instanceRef r_addr_RNO_1_9)) (portRef (member haddr 30)) )) (net (rename haddr_24 "haddr[24]") (joined (portRef Q (instanceRef r_addr_24)) (portRef B (instanceRef un5_newaddr_I_143)) (portRef C (instanceRef un5_newaddr_I_146)) (portRef B (instanceRef un5_newaddr_I_159)) (portRef A (instanceRef r_addr_RNO_0_24)) (portRef (member haddr 7)) )) (net (rename haddr_25 "haddr[25]") (joined (portRef Q (instanceRef r_addr_25)) (portRef B (instanceRef un5_newaddr_I_156)) (portRef C (instanceRef un5_newaddr_I_159)) (portRef A (instanceRef r_addr_RNO_0_25)) (portRef (member haddr 6)) )) (net (rename haddr_26 "haddr[26]") (joined (portRef Q (instanceRef r_addr_26)) (portRef B (instanceRef un5_newaddr_I_166)) (portRef C (instanceRef un5_newaddr_I_169)) (portRef A (instanceRef un5_newaddr_I_176)) (portRef A (instanceRef un5_newaddr_I_189)) (portRef A (instanceRef r_addr_RNO_0_26)) (portRef (member haddr 5)) )) (net (rename haddr_27 "haddr[27]") (joined (portRef Q (instanceRef r_addr_27)) (portRef B (instanceRef un5_newaddr_I_173)) (portRef B (instanceRef un5_newaddr_I_176)) (portRef B (instanceRef un5_newaddr_I_189)) (portRef A (instanceRef r_addr_RNO_0_27)) (portRef (member haddr 4)) )) (net (rename haddr_28 "haddr[28]") (joined (portRef Q (instanceRef r_addr_28)) (portRef B (instanceRef un5_newaddr_I_186)) (portRef C (instanceRef un5_newaddr_I_189)) (portRef A (instanceRef r_addr_RNO_0_28)) (portRef (member haddr 3)) )) (net (rename haddr_29 "haddr[29]") (joined (portRef Q (instanceRef r_addr_29)) (portRef B (instanceRef un5_newaddr_I_196)) (portRef C (instanceRef un5_newaddr_I_202)) (portRef A (instanceRef un5_newaddr_I_206)) (portRef A (instanceRef r_addr_RNO_0_29)) (portRef (member haddr 2)) )) (net (rename haddr_30 "haddr[30]") (joined (portRef Q (instanceRef r_addr_30)) (portRef B (instanceRef un5_newaddr_I_203)) (portRef B (instanceRef un5_newaddr_I_206)) (portRef A (instanceRef r_addr_RNO_0_30)) (portRef (member haddr 1)) )) (net (rename haddr_31 "haddr[31]") (joined (portRef Q (instanceRef r_addr_31)) (portRef B (instanceRef un5_newaddr_I_210)) (portRef A (instanceRef r_addr_RNO_0_31)) (portRef (member haddr 0)) )) (net (rename len_2 "len[2]") (joined (portRef Q (instanceRef r_len_2)) (portRef B (instanceRef un5_newlen_I_9)) (portRef C (instanceRef un5_newlen_I_12)) (portRef C (instanceRef un5_newlen_I_16)) )) (net (rename len_4 "len[4]") (joined (portRef Q (instanceRef r_len_4)) (portRef B (instanceRef un5_newlen_I_20)) (portRef C (instanceRef un5_newlen_I_23)) )) (net (rename hwdata_0 "hwdata[0]") (joined (portRef Q (instanceRef r_data_0)) (portRef A (instanceRef r_data_RNO_8)) (portRef (member hwdata 31)) )) (net (rename hwdata_1 "hwdata[1]") (joined (portRef Q (instanceRef r_data_1)) (portRef A (instanceRef r_data_RNO_9)) (portRef (member hwdata 30)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef Q (instanceRef r_data_2)) (portRef A (instanceRef r_data_RNO_10)) (portRef (member hwdata 29)) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef Q (instanceRef r_data_3)) (portRef A (instanceRef r_data_RNO_11)) (portRef (member hwdata 28)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef Q (instanceRef r_data_4)) (portRef A (instanceRef r_data_RNO_12)) (portRef (member hwdata 27)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef Q (instanceRef r_data_5)) (portRef A (instanceRef r_data_RNO_13)) (portRef (member hwdata 26)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef Q (instanceRef r_data_6)) (portRef A (instanceRef r_data_RNO_14)) (portRef (member hwdata 25)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef Q (instanceRef r_data_7)) (portRef A (instanceRef r_data_RNO_15)) (portRef (member hwdata 24)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef Q (instanceRef r_data_8)) (portRef A (instanceRef r_data_RNO_16)) (portRef (member hwdata 23)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef Q (instanceRef r_data_9)) (portRef A (instanceRef r_data_RNO_17)) (portRef (member hwdata 22)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef Q (instanceRef r_data_10)) (portRef A (instanceRef r_data_RNO_18)) (portRef (member hwdata 21)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef Q (instanceRef r_data_11)) (portRef A (instanceRef r_data_RNO_19)) (portRef (member hwdata 20)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef Q (instanceRef r_data_12)) (portRef A (instanceRef r_data_RNO_20)) (portRef (member hwdata 19)) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef Q (instanceRef r_data_13)) (portRef A (instanceRef r_data_RNO_21)) (portRef (member hwdata 18)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef Q (instanceRef r_data_14)) (portRef A (instanceRef r_data_RNO_22)) (portRef (member hwdata 17)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef Q (instanceRef r_data_15)) (portRef A (instanceRef r_data_RNO_23)) (portRef (member hwdata 16)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef Q (instanceRef r_data_16)) (portRef A (instanceRef r_data_RNO_24)) (portRef (member hwdata 15)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef Q (instanceRef r_data_17)) (portRef A (instanceRef r_data_RNO_25)) (portRef (member hwdata 14)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef Q (instanceRef r_data_18)) (portRef A (instanceRef r_data_RNO_26)) (portRef (member hwdata 13)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef Q (instanceRef r_data_19)) (portRef A (instanceRef r_data_RNO_27)) (portRef (member hwdata 12)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef Q (instanceRef r_data_20)) (portRef A (instanceRef r_data_RNO_28)) (portRef (member hwdata 11)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef Q (instanceRef r_data_21)) (portRef A (instanceRef r_data_RNO_29)) (portRef (member hwdata 10)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef Q (instanceRef r_data_22)) (portRef A (instanceRef r_data_RNO_30)) (portRef (member hwdata 9)) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef Q (instanceRef r_data_23)) (portRef A (instanceRef r_data_RNO_31)) (portRef (member hwdata 8)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef Q (instanceRef r_data_24)) (portRef (member hwdata 7)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef Q (instanceRef r_data_25)) (portRef (member hwdata 6)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef Q (instanceRef r_data_26)) (portRef (member hwdata 5)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef Q (instanceRef r_data_27)) (portRef (member hwdata 4)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef Q (instanceRef r_data_28)) (portRef (member hwdata 3)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef Q (instanceRef r_data_29)) (portRef (member hwdata 2)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef Q (instanceRef r_data_30)) (portRef (member hwdata 1)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef Q (instanceRef r_data_31)) (portRef (member hwdata 0)) )) (net N_4 (joined (portRef Y (instanceRef un5_newaddr_I_209)) (portRef A (instanceRef un5_newaddr_I_210)) )) (net (rename DWACT_FINC_E_24 "DWACT_FINC_E[24]") (joined (portRef Y (instanceRef un5_newaddr_I_199)) (portRef A (instanceRef un5_newaddr_I_202)) (portRef A (instanceRef un5_newaddr_I_209)) )) (net (rename DWACT_FINC_E_23 "DWACT_FINC_E[23]") (joined (portRef Y (instanceRef un5_newaddr_I_192)) (portRef C (instanceRef un5_newaddr_I_195)) (portRef B (instanceRef un5_newaddr_I_202)) (portRef B (instanceRef un5_newaddr_I_209)) )) (net (rename DWACT_FINC_E_25 "DWACT_FINC_E[25]") (joined (portRef Y (instanceRef un5_newaddr_I_206)) (portRef C (instanceRef un5_newaddr_I_209)) )) (net N_9 (joined (portRef Y (instanceRef un5_newaddr_I_202)) (portRef A (instanceRef un5_newaddr_I_203)) )) (net (rename DWACT_FINC_E_29 "DWACT_FINC_E[29]") (joined (portRef Y (instanceRef un5_newaddr_I_152)) (portRef A (instanceRef un5_newaddr_I_155)) (portRef A (instanceRef un5_newaddr_I_165)) (portRef A (instanceRef un5_newaddr_I_172)) (portRef A (instanceRef un5_newaddr_I_185)) (portRef A (instanceRef un5_newaddr_I_195)) (portRef A (instanceRef un5_newaddr_I_199)) )) (net (rename DWACT_FINC_E_30 "DWACT_FINC_E[30]") (joined (portRef Y (instanceRef un5_newaddr_I_182)) (portRef B (instanceRef un5_newaddr_I_185)) (portRef B (instanceRef un5_newaddr_I_195)) (portRef B (instanceRef un5_newaddr_I_199)) )) (net N_14 (joined (portRef Y (instanceRef un5_newaddr_I_195)) (portRef A (instanceRef un5_newaddr_I_196)) )) (net (rename DWACT_FINC_E_15 "DWACT_FINC_E[15]") (joined (portRef Y (instanceRef un5_newaddr_I_132)) (portRef C (instanceRef un5_newaddr_I_135)) (portRef A (instanceRef un5_newaddr_I_139)) (portRef A (instanceRef un5_newaddr_I_146)) (portRef A (instanceRef un5_newaddr_I_162)) (portRef A (instanceRef un5_newaddr_I_169)) (portRef A (instanceRef un5_newaddr_I_179)) (portRef A (instanceRef un5_newaddr_I_192)) )) (net (rename DWACT_FINC_E_17 "DWACT_FINC_E[17]") (joined (portRef Y (instanceRef un5_newaddr_I_159)) (portRef B (instanceRef un5_newaddr_I_162)) (portRef B (instanceRef un5_newaddr_I_169)) (portRef B (instanceRef un5_newaddr_I_179)) (portRef B (instanceRef un5_newaddr_I_192)) )) (net (rename DWACT_FINC_E_22 "DWACT_FINC_E[22]") (joined (portRef Y (instanceRef un5_newaddr_I_189)) (portRef C (instanceRef un5_newaddr_I_192)) )) (net N_21_0 (joined (portRef Y (instanceRef un5_newaddr_I_185)) (portRef A (instanceRef un5_newaddr_I_186)) )) (net (rename DWACT_FINC_E_21 "DWACT_FINC_E[21]") (joined (portRef Y (instanceRef un5_newaddr_I_179)) (portRef C (instanceRef un5_newaddr_I_185)) )) (net (rename DWACT_FINC_E_9 "DWACT_FINC_E[9]") (joined (portRef Y (instanceRef un5_newaddr_I_87)) (portRef C (instanceRef un5_newaddr_I_90)) (portRef B (instanceRef un5_newaddr_I_94)) (portRef B (instanceRef un5_newaddr_I_118)) (portRef B (instanceRef un5_newaddr_I_182)) )) (net (rename DWACT_FINC_E_12 "DWACT_FINC_E[12]") (joined (portRef Y (instanceRef un5_newaddr_I_108)) (portRef C (instanceRef un5_newaddr_I_114)) (portRef C (instanceRef un5_newaddr_I_118)) (portRef C (instanceRef un5_newaddr_I_182)) )) (net (rename DWACT_FINC_E_20 "DWACT_FINC_E[20]") (joined (portRef Y (instanceRef un5_newaddr_I_176)) (portRef C (instanceRef un5_newaddr_I_179)) )) (net N_30 (joined (portRef Y (instanceRef un5_newaddr_I_172)) (portRef A (instanceRef un5_newaddr_I_173)) )) (net (rename DWACT_FINC_E_13 "DWACT_FINC_E[13]") (joined (portRef Y (instanceRef un5_newaddr_I_118)) (portRef B (instanceRef un5_newaddr_I_121)) (portRef B (instanceRef un5_newaddr_I_128)) (portRef B (instanceRef un5_newaddr_I_135)) (portRef B (instanceRef un5_newaddr_I_142)) (portRef B (instanceRef un5_newaddr_I_155)) (portRef B (instanceRef un5_newaddr_I_165)) (portRef B (instanceRef un5_newaddr_I_172)) )) (net (rename DWACT_FINC_E_19 "DWACT_FINC_E[19]") (joined (portRef Y (instanceRef un5_newaddr_I_169)) (portRef C (instanceRef un5_newaddr_I_172)) )) (net N_35 (joined (portRef Y (instanceRef un5_newaddr_I_165)) (portRef A (instanceRef un5_newaddr_I_166)) )) (net (rename DWACT_FINC_E_18 "DWACT_FINC_E[18]") (joined (portRef Y (instanceRef un5_newaddr_I_162)) (portRef C (instanceRef un5_newaddr_I_165)) )) (net N_42 (joined (portRef Y (instanceRef un5_newaddr_I_155)) (portRef A (instanceRef un5_newaddr_I_156)) )) (net (rename DWACT_FINC_E_33 "DWACT_FINC_E[33]") (joined (portRef Y (instanceRef un5_newaddr_I_146)) (portRef C (instanceRef un5_newaddr_I_155)) )) (net (rename DWACT_FINC_E_34 "DWACT_FINC_E[34]") (joined (portRef Y (instanceRef un5_newaddr_I_149)) (portRef A (instanceRef un5_newaddr_I_152)) )) (net (rename DWACT_FINC_E_2 "DWACT_FINC_E[2]") (joined (portRef Y (instanceRef un5_newaddr_I_34)) (portRef B (instanceRef un5_newaddr_I_37)) (portRef B (instanceRef un5_newaddr_I_44)) (portRef B (instanceRef un5_newaddr_I_48)) (portRef B (instanceRef un5_newaddr_I_62)) (portRef B (instanceRef un5_newaddr_I_111)) (portRef B (instanceRef un5_newaddr_I_152)) )) (net (rename DWACT_FINC_E_5 "DWACT_FINC_E[5]") (joined (portRef Y (instanceRef un5_newaddr_I_59)) (portRef C (instanceRef un5_newaddr_I_62)) (portRef C (instanceRef un5_newaddr_I_111)) (portRef C (instanceRef un5_newaddr_I_152)) )) (net N_51 (joined (portRef Y (instanceRef un5_newaddr_I_142)) (portRef A (instanceRef un5_newaddr_I_143)) )) (net (rename DWACT_FINC_E_28 "DWACT_FINC_E[28]") (joined (portRef Y (instanceRef un5_newaddr_I_111)) (portRef A (instanceRef un5_newaddr_I_114)) (portRef A (instanceRef un5_newaddr_I_121)) (portRef A (instanceRef un5_newaddr_I_128)) (portRef A (instanceRef un5_newaddr_I_135)) (portRef A (instanceRef un5_newaddr_I_142)) )) (net (rename DWACT_FINC_E_16 "DWACT_FINC_E[16]") (joined (portRef Y (instanceRef un5_newaddr_I_139)) (portRef C (instanceRef un5_newaddr_I_142)) )) (net N_56 (joined (portRef Y (instanceRef un5_newaddr_I_135)) (portRef A (instanceRef un5_newaddr_I_136)) )) (net N_61 (joined (portRef Y (instanceRef un5_newaddr_I_128)) (portRef A (instanceRef un5_newaddr_I_129)) )) (net (rename DWACT_FINC_E_14 "DWACT_FINC_E[14]") (joined (portRef Y (instanceRef un5_newaddr_I_125)) (portRef C (instanceRef un5_newaddr_I_128)) )) (net N_66 (joined (portRef Y (instanceRef un5_newaddr_I_121)) (portRef A (instanceRef un5_newaddr_I_122)) )) (net N_71 (joined (portRef Y (instanceRef un5_newaddr_I_114)) (portRef A (instanceRef un5_newaddr_I_115)) )) (net (rename DWACT_FINC_E_10 "DWACT_FINC_E[10]") (joined (portRef Y (instanceRef un5_newaddr_I_94)) (portRef B (instanceRef un5_newaddr_I_97)) (portRef B (instanceRef un5_newaddr_I_104)) (portRef B (instanceRef un5_newaddr_I_114)) )) (net N_78 (joined (portRef Y (instanceRef un5_newaddr_I_104)) (portRef A (instanceRef un5_newaddr_I_105)) )) (net (rename DWACT_FINC_E_11 "DWACT_FINC_E[11]") (joined (portRef Y (instanceRef un5_newaddr_I_101)) (portRef C (instanceRef un5_newaddr_I_104)) )) (net N_83 (joined (portRef Y (instanceRef un5_newaddr_I_97)) (portRef A (instanceRef un5_newaddr_I_98)) )) (net N_88 (joined (portRef Y (instanceRef un5_newaddr_I_90)) (portRef A (instanceRef un5_newaddr_I_91)) )) (net N_93 (joined (portRef Y (instanceRef un5_newaddr_I_83)) (portRef A (instanceRef un5_newaddr_I_84)) )) (net (rename DWACT_FINC_E_8 "DWACT_FINC_E[8]") (joined (portRef Y (instanceRef un5_newaddr_I_80)) (portRef C (instanceRef un5_newaddr_I_83)) )) (net N_98 (joined (portRef Y (instanceRef un5_newaddr_I_76)) (portRef A (instanceRef un5_newaddr_I_77)) )) (net N_106 (joined (portRef Y (instanceRef un5_newaddr_I_65)) (portRef A (instanceRef un5_newaddr_I_66)) )) (net N_113 (joined (portRef Y (instanceRef un5_newaddr_I_55)) (portRef A (instanceRef un5_newaddr_I_56)) )) (net (rename DWACT_FINC_E_3 "DWACT_FINC_E[3]") (joined (portRef Y (instanceRef un5_newaddr_I_41)) (portRef C (instanceRef un5_newaddr_I_44)) (portRef C (instanceRef un5_newaddr_I_48)) )) (net N_121 (joined (portRef Y (instanceRef un5_newaddr_I_44)) (portRef A (instanceRef un5_newaddr_I_45)) )) (net N_126 (joined (portRef Y (instanceRef un5_newaddr_I_37)) (portRef A (instanceRef un5_newaddr_I_38)) )) (net N_131 (joined (portRef Y (instanceRef un5_newaddr_I_30)) (portRef A (instanceRef un5_newaddr_I_31)) )) (net (rename DWACT_FINC_E_1 "DWACT_FINC_E[1]") (joined (portRef Y (instanceRef un5_newaddr_I_27)) (portRef B (instanceRef un5_newaddr_I_30)) )) (net N_136 (joined (portRef Y (instanceRef un5_newaddr_I_23)) (portRef A (instanceRef un5_newaddr_I_24)) )) (net N_144 (joined (portRef Y (instanceRef un5_newaddr_I_12)) (portRef A (instanceRef un5_newaddr_I_13)) )) (net N_4_0 (joined (portRef Y (instanceRef un5_newlen_I_23)) (portRef A (instanceRef un5_newlen_I_24)) )) (net N_12 (joined (portRef Y (instanceRef un5_newlen_I_12)) (portRef A (instanceRef un5_newlen_I_13)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) ) ) (cell dcom_uart (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port rxf_0 (direction INPUT)) (port rxf_1 (direction OUTPUT)) (port (array (rename hwdata "hwdata[31:24]") 8) (direction INPUT)) (port (array (rename data "data[7:0]") 8) (direction OUTPUT)) (port (array (rename paddr_2 "paddr_2[2:2]") 1) (direction INPUT)) (port (array (rename paddr "paddr[3:3]") 1) (direction INPUT)) (port (array (rename prdata "prdata[2:0]") 3) (direction OUTPUT)) (port (array (rename paddr_0 "paddr_0[3:3]") 1) (direction INPUT)) (port (array (rename state_i "state_i[5:5]") 1) (direction INPUT)) (port un1_dcom0_17 (direction OUTPUT)) (port un1_dcom0_16 (direction OUTPUT)) (port un1_dcom0_14 (direction OUTPUT)) (port un1_dcom0_11 (direction OUTPUT)) (port un1_dcom0_10 (direction OUTPUT)) (port un1_dcom0_5 (direction OUTPUT)) (port un1_dcom0_12 (direction OUTPUT)) (port un1_dcom0_13 (direction OUTPUT)) (port un1_dcom0_15 (direction OUTPUT)) (port pwdata_2 (direction INPUT)) (port pwdata_3 (direction INPUT)) (port pwdata_5 (direction INPUT)) (port pwdata_4 (direction INPUT)) (port pwdata_13 (direction INPUT)) (port pwdata_9 (direction INPUT)) (port pwdata_8 (direction INPUT)) (port pwdata_12 (direction INPUT)) (port pwdata_11 (direction INPUT)) (port pwdata_10 (direction INPUT)) (port pwdata_7 (direction INPUT)) (port pwdata_0_d0 (direction INPUT)) (port pwdata_17 (direction INPUT)) (port pwdata_16 (direction INPUT)) (port pwdata_6 (direction INPUT)) (port pwdata_14 (direction INPUT)) (port pwdata_15 (direction INPUT)) (port (array (rename pwdata_0 "pwdata_0[5:1]") 5) (direction INPUT)) (port (array (rename un1_dcom0_0 "un1_dcom0_0[36:36]") 1) (direction OUTPUT)) (port ramclk (direction INPUT)) (port N_326 (direction OUTPUT)) (port ahbtxd_c (direction OUTPUT)) (port un1_rdata23 (direction OUTPUT)) (port N_325 (direction OUTPUT)) (port write (direction INPUT)) (port thempty (direction OUTPUT)) (port N_324 (direction OUTPUT)) (port N_328 (direction OUTPUT)) (port N_327_0 (direction OUTPUT)) (port N_323 (direction OUTPUT)) (port N_897 (direction INPUT)) (port N_646 (direction INPUT)) (port dready (direction OUTPUT)) (port readdata57_1 (direction INPUT)) (port rstn (direction INPUT)) (port brate_1_sqmuxa_1_0 (direction OUTPUT)) (port N_343 (direction INPUT)) (port un1_apbi_0_0 (direction INPUT)) (port N_327 (direction INPUT)) ) (contents (instance (rename r_tcnt_RNI73NE_0_1 "r.tcnt_RNI73NE_0[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_tcnt_RNI73NE_1_1 "r.tcnt_RNI73NE_1[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename uartop_un1_apbi_0 "uartop.un1_apbi_0") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename v_brate_0_sqmuxa_0 "v.brate_0_sqmuxa_0") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename v_rxen_1_sqmuxa "v.rxen_1_sqmuxa") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename v_rxen_1_sqmuxa_RNI3553 "v.rxen_1_sqmuxa_RNI3553") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename apbi_m_0_51 "apbi_m_0[51]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_16 "r.scaler_RNO_1[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_11 "r.scaler_RNO_0[11]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_10 "r.scaler_RNO_0[10]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_7 "r.scaler_RNO_1[7]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_6 "r.scaler_RNO_1[6]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_5 "r.scaler_RNO_1[5]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_3 "r.scaler_RNO_1[3]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_2 "r.scaler_RNO_1[2]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_0 "r.scaler_RNO_0[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_12 "r.scaler_RNO_1[12]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_8 "r.scaler_RNO_1[8]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_13 "r.scaler_RNO_0[13]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_1 "r.scaler_RNO_1[1]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_15 "r.scaler_RNO_1[15]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_17 "r.scaler_RNO_0[17]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_14 "r.scaler_RNO_1[14]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_9 "r.scaler_RNO_0[9]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_4 "r.scaler_RNO_1[4]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename un1_v_rxen_0_sqmuxa_1_0 "un1_v.rxen_0_sqmuxa_1_0") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_6 "r.tshift_RNO_0[6]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_4 "r.tshift_RNO_0[4]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_3 "r.tshift_RNO_0[3]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_2 "r.tshift_RNO_0[2]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_1 "r.tshift_RNO_0[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_5 "r.tshift_RNO_0[5]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_8 "r.tshift_RNO_0[8]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_7 "r.tshift_RNO_0[7]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_txclk_RNO_0_0 "r.txclk_RNO_0[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_txtick_RNO_0 "r.txtick_RNO_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_0 "r.tshift_RNO_0[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_tcnt_RNI73NE_1 "r.tcnt_RNI73NE[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_0_0 "r.rxstate_RNO_0[0]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_rxtick_RNO_0 "r.rxtick_RNO_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_brate_RNI62OB1_4 "r.brate_RNI62OB1[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_brate_RNIOIU21_10 "r.brate_RNIOIU21[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_brate_RNI8KKU_8 "r.brate_RNI8KKU[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_brate_RNIQ5GH_15 "r.brate_RNIQ5GH[15]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_brate_RNIILFH_13 "r.brate_RNIILFH[13]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_brate_RNIMTFH_12 "r.brate_RNIMTFH[12]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_brate_RNIA64D_6 "r.brate_RNIA64D[6]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_brate_RNI2M3D_4 "r.brate_RNI2M3D[4]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_txstate_RNO_2_0 "r.txstate_RNO_2[0]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_dready_RNICJV3 "r.dready_RNICJV3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_brate_RNIOFR2_2 "r.brate_RNIOFR2[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_rxdb_RNIAUFM1_0 "r.rxdb_RNIAUFM1[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_rsempty_RNILFN1 "r.rsempty_RNILFN1") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNI31311_8 "r.tshift_RNI31311[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_tshift_RNIUJ6R_4 "r.tshift_RNIUJ6R[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_tshift_RNIUUEJ_2 "r.tshift_RNIUUEJ[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_tshift_RNI1IJD_6 "r.tshift_RNI1IJD[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_rshift_RNIMJ6R_4 "r.rshift_RNIMJ6R[4]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_rshift_RNI6J4R_0 "r.rshift_RNI6J4R[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_rshift_RNITHJD_6 "r.rshift_RNITHJD[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_rshift_RNILHID_2 "r.rshift_RNILHID[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_1_0 "r.rxstate_RNO_1[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxstate_RNII416_1 "r.rxstate_RNII416[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_rxtick_RNIS8D7 "r.rxtick_RNIS8D7") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_rxtick_RNI0M9D "r.rxtick_RNI0M9D") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_12 "r.brate_RNO_1[12]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_3 "r.brate_RNO_1[3]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_rxen_RNIKFAK3 "r.rxen_RNIKFAK3") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_11 "r.brate_RNO_1[11]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_10 "r.brate_RNO_1[10]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_7 "r.brate_RNO_1[7]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_6 "r.brate_RNO_1[6]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_5 "r.brate_RNO_1[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_4 "r.brate_RNO_1[4]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_2 "r.brate_RNO_1[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_1 "r.brate_RNO_1[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_0 "r.brate_RNO_1[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_17 "r.brate_RNO_1[17]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_16 "r.brate_RNO_1[16]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_15 "r.brate_RNO_1[15]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_14 "r.brate_RNO_1[14]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_scaler_RNIBB0LS_16 "r.scaler_RNIBB0LS[16]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_break_RNO_2 "r.break_RNO_2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_brate_RNI69BD3_4 "r.brate_RNI69BD3[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_tshift_RNO_7 "r.tshift_RNO[7]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_fedge_RNIO4K501 "r.fedge_RNIO4K501") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_rxdb_RNI43I3_1 "r.rxdb_RNI43I3[1]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_rxdb_RNI2MHSC_1 "r.rxdb_RNI2MHSC[1]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_rxen_RNIT6CU6 "r.rxen_RNIT6CU6") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_rxdb_RNIOMGCG1_1 "r.rxdb_RNIOMGCG1[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename uartop_un1_apbi "uartop.un1_apbi") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_8 "r.brate_RNO_1[8]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_8 "r.tshift_RNO[8]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_tshift_RNI1L9S1_4 "r.tshift_RNI1L9S1[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_txtick_RNICHPR "r.txtick_RNICHPR") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0 "r.tshift_RNO[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_txstate_RNO_1_0 "r.txstate_RNO_1[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_5 "r.tshift_RNO[5]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1 "r.tshift_RNO[1]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_txtick_RNO "r.txtick_RNO") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_txclk_RNO_0 "r.txclk_RNO[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2 "r.tshift_RNO[2]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_3 "r.tshift_RNO[3]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_4 "r.tshift_RNO[4]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_6 "r.tshift_RNO[6]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_rxstate_RNIS9GD_0 "r.rxstate_RNIS9GD[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_rxtick_RNIEDED "r.rxtick_RNIEDED") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_rxtick_RNO "r.rxtick_RNO") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_rxdb_RNIAKP32_0 "r.rxdb_RNIAKP32[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_rshift_RNIS6BM1_0 "r.rshift_RNIS6BM1[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dready_RNIU6BC "r.dready_RNIU6BC") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_9 "r.brate_RNO_1[9]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_1_13 "r.brate_RNO_1[13]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_4 "r.scaler_RNO[4]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_9 "r.scaler_RNO[9]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_14 "r.scaler_RNO[14]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_17 "r.scaler_RNO[17]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_15 "r.scaler_RNO[15]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1 "r.scaler_RNO[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_13 "r.scaler_RNO[13]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_8 "r.scaler_RNO[8]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_12 "r.scaler_RNO[12]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0 "r.scaler_RNO[0]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2 "r.scaler_RNO[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3 "r.scaler_RNO[3]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_5 "r.scaler_RNO[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_6 "r.scaler_RNO[6]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_7 "r.scaler_RNO[7]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_10 "r.scaler_RNO[10]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_11 "r.scaler_RNO[11]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_16 "r.scaler_RNO[16]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_break_RNO_0 "r.break_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_frame_RNO_0 "r.frame_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ovf_RNO_0 "r.ovf_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_0 "r.brate_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_1 "r.brate_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_2 "r.brate_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_3 "r.brate_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_4 "r.brate_RNO_0[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_5 "r.brate_RNO_0[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_6 "r.brate_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_7 "r.brate_RNO_0[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_10 "r.brate_RNO_0[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_11 "r.brate_RNO_0[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_12 "r.brate_RNO_0[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_14 "r.brate_RNO_0[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_15 "r.brate_RNO_0[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_16 "r.brate_RNO_0[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_17 "r.brate_RNO_0[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rxdb_RNI5BSL_1 "r.rxdb_RNI5BSL[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_tcnt_RNIDC2S3_1 "r.tcnt_RNIDC2S3[1]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_frame_RNO_2 "r.frame_RNO_2") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_tcnt_RNI5MM7D_1 "r.tcnt_RNI5MM7D[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNI9KDBM_15 "r.scaler_RNI9KDBM[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxdb_RNI7LJLG_1 "r.rxdb_RNI7LJLG[1]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_rxen_RNION4IM "r.rxen_RNION4IM") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_rxen_RNI3357J1 "r.rxen_RNI3357J1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_frame_RNO_1 "r.frame_RNO_1") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_break_RNO_1 "r.break_RNO_1") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_brate_RNIPDE6_0 "r.brate_RNIPDE6[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_brate_RNIAUF8_3 "r.brate_RNIAUF8[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNIN4DC_8 "r.brate_RNIN4DC[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNIP8DC_9 "r.brate_RNIP8DC[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rxen_RNI2B2G "r.rxen_RNI2B2G") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_14 "r.brate_RNO_3[14]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_14 "r.brate_RNO_2[14]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_15 "r.brate_RNO_3[15]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_15 "r.brate_RNO_2[15]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_16 "r.brate_RNO_3[16]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_16 "r.brate_RNO_2[16]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_17 "r.brate_RNO_3[17]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_17 "r.brate_RNO_2[17]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_0 "r.brate_RNO_2[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_0 "r.brate_RNO_4[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_0 "r.brate_RNO_3[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_1 "r.brate_RNO_2[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_1 "r.brate_RNO_4[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_1 "r.brate_RNO_3[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_2 "r.brate_RNO_2[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_2 "r.brate_RNO_4[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_2 "r.brate_RNO_3[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_4 "r.brate_RNO_2[4]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_4 "r.brate_RNO_4[4]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_4 "r.brate_RNO_3[4]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_5 "r.brate_RNO_2[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_5 "r.brate_RNO_4[5]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_5 "r.brate_RNO_3[5]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_6 "r.brate_RNO_2[6]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_6 "r.brate_RNO_4[6]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_6 "r.brate_RNO_3[6]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_7 "r.brate_RNO_2[7]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_7 "r.brate_RNO_4[7]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_7 "r.brate_RNO_3[7]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_9 "r.brate_RNO_2[9]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_9 "r.brate_RNO_4[9]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_10 "r.brate_RNO_2[10]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_10 "r.brate_RNO_4[10]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_10 "r.brate_RNO_3[10]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_11 "r.brate_RNO_2[11]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_11 "r.brate_RNO_4[11]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_11 "r.brate_RNO_3[11]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_frame_RNO "r.frame_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_break_RNO "r.break_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_brate_RNO_0 "r.brate_RNO[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_1 "r.brate_RNO[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2 "r.brate_RNO[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_3 "r.brate_RNO[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_4 "r.brate_RNO[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_5 "r.brate_RNO[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_6 "r.brate_RNO[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_7 "r.brate_RNO[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_10 "r.brate_RNO[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_11 "r.brate_RNO[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_12 "r.brate_RNO[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_14 "r.brate_RNO[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_15 "r.brate_RNO[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_16 "r.brate_RNO[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_17 "r.brate_RNO[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNI2TH6_5 "r.brate_RNI2TH6[5]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_brate_RNI89I6_8 "r.brate_RNI89I6[8]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_brate_RNIADI6_9 "r.brate_RNIADI6[9]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_brate_RNIICN8_10 "r.brate_RNIICN8[10]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_brate_RNIKGN8_11 "r.brate_RNIKGN8[11]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_brate_RNIQSN8_14 "r.brate_RNIQSN8[14]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_brate_RNIU4O8_16 "r.brate_RNIU4O8[16]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_brate_RNI09O8_17 "r.brate_RNI09O8[17]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_ovf_RNITVO9 "r.ovf_RNITVO9") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_3 "r.brate_RNO_2[3]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_3 "r.brate_RNO_4[3]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_3 "r.brate_RNO_3[3]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_12 "r.brate_RNO_2[12]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_12 "r.brate_RNO_4[12]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_12 "r.brate_RNO_3[12]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ovf_RNO "r.ovf_RNO") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_brate_RNI65I6_7 "r.brate_RNI65I6[7]") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_tcnt_RNI73NE_2_1 "r.tcnt_RNI73NE_2[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename v_brate_0_sqmuxa "v.brate_0_sqmuxa") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_rxen_RNILB5AP "r.rxen_RNILB5AP") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxen_RNI0N5VL1 "r.rxen_RNI0N5VL1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename v_brate_1_sqmuxa_1 "v.brate_1_sqmuxa_1") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_thempty_RNO "r.thempty_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_thempty_RNO_0 "r.thempty_RNO_0") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_fedge_RNI7LV5S "r.fedge_RNI7LV5S") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_tcnt_RNIHFKV3_1 "r.tcnt_RNIHFKV3[1]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_rxdb_RNI18AI_1 "r.rxdb_RNI18AI[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxdb_RNIQ4J3_1 "r.rxdb_RNIQ4J3[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_rxen_RNO "r.rxen_RNO") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_rxen_RNO_1 "r.rxen_RNO_1") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_rxen_RNO_3 "r.rxen_RNO_3") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_rxdb_RNI3URED_1 "r.rxdb_RNI3URED[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_tcnt_RNIHFKV3_0_1 "r.tcnt_RNIHFKV3_0[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_rxdb_RNIACTG3_1 "r.rxdb_RNIACTG3[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_fedge_RNI8OIL "r.fedge_RNI8OIL") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_rxdb_RNIURAA3_1 "r.rxdb_RNIURAA3[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_tcnt_RNO_1 "r.tcnt_RNO[1]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_tcnt_RNO_0 "r.tcnt_RNO[0]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_rxen_RNO_2 "r.rxen_RNO_2") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_scaler_RNI05N2S_16 "r.scaler_RNI05N2S[16]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_rxen_RNO_0 "r.rxen_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename v_frame_0_sqmuxa "v.frame_0_sqmuxa") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_frame_RNIJEH8 "r.frame_RNIJEH8") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_8 "r.brate_RNO[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_8 "r.brate_RNO_3[8]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_8 "r.brate_RNO_4[8]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_8 "r.brate_RNO_2[8]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_tsempty_RNIO80N "r.tsempty_RNIO80N") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_tsempty_RNINBC5 "r.tsempty_RNINBC5") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename uartop_un1_rdata23 "uartop.un1_rdata23") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_tcnt_RNI73NE_3_1 "r.tcnt_RNI73NE_3[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_8 "r.brate_RNO_0[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNI0O3C_2 "r.brate_RNI0O3C[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNIDSLF_2 "r.brate_RNIDSLF[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_7 "r.tshift_RNO_1[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tsempty_RNO_0 "r.tsempty_RNO_0") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_txstate_RNO_0_0 "r.txstate_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_txstate_RNO_0 "r.txstate_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_txstate_RNO_0_1 "r.txstate_RNO_0[1]") (viewRef prim (cellRef AXOI4 (libraryRef PA3))) ) (instance (rename r_txstate_RNO_1 "r.txstate_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_tsempty_RNO_1 "r.tsempty_RNO_1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_1 "r.tshift_RNO_1[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_5 "r.tshift_RNO_1[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_8 "r.tshift_RNO_1[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_0 "r.tshift_RNO_1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2_0 "r.tshift_RNO_2[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNISN232_1 "r.tshift_RNISN232[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_9 "r.tshift_RNO[9]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_txstate_RNIBQOB_1 "r.txstate_RNIBQOB[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_txtick_RNI6M9D "r.txtick_RNI6M9D") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_tsempty_RNO "r.tsempty_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_txtick_RNICHPR_0 "r.txtick_RNICHPR_0") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance un3_txclk_1_CO1 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un3_txclk_1_SUM1_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un3_txclk_1_SUM2_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_txclk_RNO_2 "r.txclk_RNO[2]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_txclk_RNO_1 "r.txclk_RNO[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_tick_RNI5JQ31 "r.tick_RNI5JQ31") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_thempty_RNI6RFE "r.thempty_RNI6RFE") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_6 "r.tshift_RNO_1[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_4 "r.tshift_RNO_1[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_3 "r.tshift_RNO_1[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_2 "r.tshift_RNO_1[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_rsempty_RNO_0 "r.rsempty_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_1 "r.rxstate_RNO[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_rsempty_RNO "r.rsempty_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxdb_RNI8U971_0 "r.rxdb_RNI8U971[0]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_rsempty_RNO_3 "r.rsempty_RNO_3") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_rsempty_RNILFN1_0 "r.rsempty_RNILFN1_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_rsempty_RNO_1 "r.rsempty_RNO_1") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_rxstate_RNIJGPI_0 "r.rxstate_RNIJGPI[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_rxtick_RNIE3OQ "r.rxtick_RNIE3OQ") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_rsempty_RNO_2 "r.rsempty_RNO_2") (viewRef prim (cellRef AXOI2 (libraryRef PA3))) ) (instance (rename r_rsempty_RNO_4 "r.rsempty_RNO_4") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_2_0 "r.rxstate_RNO_2[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_0_1 "r.rxstate_RNO_0[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_rxstate_RNI7QOB_0 "r.rxstate_RNI7QOB[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxtick_RNI0M9D_0 "r.rxtick_RNI0M9D_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxdb_RNICUFC_0 "r.rxdb_RNICUFC[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un2_rxclk_1_CO1 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance un2_rxclk_1_SUM1_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un2_rxclk_1_SUM2_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_tick_RNICIQQ "r.tick_RNICIQQ") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_rxclk_RNO_0 "r.rxclk_RNO[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_rxclk_RNO_1 "r.rxclk_RNO[1]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_rxdb_RNO_0 "r.rxdb_RNO[0]") (viewRef prim (cellRef MAJ3 (libraryRef PA3))) ) (instance (rename r_scaler_RNISMOP_0 "r.scaler_RNISMOP[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_rxclk_RNO_2 "r.rxclk_RNO[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_fedge_RNO_0 "r.fedge_RNO_0") (viewRef prim (cellRef AXO5 (libraryRef PA3))) ) (instance (rename r_fedge_RNO "r.fedge_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_fedge_RNO_1 "r.fedge_RNO_1") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_rxdb_RNITI9_1 "r.rxdb_RNITI9[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxen_RNICM07 "r.rxen_RNICM07") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ovf_RNO_1 "r.ovf_RNO_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_rshift_RNO_7 "r.rshift_RNO[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rshift_RNO_6 "r.rshift_RNO[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rshift_RNO_5 "r.rshift_RNO[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rshift_RNO_4 "r.rshift_RNO[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rshift_RNO_3 "r.rshift_RNO[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rshift_RNO_2 "r.rshift_RNO[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rshift_RNO_1 "r.rshift_RNO[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0 "r.rshift_RNO[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_7 "r.rshift_RNO_0[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_6 "r.rshift_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_5 "r.rshift_RNO_0[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_4 "r.rshift_RNO_0[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_3 "r.rshift_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_2 "r.rshift_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_1 "r.rshift_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_0 "r.rshift_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_0 "r.rxstate_RNO[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename v_brate_1_sqmuxa_1_0 "v.brate_1_sqmuxa_1_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dready_RNO "r.dready_RNO") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_brate_RNO_9 "r.brate_RNO[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_9 "r.brate_RNO_3[9]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_9 "r.brate_RNO_0[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_13 "r.brate_RNO[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_brate_RNO_3_13 "r.brate_RNO_3[13]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_brate_RNO_4_13 "r.brate_RNO_4[13]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNO_2_13 "r.brate_RNO_2[13]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_brate_RNIHC65_7 "r.brate_RNIHC65[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_brate_RNO_0_13 "r.brate_RNO_0[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_4 "r.scaler_RNO_3[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_4 "r.scaler_RNO_2[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_4 "r.scaler_RNO_0[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_17 "r.scaler_RNO_1[17]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_17 "r.scaler_RNO_2[17]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_14 "r.scaler_RNO_2[14]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_14 "r.scaler_RNO_0[14]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_9 "r.scaler_RNO_2[9]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_9 "r.scaler_RNO_1[9]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_9 "r.scaler_RNO_3[9]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_15 "r.scaler_RNO_2[15]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_15 "r.scaler_RNO_0[15]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_13 "r.scaler_RNO_2[13]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_13 "r.scaler_RNO_1[13]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_13 "r.scaler_RNO_3[13]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_1 "r.scaler_RNO_3[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_1 "r.scaler_RNO_2[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_1 "r.scaler_RNO_0[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_12 "r.scaler_RNO_3[12]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_12 "r.scaler_RNO_2[12]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_12 "r.scaler_RNO_0[12]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_8 "r.scaler_RNO_3[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_8 "r.scaler_RNO_2[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_8 "r.scaler_RNO_0[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_16 "r.scaler_RNO_3[16]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_16 "r.scaler_RNO_2[16]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_16 "r.scaler_RNO_0[16]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_11 "r.scaler_RNO_2[11]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_11 "r.scaler_RNO_1[11]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_11 "r.scaler_RNO_3[11]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_10 "r.scaler_RNO_2[10]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_10 "r.scaler_RNO_1[10]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_10 "r.scaler_RNO_3[10]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_7 "r.scaler_RNO_3[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_7 "r.scaler_RNO_2[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_7 "r.scaler_RNO_0[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_6 "r.scaler_RNO_3[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_6 "r.scaler_RNO_2[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_6 "r.scaler_RNO_0[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_5 "r.scaler_RNO_3[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_5 "r.scaler_RNO_2[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_5 "r.scaler_RNO_0[5]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_3 "r.scaler_RNO_3[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_3 "r.scaler_RNO_2[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_3 "r.scaler_RNO_0[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_2 "r.scaler_RNO_3[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_2 "r.scaler_RNO_2[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_2 "r.scaler_RNO_0[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_0 "r.scaler_RNO_2[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_0 "r.scaler_RNO_1[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3_0 "r.scaler_RNO_3[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tick "r.tick") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tsempty "r.tsempty") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_thempty "r.thempty") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rsempty "r.rsempty") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dready "r.dready") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxen "r.rxen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ovf "r.ovf") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_frame "r.frame") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_break "r.break") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_fedge "r.fedge") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_txtick "r.txtick") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxtick "r.rxtick") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_txstate_0 "r.txstate[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_txstate_1 "r.txstate[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxstate_0 "r.rxstate[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxstate_1 "r.rxstate[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_0 "r.rshift[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_1 "r.rshift[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_2 "r.rshift[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_3 "r.rshift[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_4 "r.rshift[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_5 "r.rshift[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_6 "r.rshift[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_7 "r.rshift[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_0 "r.brate[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_1 "r.brate[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_2 "r.brate[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_3 "r.brate[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_4 "r.brate[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_5 "r.brate[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_6 "r.brate[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_7 "r.brate[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_8 "r.brate[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_9 "r.brate[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_10 "r.brate[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_11 "r.brate[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_12 "r.brate[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_13 "r.brate[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_14 "r.brate[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_15 "r.brate[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_16 "r.brate[16]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_brate_17 "r.brate[17]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_txclk_0 "r.txclk[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_txclk_1 "r.txclk[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_txclk_2 "r.txclk[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rxclk_0 "r.rxclk[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_rxclk_1 "r.rxclk[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_rxclk_2 "r.rxclk[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_rhold_0 "r.rhold[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_1 "r.rhold[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_2 "r.rhold[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_3 "r.rhold[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_4 "r.rhold[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_5 "r.rhold[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_6 "r.rhold[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_7 "r.rhold[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_thold_0 "r.thold[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_thold_1 "r.thold[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_thold_2 "r.thold[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_thold_3 "r.thold[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_thold_4 "r.thold[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_thold_5 "r.thold[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_thold_6 "r.thold[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_thold_7 "r.thold[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_0 "r.scaler[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_1 "r.scaler[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_2 "r.scaler[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_3 "r.scaler[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_4 "r.scaler[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_5 "r.scaler[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_6 "r.scaler[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_7 "r.scaler[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_8 "r.scaler[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_9 "r.scaler[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_10 "r.scaler[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_11 "r.scaler[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_12 "r.scaler[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_13 "r.scaler[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_14 "r.scaler[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_15 "r.scaler[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_16 "r.scaler[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_17 "r.scaler[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_tcnt_0 "r.tcnt[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tcnt_1 "r.tcnt[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_0 "r.tshift[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_1 "r.tshift[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_2 "r.tshift[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_3 "r.tshift[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_4 "r.tshift[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_5 "r.tshift[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_6 "r.tshift[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_7 "r.tshift[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_8 "r.tshift[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_9 "r.tshift[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxf_1 "r.rxf[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxf_2 "r.rxf[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_rxf_3 "r.rxf[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_rxf_4 "r.rxf[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_rxdb_0 "r.rxdb[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxdb_1 "r.rxdb[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename uartop_op_gt_v_brate2_0_I_100 "uartop.op_gt.v.brate2_0_I_100") (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_95 "uartop.op_gt.v.brate2_0_I_95") (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_88 "uartop.op_gt.v.brate2_0_I_88") (viewRef prim (cellRef OA1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_87 "uartop.op_gt.v.brate2_0_I_87") (viewRef prim (cellRef OA1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_86 "uartop.op_gt.v.brate2_0_I_86") (viewRef prim (cellRef AO1C (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_85 "uartop.op_gt.v.brate2_0_I_85") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_84 "uartop.op_gt.v.brate2_0_I_84") (viewRef prim (cellRef AO1C (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_83 "uartop.op_gt.v.brate2_0_I_83") (viewRef prim (cellRef OA1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_82 "uartop.op_gt.v.brate2_0_I_82") (viewRef prim (cellRef AO1C (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_81 "uartop.op_gt.v.brate2_0_I_81") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_80 "uartop.op_gt.v.brate2_0_I_80") (viewRef prim (cellRef NOR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_79 "uartop.op_gt.v.brate2_0_I_79") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_78 "uartop.op_gt.v.brate2_0_I_78") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_71 "uartop.op_gt.v.brate2_0_I_71") (viewRef prim (cellRef AOI1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_70 "uartop.op_gt.v.brate2_0_I_70") (viewRef prim (cellRef AND2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_69 "uartop.op_gt.v.brate2_0_I_69") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_68 "uartop.op_gt.v.brate2_0_I_68") (viewRef prim (cellRef AOI1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_67 "uartop.op_gt.v.brate2_0_I_67") (viewRef prim (cellRef AND2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_66 "uartop.op_gt.v.brate2_0_I_66") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_65 "uartop.op_gt.v.brate2_0_I_65") (viewRef prim (cellRef NOR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_60 "uartop.op_gt.v.brate2_0_I_60") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_59 "uartop.op_gt.v.brate2_0_I_59") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_58 "uartop.op_gt.v.brate2_0_I_58") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_57 "uartop.op_gt.v.brate2_0_I_57") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_52 "uartop.op_gt.v.brate2_0_I_52") (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_45 "uartop.op_gt.v.brate2_0_I_45") (viewRef prim (cellRef AOI1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_44 "uartop.op_gt.v.brate2_0_I_44") (viewRef prim (cellRef AOI1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_43 "uartop.op_gt.v.brate2_0_I_43") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_42 "uartop.op_gt.v.brate2_0_I_42") (viewRef prim (cellRef NOR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_41 "uartop.op_gt.v.brate2_0_I_41") (viewRef prim (cellRef NOR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_40 "uartop.op_gt.v.brate2_0_I_40") (viewRef prim (cellRef NOR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_39 "uartop.op_gt.v.brate2_0_I_39") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_38 "uartop.op_gt.v.brate2_0_I_38") (viewRef prim (cellRef AOI1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_37 "uartop.op_gt.v.brate2_0_I_37") (viewRef prim (cellRef AND2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_36 "uartop.op_gt.v.brate2_0_I_36") (viewRef prim (cellRef OR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_35 "uartop.op_gt.v.brate2_0_I_35") (viewRef prim (cellRef NOR2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_28 "uartop.op_gt.v.brate2_0_I_28") (viewRef prim (cellRef AND3A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_27 "uartop.op_gt.v.brate2_0_I_27") (viewRef prim (cellRef AO1A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_26 "uartop.op_gt.v.brate2_0_I_26") (viewRef prim (cellRef AO1C (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_25 "uartop.op_gt.v.brate2_0_I_25") (viewRef prim (cellRef AND2A (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_20 "uartop.op_gt.v.brate2_0_I_20") (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_19 "uartop.op_gt.v.brate2_0_I_19") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_18 "uartop.op_gt.v.brate2_0_I_18") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_9 "uartop.op_gt.v.brate2_0_I_9") (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_8 "uartop.op_gt.v.brate2_0_I_8") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_7 "uartop.op_gt.v.brate2_0_I_7") (viewRef prim (cellRef AND3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_6 "uartop.op_gt.v.brate2_0_I_6") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_5 "uartop.op_gt.v.brate2_0_I_5") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_4 "uartop.op_gt.v.brate2_0_I_4") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_3 "uartop.op_gt.v.brate2_0_I_3") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_2 "uartop.op_gt.v.brate2_0_I_2") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename uartop_op_gt_v_brate2_0_I_1 "uartop.op_gt.v.brate2_0_I_1") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_114 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_113 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_112 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_111 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_110 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_109 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_108 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_107 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_106 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_105 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_104 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_103 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_102 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_101 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_100 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_99 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_98 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_97 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_96 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_95 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_94 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_93 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_91 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_90 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_89 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_88 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_87 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_85 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_84 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_83 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_82 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_81 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_80 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_79 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_78 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_77 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_76 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_75 (viewRef prim (cellRef AO1 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_74 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_73 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_72 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_71 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_70 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_69 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_68 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_67 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_66 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_65 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_64 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_63 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_62 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_61 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_59 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_58 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_57 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_56 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_55 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_54 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_53 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_52 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_51 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_50 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_49 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_48 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_47 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_46 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_45 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_43 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_42 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_41 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_40 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_39 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_37 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_36 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_35 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_34 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_33 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_32 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_31 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_30 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_29 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_28 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_27 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_26 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_25 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_24 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_23 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_22 (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_21 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_20 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_19 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_18 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_17 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_16 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_15 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_14 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_13 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_12 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_11 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_10 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_9 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_7 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_6 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance scaler_I_5 (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_tcnt_1_I_10 "un1_r.tcnt_1_I_10") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_tcnt_1_I_8 "un1_r.tcnt_1_I_8") (viewRef prim (cellRef XOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename un1_r_tcnt_1_I_1 "un1_r.tcnt_1_I_1") (viewRef prim (cellRef AND2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename un1_dcom0_1_36 "un1_dcom0_1[36]") (joined (portRef Y (instanceRef r_tcnt_RNI73NE_0_1)) (portRef B (instanceRef scaler_I_25)) (portRef B (instanceRef scaler_I_26)) (portRef B (instanceRef scaler_I_27)) (portRef B (instanceRef scaler_I_28)) (portRef B (instanceRef scaler_I_29)) (portRef B (instanceRef scaler_I_30)) (portRef B (instanceRef scaler_I_31)) (portRef B (instanceRef scaler_I_32)) (portRef B (instanceRef scaler_I_33)) (portRef B (instanceRef scaler_I_34)) (portRef B (instanceRef scaler_I_35)) (portRef B (instanceRef scaler_I_36)) (portRef B (instanceRef scaler_I_37)) (portRef B (instanceRef scaler_I_39)) (portRef B (instanceRef scaler_I_40)) (portRef B (instanceRef scaler_I_41)) (portRef B (instanceRef scaler_I_42)) (portRef B (instanceRef scaler_I_43)) (portRef B (instanceRef scaler_I_45)) (portRef B (instanceRef scaler_I_46)) )) (net (rename tcnt_1 "tcnt[1]") (joined (portRef Q (instanceRef r_tcnt_1)) (portRef A (instanceRef un1_r_tcnt_1_I_10)) (portRef A (instanceRef r_tcnt_RNI73NE_3_1)) (portRef A (instanceRef r_tcnt_RNI73NE_2_1)) (portRef A (instanceRef r_brate_RNIP8DC_9)) (portRef C (instanceRef r_tcnt_RNIDC2S3_1)) (portRef A (instanceRef r_tcnt_RNI73NE_1)) (portRef A (instanceRef r_tcnt_RNI73NE_1_1)) (portRef A (instanceRef r_tcnt_RNI73NE_0_1)) )) (net (rename tcnt_0 "tcnt[0]") (joined (portRef Q (instanceRef r_tcnt_0)) (portRef A (instanceRef un1_r_tcnt_1_I_1)) (portRef A (instanceRef un1_r_tcnt_1_I_8)) (portRef B (instanceRef r_tcnt_RNI73NE_3_1)) (portRef B (instanceRef r_tcnt_RNI73NE_2_1)) (portRef A (instanceRef r_brate_RNIN4DC_8)) (portRef B (instanceRef r_tcnt_RNIDC2S3_1)) (portRef B (instanceRef r_tcnt_RNI73NE_1)) (portRef B (instanceRef r_tcnt_RNI73NE_1_1)) (portRef B (instanceRef r_tcnt_RNI73NE_0_1)) )) (net un1_apbi_0 (joined (portRef Y (instanceRef uartop_un1_apbi_0)) (portRef B (instanceRef r_brate_RNO_3_7)) (portRef B (instanceRef r_brate_RNO_3_6)) (portRef B (instanceRef r_brate_RNO_3_5)) (portRef B (instanceRef r_brate_RNO_3_4)) (portRef B (instanceRef r_brate_RNO_3_2)) (portRef B (instanceRef r_brate_RNO_3_1)) (portRef B (instanceRef r_brate_RNO_3_0)) (portRef C (instanceRef r_brate_RNO_2_17)) (portRef C (instanceRef r_brate_RNO_2_16)) (portRef C (instanceRef r_brate_RNO_2_15)) (portRef C (instanceRef r_brate_RNO_2_14)) (portRef A (instanceRef un1_v_rxen_0_sqmuxa_1_0)) (portRef B (instanceRef v_rxen_1_sqmuxa)) (portRef A (instanceRef v_brate_0_sqmuxa_0)) )) (net brate_0_sqmuxa_0 (joined (portRef Y (instanceRef v_brate_0_sqmuxa_0)) (portRef A (instanceRef r_brate_RNO_4_6)) (portRef A (instanceRef r_brate_RNO_2_6)) (portRef A (instanceRef r_brate_RNO_4_5)) (portRef A (instanceRef r_brate_RNO_2_5)) (portRef A (instanceRef r_brate_RNO_4_4)) (portRef A (instanceRef r_brate_RNO_2_4)) (portRef A (instanceRef r_brate_RNO_4_2)) (portRef A (instanceRef r_brate_RNO_2_2)) (portRef A (instanceRef r_brate_RNO_4_1)) (portRef A (instanceRef r_brate_RNO_2_1)) (portRef A (instanceRef r_brate_RNO_4_0)) (portRef A (instanceRef r_brate_RNO_2_0)) (portRef B (instanceRef r_brate_RNO_3_17)) (portRef B (instanceRef r_brate_RNO_3_16)) (portRef B (instanceRef r_brate_RNO_3_15)) (portRef B (instanceRef r_brate_RNO_3_14)) (portRef C (instanceRef r_rxdb_RNI7LJLG_1)) (portRef C (instanceRef r_rxen_RNIT6CU6)) (portRef C (instanceRef r_rxen_RNIKFAK3)) )) (net rxen_1_sqmuxa_i (joined (portRef Y (instanceRef v_rxen_1_sqmuxa)) (portRef A (instanceRef v_rxen_1_sqmuxa_RNI3553)) )) (net (rename apbi_m_51 "apbi_m[51]") (joined (portRef Y (instanceRef v_rxen_1_sqmuxa_RNI3553)) (portRef C (instanceRef r_tcnt_RNO_0)) (portRef C (instanceRef r_tcnt_RNO_1)) )) (net (rename apbi_m_0Z0Z_51 "apbi_m_0[51]") (joined (portRef Y (instanceRef apbi_m_0_51)) (portRef B (instanceRef v_rxen_1_sqmuxa_RNI3553)) )) (net rstn (joined (portRef rstn) (portRef A (instanceRef r_brate_RNO_13)) (portRef A (instanceRef r_brate_RNO_9)) (portRef C (instanceRef r_dready_RNO)) (portRef C (instanceRef r_rxstate_RNO_0)) (portRef A (instanceRef r_fedge_RNO)) (portRef C (instanceRef r_rxclk_RNO_2)) (portRef B (instanceRef r_rxclk_RNO_1)) (portRef B (instanceRef r_rxclk_RNO_0)) (portRef B (instanceRef r_tick_RNICIQQ)) (portRef A (instanceRef r_rsempty_RNO)) (portRef C (instanceRef r_rxstate_RNO_1)) (portRef B (instanceRef r_tick_RNI5JQ31)) (portRef B (instanceRef r_txclk_RNO_1)) (portRef B (instanceRef r_txclk_RNO_2)) (portRef A (instanceRef r_tsempty_RNO)) (portRef A (instanceRef r_txstate_RNO_1)) (portRef A (instanceRef r_txstate_RNO_0)) (portRef A (instanceRef r_brate_RNO_8)) (portRef C (instanceRef r_rxen_RNO)) (portRef A (instanceRef r_thempty_RNO)) (portRef B (instanceRef v_brate_1_sqmuxa_1)) (portRef C (instanceRef v_brate_0_sqmuxa)) (portRef C (instanceRef r_ovf_RNO)) (portRef A (instanceRef r_brate_RNO_17)) (portRef A (instanceRef r_brate_RNO_16)) (portRef A (instanceRef r_brate_RNO_15)) (portRef A (instanceRef r_brate_RNO_14)) (portRef A (instanceRef r_brate_RNO_12)) (portRef A (instanceRef r_brate_RNO_11)) (portRef A (instanceRef r_brate_RNO_10)) (portRef A (instanceRef r_brate_RNO_7)) (portRef A (instanceRef r_brate_RNO_6)) (portRef A (instanceRef r_brate_RNO_5)) (portRef A (instanceRef r_brate_RNO_4)) (portRef A (instanceRef r_brate_RNO_3)) (portRef A (instanceRef r_brate_RNO_2)) (portRef A (instanceRef r_brate_RNO_1)) (portRef A (instanceRef r_brate_RNO_0)) (portRef A (instanceRef r_break_RNO)) (portRef A (instanceRef r_frame_RNO)) (portRef C (instanceRef r_tshift_RNO_0_0)) (portRef B (instanceRef r_txclk_RNO_0_0)) (portRef C (instanceRef un1_v_rxen_0_sqmuxa_1_0)) (portRef A (instanceRef apbi_m_0_51)) (portRef C (instanceRef v_brate_0_sqmuxa_0)) )) (net (rename scaler_1_0_iv_0_16 "scaler_1_0_iv_0[16]") (joined (portRef Y (instanceRef r_scaler_RNO_1_16)) (portRef B (instanceRef r_scaler_RNO_16)) )) (net scaler_2_sqmuxa (joined (portRef Y (instanceRef r_rxen_RNIT6CU6)) (portRef A (instanceRef r_scaler_RNO_1_0)) (portRef A (instanceRef r_scaler_RNO_1_10)) (portRef A (instanceRef r_scaler_RNO_1_11)) (portRef A (instanceRef r_scaler_RNO_3_1)) (portRef A (instanceRef r_scaler_RNO_1_13)) (portRef A (instanceRef r_scaler_RNO_1_9)) (portRef A (instanceRef r_scaler_RNO_1_4)) (portRef C (instanceRef r_scaler_RNO_1_14)) (portRef A (instanceRef r_scaler_RNO_0_17)) (portRef C (instanceRef r_scaler_RNO_1_15)) (portRef A (instanceRef r_scaler_RNO_1_8)) (portRef A (instanceRef r_scaler_RNO_1_12)) (portRef B (instanceRef r_scaler_RNO_1_2)) (portRef A (instanceRef r_scaler_RNO_1_3)) (portRef A (instanceRef r_scaler_RNO_1_5)) (portRef A (instanceRef r_scaler_RNO_1_6)) (portRef A (instanceRef r_scaler_RNO_1_7)) (portRef A (instanceRef r_scaler_RNO_1_16)) )) (net (rename apbi_i_m_66 "apbi_i_m[66]") (joined (portRef Y (instanceRef r_scaler_RNO_3_16)) (portRef B (instanceRef r_scaler_RNO_1_16)) )) (net (rename scaler_1_0_iv_1_11 "scaler_1_0_iv_1[11]") (joined (portRef Y (instanceRef r_scaler_RNO_0_11)) (portRef C (instanceRef r_scaler_RNO_11)) )) (net scaler_1_m_0 (joined (portRef Y (instanceRef r_scaler_RNO_1_11)) (portRef A (instanceRef r_scaler_RNO_0_11)) )) (net (rename apbi_i_m_0_61 "apbi_i_m_0[61]") (joined (portRef Y (instanceRef r_scaler_RNO_2_11)) (portRef B (instanceRef r_scaler_RNO_0_11)) )) (net (rename brate_i_m_11 "brate_i_m[11]") (joined (portRef Y (instanceRef r_scaler_RNO_3_11)) (portRef C (instanceRef r_scaler_RNO_0_11)) )) (net (rename scaler_1_0_iv_1_10 "scaler_1_0_iv_1[10]") (joined (portRef Y (instanceRef r_scaler_RNO_0_10)) (portRef C (instanceRef r_scaler_RNO_10)) )) (net (rename scaler_i_m_0_14 "scaler_i_m_0[14]") (joined (portRef Y (instanceRef r_scaler_RNO_1_10)) (portRef A (instanceRef r_scaler_RNO_0_10)) )) (net (rename apbi_i_m_0_60 "apbi_i_m_0[60]") (joined (portRef Y (instanceRef r_scaler_RNO_2_10)) (portRef B (instanceRef r_scaler_RNO_0_10)) )) (net (rename brate_i_m_10 "brate_i_m[10]") (joined (portRef Y (instanceRef r_scaler_RNO_3_10)) (portRef C (instanceRef r_scaler_RNO_0_10)) )) (net (rename scaler_1_0_iv_0_7 "scaler_1_0_iv_0[7]") (joined (portRef Y (instanceRef r_scaler_RNO_1_7)) (portRef B (instanceRef r_scaler_RNO_7)) )) (net (rename scaler_11 "scaler[11]") (joined (portRef Q (instanceRef r_scaler_11)) (portRef A (instanceRef scaler_I_20)) (portRef A (instanceRef scaler_I_33)) (portRef A (instanceRef scaler_I_39)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_59)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_69)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_70)) (portRef B (instanceRef r_brate_RNIKGN8_11)) (portRef C (instanceRef r_brate_RNO_4_11)) (portRef C (instanceRef r_brate_RNO_2_7)) (portRef B (instanceRef r_scaler_RNO_1_7)) )) (net (rename apbi_i_m_0_57 "apbi_i_m_0[57]") (joined (portRef Y (instanceRef r_scaler_RNO_3_7)) (portRef C (instanceRef r_scaler_RNO_1_7)) )) (net (rename scaler_1_0_iv_0_6 "scaler_1_0_iv_0[6]") (joined (portRef Y (instanceRef r_scaler_RNO_1_6)) (portRef B (instanceRef r_scaler_RNO_6)) )) (net (rename scaler_10 "scaler[10]") (joined (portRef Q (instanceRef r_scaler_10)) (portRef A (instanceRef scaler_I_10)) (portRef A (instanceRef scaler_I_27)) (portRef A (instanceRef scaler_I_52)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_58)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_66)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_67)) (portRef B (instanceRef r_brate_RNIICN8_10)) (portRef C (instanceRef r_brate_RNO_4_10)) (portRef C (instanceRef r_brate_RNO_2_6)) (portRef B (instanceRef r_scaler_RNO_1_6)) )) (net (rename apbi_i_m_0_56 "apbi_i_m_0[56]") (joined (portRef Y (instanceRef r_scaler_RNO_3_6)) (portRef C (instanceRef r_scaler_RNO_1_6)) )) (net (rename scaler_1_0_iv_0_5 "scaler_1_0_iv_0[5]") (joined (portRef Y (instanceRef r_scaler_RNO_1_5)) (portRef B (instanceRef r_scaler_RNO_5)) )) (net (rename scaler_9 "scaler[9]") (joined (portRef Q (instanceRef r_scaler_9)) (portRef A (instanceRef scaler_I_13)) (portRef A (instanceRef scaler_I_34)) (portRef A (instanceRef scaler_I_55)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_57)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_65)) (portRef B (instanceRef r_brate_RNIADI6_9)) (portRef C (instanceRef r_brate_RNO_4_9)) (portRef C (instanceRef r_brate_RNO_2_5)) (portRef B (instanceRef r_scaler_RNO_1_5)) )) (net (rename apbi_i_m_0_55 "apbi_i_m_0[55]") (joined (portRef Y (instanceRef r_scaler_RNO_3_5)) (portRef C (instanceRef r_scaler_RNO_1_5)) )) (net (rename scaler_1_0_iv_0_3 "scaler_1_0_iv_0[3]") (joined (portRef Y (instanceRef r_scaler_RNO_1_3)) (portRef B (instanceRef r_scaler_RNO_3)) )) (net (rename scaler_7 "scaler[7]") (joined (portRef Q (instanceRef r_scaler_7)) (portRef A (instanceRef scaler_I_17)) (portRef A (instanceRef scaler_I_35)) (portRef A (instanceRef scaler_I_47)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_83)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_86)) (portRef B (instanceRef r_brate_RNI65I6_7)) (portRef C (instanceRef r_brate_RNO_2_3)) (portRef C (instanceRef r_brate_RNO_4_7)) (portRef B (instanceRef r_scaler_RNO_1_3)) )) (net (rename apbi_i_m_0_53 "apbi_i_m_0[53]") (joined (portRef Y (instanceRef r_scaler_RNO_3_3)) (portRef C (instanceRef r_scaler_RNO_1_3)) )) (net (rename scaler_1_0_iv_0_2 "scaler_1_0_iv_0[2]") (joined (portRef Y (instanceRef r_scaler_RNO_1_2)) (portRef B (instanceRef r_scaler_RNO_2)) )) (net (rename scaler_6 "scaler[6]") (joined (portRef Q (instanceRef r_scaler_6)) (portRef A (instanceRef scaler_I_6)) (portRef A (instanceRef scaler_I_24)) (portRef A (instanceRef scaler_I_56)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_79)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_84)) (portRef C (instanceRef r_brate_RNO_4_6)) (portRef C (instanceRef r_brate_RNO_2_2)) (portRef A (instanceRef r_brate_RNIA64D_6)) (portRef A (instanceRef r_scaler_RNO_1_2)) )) (net (rename apbi_m_52 "apbi_m[52]") (joined (portRef Y (instanceRef r_scaler_RNO_3_2)) (portRef C (instanceRef r_scaler_RNO_1_2)) )) (net (rename scaler_1_0_iv_1_0 "scaler_1_0_iv_1[0]") (joined (portRef Y (instanceRef r_scaler_RNO_0_0)) (portRef C (instanceRef r_scaler_RNO_0)) )) (net (rename scaler_i_m_1_4 "scaler_i_m_1[4]") (joined (portRef Y (instanceRef r_scaler_RNO_1_0)) (portRef A (instanceRef r_scaler_RNO_0_0)) )) (net (rename apbi_i_m_0_50 "apbi_i_m_0[50]") (joined (portRef Y (instanceRef r_scaler_RNO_2_0)) (portRef B (instanceRef r_scaler_RNO_0_0)) )) (net (rename brate_i_m_0 "brate_i_m[0]") (joined (portRef Y (instanceRef r_scaler_RNO_3_0)) (portRef C (instanceRef r_scaler_RNO_0_0)) )) (net (rename scaler_1_0_iv_0_12 "scaler_1_0_iv_0[12]") (joined (portRef Y (instanceRef r_scaler_RNO_1_12)) (portRef B (instanceRef r_scaler_RNO_12)) )) (net (rename scaler_16 "scaler[16]") (joined (portRef Q (instanceRef r_scaler_16)) (portRef A (instanceRef scaler_I_7)) (portRef A (instanceRef scaler_I_36)) (portRef A (instanceRef scaler_I_48)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_3)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_18)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_26)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_27)) (portRef B (instanceRef r_scaler_RNI05N2S_16)) (portRef C (instanceRef r_brate_RNO_2_12)) (portRef B (instanceRef r_brate_RNIU4O8_16)) (portRef A (instanceRef r_brate_RNO_3_16)) (portRef B (instanceRef r_scaler_RNO_1_12)) )) (net (rename apbi_i_m_0_62 "apbi_i_m_0[62]") (joined (portRef Y (instanceRef r_scaler_RNO_3_12)) (portRef C (instanceRef r_scaler_RNO_1_12)) )) (net (rename scaler_1_0_iv_0_8 "scaler_1_0_iv_0[8]") (joined (portRef Y (instanceRef r_scaler_RNO_1_8)) (portRef B (instanceRef r_scaler_RNO_8)) )) (net (rename scaler_12 "scaler[12]") (joined (portRef Q (instanceRef r_scaler_12)) (portRef A (instanceRef scaler_I_5)) (portRef A (instanceRef scaler_I_30)) (portRef A (instanceRef scaler_I_45)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_5)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_35)) (portRef C (instanceRef r_brate_RNO_2_8)) (portRef C (instanceRef r_brate_RNO_4_12)) (portRef A (instanceRef r_brate_RNIMTFH_12)) (portRef B (instanceRef r_scaler_RNO_1_8)) )) (net (rename apbi_i_m_0_58 "apbi_i_m_0[58]") (joined (portRef Y (instanceRef r_scaler_RNO_3_8)) (portRef C (instanceRef r_scaler_RNO_1_8)) )) (net (rename scaler_1_0_iv_1_13 "scaler_1_0_iv_1[13]") (joined (portRef Y (instanceRef r_scaler_RNO_0_13)) (portRef C (instanceRef r_scaler_RNO_13)) )) (net (rename scaler_i_m_0_17 "scaler_i_m_0[17]") (joined (portRef Y (instanceRef r_scaler_RNO_1_13)) (portRef A (instanceRef r_scaler_RNO_0_13)) )) (net (rename apbi_i_m_0_63 "apbi_i_m_0[63]") (joined (portRef Y (instanceRef r_scaler_RNO_2_13)) (portRef B (instanceRef r_scaler_RNO_0_13)) )) (net (rename brate_i_m_13 "brate_i_m[13]") (joined (portRef Y (instanceRef r_scaler_RNO_3_13)) (portRef C (instanceRef r_scaler_RNO_0_13)) )) (net (rename scaler_1_0_iv_0_1 "scaler_1_0_iv_0[1]") (joined (portRef Y (instanceRef r_scaler_RNO_1_1)) (portRef B (instanceRef r_scaler_RNO_1)) )) (net brate_1_sqmuxa_1 (joined (portRef Y (instanceRef v_brate_1_sqmuxa_1)) (portRef A (instanceRef r_scaler_RNO_2_0)) (portRef B (instanceRef r_scaler_RNO_3_2)) (portRef A (instanceRef r_scaler_RNO_3_3)) (portRef A (instanceRef r_scaler_RNO_3_5)) (portRef A (instanceRef r_scaler_RNO_3_6)) (portRef A (instanceRef r_scaler_RNO_3_7)) (portRef A (instanceRef r_scaler_RNO_2_10)) (portRef A (instanceRef r_scaler_RNO_2_11)) (portRef A (instanceRef r_scaler_RNO_3_16)) (portRef A (instanceRef r_scaler_RNO_3_8)) (portRef A (instanceRef r_scaler_RNO_3_12)) (portRef A (instanceRef r_scaler_RNO_2_13)) (portRef A (instanceRef r_scaler_RNO_2_9)) (portRef A (instanceRef r_scaler_RNO_1_17)) (portRef A (instanceRef r_scaler_RNO_3_4)) (portRef B (instanceRef r_scaler_RNO_1_14)) (portRef B (instanceRef r_scaler_RNO_1_15)) (portRef A (instanceRef r_scaler_RNO_1_1)) )) (net (rename pwdata_0_1 "pwdata_0[1]") (joined (portRef (member pwdata_0 4)) (portRef C (instanceRef r_brate_RNO_3_1)) (portRef B (instanceRef r_scaler_RNO_1_1)) (portRef B (instanceRef apbi_m_0_51)) )) (net (rename scaler_i_m_1_5 "scaler_i_m_1[5]") (joined (portRef Y (instanceRef r_scaler_RNO_3_1)) (portRef C (instanceRef r_scaler_RNO_1_1)) )) (net (rename scaler_1_0_iv_0_15 "scaler_1_0_iv_0[15]") (joined (portRef Y (instanceRef r_scaler_RNO_1_15)) (portRef B (instanceRef r_scaler_RNO_15)) )) (net (rename scaler_1_0_iv_1_17 "scaler_1_0_iv_1[17]") (joined (portRef Y (instanceRef r_scaler_RNO_0_17)) (portRef C (instanceRef r_scaler_RNO_17)) )) (net (rename apbi_i_m_67 "apbi_i_m[67]") (joined (portRef Y (instanceRef r_scaler_RNO_1_17)) (portRef B (instanceRef r_scaler_RNO_0_17)) )) (net (rename brate_i_m_17 "brate_i_m[17]") (joined (portRef Y (instanceRef r_scaler_RNO_2_17)) (portRef C (instanceRef r_scaler_RNO_0_17)) )) (net (rename scaler_1_0_iv_0_14 "scaler_1_0_iv_0[14]") (joined (portRef Y (instanceRef r_scaler_RNO_1_14)) (portRef B (instanceRef r_scaler_RNO_14)) )) (net (rename scaler_1_0_iv_1_9 "scaler_1_0_iv_1[9]") (joined (portRef Y (instanceRef r_scaler_RNO_0_9)) (portRef C (instanceRef r_scaler_RNO_9)) )) (net (rename scaler_i_m_1_13 "scaler_i_m_1[13]") (joined (portRef Y (instanceRef r_scaler_RNO_1_9)) (portRef A (instanceRef r_scaler_RNO_0_9)) )) (net (rename apbi_i_m_0_59 "apbi_i_m_0[59]") (joined (portRef Y (instanceRef r_scaler_RNO_2_9)) (portRef B (instanceRef r_scaler_RNO_0_9)) )) (net (rename brate_i_m_9 "brate_i_m[9]") (joined (portRef Y (instanceRef r_scaler_RNO_3_9)) (portRef C (instanceRef r_scaler_RNO_0_9)) )) (net (rename scaler_1_0_iv_0_4 "scaler_1_0_iv_0[4]") (joined (portRef Y (instanceRef r_scaler_RNO_1_4)) (portRef B (instanceRef r_scaler_RNO_4)) )) (net (rename scaler_8 "scaler[8]") (joined (portRef Q (instanceRef r_scaler_8)) (portRef A (instanceRef scaler_I_19)) (portRef A (instanceRef scaler_I_37)) (portRef A (instanceRef scaler_I_49)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_81)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_85)) (portRef C (instanceRef r_brate_RNO_4_8)) (portRef B (instanceRef r_brate_RNI89I6_8)) (portRef C (instanceRef r_brate_RNO_2_4)) (portRef B (instanceRef r_scaler_RNO_1_4)) )) (net (rename apbi_i_m_0_54 "apbi_i_m_0[54]") (joined (portRef Y (instanceRef r_scaler_RNO_3_4)) (portRef C (instanceRef r_scaler_RNO_1_4)) )) (net rxen_0_sqmuxa_1_0 (joined (portRef Y (instanceRef un1_v_rxen_0_sqmuxa_1_0)) (portRef B (instanceRef r_rxdb_RNIOMGCG1_1)) )) (net readdata57_1 (joined (portRef readdata57_1) (portRef S (instanceRef r_tsempty_RNIO80N)) (portRef C (instanceRef r_rxen_RNO_2)) (portRef B (instanceRef r_rxen_RNO_3)) (portRef B (instanceRef r_rxen_RNO_1)) (portRef S (instanceRef r_rxen_RNI2B2G)) (portRef B (instanceRef un1_v_rxen_0_sqmuxa_1_0)) (portRef A (instanceRef v_rxen_1_sqmuxa)) )) (net (rename tshift_10_0_iv_i_0_6 "tshift_10_0_iv_i_0[6]") (joined (portRef Y (instanceRef r_tshift_RNO_0_6)) (portRef C (instanceRef r_tshift_RNO_6)) )) (net (rename tshift_6 "tshift[6]") (joined (portRef Q (instanceRef r_tshift_6)) (portRef A (instanceRef r_tshift_RNO_1_5)) (portRef A (instanceRef r_tshift_RNI1IJD_6)) (portRef A (instanceRef r_tshift_RNO_0_6)) )) (net N_61 (joined (portRef Y (instanceRef r_txtick_RNICHPR_0)) (portRef A (instanceRef r_tshift_RNO_1_0)) (portRef B (instanceRef r_tshift_RNO_0_7)) (portRef B (instanceRef r_tshift_RNO_0_8)) (portRef B (instanceRef r_tshift_RNO_0_5)) (portRef B (instanceRef r_tshift_RNO_0_1)) (portRef B (instanceRef r_tshift_RNO_0_2)) (portRef B (instanceRef r_tshift_RNO_0_3)) (portRef B (instanceRef r_tshift_RNO_0_4)) (portRef B (instanceRef r_tshift_RNO_0_6)) )) (net N_105 (joined (portRef Y (instanceRef r_tshift_RNO_1_6)) (portRef C (instanceRef r_tshift_RNO_0_6)) )) (net (rename tshift_10_0_iv_i_0_4 "tshift_10_0_iv_i_0[4]") (joined (portRef Y (instanceRef r_tshift_RNO_0_4)) (portRef C (instanceRef r_tshift_RNO_4)) )) (net (rename tshift_4 "tshift[4]") (joined (portRef Q (instanceRef r_tshift_4)) (portRef A (instanceRef r_tshift_RNO_1_3)) (portRef B (instanceRef r_tshift_RNIUJ6R_4)) (portRef A (instanceRef r_tshift_RNO_0_4)) )) (net N_99 (joined (portRef Y (instanceRef r_tshift_RNO_1_4)) (portRef C (instanceRef r_tshift_RNO_0_4)) )) (net (rename tshift_10_0_iv_i_0_3 "tshift_10_0_iv_i_0[3]") (joined (portRef Y (instanceRef r_tshift_RNO_0_3)) (portRef C (instanceRef r_tshift_RNO_3)) )) (net (rename tshift_3 "tshift[3]") (joined (portRef Q (instanceRef r_tshift_3)) (portRef A (instanceRef r_tshift_RNO_1_2)) (portRef A (instanceRef r_tshift_RNIUUEJ_2)) (portRef A (instanceRef r_tshift_RNO_0_3)) )) (net N_96 (joined (portRef Y (instanceRef r_tshift_RNO_1_3)) (portRef C (instanceRef r_tshift_RNO_0_3)) )) (net (rename tshift_10_0_iv_i_0_2 "tshift_10_0_iv_i_0[2]") (joined (portRef Y (instanceRef r_tshift_RNO_0_2)) (portRef C (instanceRef r_tshift_RNO_2)) )) (net (rename tshift_2 "tshift[2]") (joined (portRef Q (instanceRef r_tshift_2)) (portRef A (instanceRef r_tshift_RNO_1_1)) (portRef B (instanceRef r_tshift_RNIUUEJ_2)) (portRef A (instanceRef r_tshift_RNO_0_2)) )) (net N_93 (joined (portRef Y (instanceRef r_tshift_RNO_1_2)) (portRef C (instanceRef r_tshift_RNO_0_2)) )) (net (rename tshift_10_0_iv_i_0_1 "tshift_10_0_iv_i_0[1]") (joined (portRef Y (instanceRef r_tshift_RNO_0_1)) (portRef C (instanceRef r_tshift_RNO_1)) )) (net (rename tshift_1 "tshift[1]") (joined (portRef Q (instanceRef r_tshift_1)) (portRef B (instanceRef r_tshift_RNISN232_1)) (portRef A (instanceRef r_tshift_RNO_2_0)) (portRef A (instanceRef r_tshift_RNO_0_1)) )) (net N_90 (joined (portRef Y (instanceRef r_tshift_RNO_1_1)) (portRef C (instanceRef r_tshift_RNO_0_1)) )) (net (rename tshift_10_0_iv_i_0_5 "tshift_10_0_iv_i_0[5]") (joined (portRef Y (instanceRef r_tshift_RNO_0_5)) (portRef C (instanceRef r_tshift_RNO_5)) )) (net (rename tshift_5 "tshift[5]") (joined (portRef Q (instanceRef r_tshift_5)) (portRef A (instanceRef r_tshift_RNO_1_4)) (portRef A (instanceRef r_tshift_RNIUJ6R_4)) (portRef A (instanceRef r_tshift_RNO_0_5)) )) (net N_102 (joined (portRef Y (instanceRef r_tshift_RNO_1_5)) (portRef C (instanceRef r_tshift_RNO_0_5)) )) (net (rename tshift_10_0_iv_i_0_8 "tshift_10_0_iv_i_0[8]") (joined (portRef Y (instanceRef r_tshift_RNO_0_8)) (portRef C (instanceRef r_tshift_RNO_8)) )) (net (rename tshift_8 "tshift[8]") (joined (portRef Q (instanceRef r_tshift_8)) (portRef A (instanceRef r_tshift_RNO_1_7)) (portRef B (instanceRef r_tshift_RNI31311_8)) (portRef A (instanceRef r_tshift_RNO_0_8)) )) (net N_111 (joined (portRef Y (instanceRef r_tshift_RNO_1_8)) (portRef C (instanceRef r_tshift_RNO_0_8)) )) (net (rename tshift_10_0_iv_i_0_7 "tshift_10_0_iv_i_0[7]") (joined (portRef Y (instanceRef r_tshift_RNO_0_7)) (portRef C (instanceRef r_tshift_RNO_7)) )) (net (rename tshift_7 "tshift[7]") (joined (portRef Q (instanceRef r_tshift_7)) (portRef A (instanceRef r_tshift_RNO_1_6)) (portRef B (instanceRef r_tshift_RNI1IJD_6)) (portRef A (instanceRef r_tshift_RNO_0_7)) )) (net N_108 (joined (portRef Y (instanceRef r_tshift_RNO_1_7)) (portRef C (instanceRef r_tshift_RNO_0_7)) )) (net (rename txclk_1_iv_i_0_0 "txclk_1_iv_i_0[0]") (joined (portRef Y (instanceRef r_txclk_RNO_0_0)) (portRef C (instanceRef r_txclk_RNO_0)) )) (net tick (joined (portRef Q (instanceRef r_tick)) (portRef B (instanceRef r_scaler_RNISMOP_0)) (portRef C (instanceRef r_tick_RNICIQQ)) (portRef C (instanceRef r_tick_RNI5JQ31)) (portRef B (instanceRef r_rxtick_RNO_0)) (portRef B (instanceRef r_txtick_RNO_0)) (portRef A (instanceRef r_txclk_RNO_0_0)) )) (net txtick_0_i_0 (joined (portRef Y (instanceRef r_txtick_RNO_0)) (portRef A (instanceRef r_txtick_RNO)) )) (net (rename txclk_2 "txclk[2]") (joined (portRef Q (instanceRef r_txclk_2)) (portRef B (instanceRef un3_txclk_1_SUM2_0)) (portRef A (instanceRef r_txtick_RNO_0)) )) (net (rename tshift_1_0_0_0 "tshift_1_0_0[0]") (joined (portRef Y (instanceRef r_tshift_RNO_0_0)) (portRef A (instanceRef r_tshift_RNO_0)) )) (net txtick (joined (portRef Q (instanceRef r_txtick)) (portRef C (instanceRef r_txtick_RNICHPR_0)) (portRef A (instanceRef r_txtick_RNI6M9D)) (portRef A (instanceRef r_tsempty_RNO_1)) (portRef B (instanceRef r_txstate_RNO_0_1)) (portRef S (instanceRef r_txstate_RNO_0_0)) (portRef B (instanceRef r_txtick_RNICHPR)) (portRef A (instanceRef r_tshift_RNO_0_0)) )) (net N_136 (joined (portRef Y (instanceRef r_tshift_RNI1L9S1_4)) (portRef A (instanceRef r_tshift_RNISN232_1)) (portRef B (instanceRef r_tshift_RNO_0_0)) )) (net rxen_0_sqmuxa_0 (joined (portRef Y (instanceRef r_tcnt_RNI73NE_1)) (portRef B (instanceRef r_tcnt_RNIHFKV3_1)) )) (net (rename rxstate_ns_0_0_0 "rxstate_ns_0_0[0]") (joined (portRef Y (instanceRef r_rxstate_RNO_0_0)) (portRef A (instanceRef r_rxstate_RNO_0)) )) (net N_628_2 (joined (portRef Y (instanceRef r_rxstate_RNI7QOB_0)) (portRef B (instanceRef r_rxtick_RNI0M9D_0)) (portRef A (instanceRef r_rxstate_RNO_0_0)) )) (net (rename rxstate_ns_0_a3_0_0_0 "rxstate_ns_0_a3_0_0[0]") (joined (portRef Y (instanceRef r_rxstate_RNO_1_0)) (portRef B (instanceRef r_rxstate_RNO_0_0)) )) (net N_627 (joined (portRef Y (instanceRef r_rxstate_RNO_2_0)) (portRef C (instanceRef r_rxstate_RNO_0_0)) )) (net rxtick_0_0 (joined (portRef Y (instanceRef r_rxtick_RNO_0)) (portRef A (instanceRef r_rxtick_RNO)) )) (net (rename rxclk_2 "rxclk[2]") (joined (portRef Q (instanceRef r_rxclk_2)) (portRef B (instanceRef un2_rxclk_1_SUM2_0)) (portRef A (instanceRef r_rxtick_RNO_0)) )) (net tcnt8_NE_10 (joined (portRef Y (instanceRef r_brate_RNI62OB1_4)) (portRef C (instanceRef r_brate_RNI69BD3_4)) )) (net tcnt8_NE_1 (joined (portRef Y (instanceRef r_brate_RNIA64D_6)) (portRef A (instanceRef r_brate_RNI62OB1_4)) )) (net tcnt8_NE_0 (joined (portRef Y (instanceRef r_brate_RNI2M3D_4)) (portRef B (instanceRef r_brate_RNI62OB1_4)) )) (net tcnt8_NE_6 (joined (portRef Y (instanceRef r_brate_RNIQ5GH_15)) (portRef C (instanceRef r_brate_RNI62OB1_4)) )) (net tcnt8_NE_9 (joined (portRef Y (instanceRef r_brate_RNIOIU21_10)) (portRef A (instanceRef r_brate_RNI69BD3_4)) )) (net tcnt8_7_i (joined (portRef Y (instanceRef r_brate_RNIKGN8_11)) (portRef A (instanceRef r_brate_RNIOIU21_10)) )) (net tcnt8_6_i (joined (portRef Y (instanceRef r_brate_RNIICN8_10)) (portRef B (instanceRef r_brate_RNIOIU21_10)) )) (net tcnt8_NE_5 (joined (portRef Y (instanceRef r_brate_RNIILFH_13)) (portRef C (instanceRef r_brate_RNIOIU21_10)) )) (net tcnt8_NE_8 (joined (portRef Y (instanceRef r_brate_RNI8KKU_8)) (portRef B (instanceRef r_brate_RNI69BD3_4)) )) (net tcnt8_5_i (joined (portRef Y (instanceRef r_brate_RNIADI6_9)) (portRef A (instanceRef r_brate_RNI8KKU_8)) )) (net tcnt8_4_i (joined (portRef Y (instanceRef r_brate_RNI89I6_8)) (portRef B (instanceRef r_brate_RNI8KKU_8)) )) (net tcnt8_NE_3 (joined (portRef Y (instanceRef r_brate_RNIMTFH_12)) (portRef C (instanceRef r_brate_RNI8KKU_8)) )) (net (rename scaler_15 "scaler[15]") (joined (portRef Q (instanceRef r_scaler_15)) (portRef A (instanceRef scaler_I_15)) (portRef A (instanceRef scaler_I_22)) (portRef A (instanceRef scaler_I_51)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_2)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_40)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_43)) (portRef B (instanceRef r_scaler_RNO_1_11)) (portRef C (instanceRef r_brate_RNO_2_11)) (portRef A (instanceRef r_brate_RNO_3_15)) (portRef B (instanceRef r_scaler_RNI9KDBM_15)) (portRef A (instanceRef r_brate_RNIQ5GH_15)) )) (net tcnt8_12_i (joined (portRef Y (instanceRef r_brate_RNIU4O8_16)) (portRef C (instanceRef r_brate_RNIQ5GH_15)) )) (net (rename scaler_13 "scaler[13]") (joined (portRef Q (instanceRef r_scaler_13)) (portRef A (instanceRef scaler_I_16)) (portRef A (instanceRef scaler_I_25)) (portRef A (instanceRef scaler_I_43)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_6)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_36)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_37)) (portRef B (instanceRef r_scaler_RNO_1_9)) (portRef C (instanceRef r_brate_RNO_4_13)) (portRef C (instanceRef r_brate_RNO_2_9)) (portRef A (instanceRef r_brate_RNIILFH_13)) )) (net tcnt8_10_i (joined (portRef Y (instanceRef r_brate_RNIQSN8_14)) (portRef C (instanceRef r_brate_RNIILFH_13)) )) (net tcnt8_13_i (joined (portRef Y (instanceRef r_brate_RNI09O8_17)) (portRef C (instanceRef r_brate_RNIMTFH_12)) )) (net (rename un1_dcom0_8 "un1_dcom0[8]") (joined (portRef Q (instanceRef r_brate_6)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_79)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_84)) (portRef A (instanceRef r_scaler_RNO_0_6)) (portRef B (instanceRef r_frame_RNIJEH8)) (portRef B (instanceRef r_brate_RNO_0_6)) (portRef B (instanceRef r_brate_RNIA64D_6)) )) (net tcnt8_3_i (joined (portRef Y (instanceRef r_brate_RNI65I6_7)) (portRef C (instanceRef r_brate_RNIA64D_6)) )) (net (rename scaler_4 "scaler[4]") (joined (portRef Q (instanceRef r_scaler_4)) (portRef A (instanceRef scaler_I_11)) (portRef A (instanceRef scaler_I_23)) (portRef A (instanceRef scaler_I_41)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_80)) (portRef B (instanceRef r_scaler_RNO_1_0)) (portRef C (instanceRef r_brate_RNO_4_4)) (portRef C (instanceRef r_brate_RNO_2_0)) (portRef A (instanceRef r_brate_RNI2M3D_4)) )) (net (rename un1_dcom0_6 "un1_dcom0[6]") (joined (portRef Q (instanceRef r_brate_4)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_80)) (portRef A (instanceRef r_scaler_RNO_0_4)) (portRef B (instanceRef r_ovf_RNITVO9)) (portRef B (instanceRef r_brate_RNO_0_4)) (portRef B (instanceRef r_brate_RNI2M3D_4)) )) (net tcnt8_1_i (joined (portRef Y (instanceRef r_brate_RNI2TH6_5)) (portRef C (instanceRef r_brate_RNI2M3D_4)) )) (net (rename txstate_ns_i_a2_0_0_0 "txstate_ns_i_a2_0_0[0]") (joined (portRef Y (instanceRef r_txstate_RNO_2_0)) (portRef A (instanceRef r_txstate_RNO_1_0)) )) (net (rename txstate_0 "txstate[0]") (joined (portRef Q (instanceRef r_txstate_0)) (portRef B (instanceRef r_txstate_RNIBQOB_1)) (portRef A (instanceRef r_txstate_RNO_0_0)) (portRef C (instanceRef r_tshift_RNIUUEJ_2)) (portRef A (instanceRef r_txstate_RNO_2_0)) )) (net N_60 (joined (portRef Y (instanceRef r_thempty_RNI6RFE)) (portRef A (instanceRef r_txtick_RNICHPR_0)) (portRef B (instanceRef r_tsempty_RNO_0)) (portRef C (instanceRef r_txtick_RNICHPR)) (portRef B (instanceRef r_txstate_RNO_2_0)) )) (net (rename txstate_1 "txstate[1]") (joined (portRef Q (instanceRef r_txstate_1)) (portRef A (instanceRef r_txstate_RNIBQOB_1)) (portRef C (instanceRef r_txstate_RNO_0_1)) (portRef C (instanceRef r_txstate_RNO_2_0)) )) (net dready_2_0 (joined (portRef Y (instanceRef r_dready_RNICJV3)) (portRef A (instanceRef r_dready_RNIU6BC)) )) (net (rename state_i_5 "state_i[5]") (joined (portRef (member state_i 0)) (portRef B (instanceRef r_dready_RNICJV3)) )) (net (rename prdata_1_a5_0_0_2 "prdata_1_a5_0_0[2]") (joined (portRef Y (instanceRef r_brate_RNIOFR2_2)) (portRef A (instanceRef r_brate_RNIDSLF_2)) )) (net (rename un1_dcom0_4 "un1_dcom0[4]") (joined (portRef Q (instanceRef r_brate_2)) (portRef A (instanceRef r_scaler_RNO_0_2)) (portRef B (instanceRef r_brate_RNI0O3C_2)) (portRef B (instanceRef r_brate_RNO_0_2)) (portRef A (instanceRef r_brate_RNIOFR2_2)) )) (net (rename paddr_0_3 "paddr_0[3]") (joined (portRef (member paddr_0 0)) (portRef B (instanceRef r_brate_RNIOFR2_2)) )) (net frame_1_sqmuxa_0 (joined (portRef Y (instanceRef r_rxdb_RNIAUFM1_0)) (portRef A (instanceRef r_frame_RNO_1)) (portRef B (instanceRef r_frame_RNO_2)) )) (net (rename rxdb_0 "rxdb[0]") (joined (portRef Q (instanceRef r_rxdb_0)) (portRef D (instanceRef r_rxdb_1)) (portRef A (instanceRef r_brate_RNIHC65_7)) (portRef B (instanceRef r_rshift_RNO_0_7)) (portRef B (instanceRef r_rxdb_RNITI9_1)) (portRef B (instanceRef r_rxdb_RNICUFC_0)) (portRef B (instanceRef r_rsempty_RNO_3)) (portRef B (instanceRef r_rxdb_RNIAKP32_0)) (portRef C (instanceRef r_rxdb_RNI43I3_1)) (portRef B (instanceRef r_rxstate_RNII416_1)) (portRef A (instanceRef r_rxdb_RNIAUFM1_0)) )) (net break10 (joined (portRef Y (instanceRef r_rshift_RNIS6BM1_0)) (portRef A (instanceRef r_rxdb_RNIAKP32_0)) (portRef B (instanceRef r_rxdb_RNIAUFM1_0)) )) (net dready_0_sqmuxa_0 (joined (portRef Y (instanceRef r_rsempty_RNILFN1)) (portRef C (instanceRef r_rxstate_RNIS9GD_0)) )) (net rsempty (joined (portRef Q (instanceRef r_rsempty)) (portRef B (instanceRef r_rsempty_RNILFN1_0)) (portRef A (instanceRef r_rsempty_RNO_0)) (portRef A (instanceRef r_rsempty_RNILFN1)) )) (net dready (joined (portRef Q (instanceRef r_dready)) (portRef A (instanceRef r_rsempty_RNILFN1_0)) (portRef A (instanceRef r_brate_RNIPDE6_0)) (portRef B (instanceRef r_rsempty_RNILFN1)) (portRef A (instanceRef r_dready_RNICJV3)) (portRef dready) )) (net (rename tshift_1_0_a2_6_0 "tshift_1_0_a2_6[0]") (joined (portRef Y (instanceRef r_tshift_RNI31311_8)) (portRef A (instanceRef r_tshift_RNI1L9S1_4)) )) (net (rename tshift_9 "tshift[9]") (joined (portRef Q (instanceRef r_tshift_9)) (portRef B (instanceRef r_tshift_RNO_9)) (portRef A (instanceRef r_tshift_RNO_1_8)) (portRef A (instanceRef r_tshift_RNI31311_8)) )) (net (rename tshift_1_0_a2_4_0 "tshift_1_0_a2_4[0]") (joined (portRef Y (instanceRef r_tshift_RNIUUEJ_2)) (portRef C (instanceRef r_tshift_RNI31311_8)) )) (net (rename tshift_1_0_a2_5_0 "tshift_1_0_a2_5[0]") (joined (portRef Y (instanceRef r_tshift_RNIUJ6R_4)) (portRef B (instanceRef r_tshift_RNI1L9S1_4)) )) (net (rename tshift_1_0_a2_2_0 "tshift_1_0_a2_2[0]") (joined (portRef Y (instanceRef r_tshift_RNI1IJD_6)) (portRef C (instanceRef r_tshift_RNIUJ6R_4)) )) (net break10_5 (joined (portRef Y (instanceRef r_rshift_RNIMJ6R_4)) (portRef A (instanceRef r_rshift_RNIS6BM1_0)) )) (net break10_3 (joined (portRef Y (instanceRef r_rshift_RNITHJD_6)) (portRef A (instanceRef r_rshift_RNIMJ6R_4)) )) (net (rename rshift_5 "rshift[5]") (joined (portRef Q (instanceRef r_rshift_5)) (portRef D (instanceRef r_rhold_5)) (portRef B (instanceRef r_rshift_RNO_0_4)) (portRef A (instanceRef r_rshift_RNO_0_5)) (portRef B (instanceRef r_rshift_RNIMJ6R_4)) )) (net (rename rshift_4 "rshift[4]") (joined (portRef Q (instanceRef r_rshift_4)) (portRef D (instanceRef r_rhold_4)) (portRef B (instanceRef r_rshift_RNO_0_3)) (portRef A (instanceRef r_rshift_RNO_0_4)) (portRef C (instanceRef r_rshift_RNIMJ6R_4)) )) (net break10_4 (joined (portRef Y (instanceRef r_rshift_RNI6J4R_0)) (portRef B (instanceRef r_rshift_RNIS6BM1_0)) )) (net break10_1 (joined (portRef Y (instanceRef r_rshift_RNILHID_2)) (portRef A (instanceRef r_rshift_RNI6J4R_0)) )) (net (rename rshift_1 "rshift[1]") (joined (portRef Q (instanceRef r_rshift_1)) (portRef D (instanceRef r_rhold_1)) (portRef B (instanceRef r_rshift_RNO_0_0)) (portRef A (instanceRef r_rshift_RNO_0_1)) (portRef B (instanceRef r_rshift_RNI6J4R_0)) )) (net (rename rshift_0 "rshift[0]") (joined (portRef Q (instanceRef r_rshift_0)) (portRef D (instanceRef r_rhold_0)) (portRef A (instanceRef r_rshift_RNO_0_0)) (portRef B (instanceRef r_rxstate_RNO_1_0)) (portRef C (instanceRef r_rshift_RNI6J4R_0)) )) (net (rename rshift_6 "rshift[6]") (joined (portRef Q (instanceRef r_rshift_6)) (portRef D (instanceRef r_rhold_6)) (portRef B (instanceRef r_rshift_RNO_0_5)) (portRef A (instanceRef r_rshift_RNO_0_6)) (portRef A (instanceRef r_rshift_RNITHJD_6)) )) (net (rename rshift_7 "rshift[7]") (joined (portRef Q (instanceRef r_rshift_7)) (portRef D (instanceRef r_rhold_7)) (portRef B (instanceRef r_rshift_RNO_0_6)) (portRef A (instanceRef r_rshift_RNO_0_7)) (portRef B (instanceRef r_rshift_RNITHJD_6)) )) (net (rename rshift_2 "rshift[2]") (joined (portRef Q (instanceRef r_rshift_2)) (portRef D (instanceRef r_rhold_2)) (portRef B (instanceRef r_rshift_RNO_0_1)) (portRef A (instanceRef r_rshift_RNO_0_2)) (portRef A (instanceRef r_rshift_RNILHID_2)) )) (net (rename rshift_3 "rshift[3]") (joined (portRef Q (instanceRef r_rshift_3)) (portRef D (instanceRef r_rhold_3)) (portRef B (instanceRef r_rshift_RNO_0_2)) (portRef A (instanceRef r_rshift_RNO_0_3)) (portRef B (instanceRef r_rshift_RNILHID_2)) )) (net rxtick (joined (portRef Q (instanceRef r_rxtick)) (portRef A (instanceRef r_rxtick_RNI0M9D_0)) (portRef A (instanceRef r_rxstate_RNO_0_1)) (portRef B (instanceRef r_rxstate_RNO_2_0)) (portRef A (instanceRef r_rsempty_RNO_2)) (portRef C (instanceRef r_rxtick_RNI0M9D)) (portRef B (instanceRef r_rxtick_RNIS8D7)) (portRef A (instanceRef r_rxstate_RNO_1_0)) )) (net rshift_0_sqmuxa_0_a2_0_1 (joined (portRef Y (instanceRef r_rxstate_RNII416_1)) (portRef A (instanceRef r_rxtick_RNIEDED)) )) (net (rename rxstate_1 "rxstate[1]") (joined (portRef Q (instanceRef r_rxstate_1)) (portRef A (instanceRef r_rxstate_RNI7QOB_0)) (portRef C (instanceRef r_rxstate_RNO_0_1)) (portRef B (instanceRef r_rsempty_RNO_4)) (portRef C (instanceRef r_rsempty_RNO_2)) (portRef B (instanceRef r_rxstate_RNIJGPI_0)) (portRef C (instanceRef r_rsempty_RNO_3)) (portRef B (instanceRef r_rxstate_RNIS9GD_0)) (portRef B (instanceRef r_rxtick_RNI0M9D)) (portRef A (instanceRef r_rxstate_RNII416_1)) )) (net rshift_0_sqmuxa_0_a2_0_0 (joined (portRef Y (instanceRef r_rxtick_RNIS8D7)) (portRef B (instanceRef r_rxtick_RNIEDED)) )) (net (rename rxstate_0 "rxstate[0]") (joined (portRef Q (instanceRef r_rxstate_0)) (portRef B (instanceRef r_rxstate_RNI7QOB_0)) (portRef B (instanceRef r_rxstate_RNO_0_1)) (portRef A (instanceRef r_rxstate_RNO_2_0)) (portRef B (instanceRef r_rsempty_RNO_2)) (portRef A (instanceRef r_rxstate_RNIJGPI_0)) (portRef A (instanceRef r_rxstate_RNIS9GD_0)) (portRef A (instanceRef r_rxtick_RNI0M9D)) (portRef A (instanceRef r_rxtick_RNIS8D7)) )) (net N_617 (joined (portRef Y (instanceRef r_rxtick_RNI0M9D)) (portRef A (instanceRef r_rxdb_RNI8U971_0)) (portRef B (instanceRef r_frame_RNO_1)) (portRef A (instanceRef r_frame_RNO_2)) (portRef C (instanceRef r_rxdb_RNIAKP32_0)) )) (net (rename brate_1_iv_12 "brate_1_iv[12]") (joined (portRef Y (instanceRef r_brate_RNO_1_12)) (portRef A (instanceRef r_brate_RNO_0_12)) )) (net scaler_m (joined (portRef Y (instanceRef r_brate_RNO_2_12)) (portRef A (instanceRef r_brate_RNO_1_12)) )) (net (rename apbi_i_m_62 "apbi_i_m[62]") (joined (portRef Y (instanceRef r_brate_RNO_3_12)) (portRef B (instanceRef r_brate_RNO_1_12)) )) (net (rename scaler_i_m_0_12 "scaler_i_m_0[12]") (joined (portRef Y (instanceRef r_brate_RNO_4_12)) (portRef C (instanceRef r_brate_RNO_1_12)) )) (net (rename brate_1_iv_3 "brate_1_iv[3]") (joined (portRef Y (instanceRef r_brate_RNO_1_3)) (portRef A (instanceRef r_brate_RNO_0_3)) )) (net (rename scaler_i_m_7 "scaler_i_m[7]") (joined (portRef Y (instanceRef r_brate_RNO_2_3)) (portRef A (instanceRef r_brate_RNO_1_3)) )) (net (rename apbi_i_m_53 "apbi_i_m[53]") (joined (portRef Y (instanceRef r_brate_RNO_3_3)) (portRef B (instanceRef r_brate_RNO_1_3)) )) (net (rename scaler_i_m_3 "scaler_i_m[3]") (joined (portRef Y (instanceRef r_brate_RNO_4_3)) (portRef C (instanceRef r_brate_RNO_1_3)) )) (net scaler_4_sqmuxa (joined (portRef Y (instanceRef r_rxen_RNIKFAK3)) (portRef E (instanceRef r_scaler_17)) (portRef E (instanceRef r_scaler_16)) (portRef E (instanceRef r_scaler_15)) (portRef E (instanceRef r_scaler_14)) (portRef E (instanceRef r_scaler_13)) (portRef E (instanceRef r_scaler_12)) (portRef E (instanceRef r_scaler_11)) (portRef E (instanceRef r_scaler_10)) (portRef E (instanceRef r_scaler_9)) (portRef E (instanceRef r_scaler_8)) (portRef E (instanceRef r_scaler_7)) (portRef E (instanceRef r_scaler_6)) (portRef E (instanceRef r_scaler_5)) (portRef E (instanceRef r_scaler_4)) (portRef E (instanceRef r_scaler_3)) (portRef E (instanceRef r_scaler_2)) (portRef E (instanceRef r_scaler_1)) (portRef E (instanceRef r_scaler_0)) )) (net (rename scaler7_0 "scaler7[0]") (joined (portRef Y (instanceRef r_fedge_RNI8OIL)) (portRef A (instanceRef r_rxen_RNIKFAK3)) )) (net enable (joined (portRef Q (instanceRef r_rxen)) (portRef A (instanceRef r_rxen_RNICM07)) (portRef A (instanceRef r_thempty_RNI6RFE)) (portRef B (instanceRef r_rxen_RNO_0)) (portRef A (instanceRef r_rxen_RNI2B2G)) (portRef S (instanceRef r_rxen_RNI3357J1)) (portRef A (instanceRef r_rxen_RNION4IM)) (portRef B (instanceRef r_rxen_RNIT6CU6)) (portRef B (instanceRef r_rxen_RNIKFAK3)) )) (net (rename brate_1_iv_11 "brate_1_iv[11]") (joined (portRef Y (instanceRef r_brate_RNO_1_11)) (portRef A (instanceRef r_brate_RNO_0_11)) )) (net scaler_1_m (joined (portRef Y (instanceRef r_brate_RNO_2_11)) (portRef A (instanceRef r_brate_RNO_1_11)) )) (net (rename apbi_i_m_61 "apbi_i_m[61]") (joined (portRef Y (instanceRef r_brate_RNO_3_11)) (portRef B (instanceRef r_brate_RNO_1_11)) )) (net (rename scaler_i_m_0_11 "scaler_i_m_0[11]") (joined (portRef Y (instanceRef r_brate_RNO_4_11)) (portRef C (instanceRef r_brate_RNO_1_11)) )) (net (rename brate_1_iv_10 "brate_1_iv[10]") (joined (portRef Y (instanceRef r_brate_RNO_1_10)) (portRef A (instanceRef r_brate_RNO_0_10)) )) (net (rename scaler_i_m_14 "scaler_i_m[14]") (joined (portRef Y (instanceRef r_brate_RNO_2_10)) (portRef A (instanceRef r_brate_RNO_1_10)) )) (net (rename apbi_i_m_60 "apbi_i_m[60]") (joined (portRef Y (instanceRef r_brate_RNO_3_10)) (portRef B (instanceRef r_brate_RNO_1_10)) )) (net (rename scaler_i_m_0_10 "scaler_i_m_0[10]") (joined (portRef Y (instanceRef r_brate_RNO_4_10)) (portRef C (instanceRef r_brate_RNO_1_10)) )) (net (rename brate_1_iv_7 "brate_1_iv[7]") (joined (portRef Y (instanceRef r_brate_RNO_1_7)) (portRef A (instanceRef r_brate_RNO_0_7)) )) (net (rename scaler_i_m_11 "scaler_i_m[11]") (joined (portRef Y (instanceRef r_brate_RNO_2_7)) (portRef A (instanceRef r_brate_RNO_1_7)) )) (net (rename apbi_i_m_57 "apbi_i_m[57]") (joined (portRef Y (instanceRef r_brate_RNO_3_7)) (portRef B (instanceRef r_brate_RNO_1_7)) )) (net (rename scaler_i_m_0_7 "scaler_i_m_0[7]") (joined (portRef Y (instanceRef r_brate_RNO_4_7)) (portRef C (instanceRef r_brate_RNO_1_7)) )) (net (rename brate_1_iv_6 "brate_1_iv[6]") (joined (portRef Y (instanceRef r_brate_RNO_1_6)) (portRef A (instanceRef r_brate_RNO_0_6)) )) (net (rename scaler_i_m_10 "scaler_i_m[10]") (joined (portRef Y (instanceRef r_brate_RNO_2_6)) (portRef A (instanceRef r_brate_RNO_1_6)) )) (net (rename apbi_i_m_56 "apbi_i_m[56]") (joined (portRef Y (instanceRef r_brate_RNO_3_6)) (portRef B (instanceRef r_brate_RNO_1_6)) )) (net (rename scaler_i_m_0_6 "scaler_i_m_0[6]") (joined (portRef Y (instanceRef r_brate_RNO_4_6)) (portRef C (instanceRef r_brate_RNO_1_6)) )) (net (rename brate_1_iv_5 "brate_1_iv[5]") (joined (portRef Y (instanceRef r_brate_RNO_1_5)) (portRef A (instanceRef r_brate_RNO_0_5)) )) (net (rename scaler_i_m_9 "scaler_i_m[9]") (joined (portRef Y (instanceRef r_brate_RNO_2_5)) (portRef A (instanceRef r_brate_RNO_1_5)) )) (net (rename apbi_i_m_55 "apbi_i_m[55]") (joined (portRef Y (instanceRef r_brate_RNO_3_5)) (portRef B (instanceRef r_brate_RNO_1_5)) )) (net (rename scaler_i_m_0_5 "scaler_i_m_0[5]") (joined (portRef Y (instanceRef r_brate_RNO_4_5)) (portRef C (instanceRef r_brate_RNO_1_5)) )) (net (rename brate_1_iv_4 "brate_1_iv[4]") (joined (portRef Y (instanceRef r_brate_RNO_1_4)) (portRef A (instanceRef r_brate_RNO_0_4)) )) (net (rename scaler_i_m_8 "scaler_i_m[8]") (joined (portRef Y (instanceRef r_brate_RNO_2_4)) (portRef A (instanceRef r_brate_RNO_1_4)) )) (net (rename apbi_i_m_54 "apbi_i_m[54]") (joined (portRef Y (instanceRef r_brate_RNO_3_4)) (portRef B (instanceRef r_brate_RNO_1_4)) )) (net (rename scaler_i_m_0_4 "scaler_i_m_0[4]") (joined (portRef Y (instanceRef r_brate_RNO_4_4)) (portRef C (instanceRef r_brate_RNO_1_4)) )) (net (rename brate_1_iv_2 "brate_1_iv[2]") (joined (portRef Y (instanceRef r_brate_RNO_1_2)) (portRef A (instanceRef r_brate_RNO_0_2)) )) (net (rename scaler_i_m_6 "scaler_i_m[6]") (joined (portRef Y (instanceRef r_brate_RNO_2_2)) (portRef A (instanceRef r_brate_RNO_1_2)) )) (net (rename apbi_i_m_52 "apbi_i_m[52]") (joined (portRef Y (instanceRef r_brate_RNO_3_2)) (portRef B (instanceRef r_brate_RNO_1_2)) )) (net (rename scaler_i_m_2 "scaler_i_m[2]") (joined (portRef Y (instanceRef r_brate_RNO_4_2)) (portRef C (instanceRef r_brate_RNO_1_2)) )) (net (rename brate_1_iv_1 "brate_1_iv[1]") (joined (portRef Y (instanceRef r_brate_RNO_1_1)) (portRef A (instanceRef r_brate_RNO_0_1)) )) (net (rename scaler_i_m_5 "scaler_i_m[5]") (joined (portRef Y (instanceRef r_brate_RNO_2_1)) (portRef A (instanceRef r_brate_RNO_1_1)) )) (net (rename apbi_i_m_51 "apbi_i_m[51]") (joined (portRef Y (instanceRef r_brate_RNO_3_1)) (portRef B (instanceRef r_brate_RNO_1_1)) )) (net (rename scaler_i_m_1 "scaler_i_m[1]") (joined (portRef Y (instanceRef r_brate_RNO_4_1)) (portRef C (instanceRef r_brate_RNO_1_1)) )) (net (rename brate_1_iv_0 "brate_1_iv[0]") (joined (portRef Y (instanceRef r_brate_RNO_1_0)) (portRef A (instanceRef r_brate_RNO_0_0)) )) (net (rename scaler_i_m_4 "scaler_i_m[4]") (joined (portRef Y (instanceRef r_brate_RNO_2_0)) (portRef A (instanceRef r_brate_RNO_1_0)) )) (net (rename apbi_i_m_50 "apbi_i_m[50]") (joined (portRef Y (instanceRef r_brate_RNO_3_0)) (portRef B (instanceRef r_brate_RNO_1_0)) )) (net (rename scaler_i_m_0 "scaler_i_m[0]") (joined (portRef Y (instanceRef r_brate_RNO_4_0)) (portRef C (instanceRef r_brate_RNO_1_0)) )) (net (rename brate_1_17 "brate_1[17]") (joined (portRef Y (instanceRef r_brate_RNO_1_17)) (portRef A (instanceRef r_brate_RNO_0_17)) )) (net brate_3_sqmuxa (joined (portRef Y (instanceRef r_rxdb_RNIURAA3_1)) (portRef A (instanceRef r_brate_RNO_1_14)) (portRef A (instanceRef r_brate_RNO_1_15)) (portRef A (instanceRef r_brate_RNO_1_16)) (portRef A (instanceRef r_brate_RNO_1_17)) )) (net (rename apbi_m_67 "apbi_m[67]") (joined (portRef Y (instanceRef r_brate_RNO_2_17)) (portRef B (instanceRef r_brate_RNO_1_17)) )) (net (rename scaler_m_17 "scaler_m[17]") (joined (portRef Y (instanceRef r_brate_RNO_3_17)) (portRef C (instanceRef r_brate_RNO_1_17)) )) (net (rename brate_1_16 "brate_1[16]") (joined (portRef Y (instanceRef r_brate_RNO_1_16)) (portRef A (instanceRef r_brate_RNO_0_16)) )) (net (rename apbi_m_66 "apbi_m[66]") (joined (portRef Y (instanceRef r_brate_RNO_2_16)) (portRef B (instanceRef r_brate_RNO_1_16)) )) (net (rename scaler_m_16 "scaler_m[16]") (joined (portRef Y (instanceRef r_brate_RNO_3_16)) (portRef C (instanceRef r_brate_RNO_1_16)) )) (net (rename brate_1_15 "brate_1[15]") (joined (portRef Y (instanceRef r_brate_RNO_1_15)) (portRef A (instanceRef r_brate_RNO_0_15)) )) (net (rename apbi_m_65 "apbi_m[65]") (joined (portRef Y (instanceRef r_brate_RNO_2_15)) (portRef B (instanceRef r_brate_RNO_1_15)) )) (net (rename scaler_m_15 "scaler_m[15]") (joined (portRef Y (instanceRef r_brate_RNO_3_15)) (portRef C (instanceRef r_brate_RNO_1_15)) )) (net (rename brate_1_14 "brate_1[14]") (joined (portRef Y (instanceRef r_brate_RNO_1_14)) (portRef A (instanceRef r_brate_RNO_0_14)) )) (net (rename apbi_m_64 "apbi_m[64]") (joined (portRef Y (instanceRef r_brate_RNO_2_14)) (portRef B (instanceRef r_brate_RNO_1_14)) )) (net (rename scaler_m_14 "scaler_m[14]") (joined (portRef Y (instanceRef r_brate_RNO_3_14)) (portRef C (instanceRef r_brate_RNO_1_14)) )) (net scaler_2_sqmuxa_1 (joined (portRef Y (instanceRef r_scaler_RNIBB0LS_16)) (portRef A (instanceRef r_rxen_RNI3357J1)) )) (net rxdb_3 (joined (portRef Y (instanceRef r_rxdb_RNI43I3_1)) (portRef B (instanceRef r_rxdb_RNIACTG3_1)) (portRef C (instanceRef r_tcnt_RNIHFKV3_1)) (portRef A (instanceRef r_rxdb_RNI5BSL_1)) (portRef C (instanceRef r_rxdb_RNI2MHSC_1)) (portRef A (instanceRef r_scaler_RNIBB0LS_16)) )) (net (rename un1_dcom0_0_36 "un1_dcom0_0[36]") (joined (portRef Y (instanceRef r_tcnt_RNI73NE_1_1)) (portRef B (instanceRef scaler_I_47)) (portRef B (instanceRef scaler_I_48)) (portRef B (instanceRef scaler_I_49)) (portRef B (instanceRef scaler_I_50)) (portRef B (instanceRef scaler_I_51)) (portRef B (instanceRef scaler_I_52)) (portRef B (instanceRef scaler_I_53)) (portRef B (instanceRef scaler_I_54)) (portRef B (instanceRef scaler_I_55)) (portRef B (instanceRef scaler_I_56)) (portRef C (instanceRef r_fedge_RNO_1)) (portRef S (instanceRef r_scaler_RNISMOP_0)) (portRef S (instanceRef r_fedge_RNI8OIL)) (portRef B (instanceRef r_tcnt_RNIHFKV3_0_1)) (portRef S (instanceRef r_rxdb_RNI3URED_1)) (portRef A (instanceRef r_rxdb_RNI18AI_1)) (portRef S (instanceRef r_rxdb_RNI5BSL_1)) (portRef B (instanceRef r_fedge_RNIO4K501)) (portRef B (instanceRef r_scaler_RNIBB0LS_16)) (portRef (member un1_dcom0_0 0)) )) (net un1_scaler (joined (portRef Y (instanceRef r_scaler_RNI05N2S_16)) (portRef A (instanceRef r_fedge_RNO_0)) (portRef A (instanceRef r_fedge_RNI7LV5S)) (portRef C (instanceRef r_scaler_RNIBB0LS_16)) )) (net break_1_sqmuxa_1 (joined (portRef Y (instanceRef r_break_RNO_2)) (portRef S (instanceRef r_break_RNO_0)) )) (net break_0_sqmuxa (joined (portRef Y (instanceRef r_rxdb_RNI18AI_1)) (portRef A (instanceRef r_rxdb_RNIURAA3_1)) (portRef C (instanceRef r_rxen_RNO_3)) (portRef A (instanceRef r_break_RNO_2)) )) (net break_1_sqmuxa (joined (portRef Y (instanceRef r_rxdb_RNIAKP32_0)) (portRef C (instanceRef r_break_RNO_1)) (portRef B (instanceRef r_break_RNO_2)) )) (net frame_0_sqmuxa (joined (portRef Y (instanceRef v_frame_0_sqmuxa)) (portRef A (instanceRef r_break_RNO_1)) (portRef C (instanceRef r_frame_RNO_2)) (portRef S (instanceRef r_ovf_RNO_0)) (portRef C (instanceRef r_break_RNO_2)) )) (net tcnt9 (joined (portRef Y (instanceRef r_brate_RNI69BD3_4)) (portRef A (instanceRef r_rxdb_RNIACTG3_1)) (portRef A (instanceRef r_tcnt_RNIHFKV3_1)) (portRef A (instanceRef r_tcnt_RNIDC2S3_1)) (portRef A (instanceRef r_rxdb_RNI2MHSC_1)) )) (net N_38 (joined (portRef Y (instanceRef r_tshift_RNO_7)) (portRef D (instanceRef r_tshift_7)) )) (net N_64 (joined (portRef Y (instanceRef r_txtick_RNICHPR)) (portRef A (instanceRef r_tick_RNI5JQ31)) (portRef A (instanceRef r_txclk_RNO_1)) (portRef A (instanceRef r_txclk_RNO_2)) (portRef C (instanceRef r_tshift_RNO_9)) (portRef A (instanceRef r_thempty_RNO_0)) (portRef A (instanceRef r_tshift_RNO_6)) (portRef A (instanceRef r_tshift_RNO_4)) (portRef A (instanceRef r_tshift_RNO_3)) (portRef A (instanceRef r_tshift_RNO_2)) (portRef B (instanceRef r_txclk_RNO_0)) (portRef C (instanceRef r_txtick_RNO)) (portRef A (instanceRef r_tshift_RNO_1)) (portRef A (instanceRef r_tshift_RNO_5)) (portRef A (instanceRef r_tshift_RNO_8)) (portRef A (instanceRef r_tshift_RNO_7)) )) (net (rename thold_6 "thold[6]") (joined (portRef Q (instanceRef r_thold_6)) (portRef B (instanceRef r_tshift_RNO_7)) )) (net tcnt_0_sqmuxa_2 (joined (portRef Y (instanceRef r_fedge_RNIO4K501)) (portRef C (instanceRef r_rxdb_RNIOMGCG1_1)) )) (net tcnt_0_sqmuxa (joined (portRef Y (instanceRef r_rxdb_RNIACTG3_1)) (portRef A (instanceRef r_tcnt_RNIHFKV3_0_1)) (portRef A (instanceRef r_fedge_RNIO4K501)) )) (net fedge_0_sqmuxa (joined (portRef Y (instanceRef r_fedge_RNI7LV5S)) (portRef A (instanceRef r_fedge_RNO_1)) (portRef C (instanceRef r_fedge_RNIO4K501)) )) (net fedge (joined (portRef Q (instanceRef r_fedge)) (portRef B (instanceRef r_fedge_RNO_0)) (portRef A (instanceRef r_fedge_RNI8OIL)) (portRef B (instanceRef r_fedge_RNI7LV5S)) (portRef A (instanceRef r_rxdb_RNI43I3_1)) )) (net (rename rxdb_1 "rxdb[1]") (joined (portRef Q (instanceRef r_rxdb_1)) (portRef A (instanceRef r_rxdb_RNITI9_1)) (portRef A (instanceRef r_rxdb_RNIQ4J3_1)) (portRef B (instanceRef r_rxdb_RNI43I3_1)) )) (net tcnt_1_sqmuxa (joined (portRef Y (instanceRef r_rxdb_RNI2MHSC_1)) (portRef A (instanceRef r_rxdb_RNI3URED_1)) )) (net brate2 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_100)) (portRef B (instanceRef r_tcnt_RNI5MM7D_1)) (portRef B (instanceRef r_rxdb_RNI2MHSC_1)) )) (net rxen_0_sqmuxa_2 (joined (portRef Y (instanceRef r_tcnt_RNIHFKV3_1)) (portRef B (instanceRef r_brate_RNO_2_13)) (portRef B (instanceRef r_brate_RNO_2_8)) (portRef C (instanceRef r_rxen_RNO_1)) (portRef B (instanceRef r_brate_RNO_2_12)) (portRef B (instanceRef r_brate_RNO_2_3)) (portRef B (instanceRef r_brate_RNO_2_11)) (portRef B (instanceRef r_brate_RNO_2_10)) (portRef B (instanceRef r_brate_RNO_2_9)) (portRef B (instanceRef r_brate_RNO_2_7)) (portRef B (instanceRef r_brate_RNO_2_6)) (portRef B (instanceRef r_brate_RNO_2_5)) (portRef B (instanceRef r_brate_RNO_2_4)) (portRef B (instanceRef r_brate_RNO_2_2)) (portRef B (instanceRef r_brate_RNO_2_1)) (portRef B (instanceRef r_brate_RNO_2_0)) (portRef A (instanceRef r_rxen_RNIT6CU6)) )) (net rxen_0_sqmuxa_1 (joined (portRef Y (instanceRef r_rxdb_RNIOMGCG1_1)) (portRef A (instanceRef r_tcnt_RNO_0)) (portRef A (instanceRef r_tcnt_RNO_1)) )) (net (rename tcnt_1_sqmuxa_0 "tcnt_1_sqmuxa[0]") (joined (portRef Y (instanceRef r_rxdb_RNI3URED_1)) (portRef A (instanceRef r_rxdb_RNIOMGCG1_1)) )) (net un1_apbi (joined (portRef Y (instanceRef uartop_un1_apbi)) (portRef B (instanceRef r_brate_RNO_3_13)) (portRef B (instanceRef r_brate_RNO_3_9)) (portRef B (instanceRef r_brate_RNO_3_8)) (portRef B (instanceRef v_frame_0_sqmuxa)) (portRef B (instanceRef r_rxen_RNO_2)) (portRef A (instanceRef r_rxen_RNO_3)) (portRef A (instanceRef r_rxen_RNO_1)) (portRef C (instanceRef v_brate_1_sqmuxa_1)) (portRef A (instanceRef v_brate_0_sqmuxa)) (portRef B (instanceRef r_brate_RNO_3_12)) (portRef B (instanceRef r_brate_RNO_3_3)) (portRef B (instanceRef r_brate_RNO_3_11)) (portRef B (instanceRef r_brate_RNO_3_10)) )) (net N_327 (joined (portRef N_327) (portRef A (instanceRef uartop_un1_apbi)) (portRef A (instanceRef uartop_un1_apbi_0)) )) (net un1_apbi_0_0 (joined (portRef un1_apbi_0_0) (portRef B (instanceRef uartop_un1_apbi)) (portRef B (instanceRef uartop_un1_apbi_0)) )) (net N_343 (joined (portRef N_343) (portRef C (instanceRef uartop_un1_apbi)) (portRef C (instanceRef uartop_un1_apbi_0)) )) (net (rename brate_1_iv_8 "brate_1_iv[8]") (joined (portRef Y (instanceRef r_brate_RNO_1_8)) (portRef A (instanceRef r_brate_RNO_0_8)) )) (net (rename scaler_i_m_12 "scaler_i_m[12]") (joined (portRef Y (instanceRef r_brate_RNO_2_8)) (portRef A (instanceRef r_brate_RNO_1_8)) )) (net (rename apbi_i_m_58 "apbi_i_m[58]") (joined (portRef Y (instanceRef r_brate_RNO_3_8)) (portRef B (instanceRef r_brate_RNO_1_8)) )) (net (rename scaler_i_m_0_8 "scaler_i_m_0[8]") (joined (portRef Y (instanceRef r_brate_RNO_4_8)) (portRef C (instanceRef r_brate_RNO_1_8)) )) (net N_40 (joined (portRef Y (instanceRef r_tshift_RNO_8)) (portRef D (instanceRef r_tshift_8)) )) (net (rename thold_7 "thold[7]") (joined (portRef Q (instanceRef r_thold_7)) (portRef B (instanceRef r_tshift_RNO_8)) )) (net N_59 (joined (portRef Y (instanceRef r_txstate_RNIBQOB_1)) (portRef B (instanceRef r_txtick_RNICHPR_0)) (portRef B (instanceRef r_txtick_RNI6M9D)) (portRef B (instanceRef r_tsempty_RNO_1)) (portRef A (instanceRef r_txtick_RNICHPR)) )) (net (rename tshift_1_0 "tshift_1[0]") (joined (portRef Y (instanceRef r_tshift_RNO_0)) (portRef D (instanceRef r_tshift_0)) )) (net N_120 (joined (portRef Y (instanceRef r_tshift_RNO_1_0)) (portRef B (instanceRef r_tshift_RNO_0)) )) (net N_121 (joined (portRef Y (instanceRef r_tshift_RNO_2_0)) (portRef C (instanceRef r_tshift_RNO_0)) )) (net N_638 (joined (portRef Y (instanceRef r_txstate_RNO_1_0)) (portRef B (instanceRef r_txstate_RNO_0_0)) )) (net N_143 (joined (portRef Y (instanceRef r_tshift_RNISN232_1)) (portRef A (instanceRef r_txstate_RNO_0_1)) (portRef B (instanceRef r_txstate_RNO_1_0)) )) (net N_34 (joined (portRef Y (instanceRef r_tshift_RNO_5)) (portRef D (instanceRef r_tshift_5)) )) (net (rename thold_4 "thold[4]") (joined (portRef Q (instanceRef r_thold_4)) (portRef B (instanceRef r_tshift_RNO_5)) )) (net (rename tshift_RNO_1 "tshift_RNO[1]") (joined (portRef Y (instanceRef r_tshift_RNO_1)) (portRef D (instanceRef r_tshift_1)) )) (net (rename thold_0 "thold[0]") (joined (portRef Q (instanceRef r_thold_0)) (portRef B (instanceRef r_tshift_RNO_1)) )) (net N_56 (joined (portRef Y (instanceRef r_txtick_RNO)) (portRef D (instanceRef r_txtick)) )) (net CO1 (joined (portRef Y (instanceRef un3_txclk_1_CO1)) (portRef A (instanceRef un3_txclk_1_SUM2_0)) (portRef B (instanceRef r_txtick_RNO)) )) (net N_43 (joined (portRef Y (instanceRef r_txclk_RNO_0)) (portRef D (instanceRef r_txclk_0)) )) (net N_666_i_1 (joined (portRef Q (instanceRef r_txclk_0)) (portRef B (instanceRef un3_txclk_1_SUM1_0)) (portRef B (instanceRef un3_txclk_1_CO1)) (portRef A (instanceRef r_txclk_RNO_0)) )) (net (rename tshift_RNO_2 "tshift_RNO[2]") (joined (portRef Y (instanceRef r_tshift_RNO_2)) (portRef D (instanceRef r_tshift_2)) )) (net (rename thold_1 "thold[1]") (joined (portRef Q (instanceRef r_thold_1)) (portRef B (instanceRef r_tshift_RNO_2)) )) (net (rename tshift_RNO_3 "tshift_RNO[3]") (joined (portRef Y (instanceRef r_tshift_RNO_3)) (portRef D (instanceRef r_tshift_3)) )) (net (rename thold_2 "thold[2]") (joined (portRef Q (instanceRef r_thold_2)) (portRef B (instanceRef r_tshift_RNO_3)) )) (net (rename tshift_RNO_4 "tshift_RNO[4]") (joined (portRef Y (instanceRef r_tshift_RNO_4)) (portRef D (instanceRef r_tshift_4)) )) (net (rename thold_3 "thold[3]") (joined (portRef Q (instanceRef r_thold_3)) (portRef B (instanceRef r_tshift_RNO_4)) )) (net N_36 (joined (portRef Y (instanceRef r_tshift_RNO_6)) (portRef D (instanceRef r_tshift_6)) )) (net (rename thold_5 "thold[5]") (joined (portRef Q (instanceRef r_thold_5)) (portRef B (instanceRef r_tshift_RNO_6)) )) (net dready_0_sqmuxa (joined (portRef Y (instanceRef r_rxstate_RNIS9GD_0)) (portRef C (instanceRef r_rxdb_RNI8U971_0)) )) (net N_616 (joined (portRef Y (instanceRef r_rxtick_RNIEDED)) (portRef A (instanceRef r_rxtick_RNIE3OQ)) (portRef B (instanceRef r_rxstate_RNO_1)) )) (net rxtick_RNO (joined (portRef Y (instanceRef r_rxtick_RNO)) (portRef D (instanceRef r_rxtick)) )) (net CO1_0 (joined (portRef Y (instanceRef un2_rxclk_1_CO1)) (portRef A (instanceRef un2_rxclk_1_SUM2_0)) (portRef B (instanceRef r_rxtick_RNO)) )) (net ovf_0_sqmuxa_1 (joined (portRef Y (instanceRef r_rxstate_RNIJGPI_0)) (portRef B (instanceRef r_rxstate_RNO_0)) (portRef A (instanceRef r_rshift_RNO_0)) (portRef A (instanceRef r_rshift_RNO_1)) (portRef A (instanceRef r_rshift_RNO_2)) (portRef A (instanceRef r_rshift_RNO_3)) (portRef A (instanceRef r_rshift_RNO_4)) (portRef A (instanceRef r_rshift_RNO_5)) (portRef A (instanceRef r_rshift_RNO_6)) (portRef A (instanceRef r_rshift_RNO_7)) (portRef B (instanceRef r_ovf_RNO_1)) (portRef B (instanceRef r_rxclk_RNO_2)) (portRef A (instanceRef r_rxclk_RNO_1)) (portRef A (instanceRef r_rxclk_RNO_0)) (portRef A (instanceRef r_tick_RNICIQQ)) (portRef C (instanceRef r_rxtick_RNO)) )) (net dready_2 (joined (portRef Y (instanceRef r_dready_RNIU6BC)) (portRef A (instanceRef r_dready_RNO)) (portRef A (instanceRef r_rxdb_RNICUFC_0)) (portRef A (instanceRef r_rsempty_RNO_3)) )) (net N_646 (joined (portRef N_646) (portRef B (instanceRef r_dready_RNIU6BC)) )) (net (rename brate_1_iv_9 "brate_1_iv[9]") (joined (portRef Y (instanceRef r_brate_RNO_1_9)) (portRef A (instanceRef r_brate_RNO_0_9)) )) (net (rename scaler_i_m_13 "scaler_i_m[13]") (joined (portRef Y (instanceRef r_brate_RNO_2_9)) (portRef A (instanceRef r_brate_RNO_1_9)) )) (net (rename apbi_i_m_59 "apbi_i_m[59]") (joined (portRef Y (instanceRef r_brate_RNO_3_9)) (portRef B (instanceRef r_brate_RNO_1_9)) )) (net (rename scaler_i_m_0_9 "scaler_i_m_0[9]") (joined (portRef Y (instanceRef r_brate_RNO_4_9)) (portRef C (instanceRef r_brate_RNO_1_9)) )) (net (rename brate_1_iv_13 "brate_1_iv[13]") (joined (portRef Y (instanceRef r_brate_RNO_1_13)) (portRef A (instanceRef r_brate_RNO_0_13)) )) (net (rename scaler_i_m_17 "scaler_i_m[17]") (joined (portRef Y (instanceRef r_brate_RNO_2_13)) (portRef A (instanceRef r_brate_RNO_1_13)) )) (net (rename apbi_i_m_63 "apbi_i_m[63]") (joined (portRef Y (instanceRef r_brate_RNO_3_13)) (portRef B (instanceRef r_brate_RNO_1_13)) )) (net (rename scaler_i_m_0_13 "scaler_i_m_0[13]") (joined (portRef Y (instanceRef r_brate_RNO_4_13)) (portRef C (instanceRef r_brate_RNO_1_13)) )) (net (rename scaler_1_0_iv_4 "scaler_1_0_iv[4]") (joined (portRef Y (instanceRef r_scaler_RNO_4)) (portRef D (instanceRef r_scaler_4)) )) (net (rename brate_i_m_4 "brate_i_m[4]") (joined (portRef Y (instanceRef r_scaler_RNO_0_4)) (portRef A (instanceRef r_scaler_RNO_4)) )) (net (rename scaler_i_m_2_4 "scaler_i_m_2[4]") (joined (portRef Y (instanceRef r_scaler_RNO_2_4)) (portRef C (instanceRef r_scaler_RNO_4)) )) (net (rename scaler_1_0_iv_9 "scaler_1_0_iv[9]") (joined (portRef Y (instanceRef r_scaler_RNO_9)) (portRef D (instanceRef r_scaler_9)) )) (net (rename scaler_0_9 "scaler_0[9]") (joined (portRef Y (instanceRef scaler_I_70)) (portRef A (instanceRef r_scaler_RNO_9)) )) (net scaler_1_sqmuxa (joined (portRef Y (instanceRef r_rxen_RNI0N5VL1)) (portRef B (instanceRef r_scaler_RNO_2_2)) (portRef A (instanceRef r_scaler_RNO_2_3)) (portRef A (instanceRef r_scaler_RNO_2_5)) (portRef A (instanceRef r_scaler_RNO_2_6)) (portRef A (instanceRef r_scaler_RNO_2_7)) (portRef A (instanceRef r_scaler_RNO_2_16)) (portRef A (instanceRef r_scaler_RNO_2_8)) (portRef A (instanceRef r_scaler_RNO_2_12)) (portRef A (instanceRef r_scaler_RNO_2_1)) (portRef A (instanceRef r_scaler_RNO_2_15)) (portRef A (instanceRef r_scaler_RNO_2_14)) (portRef A (instanceRef r_scaler_RNO_2_4)) (portRef B (instanceRef r_scaler_RNO_11)) (portRef B (instanceRef r_scaler_RNO_10)) (portRef B (instanceRef r_scaler_RNO_0)) (portRef B (instanceRef r_scaler_RNO_13)) (portRef B (instanceRef r_scaler_RNO_17)) (portRef B (instanceRef r_scaler_RNO_9)) )) (net (rename scaler_1_0_iv_14 "scaler_1_0_iv[14]") (joined (portRef Y (instanceRef r_scaler_RNO_14)) (portRef D (instanceRef r_scaler_14)) )) (net (rename brate_i_m_14 "brate_i_m[14]") (joined (portRef Y (instanceRef r_scaler_RNO_0_14)) (portRef A (instanceRef r_scaler_RNO_14)) )) (net (rename scaler_i_m_1_14 "scaler_i_m_1[14]") (joined (portRef Y (instanceRef r_scaler_RNO_2_14)) (portRef C (instanceRef r_scaler_RNO_14)) )) (net (rename scaler_1_0_iv_17 "scaler_1_0_iv[17]") (joined (portRef Y (instanceRef r_scaler_RNO_17)) (portRef D (instanceRef r_scaler_17)) )) (net (rename scaler_17 "scaler[17]") (joined (portRef Y (instanceRef scaler_I_74)) (portRef A (instanceRef r_scaler_RNI05N2S_16)) (portRef A (instanceRef r_scaler_RNO_17)) )) (net (rename scaler_1_0_iv_15 "scaler_1_0_iv[15]") (joined (portRef Y (instanceRef r_scaler_RNO_15)) (portRef D (instanceRef r_scaler_15)) )) (net (rename brate_i_m_15 "brate_i_m[15]") (joined (portRef Y (instanceRef r_scaler_RNO_0_15)) (portRef A (instanceRef r_scaler_RNO_15)) )) (net (rename scaler_i_m_15 "scaler_i_m[15]") (joined (portRef Y (instanceRef r_scaler_RNO_2_15)) (portRef C (instanceRef r_scaler_RNO_15)) )) (net (rename scaler_1_0_iv_1 "scaler_1_0_iv[1]") (joined (portRef Y (instanceRef r_scaler_RNO_1)) (portRef D (instanceRef r_scaler_1)) )) (net (rename brate_i_m_1 "brate_i_m[1]") (joined (portRef Y (instanceRef r_scaler_RNO_0_1)) (portRef A (instanceRef r_scaler_RNO_1)) )) (net (rename scaler_i_m_0_1 "scaler_i_m_0[1]") (joined (portRef Y (instanceRef r_scaler_RNO_2_1)) (portRef C (instanceRef r_scaler_RNO_1)) )) (net (rename scaler_1_0_iv_13 "scaler_1_0_iv[13]") (joined (portRef Y (instanceRef r_scaler_RNO_13)) (portRef D (instanceRef r_scaler_13)) )) (net (rename scaler_0_13 "scaler_0[13]") (joined (portRef Y (instanceRef scaler_I_58)) (portRef A (instanceRef r_scaler_RNO_13)) )) (net (rename scaler_1_0_iv_8 "scaler_1_0_iv[8]") (joined (portRef Y (instanceRef r_scaler_RNO_8)) (portRef D (instanceRef r_scaler_8)) )) (net (rename brate_i_m_8 "brate_i_m[8]") (joined (portRef Y (instanceRef r_scaler_RNO_0_8)) (portRef A (instanceRef r_scaler_RNO_8)) )) (net (rename scaler_i_m_1_8 "scaler_i_m_1[8]") (joined (portRef Y (instanceRef r_scaler_RNO_2_8)) (portRef C (instanceRef r_scaler_RNO_8)) )) (net (rename scaler_1_0_iv_12 "scaler_1_0_iv[12]") (joined (portRef Y (instanceRef r_scaler_RNO_12)) (portRef D (instanceRef r_scaler_12)) )) (net (rename brate_i_m_12 "brate_i_m[12]") (joined (portRef Y (instanceRef r_scaler_RNO_0_12)) (portRef A (instanceRef r_scaler_RNO_12)) )) (net (rename scaler_i_m_1_12 "scaler_i_m_1[12]") (joined (portRef Y (instanceRef r_scaler_RNO_2_12)) (portRef C (instanceRef r_scaler_RNO_12)) )) (net (rename scaler_1_0_iv_0 "scaler_1_0_iv[0]") (joined (portRef Y (instanceRef r_scaler_RNO_0)) (portRef D (instanceRef r_scaler_0)) )) (net (rename scaler_0 "scaler[0]") (joined (portRef Q (instanceRef r_scaler_0)) (portRef B (instanceRef scaler_I_62)) (portRef B (instanceRef scaler_I_75)) (portRef A (instanceRef r_scaler_RNISMOP_0)) (portRef C (instanceRef r_brate_RNO_4_0)) (portRef A (instanceRef r_scaler_RNO_0)) )) (net (rename scaler_1_2 "scaler_1[2]") (joined (portRef Y (instanceRef r_scaler_RNO_2)) (portRef D (instanceRef r_scaler_2)) )) (net (rename brate_m_2 "brate_m[2]") (joined (portRef Y (instanceRef r_scaler_RNO_0_2)) (portRef A (instanceRef r_scaler_RNO_2)) )) (net (rename scaler_m_2 "scaler_m[2]") (joined (portRef Y (instanceRef r_scaler_RNO_2_2)) (portRef C (instanceRef r_scaler_RNO_2)) )) (net (rename scaler_1_0_iv_3 "scaler_1_0_iv[3]") (joined (portRef Y (instanceRef r_scaler_RNO_3)) (portRef D (instanceRef r_scaler_3)) )) (net (rename brate_i_m_3 "brate_i_m[3]") (joined (portRef Y (instanceRef r_scaler_RNO_0_3)) (portRef A (instanceRef r_scaler_RNO_3)) )) (net (rename scaler_i_m_0_3 "scaler_i_m_0[3]") (joined (portRef Y (instanceRef r_scaler_RNO_2_3)) (portRef C (instanceRef r_scaler_RNO_3)) )) (net (rename scaler_1_0_iv_5 "scaler_1_0_iv[5]") (joined (portRef Y (instanceRef r_scaler_RNO_5)) (portRef D (instanceRef r_scaler_5)) )) (net (rename brate_i_m_5 "brate_i_m[5]") (joined (portRef Y (instanceRef r_scaler_RNO_0_5)) (portRef A (instanceRef r_scaler_RNO_5)) )) (net (rename scaler_i_m_2_5 "scaler_i_m_2[5]") (joined (portRef Y (instanceRef r_scaler_RNO_2_5)) (portRef C (instanceRef r_scaler_RNO_5)) )) (net (rename scaler_1_0_iv_6 "scaler_1_0_iv[6]") (joined (portRef Y (instanceRef r_scaler_RNO_6)) (portRef D (instanceRef r_scaler_6)) )) (net (rename brate_i_m_6 "brate_i_m[6]") (joined (portRef Y (instanceRef r_scaler_RNO_0_6)) (portRef A (instanceRef r_scaler_RNO_6)) )) (net (rename scaler_i_m_1_6 "scaler_i_m_1[6]") (joined (portRef Y (instanceRef r_scaler_RNO_2_6)) (portRef C (instanceRef r_scaler_RNO_6)) )) (net (rename scaler_1_0_iv_7 "scaler_1_0_iv[7]") (joined (portRef Y (instanceRef r_scaler_RNO_7)) (portRef D (instanceRef r_scaler_7)) )) (net (rename brate_i_m_7 "brate_i_m[7]") (joined (portRef Y (instanceRef r_scaler_RNO_0_7)) (portRef A (instanceRef r_scaler_RNO_7)) )) (net (rename scaler_i_m_1_7 "scaler_i_m_1[7]") (joined (portRef Y (instanceRef r_scaler_RNO_2_7)) (portRef C (instanceRef r_scaler_RNO_7)) )) (net (rename scaler_1_0_iv_10 "scaler_1_0_iv[10]") (joined (portRef Y (instanceRef r_scaler_RNO_10)) (portRef D (instanceRef r_scaler_10)) )) (net (rename scaler_0_10 "scaler_0[10]") (joined (portRef Y (instanceRef scaler_I_73)) (portRef A (instanceRef r_scaler_RNO_10)) )) (net (rename scaler_1_0_iv_11 "scaler_1_0_iv[11]") (joined (portRef Y (instanceRef r_scaler_RNO_11)) (portRef D (instanceRef r_scaler_11)) )) (net (rename scaler_0_11 "scaler_0[11]") (joined (portRef Y (instanceRef scaler_I_57)) (portRef A (instanceRef r_scaler_RNO_11)) )) (net (rename scaler_1_0_iv_16 "scaler_1_0_iv[16]") (joined (portRef Y (instanceRef r_scaler_RNO_16)) (portRef D (instanceRef r_scaler_16)) )) (net (rename brate_i_m_16 "brate_i_m[16]") (joined (portRef Y (instanceRef r_scaler_RNO_0_16)) (portRef A (instanceRef r_scaler_RNO_16)) )) (net (rename scaler_i_m_16 "scaler_i_m[16]") (joined (portRef Y (instanceRef r_scaler_RNO_2_16)) (portRef C (instanceRef r_scaler_RNO_16)) )) (net N_409 (joined (portRef Y (instanceRef r_break_RNO_0)) (portRef B (instanceRef r_break_RNO)) )) (net break_1 (joined (portRef Y (instanceRef r_break_RNO_1)) (portRef A (instanceRef r_break_RNO_0)) )) (net break (joined (portRef Q (instanceRef r_break)) (portRef B (instanceRef r_rxdb_RNIQ4J3_1)) (portRef A (instanceRef r_brate_RNIAUF8_3)) (portRef B (instanceRef r_break_RNO_0)) )) (net N_417 (joined (portRef Y (instanceRef r_frame_RNO_0)) (portRef B (instanceRef r_frame_RNO)) )) (net frame_1 (joined (portRef Y (instanceRef r_frame_RNO_1)) (portRef A (instanceRef r_frame_RNO_0)) )) (net frame (joined (portRef Q (instanceRef r_frame)) (portRef A (instanceRef r_frame_RNIJEH8)) (portRef B (instanceRef r_frame_RNO_0)) )) (net frame_1_sqmuxa_1 (joined (portRef Y (instanceRef r_frame_RNO_2)) (portRef S (instanceRef r_frame_RNO_0)) )) (net N_428 (joined (portRef Y (instanceRef r_ovf_RNO_0)) (portRef A (instanceRef r_ovf_RNO)) )) (net ovf (joined (portRef Q (instanceRef r_ovf)) (portRef A (instanceRef r_ovf_RNITVO9)) (portRef B (instanceRef r_ovf_RNO_0)) )) (net N_391 (joined (portRef Y (instanceRef r_brate_RNO_0_0)) (portRef B (instanceRef r_brate_RNO_0)) )) (net (rename un1_dcom0_2 "un1_dcom0[2]") (joined (portRef Q (instanceRef r_brate_0)) (portRef A (instanceRef r_scaler_RNO_3_0)) (portRef B (instanceRef r_brate_RNIPDE6_0)) (portRef B (instanceRef r_brate_RNO_0_0)) )) (net brate_2_sqmuxa (joined (portRef Y (instanceRef r_rxdb_RNI7LJLG_1)) (portRef S (instanceRef r_brate_RNO_0_13)) (portRef S (instanceRef r_brate_RNO_0_9)) (portRef S (instanceRef r_brate_RNO_0_8)) (portRef S (instanceRef r_brate_RNO_0_17)) (portRef S (instanceRef r_brate_RNO_0_16)) (portRef S (instanceRef r_brate_RNO_0_15)) (portRef S (instanceRef r_brate_RNO_0_14)) (portRef S (instanceRef r_brate_RNO_0_12)) (portRef S (instanceRef r_brate_RNO_0_11)) (portRef S (instanceRef r_brate_RNO_0_10)) (portRef S (instanceRef r_brate_RNO_0_7)) (portRef S (instanceRef r_brate_RNO_0_6)) (portRef S (instanceRef r_brate_RNO_0_5)) (portRef S (instanceRef r_brate_RNO_0_4)) (portRef S (instanceRef r_brate_RNO_0_3)) (portRef S (instanceRef r_brate_RNO_0_2)) (portRef S (instanceRef r_brate_RNO_0_1)) (portRef S (instanceRef r_brate_RNO_0_0)) )) (net N_392 (joined (portRef Y (instanceRef r_brate_RNO_0_1)) (portRef B (instanceRef r_brate_RNO_1)) )) (net (rename un1_dcom0_3 "un1_dcom0[3]") (joined (portRef Q (instanceRef r_brate_1)) (portRef A (instanceRef r_scaler_RNO_0_1)) (portRef B (instanceRef r_tsempty_RNINBC5)) (portRef B (instanceRef r_brate_RNO_0_1)) )) (net N_393 (joined (portRef Y (instanceRef r_brate_RNO_0_2)) (portRef B (instanceRef r_brate_RNO_2)) )) (net N_394 (joined (portRef Y (instanceRef r_brate_RNO_0_3)) (portRef B (instanceRef r_brate_RNO_3)) )) (net (rename un1_dcom0Z0Z_5 "un1_dcom0[5]") (joined (portRef Q (instanceRef r_brate_3)) (portRef A (instanceRef r_scaler_RNO_0_3)) (portRef B (instanceRef r_brate_RNIAUF8_3)) (portRef B (instanceRef r_brate_RNO_0_3)) )) (net N_395 (joined (portRef Y (instanceRef r_brate_RNO_0_4)) (portRef B (instanceRef r_brate_RNO_4)) )) (net N_396 (joined (portRef Y (instanceRef r_brate_RNO_0_5)) (portRef B (instanceRef r_brate_RNO_5)) )) (net N_397 (joined (portRef Y (instanceRef r_brate_RNO_0_6)) (portRef B (instanceRef r_brate_RNO_6)) )) (net N_398 (joined (portRef Y (instanceRef r_brate_RNO_0_7)) (portRef B (instanceRef r_brate_RNO_7)) )) (net (rename un1_dcom0_9 "un1_dcom0[9]") (joined (portRef Q (instanceRef r_brate_7)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_83)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_86)) (portRef A (instanceRef r_scaler_RNO_0_7)) (portRef B (instanceRef r_brate_RNIHC65_7)) (portRef A (instanceRef r_brate_RNI65I6_7)) (portRef B (instanceRef r_brate_RNO_0_7)) )) (net N_401 (joined (portRef Y (instanceRef r_brate_RNO_0_10)) (portRef B (instanceRef r_brate_RNO_10)) )) (net N_402 (joined (portRef Y (instanceRef r_brate_RNO_0_11)) (portRef B (instanceRef r_brate_RNO_11)) )) (net N_403 (joined (portRef Y (instanceRef r_brate_RNO_0_12)) (portRef B (instanceRef r_brate_RNO_12)) )) (net (rename un1_dcom0_12 "un1_dcom0[14]") (joined (portRef Q (instanceRef r_brate_12)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_5)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_35)) (portRef A (instanceRef r_scaler_RNO_0_12)) (portRef B (instanceRef r_brate_RNO_0_12)) (portRef B (instanceRef r_brate_RNIMTFH_12)) (portRef un1_dcom0_12) )) (net N_405 (joined (portRef Y (instanceRef r_brate_RNO_0_14)) (portRef B (instanceRef r_brate_RNO_14)) )) (net N_406 (joined (portRef Y (instanceRef r_brate_RNO_0_15)) (portRef B (instanceRef r_brate_RNO_15)) )) (net (rename un1_dcom0_15 "un1_dcom0[17]") (joined (portRef Q (instanceRef r_brate_15)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_2)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_40)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_43)) (portRef A (instanceRef r_scaler_RNO_0_15)) (portRef B (instanceRef r_brate_RNO_0_15)) (portRef B (instanceRef r_brate_RNIQ5GH_15)) (portRef un1_dcom0_15) )) (net N_407 (joined (portRef Y (instanceRef r_brate_RNO_0_16)) (portRef B (instanceRef r_brate_RNO_16)) )) (net N_408 (joined (portRef Y (instanceRef r_brate_RNO_0_17)) (portRef B (instanceRef r_brate_RNO_17)) )) (net (rename brate13_0 "brate13[0]") (joined (portRef Y (instanceRef r_rxdb_RNI5BSL_1)) (portRef B (instanceRef r_rxdb_RNI7LJLG_1)) )) (net (rename rxdbZ0Z_1 "rxdb_1") (joined (portRef Y (instanceRef r_rxdb_RNIQ4J3_1)) (portRef B (instanceRef r_fedge_RNI8OIL)) (portRef B (instanceRef r_rxdb_RNI3URED_1)) (portRef B (instanceRef r_rxdb_RNI18AI_1)) (portRef B (instanceRef r_rxdb_RNI5BSL_1)) )) (net brate_1_sqmuxa_2 (joined (portRef Y (instanceRef r_tcnt_RNIDC2S3_1)) (portRef B (instanceRef r_brate_RNO_4_13)) (portRef B (instanceRef r_brate_RNO_4_8)) (portRef B (instanceRef r_brate_RNO_4_12)) (portRef B (instanceRef r_brate_RNO_4_3)) (portRef B (instanceRef r_brate_RNO_4_11)) (portRef B (instanceRef r_brate_RNO_4_10)) (portRef B (instanceRef r_brate_RNO_4_9)) (portRef B (instanceRef r_brate_RNO_4_7)) (portRef B (instanceRef r_brate_RNO_4_6)) (portRef B (instanceRef r_brate_RNO_4_5)) (portRef B (instanceRef r_brate_RNO_4_4)) (portRef B (instanceRef r_brate_RNO_4_2)) (portRef B (instanceRef r_brate_RNO_4_1)) (portRef B (instanceRef r_brate_RNO_4_0)) (portRef C (instanceRef r_brate_RNO_3_17)) (portRef C (instanceRef r_brate_RNO_3_16)) (portRef C (instanceRef r_brate_RNO_3_15)) (portRef C (instanceRef r_brate_RNO_3_14)) (portRef A (instanceRef r_tcnt_RNI5MM7D_1)) )) (net brate_1_sqmuxa_3 (joined (portRef Y (instanceRef r_tcnt_RNI5MM7D_1)) (portRef A (instanceRef r_rxdb_RNI7LJLG_1)) )) (net tick_2 (joined (portRef Y (instanceRef r_scaler_RNI9KDBM_15)) (portRef B (instanceRef r_rxen_RNI3357J1)) (portRef B (instanceRef r_rxen_RNION4IM)) )) (net (rename scaler_0_15 "scaler_0[15]") (joined (portRef Y (instanceRef scaler_I_66)) (portRef B (instanceRef r_scaler_RNO_2_15)) (portRef A (instanceRef r_scaler_RNI9KDBM_15)) )) (net scaler_0_sqmuxa (joined (portRef Y (instanceRef r_rxen_RNION4IM)) (portRef D (instanceRef r_tick)) (portRef A (instanceRef r_rxen_RNILB5AP)) )) (net (rename scaler_2_sqmuxa_i_0 "scaler_2_sqmuxa_i[0]") (joined (portRef Y (instanceRef r_rxen_RNI3357J1)) (portRef A (instanceRef r_rxen_RNI0N5VL1)) )) (net N_320 (joined (portRef Y (instanceRef r_brate_RNIPDE6_0)) (portRef B (instanceRef r_rxen_RNI2B2G)) )) (net N_323 (joined (portRef Y (instanceRef r_brate_RNIAUF8_3)) (portRef N_323) )) (net N_897 (joined (portRef N_897) (portRef S (instanceRef r_brate_RNIHC65_7)) (portRef S (instanceRef r_tsempty_RNINBC5)) (portRef S (instanceRef r_frame_RNIJEH8)) (portRef A (instanceRef v_frame_0_sqmuxa)) (portRef S (instanceRef r_ovf_RNITVO9)) (portRef S (instanceRef r_brate_RNIP8DC_9)) (portRef S (instanceRef r_brate_RNIN4DC_8)) (portRef S (instanceRef r_brate_RNIAUF8_3)) (portRef S (instanceRef r_brate_RNIPDE6_0)) )) (net N_327_0 (joined (portRef Y (instanceRef r_brate_RNIN4DC_8)) (portRef N_327_0) )) (net (rename un1_dcom0Z0Z_10 "un1_dcom0[10]") (joined (portRef Q (instanceRef r_brate_8)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_81)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_85)) (portRef A (instanceRef r_scaler_RNO_0_8)) (portRef B (instanceRef r_brate_RNO_0_8)) (portRef A (instanceRef r_brate_RNI89I6_8)) (portRef B (instanceRef r_brate_RNIN4DC_8)) )) (net N_328 (joined (portRef Y (instanceRef r_brate_RNIP8DC_9)) (portRef N_328) )) (net (rename un1_dcom0Z0Z_11 "un1_dcom0[11]") (joined (portRef Q (instanceRef r_brate_9)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_57)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_65)) (portRef A (instanceRef r_scaler_RNO_3_9)) (portRef B (instanceRef r_brate_RNO_0_9)) (portRef A (instanceRef r_brate_RNIADI6_9)) (portRef B (instanceRef r_brate_RNIP8DC_9)) )) (net (rename prdata_0 "prdata[0]") (joined (portRef Y (instanceRef r_rxen_RNI2B2G)) (portRef (member prdata 2)) )) (net (rename scaler_14 "scaler[14]") (joined (portRef Q (instanceRef r_scaler_14)) (portRef A (instanceRef scaler_I_14)) (portRef A (instanceRef scaler_I_28)) (portRef A (instanceRef scaler_I_54)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_1)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_39)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_42)) (portRef B (instanceRef r_scaler_RNO_1_10)) (portRef B (instanceRef r_brate_RNIQSN8_14)) (portRef C (instanceRef r_brate_RNO_2_10)) (portRef A (instanceRef r_brate_RNO_3_14)) )) (net brate_1_sqmuxa_1_0 (joined (portRef Y (instanceRef v_brate_1_sqmuxa_1_0)) (portRef A (instanceRef r_brate_RNO_3_13)) (portRef A (instanceRef r_brate_RNO_3_9)) (portRef A (instanceRef r_brate_RNO_3_8)) (portRef A (instanceRef v_brate_1_sqmuxa_1)) (portRef B (instanceRef v_brate_0_sqmuxa)) (portRef A (instanceRef r_brate_RNO_3_12)) (portRef A (instanceRef r_brate_RNO_3_3)) (portRef A (instanceRef r_brate_RNO_3_11)) (portRef A (instanceRef r_brate_RNO_3_10)) (portRef A (instanceRef r_brate_RNO_3_7)) (portRef A (instanceRef r_brate_RNO_3_6)) (portRef A (instanceRef r_brate_RNO_3_5)) (portRef A (instanceRef r_brate_RNO_3_4)) (portRef A (instanceRef r_brate_RNO_3_2)) (portRef A (instanceRef r_brate_RNO_3_1)) (portRef A (instanceRef r_brate_RNO_3_0)) (portRef A (instanceRef r_brate_RNO_2_17)) (portRef A (instanceRef r_brate_RNO_2_16)) (portRef A (instanceRef r_brate_RNO_2_15)) (portRef A (instanceRef r_brate_RNO_2_14)) (portRef B (instanceRef v_brate_0_sqmuxa_0)) (portRef brate_1_sqmuxa_1_0) )) (net (rename pwdata_14 "pwdata[14]") (joined (portRef pwdata_14) (portRef B (instanceRef r_brate_RNO_2_14)) (portRef A (instanceRef r_scaler_RNO_1_14)) )) (net (rename pwdata_15 "pwdata[15]") (joined (portRef pwdata_15) (portRef B (instanceRef r_brate_RNO_2_15)) (portRef A (instanceRef r_scaler_RNO_1_15)) )) (net (rename scaler_0_17 "scaler_0[17]") (joined (portRef Q (instanceRef r_scaler_17)) (portRef A (instanceRef scaler_I_40)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_4)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_19)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_25)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_26)) (portRef B (instanceRef r_scaler_RNO_1_13)) (portRef C (instanceRef r_brate_RNO_2_13)) (portRef B (instanceRef r_brate_RNI09O8_17)) (portRef A (instanceRef r_brate_RNO_3_17)) )) (net (rename scaler_5 "scaler[5]") (joined (portRef Q (instanceRef r_scaler_5)) (portRef A (instanceRef scaler_I_21)) (portRef A (instanceRef scaler_I_31)) (portRef A (instanceRef scaler_I_42)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_78)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_82)) (portRef B (instanceRef r_scaler_RNO_3_1)) (portRef B (instanceRef r_brate_RNI2TH6_5)) (portRef C (instanceRef r_brate_RNO_4_5)) (portRef C (instanceRef r_brate_RNO_2_1)) )) (net (rename scaler_1 "scaler[1]") (joined (portRef Q (instanceRef r_scaler_1)) (portRef A (instanceRef scaler_I_18)) (portRef A (instanceRef scaler_I_26)) (portRef A (instanceRef scaler_I_46)) (portRef C (instanceRef r_brate_RNO_4_1)) )) (net (rename scaler_2 "scaler[2]") (joined (portRef Q (instanceRef r_scaler_2)) (portRef A (instanceRef scaler_I_12)) (portRef A (instanceRef scaler_I_32)) (portRef A (instanceRef scaler_I_53)) (portRef C (instanceRef r_brate_RNO_4_2)) )) (net (rename pwdata_0_2 "pwdata_0[2]") (joined (portRef (member pwdata_0 3)) (portRef C (instanceRef r_brate_RNO_3_2)) )) (net (rename pwdata_0_4 "pwdata_0[4]") (joined (portRef (member pwdata_0 1)) (portRef C (instanceRef r_brate_RNO_3_4)) (portRef A (instanceRef r_ovf_RNO_0)) )) (net (rename pwdata_0_5 "pwdata_0[5]") (joined (portRef (member pwdata_0 0)) (portRef C (instanceRef r_brate_RNO_3_5)) )) (net (rename pwdata_6 "pwdata[6]") (joined (portRef pwdata_6) (portRef B (instanceRef r_scaler_RNO_3_6)) (portRef C (instanceRef r_brate_RNO_3_6)) (portRef C (instanceRef r_frame_RNO_1)) )) (net brate_0_sqmuxa (joined (portRef Y (instanceRef v_brate_0_sqmuxa)) (portRef A (instanceRef r_brate_RNO_2_13)) (portRef A (instanceRef r_brate_RNO_4_13)) (portRef A (instanceRef r_brate_RNO_2_8)) (portRef A (instanceRef r_brate_RNO_4_8)) (portRef B (instanceRef r_rxdb_RNIURAA3_1)) (portRef B (instanceRef r_rxen_RNI0N5VL1)) (portRef B (instanceRef r_rxen_RNILB5AP)) (portRef A (instanceRef r_brate_RNO_4_12)) (portRef A (instanceRef r_brate_RNO_2_12)) (portRef A (instanceRef r_brate_RNO_4_3)) (portRef A (instanceRef r_brate_RNO_2_3)) (portRef A (instanceRef r_brate_RNO_4_11)) (portRef A (instanceRef r_brate_RNO_2_11)) (portRef A (instanceRef r_brate_RNO_4_10)) (portRef A (instanceRef r_brate_RNO_2_10)) (portRef A (instanceRef r_brate_RNO_4_9)) (portRef A (instanceRef r_brate_RNO_2_9)) (portRef A (instanceRef r_brate_RNO_4_7)) (portRef A (instanceRef r_brate_RNO_2_7)) )) (net frame_RNO (joined (portRef Y (instanceRef r_frame_RNO)) (portRef D (instanceRef r_frame)) )) (net break_RNO (joined (portRef Y (instanceRef r_break_RNO)) (portRef D (instanceRef r_break)) )) (net (rename brate_RNO_0 "brate_RNO[0]") (joined (portRef Y (instanceRef r_brate_RNO_0)) (portRef D (instanceRef r_brate_0)) )) (net (rename brate_RNO_1 "brate_RNO[1]") (joined (portRef Y (instanceRef r_brate_RNO_1)) (portRef D (instanceRef r_brate_1)) )) (net (rename brate_RNO_2 "brate_RNO[2]") (joined (portRef Y (instanceRef r_brate_RNO_2)) (portRef D (instanceRef r_brate_2)) )) (net (rename brate_RNO_3 "brate_RNO[3]") (joined (portRef Y (instanceRef r_brate_RNO_3)) (portRef D (instanceRef r_brate_3)) )) (net (rename brate_RNO_4 "brate_RNO[4]") (joined (portRef Y (instanceRef r_brate_RNO_4)) (portRef D (instanceRef r_brate_4)) )) (net (rename brate_RNO_5 "brate_RNO[5]") (joined (portRef Y (instanceRef r_brate_RNO_5)) (portRef D (instanceRef r_brate_5)) )) (net (rename brate_RNO_6 "brate_RNO[6]") (joined (portRef Y (instanceRef r_brate_RNO_6)) (portRef D (instanceRef r_brate_6)) )) (net (rename brate_RNO_7 "brate_RNO[7]") (joined (portRef Y (instanceRef r_brate_RNO_7)) (portRef D (instanceRef r_brate_7)) )) (net (rename brate_RNO_10 "brate_RNO[10]") (joined (portRef Y (instanceRef r_brate_RNO_10)) (portRef D (instanceRef r_brate_10)) )) (net (rename brate_RNO_11 "brate_RNO[11]") (joined (portRef Y (instanceRef r_brate_RNO_11)) (portRef D (instanceRef r_brate_11)) )) (net (rename brate_RNO_12 "brate_RNO[12]") (joined (portRef Y (instanceRef r_brate_RNO_12)) (portRef D (instanceRef r_brate_12)) )) (net (rename brate_RNO_14 "brate_RNO[14]") (joined (portRef Y (instanceRef r_brate_RNO_14)) (portRef D (instanceRef r_brate_14)) )) (net (rename brate_RNO_15 "brate_RNO[15]") (joined (portRef Y (instanceRef r_brate_RNO_15)) (portRef D (instanceRef r_brate_15)) )) (net (rename brate_RNO_16 "brate_RNO[16]") (joined (portRef Y (instanceRef r_brate_RNO_16)) (portRef D (instanceRef r_brate_16)) )) (net (rename brate_RNO_17 "brate_RNO[17]") (joined (portRef Y (instanceRef r_brate_RNO_17)) (portRef D (instanceRef r_brate_17)) )) (net (rename un1_dcom0_5 "un1_dcom0[7]") (joined (portRef Q (instanceRef r_brate_5)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_78)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_82)) (portRef A (instanceRef r_scaler_RNO_0_5)) (portRef A (instanceRef r_brate_RNI2TH6_5)) (portRef B (instanceRef r_brate_RNO_0_5)) (portRef un1_dcom0_5) )) (net (rename un1_dcom0_10 "un1_dcom0[12]") (joined (portRef Q (instanceRef r_brate_10)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_58)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_66)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_67)) (portRef A (instanceRef r_scaler_RNO_3_10)) (portRef A (instanceRef r_brate_RNIICN8_10)) (portRef B (instanceRef r_brate_RNO_0_10)) (portRef un1_dcom0_10) )) (net (rename un1_dcom0_11 "un1_dcom0[13]") (joined (portRef Q (instanceRef r_brate_11)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_59)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_69)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_70)) (portRef A (instanceRef r_scaler_RNO_3_11)) (portRef A (instanceRef r_brate_RNIKGN8_11)) (portRef B (instanceRef r_brate_RNO_0_11)) (portRef un1_dcom0_11) )) (net (rename un1_dcom0_14 "un1_dcom0[16]") (joined (portRef Q (instanceRef r_brate_14)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_1)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_39)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_42)) (portRef A (instanceRef r_scaler_RNO_0_14)) (portRef A (instanceRef r_brate_RNIQSN8_14)) (portRef B (instanceRef r_brate_RNO_0_14)) (portRef un1_dcom0_14) )) (net (rename un1_dcom0_16 "un1_dcom0[18]") (joined (portRef Q (instanceRef r_brate_16)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_3)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_18)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_26)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_27)) (portRef A (instanceRef r_scaler_RNO_0_16)) (portRef A (instanceRef r_brate_RNIU4O8_16)) (portRef B (instanceRef r_brate_RNO_0_16)) (portRef un1_dcom0_16) )) (net (rename un1_dcom0_17 "un1_dcom0[19]") (joined (portRef Q (instanceRef r_brate_17)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_4)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_19)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_25)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_27)) (portRef A (instanceRef r_scaler_RNO_2_17)) (portRef A (instanceRef r_brate_RNI09O8_17)) (portRef B (instanceRef r_brate_RNO_0_17)) (portRef un1_dcom0_17) )) (net N_324 (joined (portRef Y (instanceRef r_ovf_RNITVO9)) (portRef N_324) )) (net (rename scaler_3 "scaler[3]") (joined (portRef Q (instanceRef r_scaler_3)) (portRef A (instanceRef scaler_I_9)) (portRef A (instanceRef scaler_I_29)) (portRef A (instanceRef scaler_I_50)) (portRef C (instanceRef r_brate_RNO_4_3)) )) (net (rename pwdata_0_3 "pwdata_0[3]") (joined (portRef (member pwdata_0 2)) (portRef C (instanceRef r_brate_RNO_3_3)) (portRef B (instanceRef r_break_RNO_1)) )) (net ovf_RNO (joined (portRef Y (instanceRef r_ovf_RNO)) (portRef D (instanceRef r_ovf)) )) (net ovf_0_sqmuxa (joined (portRef Y (instanceRef r_ovf_RNO_1)) (portRef B (instanceRef r_ovf_RNO)) )) (net (rename un1_dcom0_36 "un1_dcom0[36]") (joined (portRef Y (instanceRef r_tcnt_RNI73NE_2_1)) (portRef B (instanceRef scaler_I_5)) (portRef B (instanceRef scaler_I_6)) (portRef B (instanceRef scaler_I_7)) (portRef B (instanceRef scaler_I_9)) (portRef B (instanceRef scaler_I_10)) (portRef B (instanceRef scaler_I_11)) (portRef B (instanceRef scaler_I_12)) (portRef B (instanceRef scaler_I_13)) (portRef B (instanceRef scaler_I_14)) (portRef B (instanceRef scaler_I_15)) (portRef B (instanceRef scaler_I_16)) (portRef B (instanceRef scaler_I_17)) (portRef B (instanceRef scaler_I_18)) (portRef B (instanceRef scaler_I_19)) (portRef B (instanceRef scaler_I_20)) (portRef B (instanceRef scaler_I_21)) (portRef B (instanceRef scaler_I_22)) (portRef B (instanceRef scaler_I_23)) (portRef B (instanceRef scaler_I_24)) )) (net scaler_0_sqmuxa_1 (joined (portRef Y (instanceRef r_rxen_RNILB5AP)) (portRef B (instanceRef r_scaler_RNO_3_0)) (portRef B (instanceRef r_scaler_RNO_0_2)) (portRef B (instanceRef r_scaler_RNO_0_3)) (portRef B (instanceRef r_scaler_RNO_0_5)) (portRef B (instanceRef r_scaler_RNO_0_6)) (portRef B (instanceRef r_scaler_RNO_0_7)) (portRef B (instanceRef r_scaler_RNO_3_10)) (portRef B (instanceRef r_scaler_RNO_3_11)) (portRef B (instanceRef r_scaler_RNO_0_16)) (portRef B (instanceRef r_scaler_RNO_0_8)) (portRef B (instanceRef r_scaler_RNO_0_12)) (portRef B (instanceRef r_scaler_RNO_0_1)) (portRef B (instanceRef r_scaler_RNO_3_13)) (portRef B (instanceRef r_scaler_RNO_0_15)) (portRef B (instanceRef r_scaler_RNO_3_9)) (portRef B (instanceRef r_scaler_RNO_0_14)) (portRef B (instanceRef r_scaler_RNO_2_17)) (portRef B (instanceRef r_scaler_RNO_0_4)) )) (net thempty_RNO (joined (portRef Y (instanceRef r_thempty_RNO)) (portRef D (instanceRef r_thempty)) )) (net N_427 (joined (portRef Y (instanceRef r_thempty_RNO_0)) (portRef B (instanceRef r_thempty_RNO)) )) (net rxen_RNO (joined (portRef Y (instanceRef r_rxen_RNO)) (portRef D (instanceRef r_rxen)) )) (net N_418 (joined (portRef Y (instanceRef r_rxen_RNO_0)) (portRef A (instanceRef r_rxen_RNO)) )) (net rxen_0_sqmuxa_3 (joined (portRef Y (instanceRef r_rxen_RNO_1)) (portRef B (instanceRef r_rxen_RNO)) )) (net rxen_1_sqmuxa_2 (joined (portRef Y (instanceRef r_rxen_RNO_3)) (portRef S (instanceRef r_rxen_RNO_0)) )) (net tcnt_0_sqmuxa_1 (joined (portRef Y (instanceRef r_tcnt_RNIHFKV3_0_1)) (portRef B (instanceRef un1_r_tcnt_1_I_1)) (portRef B (instanceRef un1_r_tcnt_1_I_8)) )) (net (rename tcnt_1_1 "tcnt_1[1]") (joined (portRef Y (instanceRef r_tcnt_RNO_1)) (portRef D (instanceRef r_tcnt_1)) )) (net I_10 (joined (portRef Y (instanceRef un1_r_tcnt_1_I_10)) (portRef B (instanceRef r_tcnt_RNO_1)) )) (net (rename tcnt_1_0 "tcnt_1[0]") (joined (portRef Y (instanceRef r_tcnt_RNO_0)) (portRef D (instanceRef r_tcnt_0)) )) (net (rename DWACT_ADD_CI_0_partial_sum_0 "DWACT_ADD_CI_0_partial_sum[0]") (joined (portRef Y (instanceRef un1_r_tcnt_1_I_8)) (portRef B (instanceRef r_tcnt_RNO_0)) )) (net rxen_1 (joined (portRef Y (instanceRef r_rxen_RNO_2)) (portRef A (instanceRef r_rxen_RNO_0)) )) (net (rename pwdata_0_d0 "pwdata[0]") (joined (portRef pwdata_0_d0) (portRef B (instanceRef r_scaler_RNO_2_0)) (portRef A (instanceRef r_rxen_RNO_2)) (portRef C (instanceRef r_brate_RNO_3_0)) )) (net N_325 (joined (portRef Y (instanceRef r_frame_RNIJEH8)) (portRef N_325) )) (net (rename brate_RNO_8 "brate_RNO[8]") (joined (portRef Y (instanceRef r_brate_RNO_8)) (portRef D (instanceRef r_brate_8)) )) (net N_399 (joined (portRef Y (instanceRef r_brate_RNO_0_8)) (portRef B (instanceRef r_brate_RNO_8)) )) (net (rename prdata_1 "prdata[1]") (joined (portRef Y (instanceRef r_tsempty_RNIO80N)) (portRef (member prdata 1)) )) (net (rename rdata_3_1 "rdata_3[1]") (joined (portRef Y (instanceRef r_tcnt_RNI73NE_3_1)) (portRef A (instanceRef r_tsempty_RNIO80N)) )) (net N_321 (joined (portRef Y (instanceRef r_tsempty_RNINBC5)) (portRef B (instanceRef r_tsempty_RNIO80N)) )) (net tsempty (joined (portRef Q (instanceRef r_tsempty)) (portRef A (instanceRef r_tsempty_RNO_0)) (portRef A (instanceRef r_tsempty_RNINBC5)) )) (net un1_rdata23 (joined (portRef Y (instanceRef uartop_un1_rdata23)) (portRef un1_rdata23) )) (net N_74 (joined (portRef Y (instanceRef r_brate_RNI0O3C_2)) (portRef B (instanceRef r_brate_RNIDSLF_2)) )) (net thempty (joined (portRef Q (instanceRef r_thempty)) (portRef B (instanceRef r_thempty_RNI6RFE)) (portRef A (instanceRef r_brate_RNI0O3C_2)) (portRef B (instanceRef r_thempty_RNO_0)) (portRef thempty) )) (net (rename paddr_3 "paddr[3]") (joined (portRef (member paddr 0)) (portRef A (instanceRef v_brate_1_sqmuxa_1_0)) (portRef S (instanceRef r_brate_RNI0O3C_2)) (portRef A (instanceRef uartop_un1_rdata23)) )) (net (rename prdata_2 "prdata[2]") (joined (portRef Y (instanceRef r_brate_RNIDSLF_2)) (portRef (member prdata 0)) )) (net (rename paddr_2_2 "paddr_2[2]") (joined (portRef (member paddr_2 0)) (portRef B (instanceRef v_brate_1_sqmuxa_1_0)) (portRef S (instanceRef r_brate_RNIDSLF_2)) (portRef B (instanceRef uartop_un1_rdata23)) )) (net N_80 (joined (portRef Y (instanceRef r_txtick_RNI6M9D)) (portRef B (instanceRef r_tshift_RNO_1_2)) (portRef B (instanceRef r_tshift_RNO_1_3)) (portRef B (instanceRef r_tshift_RNO_1_4)) (portRef B (instanceRef r_tshift_RNO_1_6)) (portRef A (instanceRef r_tshift_RNO_9)) (portRef B (instanceRef r_tshift_RNO_2_0)) (portRef B (instanceRef r_tshift_RNO_1_8)) (portRef B (instanceRef r_tshift_RNO_1_5)) (portRef B (instanceRef r_tshift_RNO_1_1)) (portRef B (instanceRef r_tshift_RNO_1_7)) )) (net N_437 (joined (portRef Y (instanceRef r_tsempty_RNO_0)) (portRef B (instanceRef r_tsempty_RNO)) )) (net N_82 (joined (portRef Y (instanceRef r_tsempty_RNO_1)) (portRef S (instanceRef r_tsempty_RNO_0)) )) (net N_548 (joined (portRef Y (instanceRef r_txstate_RNO_0_0)) (portRef B (instanceRef r_txstate_RNO_0)) )) (net N_548s (joined (portRef Y (instanceRef r_txstate_RNO_0)) (portRef D (instanceRef r_txstate_0)) )) (net N_549 (joined (portRef Y (instanceRef r_txstate_RNO_0_1)) (portRef B (instanceRef r_txstate_RNO_1)) )) (net N_549s (joined (portRef Y (instanceRef r_txstate_RNO_1)) (portRef D (instanceRef r_txstate_1)) )) (net (rename tshift_10_0_iv_9 "tshift_10_0_iv[9]") (joined (portRef Y (instanceRef r_tshift_RNO_9)) (portRef D (instanceRef r_tshift_9)) )) (net tsempty_RNO (joined (portRef Y (instanceRef r_tsempty_RNO)) (portRef D (instanceRef r_tsempty)) )) (net (rename txclk_1 "txclk[1]") (joined (portRef Q (instanceRef r_txclk_1)) (portRef A (instanceRef un3_txclk_1_SUM1_0)) (portRef A (instanceRef un3_txclk_1_CO1)) )) (net N_667_i_0 (joined (portRef Y (instanceRef un3_txclk_1_SUM1_0)) (portRef C (instanceRef r_txclk_RNO_1)) )) (net N_668_i_0 (joined (portRef Y (instanceRef un3_txclk_1_SUM2_0)) (portRef C (instanceRef r_txclk_RNO_2)) )) (net N_21 (joined (portRef Y (instanceRef r_txclk_RNO_2)) (portRef D (instanceRef r_txclk_2)) )) (net N_19 (joined (portRef Y (instanceRef r_txclk_RNO_1)) (portRef D (instanceRef r_txclk_1)) )) (net N_13 (joined (portRef Y (instanceRef r_tick_RNI5JQ31)) (portRef E (instanceRef r_txclk_2)) (portRef E (instanceRef r_txclk_1)) (portRef E (instanceRef r_txclk_0)) )) (net N_410 (joined (portRef Y (instanceRef r_rsempty_RNO_0)) (portRef B (instanceRef r_rsempty_RNO)) )) (net rsempty_1 (joined (portRef Y (instanceRef r_rsempty_RNO_1)) (portRef B (instanceRef r_rsempty_RNO_0)) )) (net (rename rxstateZ0Z_1 "rxstate_1") (joined (portRef Y (instanceRef r_rsempty_RNO_2)) (portRef S (instanceRef r_rsempty_RNO_0)) )) (net (rename rxstate_nss_1 "rxstate_nss[1]") (joined (portRef Y (instanceRef r_rxstate_RNO_1)) (portRef D (instanceRef r_rxstate_1)) )) (net N_630 (joined (portRef Y (instanceRef r_rxstate_RNO_0_1)) (portRef A (instanceRef r_rxstate_RNO_1)) )) (net rsempty_RNO (joined (portRef Y (instanceRef r_rsempty_RNO)) (portRef D (instanceRef r_rsempty)) )) (net dready_0_sqmuxa_1 (joined (portRef Y (instanceRef r_rxdb_RNI8U971_0)) (portRef E (instanceRef r_rhold_7)) (portRef E (instanceRef r_rhold_6)) (portRef E (instanceRef r_rhold_5)) (portRef E (instanceRef r_rhold_4)) (portRef E (instanceRef r_rhold_3)) (portRef E (instanceRef r_rhold_2)) (portRef E (instanceRef r_rhold_1)) (portRef E (instanceRef r_rhold_0)) (portRef B (instanceRef r_dready_RNO)) )) (net rsempty_0_sqmuxa_1_1 (joined (portRef Y (instanceRef r_rxdb_RNICUFC_0)) (portRef B (instanceRef r_rxdb_RNI8U971_0)) )) (net rsempty_0_sqmuxa_2 (joined (portRef Y (instanceRef r_rsempty_RNO_3)) (portRef B (instanceRef r_rsempty_RNO_1)) )) (net rsempty_2 (joined (portRef Y (instanceRef r_rsempty_RNILFN1_0)) (portRef A (instanceRef r_ovf_RNO_1)) (portRef A (instanceRef r_rsempty_RNO_1)) )) (net N_606 (joined (portRef Y (instanceRef r_rsempty_RNO_4)) (portRef S (instanceRef r_rsempty_RNO_1)) )) (net rxdb_4 (joined (portRef Y (instanceRef r_rxen_RNICM07)) (portRef A (instanceRef r_rsempty_RNO_4)) (portRef C (instanceRef r_rxstate_RNIJGPI_0)) )) (net rshift_0_sqmuxa (joined (portRef Y (instanceRef r_rxtick_RNIE3OQ)) (portRef S (instanceRef r_rshift_RNO_0_0)) (portRef S (instanceRef r_rshift_RNO_0_1)) (portRef S (instanceRef r_rshift_RNO_0_2)) (portRef S (instanceRef r_rshift_RNO_0_3)) (portRef S (instanceRef r_rshift_RNO_0_4)) (portRef S (instanceRef r_rshift_RNO_0_5)) (portRef S (instanceRef r_rshift_RNO_0_6)) (portRef S (instanceRef r_rshift_RNO_0_7)) )) (net N_615 (joined (portRef Y (instanceRef r_rxtick_RNI0M9D_0)) (portRef B (instanceRef r_rxtick_RNIE3OQ)) )) (net (rename rxclk_1 "rxclk[1]") (joined (portRef Q (instanceRef r_rxclk_1)) (portRef A (instanceRef un2_rxclk_1_SUM1_0)) (portRef A (instanceRef un2_rxclk_1_CO1)) )) (net (rename rxclk_0 "rxclk[0]") (joined (portRef Q (instanceRef r_rxclk_0)) (portRef C (instanceRef r_rxclk_RNO_0)) (portRef B (instanceRef un2_rxclk_1_SUM1_0)) (portRef B (instanceRef un2_rxclk_1_CO1)) )) (net N_662_i (joined (portRef Y (instanceRef un2_rxclk_1_SUM1_0)) (portRef C (instanceRef r_rxclk_RNO_1)) )) (net N_663_i (joined (portRef Y (instanceRef un2_rxclk_1_SUM2_0)) (portRef A (instanceRef r_rxclk_RNO_2)) )) (net rxclk_1_sqmuxa_1 (joined (portRef Y (instanceRef r_tick_RNICIQQ)) (portRef E (instanceRef r_rxclk_2)) (portRef E (instanceRef r_rxclk_1)) (portRef E (instanceRef r_rxclk_0)) )) (net (rename rxclk_1_0 "rxclk_1[0]") (joined (portRef Y (instanceRef r_rxclk_RNO_0)) (portRef D (instanceRef r_rxclk_0)) )) (net (rename rxclk_1_1 "rxclk_1[1]") (joined (portRef Y (instanceRef r_rxclk_RNO_1)) (portRef D (instanceRef r_rxclk_1)) )) (net N_6 (joined (portRef Y (instanceRef r_rxdb_RNO_0)) (portRef D (instanceRef r_rxdb_0)) )) (net (rename rxf_2 "rxf[2]") (joined (portRef Q (instanceRef r_rxf_2)) (portRef D (instanceRef r_rxf_3)) (portRef A (instanceRef r_rxdb_RNO_0)) )) (net (rename rxf_4 "rxf[4]") (joined (portRef Q (instanceRef r_rxf_4)) (portRef B (instanceRef r_rxdb_RNO_0)) )) (net (rename rxf_3 "rxf[3]") (joined (portRef Q (instanceRef r_rxf_3)) (portRef D (instanceRef r_rxf_4)) (portRef C (instanceRef r_rxdb_RNO_0)) )) (net N_62 (joined (portRef Y (instanceRef r_scaler_RNISMOP_0)) (portRef E (instanceRef r_rxf_4)) (portRef E (instanceRef r_rxf_3)) (portRef E (instanceRef r_rxf_2)) )) (net (rename rxclk_1_2 "rxclk_1[2]") (joined (portRef Y (instanceRef r_rxclk_RNO_2)) (portRef D (instanceRef r_rxclk_2)) )) (net N_390 (joined (portRef Y (instanceRef r_fedge_RNO_0)) (portRef B (instanceRef r_fedge_RNO)) )) (net un1_tmp (joined (portRef Y (instanceRef r_fedge_RNO_1)) (portRef C (instanceRef r_fedge_RNO_0)) )) (net fedge_RNO (joined (portRef Y (instanceRef r_fedge_RNO)) (portRef D (instanceRef r_fedge)) )) (net N_618 (joined (portRef Y (instanceRef r_rxdb_RNITI9_1)) (portRef B (instanceRef r_rxen_RNICM07)) (portRef B (instanceRef r_fedge_RNO_1)) )) (net (rename rshift_RNO_7 "rshift_RNO[7]") (joined (portRef Y (instanceRef r_rshift_RNO_7)) (portRef D (instanceRef r_rshift_7)) )) (net N_436 (joined (portRef Y (instanceRef r_rshift_RNO_0_7)) (portRef B (instanceRef r_rshift_RNO_7)) )) (net (rename rshift_RNO_6 "rshift_RNO[6]") (joined (portRef Y (instanceRef r_rshift_RNO_6)) (portRef D (instanceRef r_rshift_6)) )) (net N_435 (joined (portRef Y (instanceRef r_rshift_RNO_0_6)) (portRef B (instanceRef r_rshift_RNO_6)) )) (net (rename rshift_RNO_5 "rshift_RNO[5]") (joined (portRef Y (instanceRef r_rshift_RNO_5)) (portRef D (instanceRef r_rshift_5)) )) (net N_434 (joined (portRef Y (instanceRef r_rshift_RNO_0_5)) (portRef B (instanceRef r_rshift_RNO_5)) )) (net (rename rshift_RNO_4 "rshift_RNO[4]") (joined (portRef Y (instanceRef r_rshift_RNO_4)) (portRef D (instanceRef r_rshift_4)) )) (net N_433 (joined (portRef Y (instanceRef r_rshift_RNO_0_4)) (portRef B (instanceRef r_rshift_RNO_4)) )) (net (rename rshift_RNO_3 "rshift_RNO[3]") (joined (portRef Y (instanceRef r_rshift_RNO_3)) (portRef D (instanceRef r_rshift_3)) )) (net N_432 (joined (portRef Y (instanceRef r_rshift_RNO_0_3)) (portRef B (instanceRef r_rshift_RNO_3)) )) (net (rename rshift_RNO_2 "rshift_RNO[2]") (joined (portRef Y (instanceRef r_rshift_RNO_2)) (portRef D (instanceRef r_rshift_2)) )) (net N_431 (joined (portRef Y (instanceRef r_rshift_RNO_0_2)) (portRef B (instanceRef r_rshift_RNO_2)) )) (net (rename rshift_RNO_1 "rshift_RNO[1]") (joined (portRef Y (instanceRef r_rshift_RNO_1)) (portRef D (instanceRef r_rshift_1)) )) (net N_430 (joined (portRef Y (instanceRef r_rshift_RNO_0_1)) (portRef B (instanceRef r_rshift_RNO_1)) )) (net (rename rshift_RNO_0 "rshift_RNO[0]") (joined (portRef Y (instanceRef r_rshift_RNO_0)) (portRef D (instanceRef r_rshift_0)) )) (net N_429 (joined (portRef Y (instanceRef r_rshift_RNO_0_0)) (portRef B (instanceRef r_rshift_RNO_0)) )) (net (rename rxstate_nss_0 "rxstate_nss[0]") (joined (portRef Y (instanceRef r_rxstate_RNO_0)) (portRef D (instanceRef r_rxstate_0)) )) (net dready_RNO (joined (portRef Y (instanceRef r_dready_RNO)) (portRef D (instanceRef r_dready)) )) (net (rename brate_RNO_9 "brate_RNO[9]") (joined (portRef Y (instanceRef r_brate_RNO_9)) (portRef D (instanceRef r_brate_9)) )) (net N_400 (joined (portRef Y (instanceRef r_brate_RNO_0_9)) (portRef B (instanceRef r_brate_RNO_9)) )) (net (rename brate_RNO_13 "brate_RNO[13]") (joined (portRef Y (instanceRef r_brate_RNO_13)) (portRef D (instanceRef r_brate_13)) )) (net N_404 (joined (portRef Y (instanceRef r_brate_RNO_0_13)) (portRef B (instanceRef r_brate_RNO_13)) )) (net N_326 (joined (portRef Y (instanceRef r_brate_RNIHC65_7)) (portRef N_326) )) (net (rename un1_dcom0_13 "un1_dcom0[15]") (joined (portRef Q (instanceRef r_brate_13)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_6)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_36)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_37)) (portRef A (instanceRef r_scaler_RNO_3_13)) (portRef B (instanceRef r_brate_RNO_0_13)) (portRef B (instanceRef r_brate_RNIILFH_13)) (portRef un1_dcom0_13) )) (net (rename pwdata_4 "pwdata[4]") (joined (portRef pwdata_4) (portRef B (instanceRef r_scaler_RNO_3_4)) )) (net (rename scaler_0_4 "scaler_0[4]") (joined (portRef Y (instanceRef scaler_I_61)) (portRef B (instanceRef r_scaler_RNO_2_4)) )) (net (rename pwdata_17 "pwdata[17]") (joined (portRef pwdata_17) (portRef B (instanceRef r_scaler_RNO_1_17)) (portRef B (instanceRef r_brate_RNO_2_17)) )) (net (rename scaler_0_14 "scaler_0[14]") (joined (portRef Y (instanceRef scaler_I_64)) (portRef B (instanceRef r_scaler_RNO_2_14)) )) (net (rename pwdata_9 "pwdata[9]") (joined (portRef pwdata_9) (portRef B (instanceRef r_scaler_RNO_2_9)) (portRef C (instanceRef r_brate_RNO_3_9)) )) (net (rename pwdata_13 "pwdata[13]") (joined (portRef pwdata_13) (portRef B (instanceRef r_scaler_RNO_2_13)) (portRef C (instanceRef r_brate_RNO_3_13)) )) (net (rename scaler_0_1 "scaler_0[1]") (joined (portRef Y (instanceRef scaler_I_62)) (portRef B (instanceRef r_scaler_RNO_2_1)) )) (net (rename pwdata_12 "pwdata[12]") (joined (portRef pwdata_12) (portRef B (instanceRef r_scaler_RNO_3_12)) (portRef C (instanceRef r_brate_RNO_3_12)) )) (net (rename scaler_0_12 "scaler_0[12]") (joined (portRef Y (instanceRef scaler_I_59)) (portRef B (instanceRef r_scaler_RNO_2_12)) )) (net (rename pwdata_8 "pwdata[8]") (joined (portRef pwdata_8) (portRef B (instanceRef r_scaler_RNO_3_8)) (portRef C (instanceRef r_brate_RNO_3_8)) )) (net (rename scaler_0_8 "scaler_0[8]") (joined (portRef Y (instanceRef scaler_I_69)) (portRef B (instanceRef r_scaler_RNO_2_8)) )) (net (rename pwdata_16 "pwdata[16]") (joined (portRef pwdata_16) (portRef B (instanceRef r_scaler_RNO_3_16)) (portRef B (instanceRef r_brate_RNO_2_16)) )) (net (rename scaler_0_16 "scaler_0[16]") (joined (portRef Y (instanceRef scaler_I_71)) (portRef B (instanceRef r_scaler_RNO_2_16)) )) (net (rename pwdata_11 "pwdata[11]") (joined (portRef pwdata_11) (portRef B (instanceRef r_scaler_RNO_2_11)) (portRef C (instanceRef r_brate_RNO_3_11)) )) (net (rename pwdata_10 "pwdata[10]") (joined (portRef pwdata_10) (portRef B (instanceRef r_scaler_RNO_2_10)) (portRef C (instanceRef r_brate_RNO_3_10)) )) (net (rename pwdata_7 "pwdata[7]") (joined (portRef pwdata_7) (portRef B (instanceRef r_scaler_RNO_3_7)) (portRef C (instanceRef r_brate_RNO_3_7)) )) (net (rename scaler_0_7 "scaler_0[7]") (joined (portRef Y (instanceRef scaler_I_67)) (portRef B (instanceRef r_scaler_RNO_2_7)) )) (net (rename scaler_0_6 "scaler_0[6]") (joined (portRef Y (instanceRef scaler_I_65)) (portRef B (instanceRef r_scaler_RNO_2_6)) )) (net (rename pwdata_5 "pwdata[5]") (joined (portRef pwdata_5) (portRef B (instanceRef r_scaler_RNO_3_5)) )) (net (rename scaler_0_5 "scaler_0[5]") (joined (portRef Y (instanceRef scaler_I_63)) (portRef B (instanceRef r_scaler_RNO_2_5)) )) (net (rename pwdata_3 "pwdata[3]") (joined (portRef pwdata_3) (portRef B (instanceRef r_scaler_RNO_3_3)) )) (net (rename scaler_0_3 "scaler_0[3]") (joined (portRef Y (instanceRef scaler_I_72)) (portRef B (instanceRef r_scaler_RNO_2_3)) )) (net (rename pwdata_2 "pwdata[2]") (joined (portRef pwdata_2) (portRef A (instanceRef r_scaler_RNO_3_2)) )) (net (rename scaler_0_2 "scaler_0[2]") (joined (portRef Y (instanceRef scaler_I_68)) (portRef A (instanceRef r_scaler_RNO_2_2)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_rxdb_1)) (portRef CLK (instanceRef r_rxdb_0)) (portRef CLK (instanceRef r_rxf_4)) (portRef CLK (instanceRef r_rxf_3)) (portRef CLK (instanceRef r_rxf_2)) (portRef CLK (instanceRef r_rxf_1)) (portRef CLK (instanceRef r_tshift_9)) (portRef CLK (instanceRef r_tshift_8)) (portRef CLK (instanceRef r_tshift_7)) (portRef CLK (instanceRef r_tshift_6)) (portRef CLK (instanceRef r_tshift_5)) (portRef CLK (instanceRef r_tshift_4)) (portRef CLK (instanceRef r_tshift_3)) (portRef CLK (instanceRef r_tshift_2)) (portRef CLK (instanceRef r_tshift_1)) (portRef CLK (instanceRef r_tshift_0)) (portRef CLK (instanceRef r_tcnt_1)) (portRef CLK (instanceRef r_tcnt_0)) (portRef CLK (instanceRef r_scaler_17)) (portRef CLK (instanceRef r_scaler_16)) (portRef CLK (instanceRef r_scaler_15)) (portRef CLK (instanceRef r_scaler_14)) (portRef CLK (instanceRef r_scaler_13)) (portRef CLK (instanceRef r_scaler_12)) (portRef CLK (instanceRef r_scaler_11)) (portRef CLK (instanceRef r_scaler_10)) (portRef CLK (instanceRef r_scaler_9)) (portRef CLK (instanceRef r_scaler_8)) (portRef CLK (instanceRef r_scaler_7)) (portRef CLK (instanceRef r_scaler_6)) (portRef CLK (instanceRef r_scaler_5)) (portRef CLK (instanceRef r_scaler_4)) (portRef CLK (instanceRef r_scaler_3)) (portRef CLK (instanceRef r_scaler_2)) (portRef CLK (instanceRef r_scaler_1)) (portRef CLK (instanceRef r_scaler_0)) (portRef CLK (instanceRef r_thold_7)) (portRef CLK (instanceRef r_thold_6)) (portRef CLK (instanceRef r_thold_5)) (portRef CLK (instanceRef r_thold_4)) (portRef CLK (instanceRef r_thold_3)) (portRef CLK (instanceRef r_thold_2)) (portRef CLK (instanceRef r_thold_1)) (portRef CLK (instanceRef r_thold_0)) (portRef CLK (instanceRef r_rhold_7)) (portRef CLK (instanceRef r_rhold_6)) (portRef CLK (instanceRef r_rhold_5)) (portRef CLK (instanceRef r_rhold_4)) (portRef CLK (instanceRef r_rhold_3)) (portRef CLK (instanceRef r_rhold_2)) (portRef CLK (instanceRef r_rhold_1)) (portRef CLK (instanceRef r_rhold_0)) (portRef CLK (instanceRef r_rxclk_2)) (portRef CLK (instanceRef r_rxclk_1)) (portRef CLK (instanceRef r_rxclk_0)) (portRef CLK (instanceRef r_txclk_2)) (portRef CLK (instanceRef r_txclk_1)) (portRef CLK (instanceRef r_txclk_0)) (portRef CLK (instanceRef r_brate_17)) (portRef CLK (instanceRef r_brate_16)) (portRef CLK (instanceRef r_brate_15)) (portRef CLK (instanceRef r_brate_14)) (portRef CLK (instanceRef r_brate_13)) (portRef CLK (instanceRef r_brate_12)) (portRef CLK (instanceRef r_brate_11)) (portRef CLK (instanceRef r_brate_10)) (portRef CLK (instanceRef r_brate_9)) (portRef CLK (instanceRef r_brate_8)) (portRef CLK (instanceRef r_brate_7)) (portRef CLK (instanceRef r_brate_6)) (portRef CLK (instanceRef r_brate_5)) (portRef CLK (instanceRef r_brate_4)) (portRef CLK (instanceRef r_brate_3)) (portRef CLK (instanceRef r_brate_2)) (portRef CLK (instanceRef r_brate_1)) (portRef CLK (instanceRef r_brate_0)) (portRef CLK (instanceRef r_rshift_7)) (portRef CLK (instanceRef r_rshift_6)) (portRef CLK (instanceRef r_rshift_5)) (portRef CLK (instanceRef r_rshift_4)) (portRef CLK (instanceRef r_rshift_3)) (portRef CLK (instanceRef r_rshift_2)) (portRef CLK (instanceRef r_rshift_1)) (portRef CLK (instanceRef r_rshift_0)) (portRef CLK (instanceRef r_rxstate_1)) (portRef CLK (instanceRef r_rxstate_0)) (portRef CLK (instanceRef r_txstate_1)) (portRef CLK (instanceRef r_txstate_0)) (portRef CLK (instanceRef r_rxtick)) (portRef CLK (instanceRef r_txtick)) (portRef CLK (instanceRef r_fedge)) (portRef CLK (instanceRef r_break)) (portRef CLK (instanceRef r_frame)) (portRef CLK (instanceRef r_ovf)) (portRef CLK (instanceRef r_rxen)) (portRef CLK (instanceRef r_dready)) (portRef CLK (instanceRef r_rsempty)) (portRef CLK (instanceRef r_thempty)) (portRef CLK (instanceRef r_tsempty)) (portRef CLK (instanceRef r_tick)) )) (net (rename data_0 "data[0]") (joined (portRef Q (instanceRef r_rhold_0)) (portRef (member data 7)) )) (net (rename data_1 "data[1]") (joined (portRef Q (instanceRef r_rhold_1)) (portRef (member data 6)) )) (net (rename data_2 "data[2]") (joined (portRef Q (instanceRef r_rhold_2)) (portRef (member data 5)) )) (net (rename data_3 "data[3]") (joined (portRef Q (instanceRef r_rhold_3)) (portRef (member data 4)) )) (net (rename data_4 "data[4]") (joined (portRef Q (instanceRef r_rhold_4)) (portRef (member data 3)) )) (net (rename data_5 "data[5]") (joined (portRef Q (instanceRef r_rhold_5)) (portRef (member data 2)) )) (net (rename data_6 "data[6]") (joined (portRef Q (instanceRef r_rhold_6)) (portRef (member data 1)) )) (net (rename data_7 "data[7]") (joined (portRef Q (instanceRef r_rhold_7)) (portRef (member data 0)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef (member hwdata 7)) (portRef D (instanceRef r_thold_0)) )) (net write (joined (portRef write) (portRef E (instanceRef r_thold_7)) (portRef E (instanceRef r_thold_6)) (portRef E (instanceRef r_thold_5)) (portRef E (instanceRef r_thold_4)) (portRef E (instanceRef r_thold_3)) (portRef E (instanceRef r_thold_2)) (portRef E (instanceRef r_thold_1)) (portRef E (instanceRef r_thold_0)) (portRef C (instanceRef r_thempty_RNO_0)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef (member hwdata 6)) (portRef D (instanceRef r_thold_1)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef (member hwdata 5)) (portRef D (instanceRef r_thold_2)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef (member hwdata 4)) (portRef D (instanceRef r_thold_3)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef (member hwdata 3)) (portRef D (instanceRef r_thold_4)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef (member hwdata 2)) (portRef D (instanceRef r_thold_5)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef (member hwdata 1)) (portRef D (instanceRef r_thold_6)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef (member hwdata 0)) (portRef D (instanceRef r_thold_7)) )) (net ahbtxd_c (joined (portRef Q (instanceRef r_tshift_0)) (portRef B (instanceRef r_tshift_RNO_1_0)) (portRef ahbtxd_c) )) (net (rename rxf_0 "rxf[0]") (joined (portRef rxf_0) (portRef D (instanceRef r_rxf_1)) )) (net (rename rxf_1 "rxf[1]") (joined (portRef Q (instanceRef r_rxf_1)) (portRef D (instanceRef r_rxf_2)) (portRef rxf_1) )) (net (rename DWACT_COMP0_E_1 "DWACT_COMP0_E[1]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_9)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_100)) )) (net (rename DWACT_COMP0_E_2 "DWACT_COMP0_E[2]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_95)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_100)) )) (net (rename DWACT_COMP0_E_0 "DWACT_COMP0_E[0]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_52)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_100)) )) (net (rename DWACT_CMPLE_PO2_DWACT_COMP0_E_1 "DWACT_CMPLE_PO2_DWACT_COMP0_E[1]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_60)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_95)) )) (net (rename DWACT_CMPLE_PO2_DWACT_COMP0_E_2 "DWACT_CMPLE_PO2_DWACT_COMP0_E[2]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_88)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_95)) )) (net (rename DWACT_CMPLE_PO2_DWACT_COMP0_E_0 "DWACT_CMPLE_PO2_DWACT_COMP0_E[0]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_71)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_95)) )) (net N_21_0 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_87)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_88)) )) (net N_20 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_86)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_88)) )) (net N_19_0 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_85)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_88)) )) (net N_16 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_82)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_87)) )) (net N_18 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_84)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_87)) )) (net N_17 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_83)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_87)) )) (net N_15 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_81)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_86)) )) (net N_12 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_78)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_84)) )) (net N_13_0 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_79)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_83)) )) (net N_14 (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_80)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_82)) )) (net (rename ACT_LT3_E_3 "ACT_LT3_E[3]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_68)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_71)) )) (net (rename ACT_LT3_E_4 "ACT_LT3_E[4]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_69)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_71)) )) (net (rename ACT_LT3_E_5 "ACT_LT3_E[5]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_70)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_71)) )) (net (rename ACT_LT3_E_0 "ACT_LT3_E[0]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_65)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_68)) )) (net (rename ACT_LT3_E_1 "ACT_LT3_E[1]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_66)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_68)) )) (net (rename ACT_LT3_E_2 "ACT_LT3_E[2]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_67)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_68)) )) (net (rename DWACT_BL_EQUAL_0_E_2 "DWACT_BL_EQUAL_0_E[2]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_59)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_60)) )) (net (rename DWACT_BL_EQUAL_0_E_1 "DWACT_BL_EQUAL_0_E[1]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_58)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_60)) )) (net (rename DWACT_BL_EQUAL_0_E_0 "DWACT_BL_EQUAL_0_E[0]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_57)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_60)) )) (net (rename DWACT_CMPLE_PO0_DWACT_COMP0_E_1 "DWACT_CMPLE_PO0_DWACT_COMP0_E[1]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_20)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_52)) )) (net (rename DWACT_CMPLE_PO0_DWACT_COMP0_E_2 "DWACT_CMPLE_PO0_DWACT_COMP0_E[2]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_45)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_52)) )) (net (rename DWACT_CMPLE_PO0_DWACT_COMP0_E_0 "DWACT_CMPLE_PO0_DWACT_COMP0_E[0]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_28)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_52)) )) (net (rename ACT_LT4_E_3 "ACT_LT4_E[3]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_38)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_45)) )) (net (rename ACT_LT4_E_6 "ACT_LT4_E[6]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_41)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_45)) )) (net (rename ACT_LT4_E_10 "ACT_LT4_E[10]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_44)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_45)) )) (net (rename ACT_LT4_E_7 "ACT_LT4_E[7]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_42)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_44)) )) (net (rename ACT_LT4_E_8 "ACT_LT4_E[8]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_43)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_44)) )) (net (rename ACT_LT4_E_5 "ACT_LT4_E[5]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_40)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_41)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_44)) )) (net (rename ACT_LT4_E_4 "ACT_LT4_E[4]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_39)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_41)) )) (net (rename ACT_LT4_E_0 "ACT_LT4_E[0]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_35)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_38)) )) (net (rename ACT_LT4_E_1 "ACT_LT4_E[1]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_36)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_38)) )) (net (rename ACT_LT4_E_2 "ACT_LT4_E[2]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_37)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_38)) )) (net (rename ACT_LT2_E_0 "ACT_LT2_E[0]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_25)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_28)) )) (net (rename ACT_LT2_E_1 "ACT_LT2_E[1]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_26)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_28)) )) (net (rename ACT_LT2_E_2 "ACT_LT2_E[2]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_27)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_28)) )) (net (rename DWACT_BL_EQUAL_0_E_0_1 "DWACT_BL_EQUAL_0_E_0[1]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_19)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_20)) )) (net (rename DWACT_BL_EQUAL_0_E_0_0 "DWACT_BL_EQUAL_0_E_0[0]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_18)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_20)) )) (net (rename DWACT_BL_EQUAL_0_DWACT_ANDTREE_E_1 "DWACT_BL_EQUAL_0_DWACT_ANDTREE_E[1]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_8)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_9)) )) (net (rename DWACT_BL_EQUAL_0_DWACT_ANDTREE_E_0 "DWACT_BL_EQUAL_0_DWACT_ANDTREE_E[0]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_7)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_9)) )) (net (rename DWACT_BL_EQUAL_0_E_3 "DWACT_BL_EQUAL_0_E[3]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_2)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_8)) )) (net (rename DWACT_BL_EQUAL_0_E_4 "DWACT_BL_EQUAL_0_E[4]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_3)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_8)) )) (net (rename DWACT_BL_EQUAL_0_E_5 "DWACT_BL_EQUAL_0_E[5]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_4)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_8)) )) (net (rename DWACT_BL_EQUAL_0_E_1_0 "DWACT_BL_EQUAL_0_E_1[0]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_5)) (portRef A (instanceRef uartop_op_gt_v_brate2_0_I_7)) )) (net (rename DWACT_BL_EQUAL_0_E_1_1 "DWACT_BL_EQUAL_0_E_1[1]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_6)) (portRef B (instanceRef uartop_op_gt_v_brate2_0_I_7)) )) (net (rename DWACT_BL_EQUAL_0_E_0_2 "DWACT_BL_EQUAL_0_E_0[2]") (joined (portRef Y (instanceRef uartop_op_gt_v_brate2_0_I_1)) (portRef C (instanceRef uartop_op_gt_v_brate2_0_I_7)) )) (net (rename DWACT_ADD_CI_0_pog_array_1_6_0 "DWACT_ADD_CI_0_pog_array_1_6[0]") (joined (portRef Y (instanceRef scaler_I_114)) (portRef A (instanceRef scaler_I_79)) (portRef B (instanceRef scaler_I_112)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_13_0 "DWACT_ADD_CI_0_pog_array_0_13[0]") (joined (portRef Y (instanceRef scaler_I_28)) (portRef A (instanceRef scaler_I_87)) (portRef A (instanceRef scaler_I_114)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_14_0 "DWACT_ADD_CI_0_pog_array_0_14[0]") (joined (portRef Y (instanceRef scaler_I_22)) (portRef A (instanceRef scaler_I_88)) (portRef B (instanceRef scaler_I_114)) )) (net (rename DWACT_ADD_CI_0_pog_array_2_1_0 "DWACT_ADD_CI_0_pog_array_2_1[0]") (joined (portRef Y (instanceRef scaler_I_113)) (portRef A (instanceRef scaler_I_78)) (portRef A (instanceRef scaler_I_104)) )) (net (rename DWACT_ADD_CI_0_pog_array_1_3_0 "DWACT_ADD_CI_0_pog_array_1_3[0]") (joined (portRef Y (instanceRef scaler_I_106)) (portRef A (instanceRef scaler_I_82)) (portRef A (instanceRef scaler_I_113)) )) (net (rename DWACT_ADD_CI_0_pog_array_1_4_0 "DWACT_ADD_CI_0_pog_array_1_4[0]") (joined (portRef Y (instanceRef scaler_I_109)) (portRef A (instanceRef scaler_I_89)) (portRef B (instanceRef scaler_I_113)) )) (net (rename DWACT_ADD_CI_0_pog_array_2_2_0 "DWACT_ADD_CI_0_pog_array_2_2[0]") (joined (portRef Y (instanceRef scaler_I_112)) (portRef A (instanceRef scaler_I_91)) (portRef B (instanceRef scaler_I_104)) )) (net (rename DWACT_ADD_CI_0_pog_array_1_5_0 "DWACT_ADD_CI_0_pog_array_1_5[0]") (joined (portRef Y (instanceRef scaler_I_111)) (portRef A (instanceRef scaler_I_102)) (portRef A (instanceRef scaler_I_112)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_11_0 "DWACT_ADD_CI_0_pog_array_0_11[0]") (joined (portRef Y (instanceRef scaler_I_30)) (portRef A (instanceRef scaler_I_100)) (portRef A (instanceRef scaler_I_111)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_12_0 "DWACT_ADD_CI_0_pog_array_0_12[0]") (joined (portRef Y (instanceRef scaler_I_25)) (portRef A (instanceRef scaler_I_84)) (portRef B (instanceRef scaler_I_111)) )) (net (rename DWACT_ADD_CI_0_pog_array_1_2_0 "DWACT_ADD_CI_0_pog_array_1_2[0]") (joined (portRef Y (instanceRef scaler_I_110)) (portRef A (instanceRef scaler_I_98)) (portRef B (instanceRef scaler_I_107)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_5_0 "DWACT_ADD_CI_0_pog_array_0_5[0]") (joined (portRef Y (instanceRef scaler_I_24)) (portRef A (instanceRef scaler_I_93)) (portRef A (instanceRef scaler_I_110)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_6_0 "DWACT_ADD_CI_0_pog_array_0_6[0]") (joined (portRef Y (instanceRef scaler_I_35)) (portRef A (instanceRef scaler_I_85)) (portRef B (instanceRef scaler_I_110)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_9_0 "DWACT_ADD_CI_0_pog_array_0_9[0]") (joined (portRef Y (instanceRef scaler_I_27)) (portRef A (instanceRef scaler_I_97)) (portRef A (instanceRef scaler_I_109)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_10_0 "DWACT_ADD_CI_0_pog_array_0_10[0]") (joined (portRef Y (instanceRef scaler_I_33)) (portRef A (instanceRef scaler_I_80)) (portRef B (instanceRef scaler_I_109)) )) (net (rename DWACT_ADD_CI_0_pog_array_1_1_0 "DWACT_ADD_CI_0_pog_array_1_1[0]") (joined (portRef Y (instanceRef scaler_I_108)) (portRef A (instanceRef scaler_I_99)) (portRef A (instanceRef scaler_I_107)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_3_0 "DWACT_ADD_CI_0_pog_array_0_3[0]") (joined (portRef Y (instanceRef scaler_I_23)) (portRef A (instanceRef scaler_I_90)) (portRef A (instanceRef scaler_I_108)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_4_0 "DWACT_ADD_CI_0_pog_array_0_4[0]") (joined (portRef Y (instanceRef scaler_I_31)) (portRef A (instanceRef scaler_I_81)) (portRef B (instanceRef scaler_I_108)) )) (net (rename DWACT_ADD_CI_0_pog_array_2_0 "DWACT_ADD_CI_0_pog_array_2[0]") (joined (portRef Y (instanceRef scaler_I_107)) (portRef A (instanceRef scaler_I_83)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_7_0 "DWACT_ADD_CI_0_pog_array_0_7[0]") (joined (portRef Y (instanceRef scaler_I_37)) (portRef A (instanceRef scaler_I_94)) (portRef A (instanceRef scaler_I_106)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_8_0 "DWACT_ADD_CI_0_pog_array_0_8[0]") (joined (portRef Y (instanceRef scaler_I_34)) (portRef A (instanceRef scaler_I_76)) (portRef B (instanceRef scaler_I_106)) )) (net (rename DWACT_ADD_CI_0_pog_array_1_0 "DWACT_ADD_CI_0_pog_array_1[0]") (joined (portRef Y (instanceRef scaler_I_105)) (portRef A (instanceRef scaler_I_96)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_1_0 "DWACT_ADD_CI_0_pog_array_0_1[0]") (joined (portRef Y (instanceRef scaler_I_32)) (portRef A (instanceRef scaler_I_101)) (portRef A (instanceRef scaler_I_105)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_2_0 "DWACT_ADD_CI_0_pog_array_0_2[0]") (joined (portRef Y (instanceRef scaler_I_29)) (portRef A (instanceRef scaler_I_77)) (portRef B (instanceRef scaler_I_105)) )) (net (rename DWACT_ADD_CI_0_pog_array_3_0 "DWACT_ADD_CI_0_pog_array_3[0]") (joined (portRef Y (instanceRef scaler_I_104)) (portRef A (instanceRef scaler_I_95)) )) (net (rename DWACT_ADD_CI_0_g_array_12_7_0 "DWACT_ADD_CI_0_g_array_12_7[0]") (joined (portRef Y (instanceRef scaler_I_103)) (portRef B (instanceRef scaler_I_74)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_15_0 "DWACT_ADD_CI_0_pog_array_0_15[0]") (joined (portRef Y (instanceRef scaler_I_36)) (portRef A (instanceRef scaler_I_103)) )) (net (rename DWACT_ADD_CI_0_g_array_4_0 "DWACT_ADD_CI_0_g_array_4[0]") (joined (portRef Y (instanceRef scaler_I_95)) (portRef B (instanceRef scaler_I_71)) (portRef B (instanceRef scaler_I_103)) )) (net (rename DWACT_ADD_CI_0_g_array_0_16_0 "DWACT_ADD_CI_0_g_array_0_16[0]") (joined (portRef Y (instanceRef scaler_I_7)) (portRef C (instanceRef scaler_I_103)) )) (net (rename DWACT_ADD_CI_0_g_array_11_2_0 "DWACT_ADD_CI_0_g_array_11_2[0]") (joined (portRef Y (instanceRef scaler_I_102)) (portRef B (instanceRef scaler_I_64)) (portRef B (instanceRef scaler_I_87)) )) (net (rename DWACT_ADD_CI_0_g_array_10_0 "DWACT_ADD_CI_0_g_array_10[0]") (joined (portRef Y (instanceRef scaler_I_78)) (portRef B (instanceRef scaler_I_59)) (portRef B (instanceRef scaler_I_100)) (portRef B (instanceRef scaler_I_102)) )) (net (rename DWACT_ADD_CI_0_g_array_1_6_0 "DWACT_ADD_CI_0_g_array_1_6[0]") (joined (portRef Y (instanceRef scaler_I_84)) (portRef B (instanceRef scaler_I_79)) (portRef C (instanceRef scaler_I_102)) )) (net (rename DWACT_ADD_CI_0_g_array_12_0 "DWACT_ADD_CI_0_g_array_12[0]") (joined (portRef Y (instanceRef scaler_I_101)) (portRef B (instanceRef scaler_I_72)) )) (net (rename DWACT_ADD_CI_0_g_array_1_0 "DWACT_ADD_CI_0_g_array_1[0]") (joined (portRef Y (instanceRef scaler_I_75)) (portRef B (instanceRef scaler_I_68)) (portRef B (instanceRef scaler_I_96)) (portRef B (instanceRef scaler_I_101)) )) (net (rename DWACT_ADD_CI_0_g_array_0_2_0 "DWACT_ADD_CI_0_g_array_0_2[0]") (joined (portRef Y (instanceRef scaler_I_12)) (portRef B (instanceRef scaler_I_77)) (portRef C (instanceRef scaler_I_101)) )) (net (rename DWACT_ADD_CI_0_g_array_12_5_0 "DWACT_ADD_CI_0_g_array_12_5[0]") (joined (portRef Y (instanceRef scaler_I_100)) (portRef B (instanceRef scaler_I_58)) )) (net (rename DWACT_ADD_CI_0_g_array_0_12_0 "DWACT_ADD_CI_0_g_array_0_12[0]") (joined (portRef Y (instanceRef scaler_I_5)) (portRef B (instanceRef scaler_I_84)) (portRef C (instanceRef scaler_I_100)) )) (net (rename DWACT_ADD_CI_0_g_array_11_0 "DWACT_ADD_CI_0_g_array_11[0]") (joined (portRef Y (instanceRef scaler_I_99)) (portRef B (instanceRef scaler_I_65)) (portRef B (instanceRef scaler_I_93)) )) (net (rename DWACT_ADD_CI_0_g_array_2_0 "DWACT_ADD_CI_0_g_array_2[0]") (joined (portRef Y (instanceRef scaler_I_96)) (portRef B (instanceRef scaler_I_61)) (portRef B (instanceRef scaler_I_83)) (portRef B (instanceRef scaler_I_90)) (portRef B (instanceRef scaler_I_99)) )) (net (rename DWACT_ADD_CI_0_g_array_1_2_0 "DWACT_ADD_CI_0_g_array_1_2[0]") (joined (portRef Y (instanceRef scaler_I_81)) (portRef B (instanceRef scaler_I_98)) (portRef C (instanceRef scaler_I_99)) )) (net (rename DWACT_ADD_CI_0_g_array_2_1_0 "DWACT_ADD_CI_0_g_array_2_1[0]") (joined (portRef Y (instanceRef scaler_I_98)) (portRef C (instanceRef scaler_I_83)) )) (net (rename DWACT_ADD_CI_0_g_array_1_3_0 "DWACT_ADD_CI_0_g_array_1_3[0]") (joined (portRef Y (instanceRef scaler_I_85)) (portRef C (instanceRef scaler_I_98)) )) (net (rename DWACT_ADD_CI_0_g_array_12_4_0 "DWACT_ADD_CI_0_g_array_12_4[0]") (joined (portRef Y (instanceRef scaler_I_97)) (portRef B (instanceRef scaler_I_57)) )) (net (rename DWACT_ADD_CI_0_g_array_11_1_0 "DWACT_ADD_CI_0_g_array_11_1[0]") (joined (portRef Y (instanceRef scaler_I_82)) (portRef B (instanceRef scaler_I_73)) (portRef B (instanceRef scaler_I_97)) )) (net (rename DWACT_ADD_CI_0_g_array_0_10_0 "DWACT_ADD_CI_0_g_array_0_10[0]") (joined (portRef Y (instanceRef scaler_I_10)) (portRef B (instanceRef scaler_I_80)) (portRef C (instanceRef scaler_I_97)) )) (net (rename DWACT_ADD_CI_0_g_array_1_1_0 "DWACT_ADD_CI_0_g_array_1_1[0]") (joined (portRef Y (instanceRef scaler_I_77)) (portRef C (instanceRef scaler_I_96)) )) (net (rename DWACT_ADD_CI_0_g_array_3_0 "DWACT_ADD_CI_0_g_array_3[0]") (joined (portRef Y (instanceRef scaler_I_83)) (portRef B (instanceRef scaler_I_69)) (portRef B (instanceRef scaler_I_78)) (portRef B (instanceRef scaler_I_82)) (portRef B (instanceRef scaler_I_94)) (portRef B (instanceRef scaler_I_95)) )) (net (rename DWACT_ADD_CI_0_g_array_3_1_0 "DWACT_ADD_CI_0_g_array_3_1[0]") (joined (portRef Y (instanceRef scaler_I_91)) (portRef C (instanceRef scaler_I_95)) )) (net (rename DWACT_ADD_CI_0_g_array_12_3_0 "DWACT_ADD_CI_0_g_array_12_3[0]") (joined (portRef Y (instanceRef scaler_I_94)) (portRef B (instanceRef scaler_I_70)) )) (net (rename DWACT_ADD_CI_0_g_array_0_8_0 "DWACT_ADD_CI_0_g_array_0_8[0]") (joined (portRef Y (instanceRef scaler_I_19)) (portRef B (instanceRef scaler_I_76)) (portRef C (instanceRef scaler_I_94)) )) (net (rename DWACT_ADD_CI_0_g_array_12_2_0 "DWACT_ADD_CI_0_g_array_12_2[0]") (joined (portRef Y (instanceRef scaler_I_93)) (portRef B (instanceRef scaler_I_67)) )) (net (rename DWACT_ADD_CI_0_g_array_0_6_0 "DWACT_ADD_CI_0_g_array_0_6[0]") (joined (portRef Y (instanceRef scaler_I_6)) (portRef B (instanceRef scaler_I_85)) (portRef C (instanceRef scaler_I_93)) )) (net (rename DWACT_ADD_CI_0_g_array_2_2_0 "DWACT_ADD_CI_0_g_array_2_2[0]") (joined (portRef Y (instanceRef scaler_I_89)) (portRef C (instanceRef scaler_I_78)) (portRef B (instanceRef scaler_I_91)) )) (net (rename DWACT_ADD_CI_0_g_array_2_3_0 "DWACT_ADD_CI_0_g_array_2_3[0]") (joined (portRef Y (instanceRef scaler_I_79)) (portRef C (instanceRef scaler_I_91)) )) (net (rename DWACT_ADD_CI_0_g_array_12_1_0 "DWACT_ADD_CI_0_g_array_12_1[0]") (joined (portRef Y (instanceRef scaler_I_90)) (portRef B (instanceRef scaler_I_63)) )) (net (rename DWACT_ADD_CI_0_g_array_0_4_0 "DWACT_ADD_CI_0_g_array_0_4[0]") (joined (portRef Y (instanceRef scaler_I_11)) (portRef B (instanceRef scaler_I_81)) (portRef C (instanceRef scaler_I_90)) )) (net (rename DWACT_ADD_CI_0_g_array_1_4_0 "DWACT_ADD_CI_0_g_array_1_4[0]") (joined (portRef Y (instanceRef scaler_I_76)) (portRef C (instanceRef scaler_I_82)) (portRef B (instanceRef scaler_I_89)) )) (net (rename DWACT_ADD_CI_0_g_array_1_5_0 "DWACT_ADD_CI_0_g_array_1_5[0]") (joined (portRef Y (instanceRef scaler_I_80)) (portRef C (instanceRef scaler_I_89)) )) (net (rename DWACT_ADD_CI_0_g_array_1_7_0 "DWACT_ADD_CI_0_g_array_1_7[0]") (joined (portRef Y (instanceRef scaler_I_88)) (portRef C (instanceRef scaler_I_79)) )) (net (rename DWACT_ADD_CI_0_g_array_0_14_0 "DWACT_ADD_CI_0_g_array_0_14[0]") (joined (portRef Y (instanceRef scaler_I_14)) (portRef C (instanceRef scaler_I_87)) (portRef B (instanceRef scaler_I_88)) )) (net (rename DWACT_ADD_CI_0_g_array_0_15_0 "DWACT_ADD_CI_0_g_array_0_15[0]") (joined (portRef Y (instanceRef scaler_I_15)) (portRef C (instanceRef scaler_I_88)) )) (net (rename DWACT_ADD_CI_0_g_array_12_6_0 "DWACT_ADD_CI_0_g_array_12_6[0]") (joined (portRef Y (instanceRef scaler_I_87)) (portRef B (instanceRef scaler_I_66)) )) (net (rename DWACT_ADD_CI_0_g_array_0_7_0 "DWACT_ADD_CI_0_g_array_0_7[0]") (joined (portRef Y (instanceRef scaler_I_17)) (portRef C (instanceRef scaler_I_85)) )) (net (rename DWACT_ADD_CI_0_g_array_0_13_0 "DWACT_ADD_CI_0_g_array_0_13[0]") (joined (portRef Y (instanceRef scaler_I_16)) (portRef C (instanceRef scaler_I_84)) )) (net (rename DWACT_ADD_CI_0_g_array_0_5_0 "DWACT_ADD_CI_0_g_array_0_5[0]") (joined (portRef Y (instanceRef scaler_I_21)) (portRef C (instanceRef scaler_I_81)) )) (net (rename DWACT_ADD_CI_0_g_array_0_11_0 "DWACT_ADD_CI_0_g_array_0_11[0]") (joined (portRef Y (instanceRef scaler_I_20)) (portRef C (instanceRef scaler_I_80)) )) (net (rename DWACT_ADD_CI_0_g_array_0_3_0 "DWACT_ADD_CI_0_g_array_0_3[0]") (joined (portRef Y (instanceRef scaler_I_9)) (portRef C (instanceRef scaler_I_77)) )) (net (rename DWACT_ADD_CI_0_g_array_0_9_0 "DWACT_ADD_CI_0_g_array_0_9[0]") (joined (portRef Y (instanceRef scaler_I_13)) (portRef C (instanceRef scaler_I_76)) )) (net (rename DWACT_ADD_CI_0_pog_array_0_0 "DWACT_ADD_CI_0_pog_array_0[0]") (joined (portRef Y (instanceRef scaler_I_26)) (portRef A (instanceRef scaler_I_75)) )) (net (rename DWACT_ADD_CI_0_g_array_0_1_0 "DWACT_ADD_CI_0_g_array_0_1[0]") (joined (portRef Y (instanceRef scaler_I_18)) (portRef C (instanceRef scaler_I_75)) )) (net (rename DWACT_ADD_CI_0_partial_sum_17 "DWACT_ADD_CI_0_partial_sum[17]") (joined (portRef Y (instanceRef scaler_I_40)) (portRef A (instanceRef scaler_I_74)) )) (net (rename DWACT_ADD_CI_0_partial_sum_10 "DWACT_ADD_CI_0_partial_sum[10]") (joined (portRef Y (instanceRef scaler_I_52)) (portRef A (instanceRef scaler_I_73)) )) (net (rename DWACT_ADD_CI_0_partial_sum_3 "DWACT_ADD_CI_0_partial_sum[3]") (joined (portRef Y (instanceRef scaler_I_50)) (portRef A (instanceRef scaler_I_72)) )) (net (rename DWACT_ADD_CI_0_partial_sum_16 "DWACT_ADD_CI_0_partial_sum[16]") (joined (portRef Y (instanceRef scaler_I_48)) (portRef A (instanceRef scaler_I_71)) )) (net (rename DWACT_ADD_CI_0_partial_sum_9 "DWACT_ADD_CI_0_partial_sum[9]") (joined (portRef Y (instanceRef scaler_I_55)) (portRef A (instanceRef scaler_I_70)) )) (net (rename DWACT_ADD_CI_0_partial_sum_8 "DWACT_ADD_CI_0_partial_sum[8]") (joined (portRef Y (instanceRef scaler_I_49)) (portRef A (instanceRef scaler_I_69)) )) (net (rename DWACT_ADD_CI_0_partial_sum_2 "DWACT_ADD_CI_0_partial_sum[2]") (joined (portRef Y (instanceRef scaler_I_53)) (portRef A (instanceRef scaler_I_68)) )) (net (rename DWACT_ADD_CI_0_partial_sum_7 "DWACT_ADD_CI_0_partial_sum[7]") (joined (portRef Y (instanceRef scaler_I_47)) (portRef A (instanceRef scaler_I_67)) )) (net (rename DWACT_ADD_CI_0_partial_sum_15 "DWACT_ADD_CI_0_partial_sum[15]") (joined (portRef Y (instanceRef scaler_I_51)) (portRef A (instanceRef scaler_I_66)) )) (net (rename DWACT_ADD_CI_0_partial_sum_6 "DWACT_ADD_CI_0_partial_sum[6]") (joined (portRef Y (instanceRef scaler_I_56)) (portRef A (instanceRef scaler_I_65)) )) (net (rename DWACT_ADD_CI_0_partial_sum_14 "DWACT_ADD_CI_0_partial_sum[14]") (joined (portRef Y (instanceRef scaler_I_54)) (portRef A (instanceRef scaler_I_64)) )) (net (rename DWACT_ADD_CI_0_partial_sum_5 "DWACT_ADD_CI_0_partial_sum[5]") (joined (portRef Y (instanceRef scaler_I_42)) (portRef A (instanceRef scaler_I_63)) )) (net (rename DWACT_ADD_CI_0_partial_sum_1 "DWACT_ADD_CI_0_partial_sum[1]") (joined (portRef Y (instanceRef scaler_I_46)) (portRef A (instanceRef scaler_I_62)) )) (net (rename DWACT_ADD_CI_0_partial_sum_4 "DWACT_ADD_CI_0_partial_sum[4]") (joined (portRef Y (instanceRef scaler_I_41)) (portRef A (instanceRef scaler_I_61)) )) (net (rename DWACT_ADD_CI_0_partial_sum_12 "DWACT_ADD_CI_0_partial_sum[12]") (joined (portRef Y (instanceRef scaler_I_45)) (portRef A (instanceRef scaler_I_59)) )) (net (rename DWACT_ADD_CI_0_partial_sum_13 "DWACT_ADD_CI_0_partial_sum[13]") (joined (portRef Y (instanceRef scaler_I_43)) (portRef A (instanceRef scaler_I_58)) )) (net (rename DWACT_ADD_CI_0_partial_sum_11 "DWACT_ADD_CI_0_partial_sum[11]") (joined (portRef Y (instanceRef scaler_I_39)) (portRef A (instanceRef scaler_I_57)) )) (net (rename DWACT_ADD_CI_0_TMP_0 "DWACT_ADD_CI_0_TMP[0]") (joined (portRef Y (instanceRef un1_r_tcnt_1_I_1)) (portRef B (instanceRef un1_r_tcnt_1_I_10)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property pindex (integer 4)) (property paddr (integer 4)) (property pmask (integer 4095)) ) ) (cell ahbmst (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename hgrant "hgrant[1:1]") 1) (direction INPUT)) (port (array (rename iosn "iosn[93:93]") 1) (direction INPUT)) (port (array (rename htrans "htrans[1:1]") 1) (direction OUTPUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction INPUT)) (port ramclk (direction INPUT)) (port hbusreq_i_3 (direction INPUT)) (port ready (direction OUTPUT)) (port active (direction OUTPUT)) (port rstn (direction INPUT)) ) (contents (instance (rename r_retry_RNO "r.retry_RNO") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_active_RNIBP051 "r.active_RNIBP051") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_active_RNO_1 "r.active_RNO_1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_retry_RNIKH2B "r.retry_RNIKH2B") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_active_RNO "r.active_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_active_RNO_0 "r.active_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_grant "r.grant") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_active "r.active") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_retry "r.retry") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net N_3018_i (joined (portRef Y (instanceRef r_retry_RNO)) (portRef D (instanceRef r_retry)) )) (net retry (joined (portRef Q (instanceRef r_retry)) (portRef A (instanceRef r_retry_RNIKH2B)) (portRef A (instanceRef r_retry_RNO)) )) (net ready (joined (portRef Y (instanceRef r_active_RNIBP051)) (portRef ready) )) (net active (joined (portRef Q (instanceRef r_active)) (portRef B (instanceRef r_active_RNO_0)) (portRef A (instanceRef r_active_RNIBP051)) (portRef C (instanceRef r_retry_RNO)) (portRef active) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef (member iosn_0 0)) (portRef B (instanceRef r_active_RNIBP051)) )) (net active_2_i (joined (portRef Y (instanceRef r_active_RNO_1)) (portRef A (instanceRef r_active_RNO_0)) )) (net grant (joined (portRef Q (instanceRef r_grant)) (portRef A (instanceRef r_active_RNO_1)) )) (net (rename htrans_1 "htrans[1]") (joined (portRef Y (instanceRef r_retry_RNIKH2B)) (portRef B (instanceRef r_active_RNO_1)) (portRef (member htrans 0)) )) (net hbusreq_i_3 (joined (portRef hbusreq_i_3) (portRef B (instanceRef r_retry_RNIKH2B)) )) (net active_RNO (joined (portRef Y (instanceRef r_active_RNO)) (portRef D (instanceRef r_active)) )) (net rstn (joined (portRef rstn) (portRef A (instanceRef r_active_RNO)) (portRef B (instanceRef r_retry_RNO)) )) (net N_2854 (joined (portRef Y (instanceRef r_active_RNO_0)) (portRef B (instanceRef r_active_RNO)) )) (net (rename hgrant_1 "hgrant[1]") (joined (portRef (member hgrant 0)) (portRef D (instanceRef r_grant)) )) (net (rename iosn_93 "iosn[93]") (joined (portRef (member iosn 0)) (portRef E (instanceRef r_grant)) (portRef S (instanceRef r_active_RNO_0)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_retry)) (portRef CLK (instanceRef r_active)) (portRef CLK (instanceRef r_grant)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property hindex (integer 1)) (property hirq (integer 0)) (property venid (integer 1)) (property devid (integer 7)) (property version (integer 0)) (property chprot (integer 3)) (property incaddr (integer 0)) ) ) (cell dsu3x (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename hrdata "hrdata[31:0]") 32) (direction OUTPUT)) (port (array (rename haddr_0 "haddr_0[24:24]") 1) (direction INPUT)) (port haddr_21 (direction INPUT)) (port haddr_20 (direction INPUT)) (port haddr_19 (direction INPUT)) (port haddr_18 (direction INPUT)) (port haddr_17 (direction INPUT)) (port haddr_16 (direction INPUT)) (port haddr_15 (direction INPUT)) (port haddr_14 (direction INPUT)) (port haddr_13 (direction INPUT)) (port haddr_12 (direction INPUT)) (port haddr_11 (direction INPUT)) (port haddr_10 (direction INPUT)) (port haddr_9 (direction INPUT)) (port haddr_8 (direction INPUT)) (port haddr_7 (direction INPUT)) (port haddr_6 (direction INPUT)) (port haddr_5 (direction INPUT)) (port haddr_4 (direction INPUT)) (port haddr_3 (direction INPUT)) (port haddr_2 (direction INPUT)) (port haddr_1 (direction INPUT)) (port haddr_0_d0 (direction INPUT)) (port (array (rename ddata "ddata[31:0]") 32) (direction OUTPUT)) (port data_i_11 (direction INPUT)) (port data_i_1 (direction INPUT)) (port data_i_0 (direction INPUT)) (port data_i_16 (direction INPUT)) (port data_i_4 (direction INPUT)) (port data_i_3 (direction INPUT)) (port data_i_2 (direction INPUT)) (port data_0_iv_4_7 (direction INPUT)) (port data_0_iv_4_6 (direction INPUT)) (port data_0_iv_4_4 (direction INPUT)) (port data_0_iv_4_0 (direction INPUT)) (port data_0_iv_2_0 (direction INPUT)) (port data_0_iv_2_2 (direction INPUT)) (port data_0_iv_2_6 (direction INPUT)) (port data_0_iv_2_4 (direction INPUT)) (port data_0_iv_3_0 (direction INPUT)) (port data_0_iv_3_2 (direction INPUT)) (port data_0_iv_3_6 (direction INPUT)) (port data_0_iv_3_18 (direction INPUT)) (port data_0_iv_3_17 (direction INPUT)) (port data_0_iv_3_15 (direction INPUT)) (port data_0_iv_3_11 (direction INPUT)) (port data_0_iv_3_4 (direction INPUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction INPUT)) (port data_24 (direction INPUT)) (port data_22 (direction INPUT)) (port data_21 (direction INPUT)) (port data_20 (direction INPUT)) (port data_18 (direction INPUT)) (port data_17 (direction INPUT)) (port data_16 (direction INPUT)) (port data_15 (direction INPUT)) (port data_13 (direction INPUT)) (port data_9 (direction INPUT)) (port data_7 (direction INPUT)) (port data_1 (direction INPUT)) (port data_5 (direction INPUT)) (port data_2 (direction INPUT)) (port data_3 (direction INPUT)) (port data_4 (direction INPUT)) (port data_0 (direction INPUT)) (port (array (rename dbgi_i_2 "dbgi_i_2[23:23]") 1) (direction INPUT)) (port (array (rename rstate_li_0 "rstate_li_0[0:0]") 1) (direction INPUT)) (port (array (rename htrans "htrans[1:1]") 1) (direction INPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction INPUT)) (port (array (rename daddr "daddr[23:2]") 22) (direction OUTPUT)) (port dsubre_c (direction INPUT)) (port dsuact_c (direction OUTPUT)) (port hwrite (direction INPUT)) (port reset (direction OUTPUT)) (port ramclk (direction INPUT)) (port pwd (direction INPUT)) (port berror (direction OUTPUT)) (port denable (direction OUTPUT)) (port hready (direction OUTPUT)) (port te8_1 (direction OUTPUT)) (port btrapa (direction OUTPUT)) (port bwatch (direction OUTPUT)) (port bsoft (direction OUTPUT)) (port step (direction OUTPUT)) (port un95_ioen_NE (direction INPUT)) (port N_161 (direction INPUT)) (port N_148_2 (direction OUTPUT)) (port crdy (direction INPUT)) (port dbreak (direction OUTPUT)) (port btrape (direction OUTPUT)) (port halt (direction OUTPUT)) (port rstn (direction INPUT)) (port tstop (direction INPUT)) (port te9_2 (direction OUTPUT)) (port dwrite (direction OUTPUT)) ) (contents (instance (rename r_slv_hwrite_RNIBUI3_0 "r.slv.hwrite_RNIBUI3_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hwrite_RNIC9D11 "r.slv.hwrite_RNIC9D11") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m69 "un1_v.timer_0_sqmuxa_m69") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m66_m6_0_a2 "un1_v.timer_0_sqmuxa_m66_m6_0_a2") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI1BQT_21 "r.slv.haddr_RNI1BQT[21]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNIVGNA_21 "r.slv.haddr_RNIVGNA[21]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNIV4H9_3 "r.slv.haddr_RNIV4H9[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m97 "un1_v.timer_0_sqmuxa_m97") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI2Q2J_3 "r.slv.haddr_RNI2Q2J[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m10 "un1_v.timer_0_sqmuxa_m10") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m11 "un1_v.timer_0_sqmuxa_m11") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m55 "un1_v.timer_0_sqmuxa_m55") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m57 "un1_v.timer_0_sqmuxa_m57") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m59 "un1_v.timer_0_sqmuxa_m59") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m61 "un1_v.timer_0_sqmuxa_m61") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m75 "un1_v.timer_0_sqmuxa_m75") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m80 "un1_v.timer_0_sqmuxa_m80") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m88 "un1_v.timer_0_sqmuxa_m88") (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m89 "un1_v.timer_0_sqmuxa_m89") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m90 "un1_v.timer_0_sqmuxa_m90") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m93 "un1_v.timer_0_sqmuxa_m93") (viewRef prim (cellRef AX1B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m94 "un1_v.timer_0_sqmuxa_m94") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m95 "un1_v.timer_0_sqmuxa_m95") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m98 "un1_v.timer_0_sqmuxa_m98") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m100 "un1_v.timer_0_sqmuxa_m100") (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance (rename r_slv_hwrite_RNIBFN21 "r.slv.hwrite_RNIBFN21") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_20 "r.timer_RNO_0[20]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_21 "r.timer_RNO_0[21]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_22 "r.timer_RNO_0[22]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_23 "r.timer_RNO_0[23]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_25 "r.timer_RNO_0[25]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_26 "r.timer_RNO_0[26]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_28 "r.timer_RNO_0[28]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_29 "r.timer_RNO_0[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_20 "r.timer_RNO[20]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_21 "r.timer_RNO[21]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_22 "r.timer_RNO[22]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_23 "r.timer_RNO[23]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_25 "r.timer_RNO[25]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_26 "r.timer_RNO[26]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_28 "r.timer_RNO[28]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_29 "r.timer_RNO[29]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_9 "r.timer_RNO_0[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_9 "r.timer_RNO[9]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI80V3_0_20 "r.slv.haddr_RNI80V3_0[20]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI3LH9_4 "r.slv.haddr_RNI3LH9[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m86 "un1_v.timer_0_sqmuxa_m86") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m85 "un1_v.timer_0_sqmuxa_m85") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m84 "un1_v.timer_0_sqmuxa_m84") (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m73 "un1_v.timer_0_sqmuxa_m73") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m65 "un1_v.timer_0_sqmuxa_m65") (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance (rename r_slv_hsel_RNI69G9 "r.slv.hsel_RNI69G9") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNICGU5_21 "r.slv.haddr_RNICGU5[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename v_slv_hwrite_0_sqmuxa_i_0_o2_0 "v.slv.hwrite_0_sqmuxa_i_0_o2_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_hrdata_20_iv_RNO_0 "comb.hrdata_20_iv_RNO[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hready_1_0_a2_4 "r.slv.hready_1_0_a2_4") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_v_slv_hrdata_1_0_a2_1_10 "comb.v.slv.hrdata_1_0_a2_1[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_hrdata_20_iv_0 "comb.hrdata_20_iv[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_slv_hready_1_0_a2_2 "r.slv.hready_1_0_a2_2") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename r_slv_hready_1_0_a2_2_RNO "r.slv.hready_1_0_a2_2_RNO") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_hrdata_20_iv_RNO_0_0 "comb.hrdata_20_iv_RNO_0[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_10 "r.slv.hrdata_RNO_0[10]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m69_0 "un1_v.timer_0_sqmuxa_m69_0") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename comb_v_slv_hrdata_1_0_a2_1_RNO_10 "comb.v.slv.hrdata_1_0_a2_1_RNO[10]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_cnt_RNO_4_2 "r.cnt_RNO_4[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_cnt_RNO_3_2 "r.cnt_RNO_3[2]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m97_0 "un1_v.timer_0_sqmuxa_m97_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_0 "r.slv.hready_RNO_0") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_1 "r.slv.hready_RNO_1") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_3 "r.slv.hready_RNO_3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m83_0 "un1_v.timer_0_sqmuxa_m83_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_5 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_5") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_3 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_3") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m78_0 "un1_v.timer_0_sqmuxa_m78_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_5 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_5") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_4 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_4") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_3 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_3") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_2") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_0 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_1 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_6 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_6") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_5 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_5") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_3 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_2") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_0 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_6 "r.slv.hrdata_RNO_0[6]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_7 "r.slv.hrdata_RNO_0[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_5 "r.slv.hrdata_RNO_0[5]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_8 "r.slv.hrdata_RNO_0[8]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_9 "r.slv.hrdata_RNO_0[9]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_4 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_4") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_1_0 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_1_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_0 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m66_m6_0_a2_7 "un1_v.timer_0_sqmuxa_m66_m6_0_a2_7") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m66_m6_0_a2_5 "un1_v.timer_0_sqmuxa_m66_m6_0_a2_5") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m66_m6_0_a2_4 "un1_v.timer_0_sqmuxa_m66_m6_0_a2_4") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m66_m6_0_a2_3 "un1_v.timer_0_sqmuxa_m66_m6_0_a2_3") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_3 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_3") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_2") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_1 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_0 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bn_RNO_1_0 "r.bn_RNO_1[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_bn_RNO_4_0 "r.bn_RNO_4[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bn_RNO_0_0 "r.bn_RNO_0[0]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename comb_hrdata_20_iv_RNO_2_0 "comb.hrdata_20_iv_RNO_2[0]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI2HH9_6 "r.slv.haddr_RNI2HH9[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI2HH9_0_6 "r.slv.haddr_RNI2HH9_0[6]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_9 "r.slv.hready_RNO_9") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI4PG7_24 "r.slv.haddr_RNI4PG7[24]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI19H5_24 "r.slv.haddr_RNI19H5[24]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hready_1_0_a2_2_RNO_0 "r.slv.hready_1_0_a2_2_RNO_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_10 "r.slv.hready_RNO_10") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_5 "r.slv.hready_RNO_5") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_6 "r.slv.hready_RNO_6") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bn_RNO_3_0 "r.bn_RNO_3[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_5_0 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_5_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNIC9D11_0_2 "r.slv.haddr_RNIC9D11_0[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNIC9D11_2 "r.slv.haddr_RNIC9D11[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_bn_RNO_0 "r.bn_RNO[0]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_16 "r.slv.hrdata_RNO_0[16]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_5 "r.slv.hrdata_RNO[5]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_9 "r.slv.hrdata_RNO[9]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_8 "r.slv.hrdata_RNO[8]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_7 "r.slv.hrdata_RNO[7]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_cnt_RNO_2_2 "r.cnt_RNO_2[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_cnt_RNO_1_2 "r.cnt_RNO_1[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_4 "r.slv.hready_RNO_4") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_7 "r.slv.hready_RNO_7") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO "r.slv.hready_RNO") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_cnt_RNO_1 "r.cnt_RNO[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_cnt_RNO_2 "r.cnt_RNO[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_cnt_RNI79O9_2 "r.cnt_RNI79O9[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNIDPFB_21 "r.slv.haddr_RNIDPFB[21]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_10 "r.slv.hrdata_RNO[10]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNIGI8J_22 "r.slv.haddr_RNIGI8J[22]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_6 "r.slv.hrdata_RNO[6]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNILQRN_1_6 "r.slv.haddr_RNILQRN_1[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_slv_hwrite_RNIC9D11_0 "r.slv.hwrite_RNIC9D11_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_cnt_RNI1HO7_0_0 "r.cnt_RNI1HO7_0[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_0 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_1 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_cnt_RNO_1_1 "r.cnt_RNO_1[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m64_0 "un1_v.timer_0_sqmuxa_m64_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_un1_ahbsi "comb.un1_ahbsi") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_te_RNO_0_0 "r.te_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ss_RNO_0_0 "r.ss_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_halt_RNO_0_0 "r.halt_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dmsk_RNO_0_0 "r.dmsk_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bs_RNO_0_0 "r.bs_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bmsk_RNO_0_0 "r.bmsk_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m51 "un1_v.timer_0_sqmuxa_m51") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m52 "un1_v.timer_0_sqmuxa_m52") (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m53 "un1_v.timer_0_sqmuxa_m53") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m54 "un1_v.timer_0_sqmuxa_m54") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m56 "un1_v.timer_0_sqmuxa_m56") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m58 "un1_v.timer_0_sqmuxa_m58") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m60 "un1_v.timer_0_sqmuxa_m60") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m62 "un1_v.timer_0_sqmuxa_m62") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m74 "un1_v.timer_0_sqmuxa_m74") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m76 "un1_v.timer_0_sqmuxa_m76") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m79 "un1_v.timer_0_sqmuxa_m79") (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m3_e "un1_v.timer_0_sqmuxa_m3_e") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_x2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_x2") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_x2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_x2") (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_x2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_x2") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_x2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_x2") (viewRef prim (cellRef AX1 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_x2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_x2") (viewRef prim (cellRef AX1 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_8 "r.slv.hrdata_RNO_1[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_9 "r.slv.hrdata_RNO_1[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_12 "r.slv.hrdata_RNO_0[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_14 "r.slv.hrdata_RNO_0[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_17 "r.slv.hrdata_RNO_0[17]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_18 "r.slv.hrdata_RNO_0[18]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_20 "r.slv.hrdata_RNO_0[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_21 "r.slv.hrdata_RNO_0[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_22 "r.slv.hrdata_RNO_0[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_23 "r.slv.hrdata_RNO_0[23]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_24 "r.slv.hrdata_RNO_0[24]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_25 "r.slv.hrdata_RNO_0[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_26 "r.slv.hrdata_RNO_0[26]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_27 "r.slv.hrdata_RNO_0[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_28 "r.slv.hrdata_RNO_0[28]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_12 "r.slv.hrdata_RNO[12]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_14 "r.slv.hrdata_RNO[14]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_17 "r.slv.hrdata_RNO[17]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_18 "r.slv.hrdata_RNO[18]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_20 "r.slv.hrdata_RNO[20]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_21 "r.slv.hrdata_RNO[21]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_22 "r.slv.hrdata_RNO[22]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_23 "r.slv.hrdata_RNO[23]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_24 "r.slv.hrdata_RNO[24]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_25 "r.slv.hrdata_RNO[25]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_26 "r.slv.hrdata_RNO[26]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_27 "r.slv.hrdata_RNO[27]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_28 "r.slv.hrdata_RNO[28]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_29 "r.slv.hrdata_RNO[29]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_30 "r.slv.hrdata_RNO[30]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_31 "r.slv.hrdata_RNO[31]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_5 "r.slv.hrdata_RNO_1[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_6 "r.slv.hrdata_RNO_1[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_reset_RNO_0 "r.reset_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_0 "r.timer_RNO_0[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_1 "r.timer_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_2 "r.timer_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_3 "r.timer_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_4 "r.timer_RNO_0[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_5 "r.timer_RNO_0[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_18 "r.timer_RNO_0[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_24 "r.timer_RNO_0[24]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_27 "r.timer_RNO_0[27]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0 "r.timer_RNO[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_1 "r.timer_RNO[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_2 "r.timer_RNO[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_3 "r.timer_RNO[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_4 "r.timer_RNO[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_5 "r.timer_RNO[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_18 "r.timer_RNO[18]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_24 "r.timer_RNO[24]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_27 "r.timer_RNO[27]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_bn_RNO_2_0 "r.bn_RNO_2[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_be_RNO_0 "r.be_RNO[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bw_RNO_0 "r.bw_RNO[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bx_RNO_0 "r.bx_RNO[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_bz_RNO_0 "r.bz_RNO[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_slv_hwrite_RNI65P21 "r.slv.hwrite_RNI65P21") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_act_RNO "r.act_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_2 "r.slv.hrdata_RNO_1[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_2 "r.slv.hrdata_RNO_0[2]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_3 "r.slv.hrdata_RNO_0[3]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_4 "r.slv.hrdata_RNO_1[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_4 "r.slv.hrdata_RNO_0[4]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_2_16 "r.slv.hrdata_RNO_2[16]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bn_RNO_5_0 "r.bn_RNO_5[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_6 "r.timer_RNO_0[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_7 "r.timer_RNO_0[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_8 "r.timer_RNO_0[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_10 "r.timer_RNO_0[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_11 "r.timer_RNO_0[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_12 "r.timer_RNO_0[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_13 "r.timer_RNO_0[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_14 "r.timer_RNO_0[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_15 "r.timer_RNO_0[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_16 "r.timer_RNO_0[16]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_17 "r.timer_RNO_0[17]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_2 "r.slv.hrdata_RNO[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_3 "r.slv.hrdata_RNO[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_4 "r.slv.hrdata_RNO[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_16 "r.slv.hrdata_RNO[16]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_bmsk_RNO_0 "r.bmsk_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_bs_RNO_0 "r.bs_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dmsk_RNO_0 "r.dmsk_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_halt_RNO_0 "r.halt_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ss_RNO_0 "r.ss_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_te_RNO_0 "r.te_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_timer_RNO_6 "r.timer_RNO[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_7 "r.timer_RNO[7]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_8 "r.timer_RNO[8]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_10 "r.timer_RNO[10]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_11 "r.timer_RNO[11]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_12 "r.timer_RNO[12]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_13 "r.timer_RNO[13]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_14 "r.timer_RNO[14]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_15 "r.timer_RNO[15]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_16 "r.timer_RNO[16]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_17 "r.timer_RNO[17]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hwrite_RNIC9D11_1 "r.slv.hwrite_RNIC9D11_1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNIVGNA_6 "r.slv.haddr_RNIVGNA[6]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI1BQT_3 "r.slv.haddr_RNI1BQT[3]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_timer_RNO_19 "r.timer_RNO[19]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timer_RNO_0_19 "r.timer_RNO_0[19]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_19 "r.slv.hrdata_RNO[19]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_19 "r.slv.hrdata_RNO_0[19]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_x2 "un1_v.timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_x2") (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0 "r.slv.hrdata_RNO[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename comb_hrdata_20_iv_RNO_1_0 "comb.hrdata_20_iv_RNO_1[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNICGU5_20 "r.slv.haddr_RNICGU5[20]") (viewRef prim (cellRef AXO5 (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI80V3_20 "r.slv.haddr_RNI80V3[20]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hready_RNIRCAB "r.slv.hready_RNIRCAB") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_8 "r.slv.hready_RNO_8") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_slv_hready_RNO_2 "r.slv.hready_RNO_2") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename v_slv_hwrite_0_sqmuxa_i_0_o2 "v.slv.hwrite_0_sqmuxa_i_0_o2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNICGU5_0_21 "r.slv.haddr_RNICGU5_0[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_slv_hsel_RNI69G9_0 "r.slv.hsel_RNI69G9_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_cnt_RNO_0_1 "r.cnt_RNO_0[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_cnt_RNO_0_2 "r.cnt_RNO_0[2]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_cnt_RNO_0 "r.cnt_RNO[0]") (viewRef prim (cellRef XAI1A (libraryRef PA3))) ) (instance (rename r_cnt_RNI1HO7_0 "r.cnt_RNI1HO7[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_cnt_RNO_2_1 "r.cnt_RNO_2[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_slv_hsel_RNO "r.slv.hsel_RNO") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_10 "r.slv.hrdata_RNO_1[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNITBOU_21 "r.slv.haddr_RNITBOU[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hwrite_RNIBUI3 "r.slv.hwrite_RNIBUI3") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_hrdata_20_iv_RNO_3_0 "comb.hrdata_20_iv_RNO_3[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_slv_hwrite_RNIU7TH "r.slv.hwrite_RNIU7TH") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNILQRN_6 "r.slv.haddr_RNILQRN[6]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNILQRN_0_6 "r.slv.haddr_RNILQRN_0[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNIV4H9_0_3 "r.slv.haddr_RNIV4H9_0[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1 "r.slv.hrdata_RNO[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_16 "r.slv.hrdata_RNO_1[16]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_3_16 "r.slv.hrdata_RNO_3[16]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_3 "r.slv.hrdata_RNO_1[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_1 "r.slv.hrdata_RNO_0[1]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_1 "r.slv.hrdata_RNO_1[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_11 "r.slv.hrdata_RNO[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_11 "r.slv.hrdata_RNO_0[11]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_1_11 "r.slv.hrdata_RNO_1[11]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_slv_haddr_RNI1BQT_6 "r.slv.haddr_RNI1BQT[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_15 "r.slv.hrdata_RNO[15]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_13 "r.slv.hrdata_RNO[13]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_29 "r.slv.hrdata_RNO_0[29]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_15 "r.slv.hrdata_RNO_0[15]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_slv_hrdata_RNO_0_13 "r.slv.hrdata_RNO_0[13]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m81 "un1_v.timer_0_sqmuxa_m81") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m72 "un1_v.timer_0_sqmuxa_m72") (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m70 "un1_v.timer_0_sqmuxa_m70") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename un1_v_timer_0_sqmuxa_m67 "un1_v.timer_0_sqmuxa_m67") (viewRef prim (cellRef AX1C (libraryRef PA3))) ) (instance (rename r_bn_0 "r.bn[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bz_0 "r.bz[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_bx_0 "r.bx[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_bw_0 "r.bw[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_be_0 "r.be[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_reset_0 "r.reset[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_slv_hwrite "r.slv.hwrite") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_act "r.act") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_slv_hready "r.slv.hready") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bmsk_0 "r.bmsk[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_bs_0 "r.bs[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dmsk_0 "r.dmsk[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_halt_0 "r.halt[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ss_0 "r.ss[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_te_0 "r.te[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_slv_hsel "r.slv.hsel") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cnt_0 "r.cnt[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cnt_1 "r.cnt[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_cnt_2 "r.cnt[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_0 "r.timer[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_1 "r.timer[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_2 "r.timer[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_3 "r.timer[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_4 "r.timer[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_5 "r.timer[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_6 "r.timer[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_7 "r.timer[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_8 "r.timer[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_9 "r.timer[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_10 "r.timer[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_11 "r.timer[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_12 "r.timer[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_13 "r.timer[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_14 "r.timer[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_15 "r.timer[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_16 "r.timer[16]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_17 "r.timer[17]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_18 "r.timer[18]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_19 "r.timer[19]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_20 "r.timer[20]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_21 "r.timer[21]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_22 "r.timer[22]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_23 "r.timer[23]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_24 "r.timer[24]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_25 "r.timer[25]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_26 "r.timer[26]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_27 "r.timer[27]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_28 "r.timer[28]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timer_29 "r.timer[29]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_0 "r.slv.hwdata[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_1 "r.slv.hwdata[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_2 "r.slv.hwdata[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_3 "r.slv.hwdata[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_4 "r.slv.hwdata[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_5 "r.slv.hwdata[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_6 "r.slv.hwdata[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_7 "r.slv.hwdata[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_8 "r.slv.hwdata[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_9 "r.slv.hwdata[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_10 "r.slv.hwdata[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_11 "r.slv.hwdata[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_12 "r.slv.hwdata[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_13 "r.slv.hwdata[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_14 "r.slv.hwdata[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_15 "r.slv.hwdata[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_16 "r.slv.hwdata[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_17 "r.slv.hwdata[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_18 "r.slv.hwdata[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_19 "r.slv.hwdata[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_20 "r.slv.hwdata[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_21 "r.slv.hwdata[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_22 "r.slv.hwdata[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_23 "r.slv.hwdata[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_24 "r.slv.hwdata[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_25 "r.slv.hwdata[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_26 "r.slv.hwdata[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_27 "r.slv.hwdata[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_28 "r.slv.hwdata[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_29 "r.slv.hwdata[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_30 "r.slv.hwdata[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hwdata_31 "r.slv.hwdata[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_2 "r.slv.haddr[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_3 "r.slv.haddr[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_4 "r.slv.haddr[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_5 "r.slv.haddr[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_6 "r.slv.haddr[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_7 "r.slv.haddr[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_8 "r.slv.haddr[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_9 "r.slv.haddr[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_10 "r.slv.haddr[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_11 "r.slv.haddr[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_12 "r.slv.haddr[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_13 "r.slv.haddr[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_14 "r.slv.haddr[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_15 "r.slv.haddr[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_16 "r.slv.haddr[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_17 "r.slv.haddr[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_18 "r.slv.haddr[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_19 "r.slv.haddr[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_20 "r.slv.haddr[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_21 "r.slv.haddr[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_22 "r.slv.haddr[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_23 "r.slv.haddr[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_haddr_24 "r.slv.haddr[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_0 "r.slv.hrdata[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_1 "r.slv.hrdata[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_2 "r.slv.hrdata[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_3 "r.slv.hrdata[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_4 "r.slv.hrdata[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_5 "r.slv.hrdata[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_6 "r.slv.hrdata[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_7 "r.slv.hrdata[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_8 "r.slv.hrdata[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_9 "r.slv.hrdata[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_10 "r.slv.hrdata[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_11 "r.slv.hrdata[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_12 "r.slv.hrdata[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_13 "r.slv.hrdata[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_14 "r.slv.hrdata[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_15 "r.slv.hrdata[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_16 "r.slv.hrdata[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_17 "r.slv.hrdata[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_18 "r.slv.hrdata[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_19 "r.slv.hrdata[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_20 "r.slv.hrdata[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_21 "r.slv.hrdata[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_22 "r.slv.hrdata[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_23 "r.slv.hrdata[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_24 "r.slv.hrdata[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_25 "r.slv.hrdata[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_26 "r.slv.hrdata[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_27 "r.slv.hrdata[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_28 "r.slv.hrdata[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_29 "r.slv.hrdata[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_30 "r.slv.hrdata[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_slv_hrdata_31 "r.slv.hrdata[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_dsubre_0 "r.dsubre[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dsubre_1 "r.dsubre[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dsubre_2 "r.dsubre[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net cnt3_1_1_0 (joined (portRef Y (instanceRef r_slv_hwrite_RNIBUI3_0)) (portRef E (instanceRef r_slv_hwdata_21)) (portRef E (instanceRef r_slv_hwdata_20)) (portRef E (instanceRef r_slv_hwdata_19)) (portRef E (instanceRef r_slv_hwdata_18)) (portRef E (instanceRef r_slv_hwdata_17)) (portRef E (instanceRef r_slv_hwdata_16)) (portRef E (instanceRef r_slv_hwdata_15)) (portRef E (instanceRef r_slv_hwdata_14)) (portRef E (instanceRef r_slv_hwdata_13)) (portRef E (instanceRef r_slv_hwdata_12)) (portRef E (instanceRef r_slv_hwdata_11)) (portRef E (instanceRef r_slv_hwdata_10)) (portRef E (instanceRef r_slv_hwdata_1)) (portRef E (instanceRef r_slv_hwdata_0)) (portRef A (instanceRef r_slv_hwrite_RNIU7TH)) (portRef A (instanceRef r_slv_hwrite_RNIC9D11_1)) (portRef B (instanceRef r_slv_hwrite_RNIC9D11_0)) (portRef B (instanceRef r_slv_hwrite_RNIC9D11)) )) (net N_416_i (joined (portRef Q (instanceRef r_slv_hsel)) (portRef A (instanceRef r_slv_hwrite_RNIBUI3)) (portRef A (instanceRef r_cnt_RNO_2_1)) (portRef A (instanceRef r_cnt_RNO_0)) (portRef B (instanceRef r_cnt_RNO_0_2)) (portRef B (instanceRef r_cnt_RNO_0_1)) (portRef B (instanceRef r_slv_hsel_RNI69G9_0)) (portRef A (instanceRef r_slv_hready_RNIRCAB)) (portRef A (instanceRef r_cnt_RNO_2_2)) (portRef A (instanceRef r_slv_hready_RNO_5)) (portRef A (instanceRef r_slv_hready_RNO_10)) (portRef A (instanceRef r_slv_haddr_RNI19H5_24)) (portRef B (instanceRef r_slv_haddr_RNI4PG7_24)) (portRef B (instanceRef r_slv_hready_RNO_9)) (portRef A (instanceRef r_slv_hready_RNO_1)) (portRef A (instanceRef r_slv_hready_1_0_a2_4)) (portRef B (instanceRef r_slv_hsel_RNI69G9)) (portRef A (instanceRef r_slv_hwrite_RNIBUI3_0)) )) (net N_149_0 (joined (portRef Y (instanceRef r_slv_hwrite_RNIC9D11)) (portRef S (instanceRef r_timer_RNO_0_27)) (portRef S (instanceRef r_timer_RNO_0_24)) (portRef S (instanceRef r_timer_RNO_0_18)) (portRef S (instanceRef r_timer_RNO_0_5)) (portRef S (instanceRef r_timer_RNO_0_4)) (portRef S (instanceRef r_timer_RNO_0_3)) (portRef S (instanceRef r_timer_RNO_0_2)) (portRef S (instanceRef r_timer_RNO_0_1)) (portRef S (instanceRef r_timer_RNO_0_0)) (portRef S (instanceRef r_timer_RNO_0_26)) (portRef S (instanceRef r_timer_RNO_0_25)) (portRef S (instanceRef r_timer_RNO_0_23)) (portRef S (instanceRef r_timer_RNO_0_22)) (portRef S (instanceRef r_timer_RNO_0_21)) (portRef S (instanceRef r_timer_RNO_0_20)) (portRef A (instanceRef r_slv_hwrite_RNIBFN21)) )) (net cnt3_i_a2_m1_e_2 (joined (portRef Y (instanceRef r_slv_haddr_RNI1BQT_21)) (portRef A (instanceRef r_slv_hwrite_RNIC9D11_0)) (portRef A (instanceRef r_slv_hwrite_RNIC9D11)) )) (net N_70 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m69)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m70)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m72)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m73)) )) (net N_67 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m69)) )) (net m69_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m69_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m69)) )) (net timer_0_sqmuxa (joined (portRef Y (instanceRef r_slv_hwrite_RNIBFN21)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m67)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_x2)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m52)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m51)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m10)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2)) )) (net m66_m6_0_a2_7 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_7)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m67)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2)) )) (net cnt3_i_a2_m1_e_1 (joined (portRef Y (instanceRef r_slv_haddr_RNIVGNA_21)) (portRef A (instanceRef r_slv_haddr_RNI1BQT_21)) )) (net te9_3 (joined (portRef Y (instanceRef r_slv_haddr_RNI2Q2J_3)) (portRef A (instanceRef r_slv_haddr_RNI1BQT_6)) (portRef A (instanceRef r_slv_haddr_RNILQRN_0_6)) (portRef B (instanceRef r_slv_haddr_RNI1BQT_21)) )) (net N_551 (joined (portRef Y (instanceRef r_slv_haddr_RNI80V3_0_20)) (portRef A (instanceRef r_slv_haddr_RNICGU5_0_21)) (portRef C (instanceRef r_slv_hready_RNO_7)) (portRef A (instanceRef r_slv_haddr_RNICGU5_21)) (portRef A (instanceRef r_slv_haddr_RNIVGNA_21)) )) (net te9_3_0 (joined (portRef Y (instanceRef r_slv_haddr_RNIV4H9_3)) (portRef A (instanceRef r_slv_haddr_RNI2Q2J_3)) )) (net N_98 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m97)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m100)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m98)) )) (net m97_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m97_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m97)) )) (net N_95 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m94)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m95)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m97)) )) (net N_11_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m10)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m53)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m11)) )) (net (rename timer_0 "timer[0]") (joined (portRef Q (instanceRef r_timer_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m52)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m51)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_0)) (portRef A (instanceRef comb_hrdata_20_iv_RNO_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m10)) )) (net (rename timer_1 "timer[1]") (joined (portRef Q (instanceRef r_timer_1)) (portRef A (instanceRef r_slv_hrdata_RNO_0_1)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m52)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_0)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m10)) )) (net N384 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m11)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m54)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m55)) )) (net (rename timer_2 "timer[2]") (joined (portRef Q (instanceRef r_timer_2)) (portRef A (instanceRef r_slv_hrdata_RNO_0_2)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m53)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_1)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_5)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m11)) )) (net N_56 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m55)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m56)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m57)) )) (net (rename timer_3 "timer[3]") (joined (portRef Q (instanceRef r_timer_3)) (portRef A (instanceRef r_slv_hrdata_RNO_0_3)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m54)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_5_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_5)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m55)) )) (net N_58 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m57)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m58)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m59)) )) (net (rename timer_4 "timer[4]") (joined (portRef Q (instanceRef r_timer_4)) (portRef A (instanceRef r_slv_hrdata_RNO_1_4)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m56)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_5_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_4)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m57)) )) (net N_60 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m59)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m60)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m61)) )) (net (rename timer_5 "timer[5]") (joined (portRef Q (instanceRef r_timer_5)) (portRef B (instanceRef r_slv_hrdata_RNO_1_5)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m58)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_3)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m59)) )) (net N_62 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m61)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m62)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m65)) )) (net (rename timer_6 "timer[6]") (joined (portRef Q (instanceRef r_timer_6)) (portRef B (instanceRef r_slv_hrdata_RNO_1_6)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m60)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_3)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m61)) )) (net N_76 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m75)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m79)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m76)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m80)) )) (net N_74 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m73)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m74)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m75)) )) (net (rename timer_14 "timer[14]") (joined (portRef Q (instanceRef r_timer_14)) (portRef B (instanceRef r_slv_hrdata_RNO_0_14)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m74)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_1_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m75)) )) (net N_81 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m80)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m81)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m84)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m85)) )) (net m78_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m78_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m79)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_4)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m80)) )) (net (rename timer_17 "timer[17]") (joined (portRef Q (instanceRef r_timer_17)) (portRef B (instanceRef r_slv_hrdata_RNO_17)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m79)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_2)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m80)) )) (net N_89_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m88)) (portRef A (instanceRef r_timer_RNO_0_22)) )) (net (rename timer_21 "timer[21]") (joined (portRef Q (instanceRef r_timer_21)) (portRef B (instanceRef r_slv_hrdata_RNO_0_21)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_3)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m86)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m89)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m88)) )) (net N_86 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m85)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m86)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m89)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m88)) )) (net (rename timer_22 "timer[22]") (joined (portRef Q (instanceRef r_timer_22)) (portRef B (instanceRef r_slv_hrdata_RNO_0_22)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_3)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m89)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m88)) )) (net N_90 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m89)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m94)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m93)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m90)) )) (net N_91_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m90)) (portRef A (instanceRef r_timer_RNO_0_23)) )) (net (rename timer_23 "timer[23]") (joined (portRef Q (instanceRef r_timer_23)) (portRef B (instanceRef r_slv_hrdata_RNO_0_23)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m3_e)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m90)) )) (net N_94 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m93)) (portRef A (instanceRef r_timer_RNO_0_25)) )) (net N279 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m3_e)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_3)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m94)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m93)) )) (net (rename timer_25 "timer[25]") (joined (portRef Q (instanceRef r_timer_25)) (portRef B (instanceRef r_slv_hrdata_RNO_0_25)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_3)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m94)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m93)) )) (net N_96_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m95)) (portRef A (instanceRef r_timer_RNO_0_26)) )) (net (rename timer_26 "timer[26]") (joined (portRef Q (instanceRef r_timer_26)) (portRef B (instanceRef r_slv_hrdata_RNO_0_26)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_3)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m97_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m95)) )) (net N_99 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m98)) (portRef A (instanceRef r_timer_RNO_0_28)) )) (net (rename timer_28 "timer[28]") (joined (portRef Q (instanceRef r_timer_28)) (portRef B (instanceRef r_slv_hrdata_RNO_28)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m100)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m98)) )) (net N_101 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m100)) (portRef A (instanceRef r_timer_RNO_0_29)) )) (net (rename timer_29 "timer[29]") (joined (portRef Q (instanceRef r_timer_29)) (portRef B (instanceRef r_slv_hrdata_RNO_0_29)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m100)) )) (net (rename timer_1_20 "timer_1[20]") (joined (portRef Y (instanceRef r_timer_RNO_0_20)) (portRef B (instanceRef r_timer_RNO_20)) )) (net N_85 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m84)) (portRef A (instanceRef r_timer_RNO_0_20)) )) (net (rename timer_1_21 "timer_1[21]") (joined (portRef Y (instanceRef r_timer_RNO_0_21)) (portRef B (instanceRef r_timer_RNO_21)) )) (net N_87_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m86)) (portRef A (instanceRef r_timer_RNO_0_21)) )) (net (rename timer_1_22 "timer_1[22]") (joined (portRef Y (instanceRef r_timer_RNO_0_22)) (portRef B (instanceRef r_timer_RNO_22)) )) (net (rename timer_1_23 "timer_1[23]") (joined (portRef Y (instanceRef r_timer_RNO_0_23)) (portRef B (instanceRef r_timer_RNO_23)) )) (net (rename timer_1_25 "timer_1[25]") (joined (portRef Y (instanceRef r_timer_RNO_0_25)) (portRef B (instanceRef r_timer_RNO_25)) )) (net (rename timer_1_26 "timer_1[26]") (joined (portRef Y (instanceRef r_timer_RNO_0_26)) (portRef B (instanceRef r_timer_RNO_26)) )) (net (rename timer_1_28 "timer_1[28]") (joined (portRef Y (instanceRef r_timer_RNO_0_28)) (portRef B (instanceRef r_timer_RNO_28)) )) (net N_149 (joined (portRef Y (instanceRef r_slv_hwrite_RNIC9D11_0)) (portRef S (instanceRef r_timer_RNO_0_19)) (portRef S (instanceRef r_timer_RNO_0_17)) (portRef S (instanceRef r_timer_RNO_0_16)) (portRef S (instanceRef r_timer_RNO_0_15)) (portRef S (instanceRef r_timer_RNO_0_14)) (portRef S (instanceRef r_timer_RNO_0_13)) (portRef S (instanceRef r_timer_RNO_0_12)) (portRef S (instanceRef r_timer_RNO_0_11)) (portRef S (instanceRef r_timer_RNO_0_10)) (portRef S (instanceRef r_timer_RNO_0_8)) (portRef S (instanceRef r_timer_RNO_0_7)) (portRef S (instanceRef r_timer_RNO_0_6)) (portRef S (instanceRef r_timer_RNO_0_9)) (portRef S (instanceRef r_timer_RNO_0_29)) (portRef S (instanceRef r_timer_RNO_0_28)) )) (net (rename timer_1_29 "timer_1[29]") (joined (portRef Y (instanceRef r_timer_RNO_0_29)) (portRef B (instanceRef r_timer_RNO_29)) )) (net (rename timer_RNO_20 "timer_RNO[20]") (joined (portRef Y (instanceRef r_timer_RNO_20)) (portRef D (instanceRef r_timer_20)) )) (net (rename timer_RNO_21 "timer_RNO[21]") (joined (portRef Y (instanceRef r_timer_RNO_21)) (portRef D (instanceRef r_timer_21)) )) (net rstn (joined (portRef rstn) (portRef C (instanceRef r_slv_hsel_RNO)) (portRef A (instanceRef r_timer_RNO_19)) (portRef A (instanceRef r_timer_RNO_17)) (portRef A (instanceRef r_timer_RNO_16)) (portRef A (instanceRef r_timer_RNO_15)) (portRef A (instanceRef r_timer_RNO_14)) (portRef A (instanceRef r_timer_RNO_13)) (portRef A (instanceRef r_timer_RNO_12)) (portRef A (instanceRef r_timer_RNO_11)) (portRef A (instanceRef r_timer_RNO_10)) (portRef A (instanceRef r_timer_RNO_8)) (portRef A (instanceRef r_timer_RNO_7)) (portRef A (instanceRef r_timer_RNO_6)) (portRef A (instanceRef r_te_RNO_0)) (portRef A (instanceRef r_ss_RNO_0)) (portRef A (instanceRef r_halt_RNO_0)) (portRef A (instanceRef r_dmsk_RNO_0)) (portRef A (instanceRef r_bs_RNO_0)) (portRef A (instanceRef r_bmsk_RNO_0)) (portRef B (instanceRef r_bn_RNO_5_0)) (portRef A (instanceRef r_slv_hwrite_RNI65P21)) (portRef S (instanceRef r_bz_RNO_0)) (portRef S (instanceRef r_bx_RNO_0)) (portRef S (instanceRef r_bw_RNO_0)) (portRef S (instanceRef r_be_RNO_0)) (portRef A (instanceRef r_timer_RNO_27)) (portRef A (instanceRef r_timer_RNO_24)) (portRef A (instanceRef r_timer_RNO_18)) (portRef A (instanceRef r_timer_RNO_5)) (portRef A (instanceRef r_timer_RNO_4)) (portRef A (instanceRef r_timer_RNO_3)) (portRef A (instanceRef r_timer_RNO_2)) (portRef A (instanceRef r_timer_RNO_1)) (portRef A (instanceRef r_timer_RNO_0)) (portRef C (instanceRef r_bn_RNO_0_0)) (portRef A (instanceRef r_bn_RNO_4_0)) (portRef C (instanceRef r_slv_hready_RNO_1)) (portRef A (instanceRef r_timer_RNO_9)) (portRef A (instanceRef r_timer_RNO_29)) (portRef A (instanceRef r_timer_RNO_28)) (portRef A (instanceRef r_timer_RNO_26)) (portRef A (instanceRef r_timer_RNO_25)) (portRef A (instanceRef r_timer_RNO_23)) (portRef A (instanceRef r_timer_RNO_22)) (portRef A (instanceRef r_timer_RNO_21)) (portRef A (instanceRef r_timer_RNO_20)) )) (net (rename timer_RNO_22 "timer_RNO[22]") (joined (portRef Y (instanceRef r_timer_RNO_22)) (portRef D (instanceRef r_timer_22)) )) (net (rename timer_RNO_23 "timer_RNO[23]") (joined (portRef Y (instanceRef r_timer_RNO_23)) (portRef D (instanceRef r_timer_23)) )) (net (rename timer_RNO_25 "timer_RNO[25]") (joined (portRef Y (instanceRef r_timer_RNO_25)) (portRef D (instanceRef r_timer_25)) )) (net (rename timer_RNO_26 "timer_RNO[26]") (joined (portRef Y (instanceRef r_timer_RNO_26)) (portRef D (instanceRef r_timer_26)) )) (net (rename timer_RNO_28 "timer_RNO[28]") (joined (portRef Y (instanceRef r_timer_RNO_28)) (portRef D (instanceRef r_timer_28)) )) (net (rename timer_RNO_29 "timer_RNO[29]") (joined (portRef Y (instanceRef r_timer_RNO_29)) (portRef D (instanceRef r_timer_29)) )) (net (rename timer_1_9 "timer_1[9]") (joined (portRef Y (instanceRef r_timer_RNO_0_9)) (portRef B (instanceRef r_timer_RNO_9)) )) (net N_66 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m65)) (portRef A (instanceRef r_timer_RNO_0_9)) )) (net (rename timer_RNO_9 "timer_RNO[9]") (joined (portRef Y (instanceRef r_timer_RNO_9)) (portRef D (instanceRef r_timer_9)) )) (net te9_2 (joined (portRef Y (instanceRef r_slv_haddr_RNI3LH9_4)) (portRef B (instanceRef r_slv_haddr_RNILQRN_6)) (portRef B (instanceRef r_slv_haddr_RNI1BQT_3)) (portRef B (instanceRef r_slv_haddr_RNI2Q2J_3)) (portRef te9_2) )) (net m83_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m83_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m84)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m85)) )) (net (rename timer_20 "timer[20]") (joined (portRef Q (instanceRef r_timer_20)) (portRef B (instanceRef r_slv_hrdata_RNO_0_20)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_2)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m84)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m85)) )) (net (rename timer_12 "timer[12]") (joined (portRef Q (instanceRef r_timer_12)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m70)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m72)) (portRef B (instanceRef r_slv_hrdata_RNO_0_12)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_1)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m73)) )) (net (rename timer_13 "timer[13]") (joined (portRef Q (instanceRef r_timer_13)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m72)) (portRef B (instanceRef r_slv_hrdata_RNO_13)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_0)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m73)) )) (net m64_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m64_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_7)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m65)) )) (net (rename timer_9 "timer[9]") (joined (portRef Q (instanceRef r_timer_9)) (portRef B (instanceRef r_slv_hrdata_RNO_1_9)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_4)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m65)) )) (net cnt3_2_0 (joined (portRef Y (instanceRef r_slv_hsel_RNI69G9)) (portRef E (instanceRef r_slv_hrdata_23)) (portRef E (instanceRef r_slv_hrdata_22)) (portRef E (instanceRef r_slv_hrdata_21)) (portRef E (instanceRef r_slv_hrdata_20)) (portRef E (instanceRef r_slv_hrdata_19)) (portRef E (instanceRef r_slv_hrdata_18)) (portRef E (instanceRef r_slv_hrdata_17)) (portRef E (instanceRef r_slv_hrdata_16)) (portRef E (instanceRef r_slv_hrdata_15)) (portRef E (instanceRef r_slv_hrdata_14)) (portRef E (instanceRef r_slv_hrdata_13)) (portRef E (instanceRef r_slv_hrdata_12)) (portRef E (instanceRef r_slv_hrdata_11)) (portRef E (instanceRef r_slv_hrdata_10)) (portRef E (instanceRef r_slv_hrdata_1)) (portRef E (instanceRef r_slv_hrdata_0)) )) (net N_555 (joined (portRef Y (instanceRef r_slv_haddr_RNICGU5_20)) (portRef A (instanceRef r_slv_hsel_RNI69G9_0)) (portRef B (instanceRef r_slv_hready_RNO_1)) (portRef A (instanceRef r_slv_hsel_RNI69G9)) )) (net N_552_0 (joined (portRef Y (instanceRef r_slv_haddr_RNICGU5_21)) (portRef A (instanceRef r_slv_hrdata_RNO_25)) (portRef A (instanceRef r_slv_hrdata_RNO_23)) (portRef A (instanceRef r_slv_hrdata_RNO_22)) (portRef A (instanceRef r_slv_hrdata_RNO_21)) (portRef A (instanceRef r_slv_hrdata_RNO_20)) (portRef A (instanceRef r_slv_hrdata_RNO_18)) (portRef A (instanceRef r_slv_hrdata_RNO_14)) (portRef A (instanceRef r_slv_hrdata_RNO_12)) (portRef C (instanceRef r_slv_hrdata_RNO_0_28)) (portRef C (instanceRef r_slv_hrdata_RNO_0_24)) (portRef C (instanceRef r_slv_hrdata_RNO_0_17)) (portRef A (instanceRef r_slv_hrdata_RNO_6)) (portRef A (instanceRef r_slv_hrdata_RNO_10)) (portRef A (instanceRef r_slv_hrdata_RNO_7)) (portRef A (instanceRef r_slv_hrdata_RNO_8)) (portRef A (instanceRef r_slv_hrdata_RNO_9)) (portRef A (instanceRef r_slv_hrdata_RNO_5)) )) (net (rename daddr_21 "daddr[21]") (joined (portRef Q (instanceRef r_slv_haddr_21)) (portRef B (instanceRef r_slv_haddr_RNICGU5_0_21)) (portRef C (instanceRef r_slv_haddr_RNICGU5_20)) (portRef C (instanceRef r_slv_haddr_RNIDPFB_21)) (portRef A (instanceRef r_cnt_RNI79O9_2)) (portRef B (instanceRef r_slv_haddr_RNICGU5_21)) (portRef C (instanceRef r_slv_haddr_RNIVGNA_21)) (portRef (member daddr 2)) )) (net N_553_0 (joined (portRef Y (instanceRef v_slv_hwrite_0_sqmuxa_i_0_o2_0)) (portRef E (instanceRef r_slv_haddr_17)) (portRef E (instanceRef r_slv_haddr_16)) (portRef E (instanceRef r_slv_haddr_15)) (portRef E (instanceRef r_slv_haddr_14)) (portRef E (instanceRef r_slv_haddr_13)) (portRef E (instanceRef r_slv_haddr_12)) (portRef E (instanceRef r_slv_haddr_11)) (portRef E (instanceRef r_slv_haddr_10)) (portRef A (instanceRef r_slv_hsel_RNO)) (portRef B (instanceRef r_cnt_RNO_2_1)) (portRef C (instanceRef r_cnt_RNO_0)) (portRef A (instanceRef r_cnt_RNO_0_2)) (portRef A (instanceRef r_cnt_RNO_0_1)) (portRef B (instanceRef r_cnt_RNO_1_1)) (portRef A (instanceRef r_cnt_RNO_1_2)) (portRef B (instanceRef r_cnt_RNO_2_2)) )) (net un1_ahbsi (joined (portRef Y (instanceRef comb_un1_ahbsi)) (portRef A (instanceRef v_slv_hwrite_0_sqmuxa_i_0_o2)) (portRef S (instanceRef r_slv_hready_RNO_2)) (portRef A (instanceRef v_slv_hwrite_0_sqmuxa_i_0_o2_0)) )) (net (rename timer_m_0 "timer_m[0]") (joined (portRef Y (instanceRef comb_hrdata_20_iv_RNO_0)) (portRef A (instanceRef comb_hrdata_20_iv_0)) )) (net N_8 (joined (portRef Y (instanceRef r_slv_haddr_RNILQRN_0_6)) (portRef B (instanceRef r_slv_hrdata_RNO_0_11)) (portRef B (instanceRef r_slv_hrdata_RNO_0_1)) (portRef B (instanceRef r_slv_hrdata_RNO_3_16)) (portRef B (instanceRef r_slv_hrdata_RNO_1_4)) (portRef B (instanceRef r_slv_hrdata_RNO_0_3)) (portRef B (instanceRef r_slv_hrdata_RNO_0_2)) (portRef B (instanceRef comb_hrdata_20_iv_RNO_0)) )) (net N_160 (joined (portRef Y (instanceRef r_slv_hready_1_0_a2_4)) (portRef A (instanceRef r_slv_hready_1_0_a2_2)) )) (net (rename daddr_20 "daddr[20]") (joined (portRef Q (instanceRef r_slv_haddr_20)) (portRef B (instanceRef r_slv_haddr_RNI80V3_20)) (portRef B (instanceRef r_slv_haddr_RNICGU5_20)) (portRef C (instanceRef r_slv_hready_RNO_5)) (portRef A (instanceRef r_slv_haddr_RNI4PG7_24)) (portRef A (instanceRef r_slv_hready_RNO_9)) (portRef B (instanceRef r_slv_hready_1_0_a2_4)) (portRef B (instanceRef r_slv_haddr_RNI80V3_0_20)) (portRef (member daddr 3)) )) (net N_106 (joined (portRef Y (instanceRef comb_v_slv_hrdata_1_0_a2_1_10)) (portRef B (instanceRef r_slv_hrdata_RNO_0_10)) )) (net (rename hrdata_1_0_a2_1_0_10 "hrdata_1_0_a2_1_0[10]") (joined (portRef Y (instanceRef comb_v_slv_hrdata_1_0_a2_1_RNO_10)) (portRef A (instanceRef comb_v_slv_hrdata_1_0_a2_1_10)) )) (net N_157 (joined (portRef Y (instanceRef r_slv_haddr_RNI1BQT_3)) (portRef B (instanceRef r_slv_hwrite_RNIC9D11_1)) (portRef B (instanceRef r_slv_hrdata_RNO_0_9)) (portRef B (instanceRef r_slv_hrdata_RNO_0_8)) (portRef B (instanceRef r_slv_hrdata_RNO_0_5)) (portRef C (instanceRef r_slv_hrdata_RNO_0_7)) (portRef B (instanceRef r_slv_hrdata_RNO_0_6)) (portRef B (instanceRef comb_v_slv_hrdata_1_0_a2_1_10)) )) (net (rename hrdata_20_0 "hrdata_20[0]") (joined (portRef Y (instanceRef comb_hrdata_20_iv_0)) (portRef A (instanceRef r_slv_hrdata_RNO_0)) )) (net (rename hrdata_20_iv_1_0 "hrdata_20_iv_1[0]") (joined (portRef Y (instanceRef comb_hrdata_20_iv_RNO_0_0)) (portRef B (instanceRef comb_hrdata_20_iv_0)) )) (net N_145 (joined (portRef Y (instanceRef r_slv_hready_1_0_a2_2)) (portRef B (instanceRef r_slv_hready_RNO_0)) )) (net hready_1_0_a2_2_1 (joined (portRef Y (instanceRef r_slv_hready_1_0_a2_2_RNO)) (portRef B (instanceRef r_slv_hready_1_0_a2_2)) )) (net hready_1_0_a2_2_0 (joined (portRef Y (instanceRef r_slv_hready_1_0_a2_2_RNO_0)) (portRef A (instanceRef r_slv_hready_1_0_a2_2_RNO)) )) (net cnt_e1_i_a2_1_0 (joined (portRef Y (instanceRef r_cnt_RNI1HO7_0_0)) (portRef A (instanceRef r_cnt_RNO_1_1)) (portRef B (instanceRef r_slv_hready_1_0_a2_2_RNO)) )) (net (rename te_m_0 "te_m[0]") (joined (portRef Y (instanceRef comb_hrdata_20_iv_RNO_1_0)) (portRef A (instanceRef comb_hrdata_20_iv_RNO_0_0)) )) (net (rename hrdata_20_iv_0_0 "hrdata_20_iv_0[0]") (joined (portRef Y (instanceRef comb_hrdata_20_iv_RNO_2_0)) (portRef B (instanceRef comb_hrdata_20_iv_RNO_0_0)) )) (net (rename hrdata_1_0_0_10 "hrdata_1_0_0[10]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_10)) (portRef C (instanceRef r_slv_hrdata_RNO_10)) )) (net N_105 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_10)) (portRef A (instanceRef r_slv_hrdata_RNO_0_10)) )) (net (rename timer_11 "timer[11]") (joined (portRef Q (instanceRef r_timer_11)) (portRef A (instanceRef r_slv_hrdata_RNO_0_11)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_x2)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_1)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m69_0)) )) (net (rename timer_10 "timer[10]") (joined (portRef Q (instanceRef r_timer_10)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m67)) (portRef B (instanceRef r_slv_hrdata_RNO_1_10)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m69_0)) )) (net (rename rstate_li_0_0 "rstate_li_0[0]") (joined (portRef (member rstate_li_0 0)) (portRef B (instanceRef comb_v_slv_hrdata_1_0_a2_1_RNO_10)) )) (net cnt_e2_i_a2_0_0 (joined (portRef Y (instanceRef r_cnt_RNO_4_2)) (portRef C (instanceRef r_cnt_RNO_2_2)) )) (net (rename cnt_2 "cnt[2]") (joined (portRef Q (instanceRef r_cnt_2)) (portRef C (instanceRef r_cnt_RNO_0_2)) (portRef C (instanceRef r_slv_hready_RNO_8)) (portRef C (instanceRef r_cnt_RNI79O9_2)) (portRef B (instanceRef r_slv_hready_RNO_10)) (portRef A (instanceRef r_slv_hready_1_0_a2_2_RNO_0)) (portRef C (instanceRef r_cnt_RNO_3_2)) (portRef A (instanceRef r_cnt_RNO_4_2)) )) (net (rename cnt_0 "cnt[0]") (joined (portRef Q (instanceRef r_cnt_0)) (portRef B (instanceRef r_cnt_RNI1HO7_0)) (portRef B (instanceRef r_cnt_RNO_0)) (portRef A (instanceRef r_slv_hready_RNO_8)) (portRef A (instanceRef r_cnt_RNI1HO7_0_0)) (portRef A (instanceRef r_slv_hready_RNO_6)) (portRef B (instanceRef r_cnt_RNO_3_2)) (portRef B (instanceRef r_cnt_RNO_4_2)) )) (net (rename cnt_1 "cnt[1]") (joined (portRef Q (instanceRef r_cnt_1)) (portRef A (instanceRef r_cnt_RNI1HO7_0)) (portRef C (instanceRef r_cnt_RNO_0_1)) (portRef B (instanceRef r_slv_hready_RNO_8)) (portRef B (instanceRef r_cnt_RNI1HO7_0_0)) (portRef B (instanceRef r_cnt_RNI79O9_2)) (portRef B (instanceRef r_slv_hready_RNO_6)) (portRef A (instanceRef r_cnt_RNO_3_2)) (portRef C (instanceRef r_cnt_RNO_4_2)) )) (net cnt_e2_i_a2_0 (joined (portRef Y (instanceRef r_cnt_RNO_3_2)) (portRef B (instanceRef r_cnt_RNO_1_2)) )) (net (rename timer_27 "timer[27]") (joined (portRef Q (instanceRef r_timer_27)) (portRef B (instanceRef r_slv_hrdata_RNO_0_27)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_x2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m97_0)) )) (net hready_1_0_3 (joined (portRef Y (instanceRef r_slv_hready_RNO_0)) (portRef A (instanceRef r_slv_hready_RNO)) )) (net hready_1_0_0 (joined (portRef Y (instanceRef r_slv_hready_RNO_3)) (portRef A (instanceRef r_slv_hready_RNO_0)) )) (net N_142 (joined (portRef Y (instanceRef r_slv_hready_RNO_4)) (portRef C (instanceRef r_slv_hready_RNO_0)) )) (net hready_1_0_2 (joined (portRef Y (instanceRef r_slv_hready_RNO_1)) (portRef B (instanceRef r_slv_hready_RNO)) )) (net hready_1_0_a2_1_2 (joined (portRef Y (instanceRef r_slv_hready_RNO_5)) (portRef A (instanceRef r_slv_hready_RNO_3)) )) (net hready_1_0_a2_1_1 (joined (portRef Y (instanceRef r_slv_hready_RNO_6)) (portRef B (instanceRef r_slv_hready_RNO_3)) )) (net N_146 (joined (portRef Y (instanceRef r_slv_hready_RNO_7)) (portRef C (instanceRef r_slv_hready_RNO_3)) )) (net (rename timer_18 "timer[18]") (joined (portRef Q (instanceRef r_timer_18)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m81)) (portRef B (instanceRef r_slv_hrdata_RNO_0_18)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m83_0)) )) (net (rename timer_19 "timer[19]") (joined (portRef Q (instanceRef r_timer_19)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_x2)) (portRef B (instanceRef r_slv_hrdata_RNO_19)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_2)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m83_0)) )) (net ADD_30x30_fast_I177_Y_0_i_o2_5 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_5)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_x2)) )) (net ADD_30x30_fast_I177_Y_0_i_o2_3 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_3)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_5)) )) (net ADD_30x30_fast_I177_Y_0_i_o2_4 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_5)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_6)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_o2_5)) )) (net (rename timer_15 "timer[15]") (joined (portRef Q (instanceRef r_timer_15)) (portRef B (instanceRef r_slv_hrdata_RNO_15)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m76)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_1_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m78_0)) )) (net (rename timer_16 "timer[16]") (joined (portRef Q (instanceRef r_timer_16)) (portRef A (instanceRef r_slv_hrdata_RNO_3_16)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_x2)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m78_0)) )) (net ADD_30x30_fast_I169_Y_0_i_o2_5 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_5)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2)) )) (net ADD_30x30_fast_I169_Y_0_i_o2_3_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_3)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_5)) )) (net ADD_30x30_fast_I169_Y_0_i_o2_4 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_4)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_5)) )) (net ADD_30x30_fast_I174_Y_0_i_o2_1 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_6)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_4)) )) (net ADD_30x30_fast_I169_Y_0_i_o2_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_3)) )) (net ADD_30x30_fast_I169_Y_0_i_o2_3 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_1)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_2)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2_3)) )) (net ADD_30x30_fast_I161_Y_0_0_o2_2 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2)) )) (net ADD_30x30_fast_I158_Y_0_0_o2_5_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_5_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_3)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_2)) )) (net m66_m6_0_a2_3 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_3)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_3)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_5)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_2)) )) (net ADD_30x30_fast_I161_Y_0_0_o2_1 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_1)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_2)) )) (net (rename timer_8 "timer[8]") (joined (portRef Q (instanceRef r_timer_8)) (portRef B (instanceRef r_slv_hrdata_RNO_1_8)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_x2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m64_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_1)) )) (net (rename timer_7 "timer[7]") (joined (portRef Q (instanceRef r_timer_7)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m62)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_m64_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_1)) (portRef B (instanceRef r_slv_hrdata_RNO_0_7)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_1)) )) (net ADD_30x30_fast_I166_Y_0_i_o2_2 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_2)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2_1)) )) (net ADD_30x30_fast_I174_Y_0_i_o2_6 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_6)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_x2)) )) (net ADD_30x30_fast_I174_Y_0_i_o2_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_6)) )) (net ADD_30x30_fast_I177_Y_0_i_o2_1 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_5)) )) (net ADD_30x30_fast_I177_Y_0_i_o2_2 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_3)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_o2_5)) )) (net (rename hrdata_1_0_0_6 "hrdata_1_0_0[6]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_6)) (portRef C (instanceRef r_slv_hrdata_RNO_6)) )) (net tstop (joined (portRef tstop) (portRef A (instanceRef r_act_RNO)) (portRef A (instanceRef r_bn_RNO_3_0)) (portRef A (instanceRef r_slv_hrdata_RNO_0_6)) (portRef B (instanceRef r_slv_hwrite_RNIBFN21)) )) (net N_94_0 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_6)) (portRef C (instanceRef r_slv_hrdata_RNO_0_6)) )) (net (rename hrdata_1_0_0_7 "hrdata_1_0_0[7]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_7)) (portRef C (instanceRef r_slv_hrdata_RNO_7)) )) (net N_156 (joined (portRef Y (instanceRef r_slv_haddr_RNI1BQT_6)) (portRef A (instanceRef r_slv_hrdata_RNO_0_29)) (portRef A (instanceRef r_slv_hrdata_RNO_13)) (portRef A (instanceRef r_slv_hrdata_RNO_15)) (portRef A (instanceRef r_slv_hrdata_RNO_1_10)) (portRef A (instanceRef r_slv_hrdata_RNO_19)) (portRef A (instanceRef r_slv_hrdata_RNO_1_6)) (portRef A (instanceRef r_slv_hrdata_RNO_1_5)) (portRef A (instanceRef r_slv_hrdata_RNO_28)) (portRef A (instanceRef r_slv_hrdata_RNO_24)) (portRef A (instanceRef r_slv_hrdata_RNO_17)) (portRef A (instanceRef r_slv_hrdata_RNO_0_27)) (portRef A (instanceRef r_slv_hrdata_RNO_0_26)) (portRef A (instanceRef r_slv_hrdata_RNO_0_25)) (portRef A (instanceRef r_slv_hrdata_RNO_0_23)) (portRef A (instanceRef r_slv_hrdata_RNO_0_22)) (portRef A (instanceRef r_slv_hrdata_RNO_0_21)) (portRef A (instanceRef r_slv_hrdata_RNO_0_20)) (portRef A (instanceRef r_slv_hrdata_RNO_0_18)) (portRef A (instanceRef r_slv_hrdata_RNO_0_14)) (portRef A (instanceRef r_slv_hrdata_RNO_0_12)) (portRef A (instanceRef r_slv_hrdata_RNO_1_9)) (portRef A (instanceRef r_slv_hrdata_RNO_1_8)) (portRef A (instanceRef r_slv_hrdata_RNO_0_7)) )) (net (rename hrdata_1_0_0_5 "hrdata_1_0_0[5]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_5)) (portRef C (instanceRef r_slv_hrdata_RNO_5)) )) (net N_91 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_5)) (portRef C (instanceRef r_slv_hrdata_RNO_0_5)) )) (net (rename hrdata_1_0_0_8 "hrdata_1_0_0[8]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_8)) (portRef C (instanceRef r_slv_hrdata_RNO_8)) )) (net (rename dsubre_2 "dsubre[2]") (joined (portRef Q (instanceRef r_dsubre_2)) (portRef A (instanceRef r_bn_RNO_5_0)) (portRef A (instanceRef r_bz_RNO_0)) (portRef A (instanceRef r_bx_RNO_0)) (portRef A (instanceRef r_bw_RNO_0)) (portRef A (instanceRef r_be_RNO_0)) (portRef B (instanceRef r_bn_RNO_2_0)) (portRef A (instanceRef r_slv_hrdata_RNO_0_8)) )) (net N_99_0 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_8)) (portRef C (instanceRef r_slv_hrdata_RNO_0_8)) )) (net (rename hrdata_1_0_0_9 "hrdata_1_0_0[9]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_9)) (portRef C (instanceRef r_slv_hrdata_RNO_9)) )) (net (rename dbgi_i_2_23 "dbgi_i_2[23]") (joined (portRef (member dbgi_i_2 0)) (portRef A (instanceRef r_slv_hrdata_RNO_0_9)) )) (net N_102 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_9)) (portRef C (instanceRef r_slv_hrdata_RNO_0_9)) )) (net ADD_30x30_fast_I166_Y_0_i_o2_4 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_4)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2)) )) (net ADD_30x30_fast_I166_Y_0_i_o2_1 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_1_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_4)) )) (net ADD_30x30_fast_I166_Y_0_i_o2_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_0)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_4)) )) (net ADD_30x30_fast_I166_Y_0_i_o2_2_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_2)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2_4)) )) (net m66_m6_0_a2_4 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_4)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_7)) )) (net m66_m6_0_a2_5 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_5)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_7)) )) (net m66_m6_0_a2_1 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_0)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_2)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_m66_m6_0_a2_4)) )) (net ADD_30x30_fast_I158_Y_0_0_o2_3 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_3)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_x2)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2)) )) (net ADD_30x30_fast_I158_Y_0_0_o2_2 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_2)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_3)) )) (net ADD_30x30_fast_I158_Y_0_0_o2_1 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_1)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_o2_2)) )) (net (rename bn_1_iv_0_0 "bn_1_iv_0[0]") (joined (portRef Y (instanceRef r_bn_RNO_1_0)) (portRef C (instanceRef r_bn_RNO_0)) )) (net (rename ahbsi_m_0_57 "ahbsi_m_0[57]") (joined (portRef Y (instanceRef r_bn_RNO_4_0)) (portRef A (instanceRef r_bn_RNO_1_0)) )) (net bn_1_sqmuxa (joined (portRef Y (instanceRef r_slv_haddr_RNIC9D11_0_2)) (portRef S (instanceRef r_ss_RNO_0_0)) (portRef A (instanceRef r_bn_RNO_0)) (portRef B (instanceRef r_bn_RNO_1_0)) )) (net (rename dsubre_m_0_2 "dsubre_m_0[2]") (joined (portRef Y (instanceRef r_bn_RNO_5_0)) (portRef C (instanceRef r_bn_RNO_1_0)) )) (net (rename bn_4_m_0_0 "bn_4_m_0[0]") (joined (portRef Y (instanceRef r_bn_RNO_0_0)) (portRef B (instanceRef r_bn_RNO_0)) )) (net dsubre_1 (joined (portRef Y (instanceRef r_bn_RNO_2_0)) (portRef A (instanceRef r_bn_RNO_0_0)) )) (net (rename bn_4_0_0 "bn_4_0[0]") (joined (portRef Y (instanceRef r_bn_RNO_3_0)) (portRef B (instanceRef r_bn_RNO_0_0)) )) (net (rename bmsk_0 "bmsk[0]") (joined (portRef Q (instanceRef r_bmsk_0)) (portRef A (instanceRef r_bmsk_RNO_0_0)) (portRef A (instanceRef comb_hrdata_20_iv_RNO_2_0)) )) (net te11 (joined (portRef Y (instanceRef r_slv_haddr_RNILQRN_1_6)) (portRef B (instanceRef r_slv_hrdata_RNO_2_16)) (portRef B (instanceRef comb_hrdata_20_iv_RNO_2_0)) )) (net (rename bn_m_0 "bn_m[0]") (joined (portRef Y (instanceRef comb_hrdata_20_iv_RNO_3_0)) (portRef C (instanceRef comb_hrdata_20_iv_RNO_2_0)) )) (net te11_0_a2_0 (joined (portRef Y (instanceRef r_slv_haddr_RNI2HH9_6)) (portRef A (instanceRef r_slv_haddr_RNILQRN_1_6)) )) (net (rename daddr_2 "daddr[2]") (joined (portRef Q (instanceRef r_slv_haddr_2)) (portRef B (instanceRef r_slv_haddr_RNIV4H9_0_3)) (portRef A (instanceRef r_slv_haddr_RNIC9D11_2)) (portRef B (instanceRef r_slv_haddr_RNIC9D11_0_2)) (portRef B (instanceRef r_slv_haddr_RNI2HH9_0_6)) (portRef A (instanceRef r_slv_haddr_RNI2HH9_6)) (portRef B (instanceRef r_slv_haddr_RNIV4H9_3)) (portRef (member daddr 21)) )) (net (rename daddr_6 "daddr[6]") (joined (portRef Q (instanceRef r_slv_haddr_6)) (portRef B (instanceRef r_slv_haddr_RNILQRN_0_6)) (portRef C (instanceRef r_slv_haddr_RNILQRN_6)) (portRef B (instanceRef r_slv_haddr_RNIVGNA_6)) (portRef A (instanceRef r_slv_haddr_RNI2HH9_0_6)) (portRef B (instanceRef r_slv_haddr_RNI2HH9_6)) (portRef B (instanceRef r_slv_haddr_RNIVGNA_21)) (portRef (member daddr 17)) )) (net te10_0_a2_0 (joined (portRef Y (instanceRef r_slv_haddr_RNI2HH9_0_6)) (portRef C (instanceRef r_slv_hrdata_RNO_1_16)) (portRef C (instanceRef comb_hrdata_20_iv_RNO_3_0)) )) (net hready_1_0_a2_0 (joined (portRef Y (instanceRef r_slv_hready_RNO_9)) (portRef C (instanceRef r_slv_hready_RNO_4)) )) (net (rename daddr_22 "daddr[22]") (joined (portRef Q (instanceRef r_slv_haddr_22)) (portRef A (instanceRef r_slv_haddr_RNI80V3_20)) (portRef A (instanceRef r_slv_haddr_RNICGU5_20)) (portRef B (instanceRef r_slv_haddr_RNIGI8J_22)) (portRef B (instanceRef r_slv_hready_RNO_5)) (portRef B (instanceRef r_slv_hready_1_0_a2_2_RNO_0)) (portRef C (instanceRef r_slv_hready_RNO_9)) (portRef A (instanceRef r_slv_haddr_RNI80V3_0_20)) (portRef (member daddr 1)) )) (net denable_i_i_a2_0 (joined (portRef Y (instanceRef r_slv_haddr_RNI4PG7_24)) (portRef C (instanceRef r_slv_haddr_RNIGI8J_22)) )) (net (rename haddr_24 "haddr[24]") (joined (portRef Q (instanceRef r_slv_haddr_24)) (portRef B (instanceRef r_slv_haddr_RNI19H5_24)) (portRef C (instanceRef r_slv_haddr_RNI4PG7_24)) )) (net denable_i_i_a2_0_0 (joined (portRef Y (instanceRef r_slv_haddr_RNI19H5_24)) (portRef A (instanceRef r_slv_haddr_RNIDPFB_21)) )) (net hready_1_0_a2_3_0 (joined (portRef Y (instanceRef r_slv_hready_RNO_10)) (portRef A (instanceRef r_slv_hready_RNO_7)) )) (net N_14 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_o2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_x2)) (portRef B (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2)) )) (net N_15 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_o2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_x2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_x2)) )) (net N_16 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_o2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_x2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_x2)) )) (net bmsk_1_sqmuxa_2 (joined (portRef Y (instanceRef r_slv_hwrite_RNIU7TH)) (portRef B (instanceRef r_slv_haddr_RNIC9D11_2)) (portRef A (instanceRef r_slv_haddr_RNIC9D11_0_2)) )) (net N_155 (joined (portRef Y (instanceRef r_slv_haddr_RNIVGNA_6)) (portRef B (instanceRef r_slv_haddr_RNI1BQT_6)) (portRef C (instanceRef r_slv_haddr_RNI1BQT_3)) (portRef C (instanceRef r_slv_haddr_RNIC9D11_2)) (portRef C (instanceRef r_slv_haddr_RNIC9D11_0_2)) )) (net bmsk_1_sqmuxa (joined (portRef Y (instanceRef r_slv_haddr_RNIC9D11_2)) (portRef S (instanceRef r_bmsk_RNO_0_0)) (portRef S (instanceRef r_dmsk_RNO_0_0)) )) (net (rename bn_1_0 "bn_1[0]") (joined (portRef Y (instanceRef r_bn_RNO_0)) (portRef D (instanceRef r_bn_0)) )) (net (rename hrdata_20_16 "hrdata_20[16]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_16)) (portRef A (instanceRef r_slv_hrdata_RNO_16)) )) (net (rename ss_m_0 "ss_m[0]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_16)) (portRef A (instanceRef r_slv_hrdata_RNO_0_16)) )) (net (rename dmsk_m_0 "dmsk_m[0]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_2_16)) (portRef B (instanceRef r_slv_hrdata_RNO_0_16)) )) (net (rename timer_m_16 "timer_m[16]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_3_16)) (portRef C (instanceRef r_slv_hrdata_RNO_0_16)) )) (net (rename hrdata_1_5 "hrdata_1[5]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_5)) (portRef D (instanceRef r_slv_hrdata_5)) )) (net (rename data_0 "data[5]") (joined (portRef data_0) (portRef B (instanceRef r_slv_hrdata_RNO_5)) )) (net (rename hrdata_1_9 "hrdata_1[9]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_9)) (portRef D (instanceRef r_slv_hrdata_9)) )) (net (rename data_4 "data[9]") (joined (portRef data_4) (portRef B (instanceRef r_slv_hrdata_RNO_9)) )) (net (rename hrdata_1_8 "hrdata_1[8]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_8)) (portRef D (instanceRef r_slv_hrdata_8)) )) (net (rename data_3 "data[8]") (joined (portRef data_3) (portRef B (instanceRef r_slv_hrdata_RNO_8)) )) (net (rename hrdata_1_7 "hrdata_1[7]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_7)) (portRef D (instanceRef r_slv_hrdata_7)) )) (net (rename data_2 "data[7]") (joined (portRef data_2) (portRef B (instanceRef r_slv_hrdata_RNO_7)) )) (net N_88 (joined (portRef Y (instanceRef r_cnt_RNO_2_2)) (portRef C (instanceRef r_cnt_RNO_2)) )) (net N_87 (joined (portRef Y (instanceRef r_cnt_RNO_1_2)) (portRef B (instanceRef r_cnt_RNO_2)) )) (net crdy (joined (portRef crdy) (portRef A (instanceRef r_slv_hready_RNO_4)) )) (net N_165 (joined (portRef Y (instanceRef r_slv_hready_RNO_8)) (portRef B (instanceRef r_slv_hready_RNO_4)) )) (net N_146_2 (joined (portRef Y (instanceRef r_cnt_RNI1HO7_0)) (portRef C (instanceRef r_cnt_RNO_2_1)) (portRef B (instanceRef r_slv_hready_RNO_7)) )) (net hready_RNO (joined (portRef Y (instanceRef r_slv_hready_RNO)) (portRef D (instanceRef r_slv_hready)) )) (net hready_RNO_2 (joined (portRef Y (instanceRef r_slv_hready_RNO_2)) (portRef C (instanceRef r_slv_hready_RNO)) )) (net N_16_0 (joined (portRef Y (instanceRef r_cnt_RNO_1)) (portRef D (instanceRef r_cnt_1)) )) (net N_85_0 (joined (portRef Y (instanceRef r_cnt_RNO_0_1)) (portRef A (instanceRef r_cnt_RNO_1)) )) (net N_86_0 (joined (portRef Y (instanceRef r_cnt_RNO_1_1)) (portRef B (instanceRef r_cnt_RNO_1)) )) (net N_84 (joined (portRef Y (instanceRef r_cnt_RNO_2_1)) (portRef C (instanceRef r_cnt_RNO_1)) )) (net N_18 (joined (portRef Y (instanceRef r_cnt_RNO_2)) (portRef D (instanceRef r_cnt_2)) )) (net N_89 (joined (portRef Y (instanceRef r_cnt_RNO_0_2)) (portRef A (instanceRef r_cnt_RNO_2)) )) (net N_162 (joined (portRef Y (instanceRef r_cnt_RNI79O9_2)) (portRef A (instanceRef r_slv_haddr_RNIGI8J_22)) )) (net N_148 (joined (portRef Y (instanceRef r_slv_haddr_RNIDPFB_21)) (portRef A (instanceRef r_slv_haddr_RNITBOU_21)) )) (net (rename hrdata_1_10 "hrdata_1[10]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_10)) (portRef D (instanceRef r_slv_hrdata_10)) )) (net (rename data_5 "data[10]") (joined (portRef data_5) (portRef B (instanceRef r_slv_hrdata_RNO_10)) )) (net N_147 (joined (portRef Y (instanceRef r_slv_haddr_RNIGI8J_22)) (portRef B (instanceRef r_slv_haddr_RNITBOU_21)) )) (net (rename hrdata_1_6 "hrdata_1[6]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_6)) (portRef D (instanceRef r_slv_hrdata_6)) )) (net (rename data_1 "data[6]") (joined (portRef data_1) (portRef B (instanceRef r_slv_hrdata_RNO_6)) )) (net un95_ioen_NE (joined (portRef un95_ioen_NE) (portRef A (instanceRef comb_un1_ahbsi)) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef (member iosn_0 0)) (portRef B (instanceRef comb_un1_ahbsi)) )) (net N_311 (joined (portRef Y (instanceRef r_te_RNO_0_0)) (portRef B (instanceRef r_te_RNO_0)) )) (net tenable (joined (portRef Q (instanceRef r_te_0)) (portRef B (instanceRef comb_hrdata_20_iv_RNO_1_0)) (portRef A (instanceRef r_te_RNO_0_0)) )) (net (rename hwdata_0 "hwdata[0]") (joined (portRef (member hwdata 31)) (portRef D (instanceRef r_slv_hwdata_0)) (portRef B (instanceRef r_timer_RNO_0_0)) (portRef B (instanceRef r_bmsk_RNO_0_0)) (portRef B (instanceRef r_te_RNO_0_0)) (portRef B (instanceRef r_bn_RNO_4_0)) )) (net reset_0_sqmuxa (joined (portRef Y (instanceRef r_slv_hwrite_RNIC9D11_1)) (portRef B (instanceRef r_slv_hwrite_RNI65P21)) (portRef A (instanceRef r_reset_RNO_0)) (portRef S (instanceRef r_bs_RNO_0_0)) (portRef S (instanceRef r_halt_RNO_0_0)) (portRef S (instanceRef r_te_RNO_0_0)) )) (net N_312 (joined (portRef Y (instanceRef r_ss_RNO_0_0)) (portRef B (instanceRef r_ss_RNO_0)) )) (net N_313 (joined (portRef Y (instanceRef r_halt_RNO_0_0)) (portRef B (instanceRef r_halt_RNO_0)) )) (net halt (joined (portRef Q (instanceRef r_halt_0)) (portRef A (instanceRef r_halt_RNO_0_0)) (portRef A (instanceRef comb_v_slv_hrdata_1_0_a2_1_RNO_10)) (portRef halt) )) (net N_314 (joined (portRef Y (instanceRef r_dmsk_RNO_0_0)) (portRef B (instanceRef r_dmsk_RNO_0)) )) (net (rename dmsk_0 "dmsk[0]") (joined (portRef Q (instanceRef r_dmsk_0)) (portRef A (instanceRef r_slv_hrdata_RNO_2_16)) (portRef B (instanceRef r_act_RNO)) (portRef A (instanceRef r_dmsk_RNO_0_0)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef (member hwdata 15)) (portRef D (instanceRef r_slv_hwdata_16)) (portRef B (instanceRef r_timer_RNO_0_16)) (portRef B (instanceRef r_dmsk_RNO_0_0)) (portRef B (instanceRef r_ss_RNO_0_0)) )) (net N_315 (joined (portRef Y (instanceRef r_bs_RNO_0_0)) (portRef B (instanceRef r_bs_RNO_0)) )) (net N_316 (joined (portRef Y (instanceRef r_bmsk_RNO_0_0)) (portRef B (instanceRef r_bmsk_RNO_0)) )) (net N_52_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m51)) (portRef A (instanceRef r_timer_RNO_0_0)) )) (net N_53_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m52)) (portRef A (instanceRef r_timer_RNO_0_1)) )) (net N_54_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m53)) (portRef A (instanceRef r_timer_RNO_0_2)) )) (net N_55_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m54)) (portRef A (instanceRef r_timer_RNO_0_3)) )) (net N_57_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m56)) (portRef A (instanceRef r_timer_RNO_0_4)) )) (net N_59_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m58)) (portRef A (instanceRef r_timer_RNO_0_5)) )) (net N_61_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m60)) (portRef A (instanceRef r_timer_RNO_0_6)) )) (net N_63_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m62)) (portRef A (instanceRef r_timer_RNO_0_7)) )) (net N_75_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m74)) (portRef A (instanceRef r_timer_RNO_0_14)) )) (net N_77_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m76)) (portRef A (instanceRef r_timer_RNO_0_15)) )) (net N_80 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m79)) (portRef A (instanceRef r_timer_RNO_0_17)) )) (net (rename timer_24 "timer[24]") (joined (portRef Q (instanceRef r_timer_24)) (portRef B (instanceRef r_slv_hrdata_RNO_24)) (portRef C (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_x2)) (portRef A (instanceRef un1_v_timer_0_sqmuxa_m3_e)) )) (net N_19_i_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I161_Y_0_0_x2)) (portRef A (instanceRef r_timer_RNO_0_11)) )) (net N_20_i_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I158_Y_0_0_x2)) (portRef A (instanceRef r_timer_RNO_0_8)) )) (net (rename timer_0_sqmuxa_13 "timer_0_sqmuxa[13]") (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I166_Y_0_i_x2)) (portRef A (instanceRef r_timer_RNO_0_16)) )) (net (rename timer_0_sqmuxa_2 "timer_0_sqmuxa[2]") (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I177_Y_0_i_x2)) (portRef A (instanceRef r_timer_RNO_0_27)) )) (net (rename timer_0_sqmuxa_5 "timer_0_sqmuxa[5]") (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I174_Y_0_i_x2)) (portRef A (instanceRef r_timer_RNO_0_24)) )) (net N_108 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_12)) (portRef C (instanceRef r_slv_hrdata_RNO_12)) )) (net N_112 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_14)) (portRef C (instanceRef r_slv_hrdata_RNO_14)) )) (net N_115 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_17)) (portRef C (instanceRef r_slv_hrdata_RNO_17)) )) (net (rename data_0_iv_3_4 "data_0_iv_3[17]") (joined (portRef data_0_iv_3_4) (portRef A (instanceRef r_slv_hrdata_RNO_0_17)) )) (net (rename data_0_iv_2_4 "data_0_iv_2[17]") (joined (portRef data_0_iv_2_4) (portRef B (instanceRef r_slv_hrdata_RNO_0_17)) )) (net N_118 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_18)) (portRef C (instanceRef r_slv_hrdata_RNO_18)) )) (net N_122 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_20)) (portRef C (instanceRef r_slv_hrdata_RNO_20)) )) (net N_124 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_21)) (portRef C (instanceRef r_slv_hrdata_RNO_21)) )) (net N_126 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_22)) (portRef C (instanceRef r_slv_hrdata_RNO_22)) )) (net N_128 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_23)) (portRef C (instanceRef r_slv_hrdata_RNO_23)) )) (net N_129 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_24)) (portRef C (instanceRef r_slv_hrdata_RNO_24)) )) (net (rename data_0_iv_4_0 "data_0_iv_4[24]") (joined (portRef data_0_iv_4_0) (portRef A (instanceRef r_slv_hrdata_RNO_0_24)) )) (net (rename data_0_iv_3_11 "data_0_iv_3[24]") (joined (portRef data_0_iv_3_11) (portRef B (instanceRef r_slv_hrdata_RNO_0_24)) )) (net N_132 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_25)) (portRef C (instanceRef r_slv_hrdata_RNO_25)) )) (net N_134 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_26)) (portRef C (instanceRef r_slv_hrdata_RNO_26)) )) (net N_136 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_27)) (portRef C (instanceRef r_slv_hrdata_RNO_27)) )) (net N_137 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_28)) (portRef C (instanceRef r_slv_hrdata_RNO_28)) )) (net (rename data_0_iv_4_4 "data_0_iv_4[28]") (joined (portRef data_0_iv_4_4) (portRef A (instanceRef r_slv_hrdata_RNO_0_28)) )) (net (rename data_0_iv_3_15 "data_0_iv_3[28]") (joined (portRef data_0_iv_3_15) (portRef B (instanceRef r_slv_hrdata_RNO_0_28)) )) (net (rename hrdata_1_12 "hrdata_1[12]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_12)) (portRef D (instanceRef r_slv_hrdata_12)) )) (net (rename data_7 "data[12]") (joined (portRef data_7) (portRef B (instanceRef r_slv_hrdata_RNO_12)) )) (net (rename hrdata_1_14 "hrdata_1[14]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_14)) (portRef D (instanceRef r_slv_hrdata_14)) )) (net (rename data_9 "data[14]") (joined (portRef data_9) (portRef B (instanceRef r_slv_hrdata_RNO_14)) )) (net (rename hrdata_1_17 "hrdata_1[17]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_17)) (portRef D (instanceRef r_slv_hrdata_17)) )) (net (rename hrdata_1_18 "hrdata_1[18]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_18)) (portRef D (instanceRef r_slv_hrdata_18)) )) (net (rename data_13 "data[18]") (joined (portRef data_13) (portRef B (instanceRef r_slv_hrdata_RNO_18)) )) (net (rename hrdata_1_20 "hrdata_1[20]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_20)) (portRef D (instanceRef r_slv_hrdata_20)) )) (net (rename data_15 "data[20]") (joined (portRef data_15) (portRef B (instanceRef r_slv_hrdata_RNO_20)) )) (net (rename hrdata_1_21 "hrdata_1[21]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_21)) (portRef D (instanceRef r_slv_hrdata_21)) )) (net (rename data_16 "data[21]") (joined (portRef data_16) (portRef B (instanceRef r_slv_hrdata_RNO_21)) )) (net (rename hrdata_1_22 "hrdata_1[22]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_22)) (portRef D (instanceRef r_slv_hrdata_22)) )) (net (rename data_17 "data[22]") (joined (portRef data_17) (portRef B (instanceRef r_slv_hrdata_RNO_22)) )) (net (rename hrdata_1_23 "hrdata_1[23]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_23)) (portRef D (instanceRef r_slv_hrdata_23)) )) (net (rename data_18 "data[23]") (joined (portRef data_18) (portRef B (instanceRef r_slv_hrdata_RNO_23)) )) (net (rename hrdata_1_24 "hrdata_1[24]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_24)) (portRef D (instanceRef r_slv_hrdata_24)) )) (net (rename hrdata_1_25 "hrdata_1[25]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_25)) (portRef D (instanceRef r_slv_hrdata_25)) )) (net (rename data_20 "data[25]") (joined (portRef data_20) (portRef B (instanceRef r_slv_hrdata_RNO_25)) )) (net (rename hrdata_1_26 "hrdata_1[26]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_26)) (portRef D (instanceRef r_slv_hrdata_26)) )) (net (rename haddr_RNICGU5_0_21 "haddr_RNICGU5_0[21]") (joined (portRef Y (instanceRef r_slv_haddr_RNICGU5_0_21)) (portRef C (instanceRef r_slv_hrdata_RNO_0_13)) (portRef C (instanceRef r_slv_hrdata_RNO_0_15)) (portRef S (instanceRef r_slv_hrdata_RNO_11)) (portRef S (instanceRef r_slv_hrdata_RNO_1)) (portRef S (instanceRef r_slv_hrdata_RNO_0)) (portRef C (instanceRef r_slv_hrdata_RNO_0_19)) (portRef A (instanceRef r_slv_haddr_RNIVGNA_6)) (portRef S (instanceRef r_slv_hrdata_RNO_16)) (portRef S (instanceRef r_slv_hrdata_RNO_4)) (portRef S (instanceRef r_slv_hrdata_RNO_3)) (portRef S (instanceRef r_slv_hrdata_RNO_2)) (portRef C (instanceRef r_slv_hrdata_RNO_31)) (portRef C (instanceRef r_slv_hrdata_RNO_30)) (portRef A (instanceRef r_slv_hrdata_RNO_29)) (portRef A (instanceRef r_slv_hrdata_RNO_27)) (portRef A (instanceRef r_slv_hrdata_RNO_26)) )) (net (rename data_21 "data[26]") (joined (portRef data_21) (portRef B (instanceRef r_slv_hrdata_RNO_26)) )) (net (rename hrdata_1_27 "hrdata_1[27]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_27)) (portRef D (instanceRef r_slv_hrdata_27)) )) (net (rename data_22 "data[27]") (joined (portRef data_22) (portRef B (instanceRef r_slv_hrdata_RNO_27)) )) (net (rename hrdata_1_28 "hrdata_1[28]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_28)) (portRef D (instanceRef r_slv_hrdata_28)) )) (net (rename hrdata_1_29 "hrdata_1[29]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_29)) (portRef D (instanceRef r_slv_hrdata_29)) )) (net (rename data_24 "data[29]") (joined (portRef data_24) (portRef B (instanceRef r_slv_hrdata_RNO_29)) )) (net N_140 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_29)) (portRef C (instanceRef r_slv_hrdata_RNO_29)) )) (net N_43 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_30)) (portRef D (instanceRef r_slv_hrdata_30)) )) (net (rename data_0_iv_4_6 "data_0_iv_4[30]") (joined (portRef data_0_iv_4_6) (portRef A (instanceRef r_slv_hrdata_RNO_30)) )) (net (rename data_0_iv_3_17 "data_0_iv_3[30]") (joined (portRef data_0_iv_3_17) (portRef B (instanceRef r_slv_hrdata_RNO_30)) )) (net N_47 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_31)) (portRef D (instanceRef r_slv_hrdata_31)) )) (net (rename data_0_iv_4_7 "data_0_iv_4[31]") (joined (portRef data_0_iv_4_7) (portRef A (instanceRef r_slv_hrdata_RNO_31)) )) (net (rename data_0_iv_3_18 "data_0_iv_3[31]") (joined (portRef data_0_iv_3_18) (portRef B (instanceRef r_slv_hrdata_RNO_31)) )) (net (rename reset_1_0 "reset_1[0]") (joined (portRef Y (instanceRef r_reset_RNO_0)) (portRef D (instanceRef r_reset_0)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef (member hwdata 22)) (portRef D (instanceRef r_slv_hwdata_9)) (portRef B (instanceRef r_reset_RNO_0)) (portRef B (instanceRef r_timer_RNO_0_9)) )) (net (rename timer_1_0 "timer_1[0]") (joined (portRef Y (instanceRef r_timer_RNO_0_0)) (portRef B (instanceRef r_timer_RNO_0)) )) (net (rename timer_1_1 "timer_1[1]") (joined (portRef Y (instanceRef r_timer_RNO_0_1)) (portRef B (instanceRef r_timer_RNO_1)) )) (net (rename timer_1_2 "timer_1[2]") (joined (portRef Y (instanceRef r_timer_RNO_0_2)) (portRef B (instanceRef r_timer_RNO_2)) )) (net (rename timer_1_3 "timer_1[3]") (joined (portRef Y (instanceRef r_timer_RNO_0_3)) (portRef B (instanceRef r_timer_RNO_3)) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef (member hwdata 28)) (portRef D (instanceRef r_slv_hwdata_3)) (portRef B (instanceRef r_timer_RNO_0_3)) (portRef B (instanceRef r_bs_RNO_0_0)) )) (net (rename timer_1_4 "timer_1[4]") (joined (portRef Y (instanceRef r_timer_RNO_0_4)) (portRef B (instanceRef r_timer_RNO_4)) )) (net (rename timer_1_5 "timer_1[5]") (joined (portRef Y (instanceRef r_timer_RNO_0_5)) (portRef B (instanceRef r_timer_RNO_5)) )) (net (rename timer_1_18 "timer_1[18]") (joined (portRef Y (instanceRef r_timer_RNO_0_18)) (portRef B (instanceRef r_timer_RNO_18)) )) (net N_82_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m81)) (portRef A (instanceRef r_timer_RNO_0_18)) )) (net (rename timer_1_24 "timer_1[24]") (joined (portRef Y (instanceRef r_timer_RNO_0_24)) (portRef B (instanceRef r_timer_RNO_24)) )) (net (rename timer_1_27 "timer_1[27]") (joined (portRef Y (instanceRef r_timer_RNO_0_27)) (portRef B (instanceRef r_timer_RNO_27)) )) (net (rename timer_RNO_0 "timer_RNO[0]") (joined (portRef Y (instanceRef r_timer_RNO_0)) (portRef D (instanceRef r_timer_0)) )) (net (rename timer_RNO_1 "timer_RNO[1]") (joined (portRef Y (instanceRef r_timer_RNO_1)) (portRef D (instanceRef r_timer_1)) )) (net (rename timer_RNO_2 "timer_RNO[2]") (joined (portRef Y (instanceRef r_timer_RNO_2)) (portRef D (instanceRef r_timer_2)) )) (net (rename timer_RNO_3 "timer_RNO[3]") (joined (portRef Y (instanceRef r_timer_RNO_3)) (portRef D (instanceRef r_timer_3)) )) (net (rename timer_RNO_4 "timer_RNO[4]") (joined (portRef Y (instanceRef r_timer_RNO_4)) (portRef D (instanceRef r_timer_4)) )) (net (rename timer_RNO_5 "timer_RNO[5]") (joined (portRef Y (instanceRef r_timer_RNO_5)) (portRef D (instanceRef r_timer_5)) )) (net (rename timer_RNO_18 "timer_RNO[18]") (joined (portRef Y (instanceRef r_timer_RNO_18)) (portRef D (instanceRef r_timer_18)) )) (net (rename timer_RNO_24 "timer_RNO[24]") (joined (portRef Y (instanceRef r_timer_RNO_24)) (portRef D (instanceRef r_timer_24)) )) (net (rename timer_RNO_27 "timer_RNO[27]") (joined (portRef Y (instanceRef r_timer_RNO_27)) (portRef D (instanceRef r_timer_27)) )) (net (rename dsubreZ0Z_1 "dsubre[1]") (joined (portRef Q (instanceRef r_dsubre_1)) (portRef D (instanceRef r_dsubre_2)) (portRef A (instanceRef r_bn_RNO_2_0)) )) (net (rename be_1_0 "be_1[0]") (joined (portRef Y (instanceRef r_be_RNO_0)) (portRef D (instanceRef r_be_0)) )) (net (rename hwdata_1 "hwdata[1]") (joined (portRef (member hwdata 30)) (portRef D (instanceRef r_slv_hwdata_1)) (portRef B (instanceRef r_be_RNO_0)) (portRef B (instanceRef r_timer_RNO_0_1)) )) (net (rename bw_1_0 "bw_1[0]") (joined (portRef Y (instanceRef r_bw_RNO_0)) (portRef D (instanceRef r_bw_0)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef (member hwdata 29)) (portRef D (instanceRef r_slv_hwdata_2)) (portRef B (instanceRef r_bw_RNO_0)) (portRef B (instanceRef r_timer_RNO_0_2)) )) (net (rename bx_1_0 "bx_1[0]") (joined (portRef Y (instanceRef r_bx_RNO_0)) (portRef D (instanceRef r_bx_0)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef (member hwdata 27)) (portRef D (instanceRef r_slv_hwdata_4)) (portRef B (instanceRef r_bx_RNO_0)) (portRef B (instanceRef r_timer_RNO_0_4)) )) (net (rename bz_1_0 "bz_1[0]") (joined (portRef Y (instanceRef r_bz_RNO_0)) (portRef D (instanceRef r_bz_0)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef (member hwdata 26)) (portRef D (instanceRef r_slv_hwdata_5)) (portRef B (instanceRef r_bz_RNO_0)) (portRef B (instanceRef r_timer_RNO_0_5)) )) (net be_1_sqmuxa_1 (joined (portRef Y (instanceRef r_slv_hwrite_RNI65P21)) (portRef E (instanceRef r_be_0)) (portRef E (instanceRef r_bw_0)) (portRef E (instanceRef r_bx_0)) (portRef E (instanceRef r_bz_0)) )) (net act_0_sqmuxa (joined (portRef Y (instanceRef r_act_RNO)) (portRef D (instanceRef r_act)) )) (net (rename bw_m_0 "bw_m[0]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_2)) (portRef C (instanceRef r_slv_hrdata_RNO_0_2)) )) (net N_10_i_0 (joined (portRef Y (instanceRef r_slv_haddr_RNILQRN_6)) (portRef A (instanceRef r_slv_hrdata_RNO_1_11)) (portRef A (instanceRef r_slv_hrdata_RNO_1_1)) (portRef A (instanceRef r_slv_hrdata_RNO_1_3)) (portRef A (instanceRef comb_hrdata_20_iv_RNO_1_0)) (portRef A (instanceRef r_slv_hrdata_RNO_0_4)) (portRef A (instanceRef r_slv_hrdata_RNO_1_2)) )) (net (rename hrdata_20_2 "hrdata_20[2]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_2)) (portRef A (instanceRef r_slv_hrdata_RNO_2)) )) (net (rename hrdata_20_3 "hrdata_20[3]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_3)) (portRef A (instanceRef r_slv_hrdata_RNO_3)) )) (net (rename bs_m_0 "bs_m[0]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_3)) (portRef C (instanceRef r_slv_hrdata_RNO_0_3)) )) (net (rename timer_m_4 "timer_m[4]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_4)) (portRef C (instanceRef r_slv_hrdata_RNO_0_4)) )) (net (rename hrdata_20_4 "hrdata_20[4]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_4)) (portRef A (instanceRef r_slv_hrdata_RNO_4)) )) (net (rename timer_1_6 "timer_1[6]") (joined (portRef Y (instanceRef r_timer_RNO_0_6)) (portRef B (instanceRef r_timer_RNO_6)) )) (net (rename timer_1_7 "timer_1[7]") (joined (portRef Y (instanceRef r_timer_RNO_0_7)) (portRef B (instanceRef r_timer_RNO_7)) )) (net (rename timer_1_8 "timer_1[8]") (joined (portRef Y (instanceRef r_timer_RNO_0_8)) (portRef B (instanceRef r_timer_RNO_8)) )) (net (rename timer_1_10 "timer_1[10]") (joined (portRef Y (instanceRef r_timer_RNO_0_10)) (portRef B (instanceRef r_timer_RNO_10)) )) (net N_68_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m67)) (portRef A (instanceRef r_timer_RNO_0_10)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef (member hwdata 21)) (portRef D (instanceRef r_slv_hwdata_10)) (portRef B (instanceRef r_timer_RNO_0_10)) (portRef B (instanceRef r_halt_RNO_0_0)) )) (net (rename timer_1_11 "timer_1[11]") (joined (portRef Y (instanceRef r_timer_RNO_0_11)) (portRef B (instanceRef r_timer_RNO_11)) )) (net (rename timer_1_12 "timer_1[12]") (joined (portRef Y (instanceRef r_timer_RNO_0_12)) (portRef B (instanceRef r_timer_RNO_12)) )) (net N_71 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m70)) (portRef A (instanceRef r_timer_RNO_0_12)) )) (net (rename timer_1_13 "timer_1[13]") (joined (portRef Y (instanceRef r_timer_RNO_0_13)) (portRef B (instanceRef r_timer_RNO_13)) )) (net N_73_i_0 (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_m72)) (portRef A (instanceRef r_timer_RNO_0_13)) )) (net (rename timer_1_14 "timer_1[14]") (joined (portRef Y (instanceRef r_timer_RNO_0_14)) (portRef B (instanceRef r_timer_RNO_14)) )) (net (rename timer_1_15 "timer_1[15]") (joined (portRef Y (instanceRef r_timer_RNO_0_15)) (portRef B (instanceRef r_timer_RNO_15)) )) (net (rename timer_1_16 "timer_1[16]") (joined (portRef Y (instanceRef r_timer_RNO_0_16)) (portRef B (instanceRef r_timer_RNO_16)) )) (net (rename timer_1_17 "timer_1[17]") (joined (portRef Y (instanceRef r_timer_RNO_0_17)) (portRef B (instanceRef r_timer_RNO_17)) )) (net (rename hrdata_1_2 "hrdata_1[2]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_2)) (portRef D (instanceRef r_slv_hrdata_2)) )) (net (rename data_i_2 "data_i[2]") (joined (portRef data_i_2) (portRef B (instanceRef r_slv_hrdata_RNO_2)) )) (net (rename hrdata_1_3 "hrdata_1[3]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_3)) (portRef D (instanceRef r_slv_hrdata_3)) )) (net (rename data_i_3 "data_i[3]") (joined (portRef data_i_3) (portRef B (instanceRef r_slv_hrdata_RNO_3)) )) (net (rename hrdata_1_4 "hrdata_1[4]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_4)) (portRef D (instanceRef r_slv_hrdata_4)) )) (net (rename data_i_4 "data_i[4]") (joined (portRef data_i_4) (portRef B (instanceRef r_slv_hrdata_RNO_4)) )) (net (rename hrdata_1_16 "hrdata_1[16]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_16)) (portRef D (instanceRef r_slv_hrdata_16)) )) (net (rename data_i_16 "data_i[16]") (joined (portRef data_i_16) (portRef B (instanceRef r_slv_hrdata_RNO_16)) )) (net (rename bmsk_RNO_0 "bmsk_RNO[0]") (joined (portRef Y (instanceRef r_bmsk_RNO_0)) (portRef D (instanceRef r_bmsk_0)) )) (net (rename bs_RNO_0 "bs_RNO[0]") (joined (portRef Y (instanceRef r_bs_RNO_0)) (portRef D (instanceRef r_bs_0)) )) (net (rename dmsk_RNO_0 "dmsk_RNO[0]") (joined (portRef Y (instanceRef r_dmsk_RNO_0)) (portRef D (instanceRef r_dmsk_0)) )) (net (rename halt_RNO_0 "halt_RNO[0]") (joined (portRef Y (instanceRef r_halt_RNO_0)) (portRef D (instanceRef r_halt_0)) )) (net (rename ss_RNO_0 "ss_RNO[0]") (joined (portRef Y (instanceRef r_ss_RNO_0)) (portRef D (instanceRef r_ss_0)) )) (net (rename te_RNO_0 "te_RNO[0]") (joined (portRef Y (instanceRef r_te_RNO_0)) (portRef D (instanceRef r_te_0)) )) (net (rename timer_RNO_6 "timer_RNO[6]") (joined (portRef Y (instanceRef r_timer_RNO_6)) (portRef D (instanceRef r_timer_6)) )) (net (rename timer_RNO_7 "timer_RNO[7]") (joined (portRef Y (instanceRef r_timer_RNO_7)) (portRef D (instanceRef r_timer_7)) )) (net (rename timer_RNO_8 "timer_RNO[8]") (joined (portRef Y (instanceRef r_timer_RNO_8)) (portRef D (instanceRef r_timer_8)) )) (net (rename timer_RNO_10 "timer_RNO[10]") (joined (portRef Y (instanceRef r_timer_RNO_10)) (portRef D (instanceRef r_timer_10)) )) (net (rename timer_RNO_11 "timer_RNO[11]") (joined (portRef Y (instanceRef r_timer_RNO_11)) (portRef D (instanceRef r_timer_11)) )) (net (rename timer_RNO_12 "timer_RNO[12]") (joined (portRef Y (instanceRef r_timer_RNO_12)) (portRef D (instanceRef r_timer_12)) )) (net (rename timer_RNO_13 "timer_RNO[13]") (joined (portRef Y (instanceRef r_timer_RNO_13)) (portRef D (instanceRef r_timer_13)) )) (net (rename timer_RNO_14 "timer_RNO[14]") (joined (portRef Y (instanceRef r_timer_RNO_14)) (portRef D (instanceRef r_timer_14)) )) (net (rename timer_RNO_15 "timer_RNO[15]") (joined (portRef Y (instanceRef r_timer_RNO_15)) (portRef D (instanceRef r_timer_15)) )) (net (rename timer_RNO_16 "timer_RNO[16]") (joined (portRef Y (instanceRef r_timer_RNO_16)) (portRef D (instanceRef r_timer_16)) )) (net (rename timer_RNO_17 "timer_RNO[17]") (joined (portRef Y (instanceRef r_timer_RNO_17)) (portRef D (instanceRef r_timer_17)) )) (net (rename timer_RNO_19 "timer_RNO[19]") (joined (portRef Y (instanceRef r_timer_RNO_19)) (portRef D (instanceRef r_timer_19)) )) (net (rename timer_1_19 "timer_1[19]") (joined (portRef Y (instanceRef r_timer_RNO_0_19)) (portRef B (instanceRef r_timer_RNO_19)) )) (net (rename timer_0_sqmuxa_10 "timer_0_sqmuxa[10]") (joined (portRef Y (instanceRef un1_v_timer_0_sqmuxa_ADD_30x30_fast_I169_Y_0_i_x2)) (portRef A (instanceRef r_timer_RNO_0_19)) )) (net (rename hrdata_1_19 "hrdata_1[19]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_19)) (portRef D (instanceRef r_slv_hrdata_19)) )) (net N_119 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_19)) (portRef C (instanceRef r_slv_hrdata_RNO_19)) )) (net (rename data_0_iv_3_6 "data_0_iv_3[19]") (joined (portRef data_0_iv_3_6) (portRef A (instanceRef r_slv_hrdata_RNO_0_19)) )) (net (rename data_0_iv_2_6 "data_0_iv_2[19]") (joined (portRef data_0_iv_2_6) (portRef B (instanceRef r_slv_hrdata_RNO_0_19)) )) (net (rename hrdata_1_0 "hrdata_1[0]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0)) (portRef D (instanceRef r_slv_hrdata_0)) )) (net (rename data_i_0 "data_i[0]") (joined (portRef data_i_0) (portRef B (instanceRef r_slv_hrdata_RNO_0)) )) (net N_148_2 (joined (portRef Y (instanceRef r_slv_haddr_RNI80V3_20)) (portRef B (instanceRef r_slv_haddr_RNIDPFB_21)) (portRef N_148_2) )) (net N_557 (joined (portRef Y (instanceRef r_slv_hready_RNIRCAB)) (portRef B (instanceRef r_slv_hsel_RNO)) (portRef A (instanceRef r_slv_hready_RNO_2)) )) (net (rename htrans_1 "htrans[1]") (joined (portRef (member htrans 0)) (portRef B (instanceRef v_slv_hwrite_0_sqmuxa_i_0_o2)) (portRef B (instanceRef r_slv_hready_RNO_2)) (portRef B (instanceRef v_slv_hwrite_0_sqmuxa_i_0_o2_0)) )) (net N_553 (joined (portRef Y (instanceRef v_slv_hwrite_0_sqmuxa_i_0_o2)) (portRef E (instanceRef r_slv_haddr_24)) (portRef E (instanceRef r_slv_haddr_23)) (portRef E (instanceRef r_slv_haddr_22)) (portRef E (instanceRef r_slv_haddr_21)) (portRef E (instanceRef r_slv_haddr_20)) (portRef E (instanceRef r_slv_haddr_19)) (portRef E (instanceRef r_slv_haddr_18)) (portRef E (instanceRef r_slv_haddr_9)) (portRef E (instanceRef r_slv_haddr_8)) (portRef E (instanceRef r_slv_haddr_7)) (portRef E (instanceRef r_slv_haddr_6)) (portRef E (instanceRef r_slv_haddr_5)) (portRef E (instanceRef r_slv_haddr_4)) (portRef E (instanceRef r_slv_haddr_3)) (portRef E (instanceRef r_slv_haddr_2)) (portRef E (instanceRef r_slv_hwrite)) )) (net hsel_RNI69G9_0 (joined (portRef Y (instanceRef r_slv_hsel_RNI69G9_0)) (portRef E (instanceRef r_slv_hrdata_31)) (portRef E (instanceRef r_slv_hrdata_30)) (portRef E (instanceRef r_slv_hrdata_29)) (portRef E (instanceRef r_slv_hrdata_28)) (portRef E (instanceRef r_slv_hrdata_27)) (portRef E (instanceRef r_slv_hrdata_26)) (portRef E (instanceRef r_slv_hrdata_25)) (portRef E (instanceRef r_slv_hrdata_24)) (portRef E (instanceRef r_slv_hrdata_9)) (portRef E (instanceRef r_slv_hrdata_8)) (portRef E (instanceRef r_slv_hrdata_7)) (portRef E (instanceRef r_slv_hrdata_6)) (portRef E (instanceRef r_slv_hrdata_5)) (portRef E (instanceRef r_slv_hrdata_4)) (portRef E (instanceRef r_slv_hrdata_3)) (portRef E (instanceRef r_slv_hrdata_2)) )) (net N_14_0 (joined (portRef Y (instanceRef r_cnt_RNO_0)) (portRef D (instanceRef r_cnt_0)) )) (net N_49 (joined (portRef Y (instanceRef r_slv_hsel_RNO)) (portRef D (instanceRef r_slv_hsel)) )) (net denable (joined (portRef Y (instanceRef r_slv_haddr_RNITBOU_21)) (portRef denable) )) (net cnt3_1_1 (joined (portRef Y (instanceRef r_slv_hwrite_RNIBUI3)) (portRef E (instanceRef r_slv_hwdata_31)) (portRef E (instanceRef r_slv_hwdata_30)) (portRef E (instanceRef r_slv_hwdata_29)) (portRef E (instanceRef r_slv_hwdata_28)) (portRef E (instanceRef r_slv_hwdata_27)) (portRef E (instanceRef r_slv_hwdata_26)) (portRef E (instanceRef r_slv_hwdata_25)) (portRef E (instanceRef r_slv_hwdata_24)) (portRef E (instanceRef r_slv_hwdata_23)) (portRef E (instanceRef r_slv_hwdata_22)) (portRef E (instanceRef r_slv_hwdata_9)) (portRef E (instanceRef r_slv_hwdata_8)) (portRef E (instanceRef r_slv_hwdata_7)) (portRef E (instanceRef r_slv_hwdata_6)) (portRef E (instanceRef r_slv_hwdata_5)) (portRef E (instanceRef r_slv_hwdata_4)) (portRef E (instanceRef r_slv_hwdata_3)) (portRef E (instanceRef r_slv_hwdata_2)) )) (net dwrite (joined (portRef Q (instanceRef r_slv_hwrite)) (portRef B (instanceRef r_slv_hwrite_RNIBUI3)) (portRef B (instanceRef r_slv_hwrite_RNIBUI3_0)) (portRef dwrite) )) (net dbreak (joined (portRef Q (instanceRef r_bn_0)) (portRef A (instanceRef comb_hrdata_20_iv_RNO_3_0)) (portRef B (instanceRef r_bn_RNO_3_0)) (portRef dbreak) )) (net N_161 (joined (portRef N_161) (portRef B (instanceRef r_slv_hrdata_RNO_1_16)) (portRef B (instanceRef r_slv_hwrite_RNIU7TH)) (portRef B (instanceRef comb_hrdata_20_iv_RNO_3_0)) (portRef B (instanceRef r_slv_haddr_RNILQRN_1_6)) )) (net te8_1 (joined (portRef Y (instanceRef r_slv_haddr_RNIV4H9_0_3)) (portRef A (instanceRef r_slv_haddr_RNILQRN_6)) (portRef A (instanceRef r_slv_haddr_RNI1BQT_3)) (portRef te8_1) )) (net (rename daddr_3 "daddr[3]") (joined (portRef Q (instanceRef r_slv_haddr_3)) (portRef A (instanceRef r_slv_haddr_RNIV4H9_0_3)) (portRef A (instanceRef r_slv_haddr_RNIV4H9_3)) (portRef (member daddr 20)) )) (net (rename hrdata_1_1 "hrdata_1[1]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1)) (portRef D (instanceRef r_slv_hrdata_1)) )) (net (rename hrdata_20_1 "hrdata_20[1]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_1)) (portRef A (instanceRef r_slv_hrdata_RNO_1)) )) (net (rename data_i_1 "data_i[1]") (joined (portRef data_i_1) (portRef B (instanceRef r_slv_hrdata_RNO_1)) )) (net step (joined (portRef Q (instanceRef r_ss_0)) (portRef A (instanceRef r_slv_hrdata_RNO_1_16)) (portRef A (instanceRef r_ss_RNO_0_0)) (portRef step) )) (net bsoft (joined (portRef Q (instanceRef r_bs_0)) (portRef B (instanceRef r_slv_hrdata_RNO_1_3)) (portRef A (instanceRef r_bs_RNO_0_0)) (portRef bsoft) )) (net (rename be_m_0 "be_m[0]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_1)) (portRef C (instanceRef r_slv_hrdata_RNO_0_1)) )) (net (rename hrdata_1_11 "hrdata_1[11]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_11)) (portRef D (instanceRef r_slv_hrdata_11)) )) (net (rename hrdata_20_11 "hrdata_20[11]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_11)) (portRef A (instanceRef r_slv_hrdata_RNO_11)) )) (net (rename data_i_11 "data_i[11]") (joined (portRef data_i_11) (portRef B (instanceRef r_slv_hrdata_RNO_11)) )) (net (rename dbgi_m_21 "dbgi_m[21]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_1_11)) (portRef C (instanceRef r_slv_hrdata_RNO_0_11)) )) (net pwd (joined (portRef pwd) (portRef B (instanceRef r_slv_hrdata_RNO_1_11)) )) (net (rename hrdata_1_15 "hrdata_1[15]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_15)) (portRef D (instanceRef r_slv_hrdata_15)) )) (net N_113 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_15)) (portRef C (instanceRef r_slv_hrdata_RNO_15)) )) (net (rename hrdata_1_13 "hrdata_1[13]") (joined (portRef Y (instanceRef r_slv_hrdata_RNO_13)) (portRef D (instanceRef r_slv_hrdata_13)) )) (net N_109 (joined (portRef Y (instanceRef r_slv_hrdata_RNO_0_13)) (portRef C (instanceRef r_slv_hrdata_RNO_13)) )) (net (rename data_0_iv_3_2 "data_0_iv_3[15]") (joined (portRef data_0_iv_3_2) (portRef A (instanceRef r_slv_hrdata_RNO_0_15)) )) (net (rename data_0_iv_2_2 "data_0_iv_2[15]") (joined (portRef data_0_iv_2_2) (portRef B (instanceRef r_slv_hrdata_RNO_0_15)) )) (net (rename data_0_iv_3_0 "data_0_iv_3[13]") (joined (portRef data_0_iv_3_0) (portRef A (instanceRef r_slv_hrdata_RNO_0_13)) )) (net (rename data_0_iv_2_0 "data_0_iv_2[13]") (joined (portRef data_0_iv_2_0) (portRef B (instanceRef r_slv_hrdata_RNO_0_13)) )) (net btrape (joined (portRef Q (instanceRef r_bz_0)) (portRef A (instanceRef r_slv_hrdata_RNO_0_5)) (portRef btrape) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_dsubre_2)) (portRef CLK (instanceRef r_dsubre_1)) (portRef CLK (instanceRef r_dsubre_0)) (portRef CLK (instanceRef r_slv_hrdata_31)) (portRef CLK (instanceRef r_slv_hrdata_30)) (portRef CLK (instanceRef r_slv_hrdata_29)) (portRef CLK (instanceRef r_slv_hrdata_28)) (portRef CLK (instanceRef r_slv_hrdata_27)) (portRef CLK (instanceRef r_slv_hrdata_26)) (portRef CLK (instanceRef r_slv_hrdata_25)) (portRef CLK (instanceRef r_slv_hrdata_24)) (portRef CLK (instanceRef r_slv_hrdata_23)) (portRef CLK (instanceRef r_slv_hrdata_22)) (portRef CLK (instanceRef r_slv_hrdata_21)) (portRef CLK (instanceRef r_slv_hrdata_20)) (portRef CLK (instanceRef r_slv_hrdata_19)) (portRef CLK (instanceRef r_slv_hrdata_18)) (portRef CLK (instanceRef r_slv_hrdata_17)) (portRef CLK (instanceRef r_slv_hrdata_16)) (portRef CLK (instanceRef r_slv_hrdata_15)) (portRef CLK (instanceRef r_slv_hrdata_14)) (portRef CLK (instanceRef r_slv_hrdata_13)) (portRef CLK (instanceRef r_slv_hrdata_12)) (portRef CLK (instanceRef r_slv_hrdata_11)) (portRef CLK (instanceRef r_slv_hrdata_10)) (portRef CLK (instanceRef r_slv_hrdata_9)) (portRef CLK (instanceRef r_slv_hrdata_8)) (portRef CLK (instanceRef r_slv_hrdata_7)) (portRef CLK (instanceRef r_slv_hrdata_6)) (portRef CLK (instanceRef r_slv_hrdata_5)) (portRef CLK (instanceRef r_slv_hrdata_4)) (portRef CLK (instanceRef r_slv_hrdata_3)) (portRef CLK (instanceRef r_slv_hrdata_2)) (portRef CLK (instanceRef r_slv_hrdata_1)) (portRef CLK (instanceRef r_slv_hrdata_0)) (portRef CLK (instanceRef r_slv_haddr_24)) (portRef CLK (instanceRef r_slv_haddr_23)) (portRef CLK (instanceRef r_slv_haddr_22)) (portRef CLK (instanceRef r_slv_haddr_21)) (portRef CLK (instanceRef r_slv_haddr_20)) (portRef CLK (instanceRef r_slv_haddr_19)) (portRef CLK (instanceRef r_slv_haddr_18)) (portRef CLK (instanceRef r_slv_haddr_17)) (portRef CLK (instanceRef r_slv_haddr_16)) (portRef CLK (instanceRef r_slv_haddr_15)) (portRef CLK (instanceRef r_slv_haddr_14)) (portRef CLK (instanceRef r_slv_haddr_13)) (portRef CLK (instanceRef r_slv_haddr_12)) (portRef CLK (instanceRef r_slv_haddr_11)) (portRef CLK (instanceRef r_slv_haddr_10)) (portRef CLK (instanceRef r_slv_haddr_9)) (portRef CLK (instanceRef r_slv_haddr_8)) (portRef CLK (instanceRef r_slv_haddr_7)) (portRef CLK (instanceRef r_slv_haddr_6)) (portRef CLK (instanceRef r_slv_haddr_5)) (portRef CLK (instanceRef r_slv_haddr_4)) (portRef CLK (instanceRef r_slv_haddr_3)) (portRef CLK (instanceRef r_slv_haddr_2)) (portRef CLK (instanceRef r_slv_hwdata_31)) (portRef CLK (instanceRef r_slv_hwdata_30)) (portRef CLK (instanceRef r_slv_hwdata_29)) (portRef CLK (instanceRef r_slv_hwdata_28)) (portRef CLK (instanceRef r_slv_hwdata_27)) (portRef CLK (instanceRef r_slv_hwdata_26)) (portRef CLK (instanceRef r_slv_hwdata_25)) (portRef CLK (instanceRef r_slv_hwdata_24)) (portRef CLK (instanceRef r_slv_hwdata_23)) (portRef CLK (instanceRef r_slv_hwdata_22)) (portRef CLK (instanceRef r_slv_hwdata_21)) (portRef CLK (instanceRef r_slv_hwdata_20)) (portRef CLK (instanceRef r_slv_hwdata_19)) (portRef CLK (instanceRef r_slv_hwdata_18)) (portRef CLK (instanceRef r_slv_hwdata_17)) (portRef CLK (instanceRef r_slv_hwdata_16)) (portRef CLK (instanceRef r_slv_hwdata_15)) (portRef CLK (instanceRef r_slv_hwdata_14)) (portRef CLK (instanceRef r_slv_hwdata_13)) (portRef CLK (instanceRef r_slv_hwdata_12)) (portRef CLK (instanceRef r_slv_hwdata_11)) (portRef CLK (instanceRef r_slv_hwdata_10)) (portRef CLK (instanceRef r_slv_hwdata_9)) (portRef CLK (instanceRef r_slv_hwdata_8)) (portRef CLK (instanceRef r_slv_hwdata_7)) (portRef CLK (instanceRef r_slv_hwdata_6)) (portRef CLK (instanceRef r_slv_hwdata_5)) (portRef CLK (instanceRef r_slv_hwdata_4)) (portRef CLK (instanceRef r_slv_hwdata_3)) (portRef CLK (instanceRef r_slv_hwdata_2)) (portRef CLK (instanceRef r_slv_hwdata_1)) (portRef CLK (instanceRef r_slv_hwdata_0)) (portRef CLK (instanceRef r_timer_29)) (portRef CLK (instanceRef r_timer_28)) (portRef CLK (instanceRef r_timer_27)) (portRef CLK (instanceRef r_timer_26)) (portRef CLK (instanceRef r_timer_25)) (portRef CLK (instanceRef r_timer_24)) (portRef CLK (instanceRef r_timer_23)) (portRef CLK (instanceRef r_timer_22)) (portRef CLK (instanceRef r_timer_21)) (portRef CLK (instanceRef r_timer_20)) (portRef CLK (instanceRef r_timer_19)) (portRef CLK (instanceRef r_timer_18)) (portRef CLK (instanceRef r_timer_17)) (portRef CLK (instanceRef r_timer_16)) (portRef CLK (instanceRef r_timer_15)) (portRef CLK (instanceRef r_timer_14)) (portRef CLK (instanceRef r_timer_13)) (portRef CLK (instanceRef r_timer_12)) (portRef CLK (instanceRef r_timer_11)) (portRef CLK (instanceRef r_timer_10)) (portRef CLK (instanceRef r_timer_9)) (portRef CLK (instanceRef r_timer_8)) (portRef CLK (instanceRef r_timer_7)) (portRef CLK (instanceRef r_timer_6)) (portRef CLK (instanceRef r_timer_5)) (portRef CLK (instanceRef r_timer_4)) (portRef CLK (instanceRef r_timer_3)) (portRef CLK (instanceRef r_timer_2)) (portRef CLK (instanceRef r_timer_1)) (portRef CLK (instanceRef r_timer_0)) (portRef CLK (instanceRef r_cnt_2)) (portRef CLK (instanceRef r_cnt_1)) (portRef CLK (instanceRef r_cnt_0)) (portRef CLK (instanceRef r_slv_hsel)) (portRef CLK (instanceRef r_te_0)) (portRef CLK (instanceRef r_ss_0)) (portRef CLK (instanceRef r_halt_0)) (portRef CLK (instanceRef r_dmsk_0)) (portRef CLK (instanceRef r_bs_0)) (portRef CLK (instanceRef r_bmsk_0)) (portRef CLK (instanceRef r_slv_hready)) (portRef CLK (instanceRef r_act)) (portRef CLK (instanceRef r_slv_hwrite)) (portRef CLK (instanceRef r_reset_0)) (portRef CLK (instanceRef r_be_0)) (portRef CLK (instanceRef r_bw_0)) (portRef CLK (instanceRef r_bx_0)) (portRef CLK (instanceRef r_bz_0)) (portRef CLK (instanceRef r_bn_0)) )) (net btrapa (joined (portRef Q (instanceRef r_bx_0)) (portRef B (instanceRef r_slv_hrdata_RNO_0_4)) (portRef btrapa) )) (net bwatch (joined (portRef Q (instanceRef r_bw_0)) (portRef B (instanceRef r_slv_hrdata_RNO_1_2)) (portRef bwatch) )) (net berror (joined (portRef Q (instanceRef r_be_0)) (portRef B (instanceRef r_slv_hrdata_RNO_1_1)) (portRef berror) )) (net reset (joined (portRef Q (instanceRef r_reset_0)) (portRef reset) )) (net hwrite (joined (portRef hwrite) (portRef D (instanceRef r_slv_hwrite)) )) (net dsuact_c (joined (portRef Q (instanceRef r_act)) (portRef dsuact_c) )) (net hready (joined (portRef Q (instanceRef r_slv_hready)) (portRef B (instanceRef r_slv_hready_RNIRCAB)) (portRef hready) )) (net (rename ddata_0 "ddata[0]") (joined (portRef Q (instanceRef r_slv_hwdata_0)) (portRef (member ddata 31)) )) (net (rename ddata_1 "ddata[1]") (joined (portRef Q (instanceRef r_slv_hwdata_1)) (portRef (member ddata 30)) )) (net (rename ddata_2 "ddata[2]") (joined (portRef Q (instanceRef r_slv_hwdata_2)) (portRef (member ddata 29)) )) (net (rename ddata_3 "ddata[3]") (joined (portRef Q (instanceRef r_slv_hwdata_3)) (portRef (member ddata 28)) )) (net (rename ddata_4 "ddata[4]") (joined (portRef Q (instanceRef r_slv_hwdata_4)) (portRef (member ddata 27)) )) (net (rename ddata_5 "ddata[5]") (joined (portRef Q (instanceRef r_slv_hwdata_5)) (portRef (member ddata 26)) )) (net (rename ddata_6 "ddata[6]") (joined (portRef Q (instanceRef r_slv_hwdata_6)) (portRef (member ddata 25)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef (member hwdata 25)) (portRef D (instanceRef r_slv_hwdata_6)) (portRef B (instanceRef r_timer_RNO_0_6)) )) (net (rename ddata_7 "ddata[7]") (joined (portRef Q (instanceRef r_slv_hwdata_7)) (portRef (member ddata 24)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef (member hwdata 24)) (portRef D (instanceRef r_slv_hwdata_7)) (portRef B (instanceRef r_timer_RNO_0_7)) )) (net (rename ddata_8 "ddata[8]") (joined (portRef Q (instanceRef r_slv_hwdata_8)) (portRef (member ddata 23)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef (member hwdata 23)) (portRef D (instanceRef r_slv_hwdata_8)) (portRef B (instanceRef r_timer_RNO_0_8)) )) (net (rename ddata_9 "ddata[9]") (joined (portRef Q (instanceRef r_slv_hwdata_9)) (portRef (member ddata 22)) )) (net (rename ddata_10 "ddata[10]") (joined (portRef Q (instanceRef r_slv_hwdata_10)) (portRef (member ddata 21)) )) (net (rename ddata_11 "ddata[11]") (joined (portRef Q (instanceRef r_slv_hwdata_11)) (portRef (member ddata 20)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef (member hwdata 20)) (portRef D (instanceRef r_slv_hwdata_11)) (portRef B (instanceRef r_timer_RNO_0_11)) )) (net (rename ddata_12 "ddata[12]") (joined (portRef Q (instanceRef r_slv_hwdata_12)) (portRef (member ddata 19)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef (member hwdata 19)) (portRef D (instanceRef r_slv_hwdata_12)) (portRef B (instanceRef r_timer_RNO_0_12)) )) (net (rename ddata_13 "ddata[13]") (joined (portRef Q (instanceRef r_slv_hwdata_13)) (portRef (member ddata 18)) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef (member hwdata 18)) (portRef D (instanceRef r_slv_hwdata_13)) (portRef B (instanceRef r_timer_RNO_0_13)) )) (net (rename ddata_14 "ddata[14]") (joined (portRef Q (instanceRef r_slv_hwdata_14)) (portRef (member ddata 17)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef (member hwdata 17)) (portRef D (instanceRef r_slv_hwdata_14)) (portRef B (instanceRef r_timer_RNO_0_14)) )) (net (rename ddata_15 "ddata[15]") (joined (portRef Q (instanceRef r_slv_hwdata_15)) (portRef (member ddata 16)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef (member hwdata 16)) (portRef D (instanceRef r_slv_hwdata_15)) (portRef B (instanceRef r_timer_RNO_0_15)) )) (net (rename ddata_16 "ddata[16]") (joined (portRef Q (instanceRef r_slv_hwdata_16)) (portRef (member ddata 15)) )) (net (rename ddata_17 "ddata[17]") (joined (portRef Q (instanceRef r_slv_hwdata_17)) (portRef (member ddata 14)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef (member hwdata 14)) (portRef D (instanceRef r_slv_hwdata_17)) (portRef B (instanceRef r_timer_RNO_0_17)) )) (net (rename ddata_18 "ddata[18]") (joined (portRef Q (instanceRef r_slv_hwdata_18)) (portRef (member ddata 13)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef (member hwdata 13)) (portRef D (instanceRef r_slv_hwdata_18)) (portRef B (instanceRef r_timer_RNO_0_18)) )) (net (rename ddata_19 "ddata[19]") (joined (portRef Q (instanceRef r_slv_hwdata_19)) (portRef (member ddata 12)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef (member hwdata 12)) (portRef D (instanceRef r_slv_hwdata_19)) (portRef B (instanceRef r_timer_RNO_0_19)) )) (net (rename ddata_20 "ddata[20]") (joined (portRef Q (instanceRef r_slv_hwdata_20)) (portRef (member ddata 11)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef (member hwdata 11)) (portRef D (instanceRef r_slv_hwdata_20)) (portRef B (instanceRef r_timer_RNO_0_20)) )) (net (rename ddata_21 "ddata[21]") (joined (portRef Q (instanceRef r_slv_hwdata_21)) (portRef (member ddata 10)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef (member hwdata 10)) (portRef D (instanceRef r_slv_hwdata_21)) (portRef B (instanceRef r_timer_RNO_0_21)) )) (net (rename ddata_22 "ddata[22]") (joined (portRef Q (instanceRef r_slv_hwdata_22)) (portRef (member ddata 9)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef (member hwdata 9)) (portRef D (instanceRef r_slv_hwdata_22)) (portRef B (instanceRef r_timer_RNO_0_22)) )) (net (rename ddata_23 "ddata[23]") (joined (portRef Q (instanceRef r_slv_hwdata_23)) (portRef (member ddata 8)) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef (member hwdata 8)) (portRef D (instanceRef r_slv_hwdata_23)) (portRef B (instanceRef r_timer_RNO_0_23)) )) (net (rename ddata_24 "ddata[24]") (joined (portRef Q (instanceRef r_slv_hwdata_24)) (portRef (member ddata 7)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef (member hwdata 7)) (portRef D (instanceRef r_slv_hwdata_24)) (portRef B (instanceRef r_timer_RNO_0_24)) )) (net (rename ddata_25 "ddata[25]") (joined (portRef Q (instanceRef r_slv_hwdata_25)) (portRef (member ddata 6)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef (member hwdata 6)) (portRef D (instanceRef r_slv_hwdata_25)) (portRef B (instanceRef r_timer_RNO_0_25)) )) (net (rename ddata_26 "ddata[26]") (joined (portRef Q (instanceRef r_slv_hwdata_26)) (portRef (member ddata 5)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef (member hwdata 5)) (portRef D (instanceRef r_slv_hwdata_26)) (portRef B (instanceRef r_timer_RNO_0_26)) )) (net (rename ddata_27 "ddata[27]") (joined (portRef Q (instanceRef r_slv_hwdata_27)) (portRef (member ddata 4)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef (member hwdata 4)) (portRef D (instanceRef r_slv_hwdata_27)) (portRef B (instanceRef r_timer_RNO_0_27)) )) (net (rename ddata_28 "ddata[28]") (joined (portRef Q (instanceRef r_slv_hwdata_28)) (portRef (member ddata 3)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef (member hwdata 3)) (portRef D (instanceRef r_slv_hwdata_28)) (portRef B (instanceRef r_timer_RNO_0_28)) )) (net (rename ddata_29 "ddata[29]") (joined (portRef Q (instanceRef r_slv_hwdata_29)) (portRef (member ddata 2)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef (member hwdata 2)) (portRef D (instanceRef r_slv_hwdata_29)) (portRef B (instanceRef r_timer_RNO_0_29)) )) (net (rename ddata_30 "ddata[30]") (joined (portRef Q (instanceRef r_slv_hwdata_30)) (portRef (member ddata 1)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef (member hwdata 1)) (portRef D (instanceRef r_slv_hwdata_30)) )) (net (rename ddata_31 "ddata[31]") (joined (portRef Q (instanceRef r_slv_hwdata_31)) (portRef (member ddata 0)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef (member hwdata 0)) (portRef D (instanceRef r_slv_hwdata_31)) )) (net (rename haddr_0_d0 "haddr[2]") (joined (portRef haddr_0_d0) (portRef D (instanceRef r_slv_haddr_2)) )) (net (rename haddr_1 "haddr[3]") (joined (portRef haddr_1) (portRef D (instanceRef r_slv_haddr_3)) )) (net (rename daddr_4 "daddr[4]") (joined (portRef Q (instanceRef r_slv_haddr_4)) (portRef B (instanceRef r_slv_haddr_RNI3LH9_4)) (portRef (member daddr 19)) )) (net (rename haddr_2 "haddr[4]") (joined (portRef haddr_2) (portRef D (instanceRef r_slv_haddr_4)) )) (net (rename daddr_5 "daddr[5]") (joined (portRef Q (instanceRef r_slv_haddr_5)) (portRef A (instanceRef r_slv_haddr_RNI3LH9_4)) (portRef (member daddr 18)) )) (net (rename haddr_3 "haddr[5]") (joined (portRef haddr_3) (portRef D (instanceRef r_slv_haddr_5)) )) (net (rename haddr_4 "haddr[6]") (joined (portRef haddr_4) (portRef D (instanceRef r_slv_haddr_6)) )) (net (rename daddr_7 "daddr[7]") (joined (portRef Q (instanceRef r_slv_haddr_7)) (portRef (member daddr 16)) )) (net (rename haddr_5 "haddr[7]") (joined (portRef haddr_5) (portRef D (instanceRef r_slv_haddr_7)) )) (net (rename daddr_8 "daddr[8]") (joined (portRef Q (instanceRef r_slv_haddr_8)) (portRef (member daddr 15)) )) (net (rename haddr_6 "haddr[8]") (joined (portRef haddr_6) (portRef D (instanceRef r_slv_haddr_8)) )) (net (rename daddr_9 "daddr[9]") (joined (portRef Q (instanceRef r_slv_haddr_9)) (portRef (member daddr 14)) )) (net (rename haddr_7 "haddr[9]") (joined (portRef haddr_7) (portRef D (instanceRef r_slv_haddr_9)) )) (net (rename daddr_10 "daddr[10]") (joined (portRef Q (instanceRef r_slv_haddr_10)) (portRef (member daddr 13)) )) (net (rename haddr_8 "haddr[10]") (joined (portRef haddr_8) (portRef D (instanceRef r_slv_haddr_10)) )) (net (rename daddr_11 "daddr[11]") (joined (portRef Q (instanceRef r_slv_haddr_11)) (portRef (member daddr 12)) )) (net (rename haddr_9 "haddr[11]") (joined (portRef haddr_9) (portRef D (instanceRef r_slv_haddr_11)) )) (net (rename daddr_12 "daddr[12]") (joined (portRef Q (instanceRef r_slv_haddr_12)) (portRef (member daddr 11)) )) (net (rename haddr_10 "haddr[12]") (joined (portRef haddr_10) (portRef D (instanceRef r_slv_haddr_12)) )) (net (rename daddr_13 "daddr[13]") (joined (portRef Q (instanceRef r_slv_haddr_13)) (portRef (member daddr 10)) )) (net (rename haddr_11 "haddr[13]") (joined (portRef haddr_11) (portRef D (instanceRef r_slv_haddr_13)) )) (net (rename daddr_14 "daddr[14]") (joined (portRef Q (instanceRef r_slv_haddr_14)) (portRef (member daddr 9)) )) (net (rename haddr_12 "haddr[14]") (joined (portRef haddr_12) (portRef D (instanceRef r_slv_haddr_14)) )) (net (rename daddr_15 "daddr[15]") (joined (portRef Q (instanceRef r_slv_haddr_15)) (portRef (member daddr 8)) )) (net (rename haddr_13 "haddr[15]") (joined (portRef haddr_13) (portRef D (instanceRef r_slv_haddr_15)) )) (net (rename daddr_16 "daddr[16]") (joined (portRef Q (instanceRef r_slv_haddr_16)) (portRef (member daddr 7)) )) (net (rename haddr_14 "haddr[16]") (joined (portRef haddr_14) (portRef D (instanceRef r_slv_haddr_16)) )) (net (rename daddr_17 "daddr[17]") (joined (portRef Q (instanceRef r_slv_haddr_17)) (portRef (member daddr 6)) )) (net (rename haddr_15 "haddr[17]") (joined (portRef haddr_15) (portRef D (instanceRef r_slv_haddr_17)) )) (net (rename daddr_18 "daddr[18]") (joined (portRef Q (instanceRef r_slv_haddr_18)) (portRef (member daddr 5)) )) (net (rename haddr_16 "haddr[18]") (joined (portRef haddr_16) (portRef D (instanceRef r_slv_haddr_18)) )) (net (rename daddr_19 "daddr[19]") (joined (portRef Q (instanceRef r_slv_haddr_19)) (portRef (member daddr 4)) )) (net (rename haddr_17 "haddr[19]") (joined (portRef haddr_17) (portRef D (instanceRef r_slv_haddr_19)) )) (net (rename haddr_18 "haddr[20]") (joined (portRef haddr_18) (portRef D (instanceRef r_slv_haddr_20)) )) (net (rename haddr_19 "haddr[21]") (joined (portRef haddr_19) (portRef D (instanceRef r_slv_haddr_21)) )) (net (rename haddr_20 "haddr[22]") (joined (portRef haddr_20) (portRef D (instanceRef r_slv_haddr_22)) )) (net (rename daddr_23 "daddr[23]") (joined (portRef Q (instanceRef r_slv_haddr_23)) (portRef (member daddr 0)) )) (net (rename haddr_21 "haddr[23]") (joined (portRef haddr_21) (portRef D (instanceRef r_slv_haddr_23)) )) (net (rename haddr_0_24 "haddr_0[24]") (joined (portRef (member haddr_0 0)) (portRef D (instanceRef r_slv_haddr_24)) )) (net (rename hrdata_0 "hrdata[0]") (joined (portRef Q (instanceRef r_slv_hrdata_0)) (portRef (member hrdata 31)) )) (net (rename hrdata_1 "hrdata[1]") (joined (portRef Q (instanceRef r_slv_hrdata_1)) (portRef (member hrdata 30)) )) (net (rename hrdata_2 "hrdata[2]") (joined (portRef Q (instanceRef r_slv_hrdata_2)) (portRef (member hrdata 29)) )) (net (rename hrdata_3 "hrdata[3]") (joined (portRef Q (instanceRef r_slv_hrdata_3)) (portRef (member hrdata 28)) )) (net (rename hrdata_4 "hrdata[4]") (joined (portRef Q (instanceRef r_slv_hrdata_4)) (portRef (member hrdata 27)) )) (net (rename hrdata_5 "hrdata[5]") (joined (portRef Q (instanceRef r_slv_hrdata_5)) (portRef (member hrdata 26)) )) (net (rename hrdata_6 "hrdata[6]") (joined (portRef Q (instanceRef r_slv_hrdata_6)) (portRef (member hrdata 25)) )) (net (rename hrdata_7 "hrdata[7]") (joined (portRef Q (instanceRef r_slv_hrdata_7)) (portRef (member hrdata 24)) )) (net (rename hrdata_8 "hrdata[8]") (joined (portRef Q (instanceRef r_slv_hrdata_8)) (portRef (member hrdata 23)) )) (net (rename hrdata_9 "hrdata[9]") (joined (portRef Q (instanceRef r_slv_hrdata_9)) (portRef (member hrdata 22)) )) (net (rename hrdata_10 "hrdata[10]") (joined (portRef Q (instanceRef r_slv_hrdata_10)) (portRef (member hrdata 21)) )) (net (rename hrdata_11 "hrdata[11]") (joined (portRef Q (instanceRef r_slv_hrdata_11)) (portRef (member hrdata 20)) )) (net (rename hrdata_12 "hrdata[12]") (joined (portRef Q (instanceRef r_slv_hrdata_12)) (portRef (member hrdata 19)) )) (net (rename hrdata_13 "hrdata[13]") (joined (portRef Q (instanceRef r_slv_hrdata_13)) (portRef (member hrdata 18)) )) (net (rename hrdata_14 "hrdata[14]") (joined (portRef Q (instanceRef r_slv_hrdata_14)) (portRef (member hrdata 17)) )) (net (rename hrdata_15 "hrdata[15]") (joined (portRef Q (instanceRef r_slv_hrdata_15)) (portRef (member hrdata 16)) )) (net (rename hrdata_16 "hrdata[16]") (joined (portRef Q (instanceRef r_slv_hrdata_16)) (portRef (member hrdata 15)) )) (net (rename hrdata_17 "hrdata[17]") (joined (portRef Q (instanceRef r_slv_hrdata_17)) (portRef (member hrdata 14)) )) (net (rename hrdata_18 "hrdata[18]") (joined (portRef Q (instanceRef r_slv_hrdata_18)) (portRef (member hrdata 13)) )) (net (rename hrdata_19 "hrdata[19]") (joined (portRef Q (instanceRef r_slv_hrdata_19)) (portRef (member hrdata 12)) )) (net (rename hrdata_20 "hrdata[20]") (joined (portRef Q (instanceRef r_slv_hrdata_20)) (portRef (member hrdata 11)) )) (net (rename hrdata_21 "hrdata[21]") (joined (portRef Q (instanceRef r_slv_hrdata_21)) (portRef (member hrdata 10)) )) (net (rename hrdata_22 "hrdata[22]") (joined (portRef Q (instanceRef r_slv_hrdata_22)) (portRef (member hrdata 9)) )) (net (rename hrdata_23 "hrdata[23]") (joined (portRef Q (instanceRef r_slv_hrdata_23)) (portRef (member hrdata 8)) )) (net (rename hrdata_24 "hrdata[24]") (joined (portRef Q (instanceRef r_slv_hrdata_24)) (portRef (member hrdata 7)) )) (net (rename hrdata_25 "hrdata[25]") (joined (portRef Q (instanceRef r_slv_hrdata_25)) (portRef (member hrdata 6)) )) (net (rename hrdata_26 "hrdata[26]") (joined (portRef Q (instanceRef r_slv_hrdata_26)) (portRef (member hrdata 5)) )) (net (rename hrdata_27 "hrdata[27]") (joined (portRef Q (instanceRef r_slv_hrdata_27)) (portRef (member hrdata 4)) )) (net (rename hrdata_28 "hrdata[28]") (joined (portRef Q (instanceRef r_slv_hrdata_28)) (portRef (member hrdata 3)) )) (net (rename hrdata_29 "hrdata[29]") (joined (portRef Q (instanceRef r_slv_hrdata_29)) (portRef (member hrdata 2)) )) (net (rename hrdata_30 "hrdata[30]") (joined (portRef Q (instanceRef r_slv_hrdata_30)) (portRef (member hrdata 1)) )) (net (rename hrdata_31 "hrdata[31]") (joined (portRef Q (instanceRef r_slv_hrdata_31)) (portRef (member hrdata 0)) )) (net (rename dsubre_0 "dsubre[0]") (joined (portRef Q (instanceRef r_dsubre_0)) (portRef D (instanceRef r_dsubre_1)) )) (net dsubre_c (joined (portRef dsubre_c) (portRef D (instanceRef r_dsubre_0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property hindex (integer 2)) (property haddr (integer 2304)) (property hmask (integer 3840)) (property ncpu (integer 1)) (property tbits (integer 30)) (property tech (integer 10)) (property irq (integer 0)) (property kbytes (integer 0)) (property clk2x (integer 0)) (property testen (integer 0)) ) ) (cell cachemem (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction INPUT)) (port (array (rename size_rni1g16u "size_RNI1G16U[1:1]") 1) (direction INPUT)) (port (array (rename size_rnis2oau "size_RNIS2OAU[1:1]") 1) (direction INPUT)) (port (array (rename size_rnitkmlu "size_RNITKMLU[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni5432u "dstate_RNI5432U[1:1]") 1) (direction INPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction INPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction INPUT)) (port (array (rename dstate_rnipkcmd1 "dstate_RNIPKCMD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnir5pqd1 "dstate_RNIR5PQD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnibj5kd1 "dstate_RNIBJ5KD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniophjd1 "dstate_RNIOPHJD1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnisu72d1 "dstate_RNISU72D1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnigbkhb1 "dstate_RNIGBKHB1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnivapcb1 "dstate_RNIVAPCB1[1:1]") 1) (direction INPUT)) (port (array (rename size_rniq6o4u "size_RNIQ6O4U[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni08ulu "dstate_RNI08ULU[1:1]") 1) (direction INPUT)) (port (array (rename size_rniqafgu "size_RNIQAFGU[1:1]") 1) (direction INPUT)) (port (array (rename size_rniiu3pu "size_RNIIU3PU[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnia7si91 "dstate_RNIA7SI91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnitlpg91 "dstate_RNITLPG91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniiltr91 "dstate_RNIILTR91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni0v0e91 "dstate_RNI0V0E91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniosstg1 "dstate_RNIOSSTG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnistgfh1 "dstate_RNISTGFH1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni8cbsg1 "dstate_RNI8CBSG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni8bdug1 "dstate_RNI8BDUG1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnir83tf1 "dstate_RNIR83TF1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniak9of1 "dstate_RNIAK9OF1[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rnij6pe91 "dstate_RNIJ6PE91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni5c6e91 "dstate_RNI5C6E91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rniuuch91 "dstate_RNIUUCH91[1:1]") 1) (direction INPUT)) (port (array (rename dstate_rni3jq791 "dstate_RNI3JQ791[1:1]") 1) (direction INPUT)) (port (array (rename xaddress_rnifbr7vm1 "xaddress_RNIFBR7VM1[23:23]") 1) (direction INPUT)) (port (array (rename xaddress_rniqoh8vm1 "xaddress_RNIQOH8VM1[22:22]") 1) (direction INPUT)) (port (array (rename xaddress_rnid0h8vm1 "xaddress_RNID0H8VM1[21:21]") 1) (direction INPUT)) (port (array (rename newptag_2 "newptag_2[20:20]") 1) (direction INPUT)) (port (array (rename xaddress_rnilq8h4r3 "xaddress_RNILQ8H4R3[19:19]") 1) (direction INPUT)) (port (array (rename xaddress_rnie9i8vm1 "xaddress_RNIE9I8VM1[18:18]") 1) (direction INPUT)) (port (array (rename xaddress_rni1hh8vm1 "xaddress_RNI1HH8VM1[17:17]") 1) (direction INPUT)) (port (array (rename xaddress_rnih8g8vm1 "xaddress_RNIH8G8VM1[16:16]") 1) (direction INPUT)) (port (array (rename xaddress_rni1q8h4r3 "xaddress_RNI1Q8H4R3[15:15]") 1) (direction INPUT)) (port (array (rename xaddress_rnifnvh4r3 "xaddress_RNIFNVH4R3[14:14]") 1) (direction INPUT)) (port (array (rename xaddress_rni4p6h4r3 "xaddress_RNI4P6H4R3[13:13]") 1) (direction INPUT)) (port (array (rename xaddress_rnivqeg4r3 "xaddress_RNIVQEG4R3[12:12]") 1) (direction INPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction INPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction INPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction INPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction INPUT)) (port un1_p0_2_0_d0 (direction INPUT)) (port un1_p0_2_6 (direction INPUT)) (port (array (rename newptag_2_1 "newptag_2_1[25:25]") 1) (direction INPUT)) (port (array (rename newptag_2_0 "newptag_2_0[27:26]") 2) (direction INPUT)) (port addr_3 (direction INPUT)) (port addr_0 (direction INPUT)) (port edata2_iv_0 (direction INPUT)) (port edata2_iv_3 (direction INPUT)) (port edata2_iv_1 (direction INPUT)) (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni4j0a1 "istate_RNI4J0A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnieaoe1 "istate_RNIEAOE1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniu0op1 "istate_RNIU0OP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnilk561 "istate_RNILK561[1:1]") 1) (direction INPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction INPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction INPUT)) (port (array (rename istate_rni6u762 "istate_RNI6U762[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnib7t42 "istate_RNIB7T42[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6poa2 "istate_RNI6POA2[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnibnm52 "istate_RNIBNM52[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnihle12 "istate_RNIHLE12[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniabmh1 "istate_RNIABMH1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnicvqe1 "istate_RNICVQE1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniqf2a1 "istate_RNIQF2A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnim32a1 "istate_RNIM32A1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniltpp1 "istate_RNILTPP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnikgrl1 "istate_RNIKGRL1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnir8hu1 "istate_RNIR8HU1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnij9ep1 "istate_RNIJ9EP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni1pdn1 "istate_RNI1PDN1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnihpj22 "istate_RNIHPJ22[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniq3pk1 "istate_RNIQ3PK1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rninas02 "istate_RNINAS02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnil1742 "istate_RNIL1742[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnifuph2 "istate_RNIFUPH2[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnifnt02 "istate_RNIFNT02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rniptli1 "istate_RNIPTLI1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni57t02 "istate_RNI57T02[1:1]") 1) (direction INPUT)) (port (array (rename istate_rni6slv1 "istate_RNI6SLV1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnijmmr1 "istate_RNIJMMR1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rningdn1 "istate_RNINGDN1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnijllk1 "istate_RNIJLLK1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnitkdp1 "istate_RNITKDP1[1:1]") 1) (direction INPUT)) (port (array (rename istate_rnit9tf1 "istate_RNIT9TF1[1:1]") 1) (direction INPUT)) (port (array (rename vaddress_rniiagp4s1 "vaddress_RNIIAGP4S1[23:23]") 1) (direction INPUT)) (port (array (rename vaddress_rnigagp4s1 "vaddress_RNIGAGP4S1[22:22]") 1) (direction INPUT)) (port (array (rename vaddress_rnieagp4s1 "vaddress_RNIEAGP4S1[21:21]") 1) (direction INPUT)) (port (array (rename vaddress_rnicagp4s1 "vaddress_RNICAGP4S1[20:20]") 1) (direction INPUT)) (port (array (rename vaddress_rnio2gp4s1 "vaddress_RNIO2GP4S1[19:19]") 1) (direction INPUT)) (port (array (rename vaddress_rnim2gp4s1 "vaddress_RNIM2GP4S1[18:18]") 1) (direction INPUT)) (port (array (rename vaddress_rnik2gp4s1 "vaddress_RNIK2GP4S1[17:17]") 1) (direction INPUT)) (port (array (rename vaddress_rnii2gp4s1 "vaddress_RNII2GP4S1[16:16]") 1) (direction INPUT)) (port (array (rename vaddress_rnig2gp4s1 "vaddress_RNIG2GP4S1[15:15]") 1) (direction INPUT)) (port (array (rename vaddress_rnie2gp4s1 "vaddress_RNIE2GP4S1[14:14]") 1) (direction INPUT)) (port (array (rename vaddress_rnic2gp4s1 "vaddress_RNIC2GP4S1[13:13]") 1) (direction INPUT)) (port (array (rename vaddress_rnia2gp4s1 "vaddress_RNIA2GP4S1[12:12]") 1) (direction INPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction INPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction INPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction INPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction INPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction INPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction INPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction INPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction INPUT)) (port (array (rename vitdatain_0_1_a0_3 "vitdatain_0_1_a0_3[23:23]") 1) (direction OUTPUT)) (port (array (rename eaddress "eaddress[31:31]") 1) (direction INPUT)) (port un1_p0_2_0_350 (direction INPUT)) (port un1_p0_2_0_0 (direction INPUT)) (port u0_DOUTA3_6 (direction OUTPUT)) (port u0_DOUTA2_6 (direction OUTPUT)) (port u0_DOUTA1_6 (direction OUTPUT)) (port u0_DOUTA0_6 (direction OUTPUT)) (port read_RNIGQ6ND1 (direction INPUT)) (port u0_DOUTA3_3 (direction OUTPUT)) (port u0_DOUTA2_3 (direction OUTPUT)) (port u0_DOUTA1_3 (direction OUTPUT)) (port u0_DOUTA0_3 (direction OUTPUT)) (port u0_DOUTA3_4 (direction OUTPUT)) (port u0_DOUTA2_4 (direction OUTPUT)) (port u0_DOUTA1_4 (direction OUTPUT)) (port u0_DOUTA0_4 (direction OUTPUT)) (port u0_DOUTA3_5 (direction OUTPUT)) (port u0_DOUTA2_5 (direction OUTPUT)) (port u0_DOUTA1_5 (direction OUTPUT)) (port u0_DOUTA0_5 (direction OUTPUT)) (port u0_DOUTA3_2 (direction OUTPUT)) (port u0_DOUTA2_2 (direction OUTPUT)) (port u0_DOUTA1_2 (direction OUTPUT)) (port u0_DOUTA0_2 (direction OUTPUT)) (port read_RNIV144H1 (direction INPUT)) (port u0_DOUTA3 (direction OUTPUT)) (port u0_DOUTA2 (direction OUTPUT)) (port u0_DOUTA1 (direction OUTPUT)) (port u0_DOUTA0 (direction OUTPUT)) (port read_RNILMNHG1 (direction INPUT)) (port u0_DOUTA3_0 (direction OUTPUT)) (port u0_DOUTA2_0 (direction OUTPUT)) (port u0_DOUTA1_0 (direction OUTPUT)) (port u0_DOUTA0_0 (direction OUTPUT)) (port u0_DOUTA3_1 (direction OUTPUT)) (port u0_DOUTA2_1 (direction OUTPUT)) (port u0_DOUTA1_1 (direction OUTPUT)) (port u0_DOUTA0_1 (direction OUTPUT)) (port flush_RNICD8ME (direction INPUT)) (port flush_RNIMRRHB22 (direction INPUT)) (port flush_RNID7RHB22 (direction INPUT)) (port flush_RNI4JQHB22 (direction INPUT)) (port flush_RNIRUPHB22 (direction INPUT)) (port u0_RD17 (direction OUTPUT)) (port u0_RD16 (direction OUTPUT)) (port u0_RD15 (direction OUTPUT)) (port u0_RD14 (direction OUTPUT)) (port u0_RD13 (direction OUTPUT)) (port u0_RD12 (direction OUTPUT)) (port u0_RD11 (direction OUTPUT)) (port u0_RD10 (direction OUTPUT)) (port u0_RD9 (direction OUTPUT)) (port u0_RD8 (direction OUTPUT)) (port u0_RD7 (direction OUTPUT)) (port u0_RD6 (direction OUTPUT)) (port u0_RD5 (direction OUTPUT)) (port u0_RD4_0 (direction OUTPUT)) (port u0_RD3_0 (direction OUTPUT)) (port u0_RD2_0 (direction OUTPUT)) (port u0_RD1_0 (direction OUTPUT)) (port u0_RD0_0 (direction OUTPUT)) (port N_2766 (direction INPUT)) (port u0_RD5_0 (direction OUTPUT)) (port u0_RD4 (direction OUTPUT)) (port u0_RD3 (direction OUTPUT)) (port u0_RD2 (direction OUTPUT)) (port u0_RD1 (direction OUTPUT)) (port u0_RD0 (direction OUTPUT)) (port N_2745 (direction INPUT)) (port N_2698 (direction INPUT)) (port N_2747 (direction INPUT)) (port N_2748 (direction INPUT)) (port N_2868 (direction INPUT)) (port newptag_2_a2_0_28_m1_e_0 (direction INPUT)) (port flush_0_RNI2N6NQR1 (direction INPUT)) (port newptag_2_a2_0_31_m1_e_0 (direction INPUT)) (port N_2542 (direction INPUT)) (port u0_DOUTA3_14 (direction OUTPUT)) (port u0_DOUTA2_14 (direction OUTPUT)) (port u0_DOUTA1_14 (direction OUTPUT)) (port u0_DOUTA0_14 (direction OUTPUT)) (port u0_DOUTA3_11 (direction OUTPUT)) (port u0_DOUTA2_11 (direction OUTPUT)) (port u0_DOUTA1_11 (direction OUTPUT)) (port u0_DOUTA0_11 (direction OUTPUT)) (port u0_DOUTA3_12 (direction OUTPUT)) (port u0_DOUTA2_12 (direction OUTPUT)) (port u0_DOUTA1_12 (direction OUTPUT)) (port u0_DOUTA0_12 (direction OUTPUT)) (port u0_DOUTA3_13 (direction OUTPUT)) (port u0_DOUTA2_13 (direction OUTPUT)) (port u0_DOUTA1_13 (direction OUTPUT)) (port u0_DOUTA0_13 (direction OUTPUT)) (port u0_DOUTA3_10 (direction OUTPUT)) (port u0_DOUTA2_10 (direction OUTPUT)) (port u0_DOUTA1_10 (direction OUTPUT)) (port u0_DOUTA0_10 (direction OUTPUT)) (port u0_DOUTA3_7 (direction OUTPUT)) (port u0_DOUTA2_7 (direction OUTPUT)) (port u0_DOUTA1_7 (direction OUTPUT)) (port u0_DOUTA0_7 (direction OUTPUT)) (port u0_DOUTA3_8 (direction OUTPUT)) (port u0_DOUTA2_8 (direction OUTPUT)) (port u0_DOUTA1_8 (direction OUTPUT)) (port u0_DOUTA0_8 (direction OUTPUT)) (port u0_DOUTA3_9 (direction OUTPUT)) (port u0_DOUTA2_9 (direction OUTPUT)) (port u0_DOUTA1_9 (direction OUTPUT)) (port u0_DOUTA0_9 (direction OUTPUT)) (port flush2_RNICRKB7 (direction INPUT)) (port flush2_RNI5NKK2 (direction INPUT)) (port flush2_RNI3JKK2 (direction INPUT)) (port flush2_RNI1FKK2 (direction INPUT)) (port flush2_RNIVAKK2 (direction INPUT)) (port cachemem_VCC (direction INPUT)) (port ramclk (direction INPUT)) (port cachemem_GND (direction INPUT)) (port u0_RD17_0 (direction OUTPUT)) (port u0_RD16_0 (direction OUTPUT)) (port u0_RD15_0 (direction OUTPUT)) (port u0_RD14_0 (direction OUTPUT)) (port u0_RD13_0 (direction OUTPUT)) (port u0_RD12_0 (direction OUTPUT)) (port u0_RD11_0 (direction OUTPUT)) (port u0_RD10_0 (direction OUTPUT)) (port u0_RD9_0 (direction OUTPUT)) (port u0_RD8_0 (direction OUTPUT)) (port u0_RD7_0 (direction OUTPUT)) (port u0_RD6_0 (direction OUTPUT)) (port u0_RD5_1 (direction OUTPUT)) (port u0_RD4_2 (direction OUTPUT)) (port u0_RD3_2 (direction OUTPUT)) (port u0_RD2_2 (direction OUTPUT)) (port u0_RD1_2 (direction OUTPUT)) (port u0_RD0_2 (direction OUTPUT)) (port u0_RD5_2 (direction OUTPUT)) (port u0_RD4_1 (direction OUTPUT)) (port u0_RD3_1 (direction OUTPUT)) (port u0_RD2_1 (direction OUTPUT)) (port u0_RD1_1 (direction OUTPUT)) (port u0_RD0_1 (direction OUTPUT)) (port N_896 (direction INPUT)) (port N_895 (direction INPUT)) (port N_898 (direction INPUT)) (port N_897 (direction INPUT)) (port flush (direction INPUT)) (port un1_addout_28 (direction INPUT)) (port un1_addout_27 (direction INPUT)) (port newptag_sn_m2_i_o2_0_m3 (direction INPUT)) (port un16_casaen_0_1 (direction INPUT)) (port un1_addout_28_10 (direction INPUT)) (port faddr_1_sqmuxa_m2_0 (direction INPUT)) (port N_894 (direction INPUT)) (port N_893 (direction INPUT)) (port N_892 (direction INPUT)) (port N_891 (direction INPUT)) (port un1_ici (direction INPUT)) ) (contents (instance (rename itinsel_vitdatain_0_1_19 "itinsel.vitdatain_0_1[19]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_18 "itinsel.vitdatain_0_1[18]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_17 "itinsel.vitdatain_0_1[17]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_16 "itinsel.vitdatain_0_1[16]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_RNO_16 "itinsel.vitdatain_0_1_RNO[16]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_RNO_17 "itinsel.vitdatain_0_1_RNO[17]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_RNO_18 "itinsel.vitdatain_0_1_RNO[18]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_RNO_19 "itinsel.vitdatain_0_1_RNO[19]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_a1_0_20 "itinsel.vitdatain_0_1_a1_0[20]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_a0_1_20 "itinsel.vitdatain_0_1_a0_1[20]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_a1_20 "itinsel.vitdatain_0_1_a1[20]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_a0_20 "itinsel.vitdatain_0_1_a0[20]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_a0_3_20 "itinsel.vitdatain_0_1_a0_3[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename itinsel_vitdatain_0_1_a0_0_20 "itinsel.vitdatain_0_1_a0_0[20]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename ime_im0_0_itags0 "ime.im0.0.itags0") (viewRef netlist (cellRef syncramZ1 (libraryRef techmap))) (property dbits (integer 24)) (property abits (integer 8)) (property tech (integer 10)) ) (instance (rename ime_im0_0_idata0 "ime.im0.0.idata0") (viewRef netlist (cellRef syncramZ0 (libraryRef techmap))) (property dbits (integer 32)) (property abits (integer 10)) (property tech (integer 10)) ) (instance (rename dme_dtags0_dt0_0_dtags0 "dme.dtags0.dt0.0.dtags0") (viewRef netlist (cellRef syncramZ1_1 (libraryRef techmap))) (property dbits (integer 24)) (property abits (integer 8)) (property tech (integer 10)) ) (instance (rename dme_dd0_0_ddata0 "dme.dd0.0.ddata0") (viewRef netlist (cellRef syncramZ0_1 (libraryRef techmap))) (property dbits (integer 32)) (property abits (integer 10)) (property tech (integer 10)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename vitdatain_0_1_19 "vitdatain_0_1[19]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_19)) (portRef (member vitdatain_0_1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vitdatain_0_1_0_19 "vitdatain_0_1_0[19]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_RNO_19)) (portRef B (instanceRef itinsel_vitdatain_0_1_19)) )) (net (rename vitdatain_0_1_18 "vitdatain_0_1[18]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_18)) (portRef (member vitdatain_0_1 1) (instanceRef ime_im0_0_itags0)) )) (net un1_ici (joined (portRef un1_ici) (portRef A (instanceRef itinsel_vitdatain_0_1_16)) (portRef A (instanceRef itinsel_vitdatain_0_1_17)) (portRef A (instanceRef itinsel_vitdatain_0_1_18)) (portRef A (instanceRef itinsel_vitdatain_0_1_19)) )) (net (rename vitdatain_0_1_0_18 "vitdatain_0_1_0[18]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_RNO_18)) (portRef B (instanceRef itinsel_vitdatain_0_1_18)) )) (net (rename vitdatain_0_1_17 "vitdatain_0_1[17]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_17)) (portRef (member vitdatain_0_1 2) (instanceRef ime_im0_0_itags0)) )) (net (rename vitdatain_0_1_0_17 "vitdatain_0_1_0[17]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_RNO_17)) (portRef B (instanceRef itinsel_vitdatain_0_1_17)) )) (net (rename vitdatain_0_1_16 "vitdatain_0_1[16]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_16)) (portRef (member vitdatain_0_1 3) (instanceRef ime_im0_0_itags0)) )) (net (rename vitdatain_0_1_0_16 "vitdatain_0_1_0[16]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_RNO_16)) (portRef B (instanceRef itinsel_vitdatain_0_1_16)) )) (net N_891 (joined (portRef N_891) (portRef A (instanceRef itinsel_vitdatain_0_1_RNO_16)) )) (net N_892 (joined (portRef N_892) (portRef A (instanceRef itinsel_vitdatain_0_1_RNO_17)) )) (net (rename un1_p0_2_0_0 "un1_p0_2_0[148]") (joined (portRef un1_p0_2_0_0) (portRef (member un1_p0_2_0 0) (instanceRef ime_im0_0_itags0)) (portRef A (instanceRef itinsel_vitdatain_0_1_a0_0_20)) (portRef A (instanceRef itinsel_vitdatain_0_1_a1_0_20)) (portRef B (instanceRef itinsel_vitdatain_0_1_RNO_19)) (portRef B (instanceRef itinsel_vitdatain_0_1_RNO_18)) (portRef B (instanceRef itinsel_vitdatain_0_1_RNO_17)) (portRef B (instanceRef itinsel_vitdatain_0_1_RNO_16)) )) (net N_893 (joined (portRef N_893) (portRef A (instanceRef itinsel_vitdatain_0_1_RNO_18)) )) (net N_894 (joined (portRef N_894) (portRef A (instanceRef itinsel_vitdatain_0_1_RNO_19)) )) (net (rename vitdatain_0_1_a1_0_20 "vitdatain_0_1_a1_0[20]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_a1_0_20)) (portRef A (instanceRef itinsel_vitdatain_0_1_a1_20)) )) (net (rename vitdatain_0_1_a0_1_20 "vitdatain_0_1_a0_1[20]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_a0_1_20)) (portRef A (instanceRef itinsel_vitdatain_0_1_a0_20)) )) (net (rename eaddress_31 "eaddress[31]") (joined (portRef (member eaddress 0)) (portRef A (instanceRef itinsel_vitdatain_0_1_a0_1_20)) )) (net (rename vitdatain_0_1_a0_0_23 "vitdatain_0_1_a0_0[23]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_a0_0_20)) (portRef (member vitdatain_0_1_a0_0 0) (instanceRef ime_im0_0_itags0)) (portRef B (instanceRef itinsel_vitdatain_0_1_a0_1_20)) )) (net un1_addout_28_10 (joined (portRef un1_addout_28_10) (portRef C (instanceRef itinsel_vitdatain_0_1_a0_1_20)) )) (net (rename vitdatain_0_1_a1_20 "vitdatain_0_1_a1[20]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_a1_20)) (portRef (member vitdatain_0_1_a1 0) (instanceRef ime_im0_0_itags0)) )) (net un16_casaen_0_1 (joined (portRef un16_casaen_0_1) (portRef B (instanceRef itinsel_vitdatain_0_1_a1_20)) )) (net (rename vitdatain_0_1_a0_20 "vitdatain_0_1_a0[20]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_a0_20)) (portRef (member vitdatain_0_1_a0 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vitdatain_0_1_a0_3_23 "vitdatain_0_1_a0_3[23]") (joined (portRef Y (instanceRef itinsel_vitdatain_0_1_a0_3_20)) (portRef B (instanceRef itinsel_vitdatain_0_1_a0_20)) (portRef (member vitdatain_0_1_a0_3 0)) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef newptag_sn_m2_i_o2_0_m3) (portRef newptag_sn_m2_i_o2_0_m3 (instanceRef ime_im0_0_itags0)) (portRef A (instanceRef itinsel_vitdatain_0_1_a0_3_20)) (portRef C (instanceRef itinsel_vitdatain_0_1_a1_20)) )) (net faddr_1_sqmuxa_m2_0 (joined (portRef faddr_1_sqmuxa_m2_0) (portRef B (instanceRef itinsel_vitdatain_0_1_a0_0_20)) (portRef B (instanceRef itinsel_vitdatain_0_1_a1_0_20)) )) (net (rename vaddress_RNIA2GP4S1_12 "vaddress_RNIA2GP4S1[12]") (joined (portRef (member vaddress_rnia2gp4s1 0)) (portRef (member vaddress_rnia2gp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIC2GP4S1_13 "vaddress_RNIC2GP4S1[13]") (joined (portRef (member vaddress_rnic2gp4s1 0)) (portRef (member vaddress_rnic2gp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIE2GP4S1_14 "vaddress_RNIE2GP4S1[14]") (joined (portRef (member vaddress_rnie2gp4s1 0)) (portRef (member vaddress_rnie2gp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIG2GP4S1_15 "vaddress_RNIG2GP4S1[15]") (joined (portRef (member vaddress_rnig2gp4s1 0)) (portRef (member vaddress_rnig2gp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNII2GP4S1_16 "vaddress_RNII2GP4S1[16]") (joined (portRef (member vaddress_rnii2gp4s1 0)) (portRef (member vaddress_rnii2gp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIK2GP4S1_17 "vaddress_RNIK2GP4S1[17]") (joined (portRef (member vaddress_rnik2gp4s1 0)) (portRef (member vaddress_rnik2gp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIM2GP4S1_18 "vaddress_RNIM2GP4S1[18]") (joined (portRef (member vaddress_rnim2gp4s1 0)) (portRef (member vaddress_rnim2gp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIO2GP4S1_19 "vaddress_RNIO2GP4S1[19]") (joined (portRef (member vaddress_rnio2gp4s1 0)) (portRef (member vaddress_rnio2gp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNICAGP4S1_20 "vaddress_RNICAGP4S1[20]") (joined (portRef (member vaddress_rnicagp4s1 0)) (portRef (member vaddress_rnicagp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIEAGP4S1_21 "vaddress_RNIEAGP4S1[21]") (joined (portRef (member vaddress_rnieagp4s1 0)) (portRef (member vaddress_rnieagp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIGAGP4S1_22 "vaddress_RNIGAGP4S1[22]") (joined (portRef (member vaddress_rnigagp4s1 0)) (portRef (member vaddress_rnigagp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIIAGP4S1_23 "vaddress_RNIIAGP4S1[23]") (joined (portRef (member vaddress_rniiagp4s1 0)) (portRef (member vaddress_rniiagp4s1 0) (instanceRef ime_im0_0_itags0)) )) (net un1_addout_27 (joined (portRef un1_addout_27) (portRef un1_addout_27 (instanceRef ime_im0_0_itags0)) (portRef B (instanceRef itinsel_vitdatain_0_1_a0_3_20)) )) (net un1_addout_28 (joined (portRef un1_addout_28) (portRef un1_addout_28 (instanceRef ime_im0_0_itags0)) )) (net flush (joined (portRef flush) (portRef flush (instanceRef ime_im0_0_itags0)) )) (net N_897 (joined (portRef N_897) (portRef N_897 (instanceRef ime_im0_0_itags0)) )) (net N_898 (joined (portRef N_898) (portRef N_898 (instanceRef ime_im0_0_itags0)) )) (net N_895 (joined (portRef N_895) (portRef N_895 (instanceRef ime_im0_0_itags0)) )) (net N_896 (joined (portRef N_896) (portRef N_896 (instanceRef ime_im0_0_itags0)) )) (net u0_RD0_1 (joined (portRef u0_RD0_1 (instanceRef ime_im0_0_itags0)) (portRef u0_RD0_1) )) (net u0_RD1_1 (joined (portRef u0_RD1_1 (instanceRef ime_im0_0_itags0)) (portRef u0_RD1_1) )) (net u0_RD2_1 (joined (portRef u0_RD2_1 (instanceRef ime_im0_0_itags0)) (portRef u0_RD2_1) )) (net u0_RD3_1 (joined (portRef u0_RD3_1 (instanceRef ime_im0_0_itags0)) (portRef u0_RD3_1) )) (net u0_RD4_1 (joined (portRef u0_RD4_1 (instanceRef ime_im0_0_itags0)) (portRef u0_RD4_1) )) (net u0_RD5_2 (joined (portRef u0_RD5_2 (instanceRef ime_im0_0_itags0)) (portRef u0_RD5_2) )) (net u0_RD0_2 (joined (portRef u0_RD0_2 (instanceRef ime_im0_0_itags0)) (portRef u0_RD0_2) )) (net u0_RD1_2 (joined (portRef u0_RD1_2 (instanceRef ime_im0_0_itags0)) (portRef u0_RD1_2) )) (net u0_RD2_2 (joined (portRef u0_RD2_2 (instanceRef ime_im0_0_itags0)) (portRef u0_RD2_2) )) (net u0_RD3_2 (joined (portRef u0_RD3_2 (instanceRef ime_im0_0_itags0)) (portRef u0_RD3_2) )) (net u0_RD4_2 (joined (portRef u0_RD4_2 (instanceRef ime_im0_0_itags0)) (portRef u0_RD4_2) )) (net u0_RD5_1 (joined (portRef u0_RD5_1 (instanceRef ime_im0_0_itags0)) (portRef u0_RD5_1) )) (net u0_RD6_0 (joined (portRef u0_RD6_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD6_0) )) (net u0_RD7_0 (joined (portRef u0_RD7_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD7_0) )) (net u0_RD8_0 (joined (portRef u0_RD8_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD8_0) )) (net u0_RD9_0 (joined (portRef u0_RD9_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD9_0) )) (net u0_RD10_0 (joined (portRef u0_RD10_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD10_0) )) (net u0_RD11_0 (joined (portRef u0_RD11_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD11_0) )) (net u0_RD12_0 (joined (portRef u0_RD12_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD12_0) )) (net u0_RD13_0 (joined (portRef u0_RD13_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD13_0) )) (net u0_RD14_0 (joined (portRef u0_RD14_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD14_0) )) (net u0_RD15_0 (joined (portRef u0_RD15_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD15_0) )) (net u0_RD16_0 (joined (portRef u0_RD16_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD16_0) )) (net u0_RD17_0 (joined (portRef u0_RD17_0 (instanceRef ime_im0_0_itags0)) (portRef u0_RD17_0) )) (net flush2_RNIVAKK2 (joined (portRef flush2_RNIVAKK2) (portRef flush2_RNIVAKK2 (instanceRef ime_im0_0_itags0)) )) (net flush2_RNI1FKK2 (joined (portRef flush2_RNI1FKK2) (portRef flush2_RNI1FKK2 (instanceRef ime_im0_0_itags0)) )) (net flush2_RNI3JKK2 (joined (portRef flush2_RNI3JKK2) (portRef flush2_RNI3JKK2 (instanceRef ime_im0_0_itags0)) )) (net flush2_RNI5NKK2 (joined (portRef flush2_RNI5NKK2) (portRef flush2_RNI5NKK2 (instanceRef ime_im0_0_itags0)) )) (net flush2_RNICRKB7 (joined (portRef flush2_RNICRKB7) (portRef flush2_RNICRKB7 (instanceRef ime_im0_0_itags0)) )) (net (rename istate_RNIT9TF1_1 "istate_RNIT9TF1[1]") (joined (portRef (member istate_rnit9tf1 0)) (portRef (member istate_rnit9tf1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNITKDP1_1 "istate_RNITKDP1[1]") (joined (portRef (member istate_rnitkdp1 0)) (portRef (member istate_rnitkdp1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIJLLK1_1 "istate_RNIJLLK1[1]") (joined (portRef (member istate_rnijllk1 0)) (portRef (member istate_rnijllk1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNINGDN1_1 "istate_RNINGDN1[1]") (joined (portRef (member istate_rningdn1 0)) (portRef (member istate_rningdn1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIJMMR1_1 "istate_RNIJMMR1[1]") (joined (portRef (member istate_rnijmmr1 0)) (portRef (member istate_rnijmmr1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNI6SLV1_1 "istate_RNI6SLV1[1]") (joined (portRef (member istate_rni6slv1 0)) (portRef (member istate_rni6slv1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNI57T02_1 "istate_RNI57T02[1]") (joined (portRef (member istate_rni57t02 0)) (portRef (member istate_rni57t02 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIPTLI1_1 "istate_RNIPTLI1[1]") (joined (portRef (member istate_rniptli1 0)) (portRef (member istate_rniptli1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIFNT02_1 "istate_RNIFNT02[1]") (joined (portRef (member istate_rnifnt02 0)) (portRef (member istate_rnifnt02 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIFUPH2_1 "istate_RNIFUPH2[1]") (joined (portRef (member istate_rnifuph2 0)) (portRef (member istate_rnifuph2 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIL1742_1 "istate_RNIL1742[1]") (joined (portRef (member istate_rnil1742 0)) (portRef (member istate_rnil1742 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNINAS02_1 "istate_RNINAS02[1]") (joined (portRef (member istate_rninas02 0)) (portRef (member istate_rninas02 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIQ3PK1_1 "istate_RNIQ3PK1[1]") (joined (portRef (member istate_rniq3pk1 0)) (portRef (member istate_rniq3pk1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIHPJ22_1 "istate_RNIHPJ22[1]") (joined (portRef (member istate_rnihpj22 0)) (portRef (member istate_rnihpj22 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNI1PDN1_1 "istate_RNI1PDN1[1]") (joined (portRef (member istate_rni1pdn1 0)) (portRef (member istate_rni1pdn1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIJ9EP1_1 "istate_RNIJ9EP1[1]") (joined (portRef (member istate_rnij9ep1 0)) (portRef (member istate_rnij9ep1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIR8HU1_1 "istate_RNIR8HU1[1]") (joined (portRef (member istate_rnir8hu1 0)) (portRef (member istate_rnir8hu1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIKGRL1_1 "istate_RNIKGRL1[1]") (joined (portRef (member istate_rnikgrl1 0)) (portRef (member istate_rnikgrl1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNILTPP1_1 "istate_RNILTPP1[1]") (joined (portRef (member istate_rniltpp1 0)) (portRef (member istate_rniltpp1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIM32A1_1 "istate_RNIM32A1[1]") (joined (portRef (member istate_rnim32a1 0)) (portRef (member istate_rnim32a1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIQF2A1_1 "istate_RNIQF2A1[1]") (joined (portRef (member istate_rniqf2a1 0)) (portRef (member istate_rniqf2a1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNICVQE1_1 "istate_RNICVQE1[1]") (joined (portRef (member istate_rnicvqe1 0)) (portRef (member istate_rnicvqe1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIABMH1_1 "istate_RNIABMH1[1]") (joined (portRef (member istate_rniabmh1 0)) (portRef (member istate_rniabmh1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIHLE12_1 "istate_RNIHLE12[1]") (joined (portRef (member istate_rnihle12 0)) (portRef (member istate_rnihle12 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIBNM52_1 "istate_RNIBNM52[1]") (joined (portRef (member istate_rnibnm52 0)) (portRef (member istate_rnibnm52 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNI6POA2_1 "istate_RNI6POA2[1]") (joined (portRef (member istate_rni6poa2 0)) (portRef (member istate_rni6poa2 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIB7T42_1 "istate_RNIB7T42[1]") (joined (portRef (member istate_rnib7t42 0)) (portRef (member istate_rnib7t42 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNI6U762_1 "istate_RNI6U762[1]") (joined (portRef (member istate_rni6u762 0)) (portRef (member istate_rni6u762 0) (instanceRef ime_im0_0_idata0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef ime_im0_0_idata0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef ime_im0_0_idata0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0)) (portRef (member faddr_rnitu19lc 0) (instanceRef ime_im0_0_idata0)) (portRef (member faddr_rnitu19lc 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef ime_im0_0_idata0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef ime_im0_0_itags0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0)) (portRef (member faddr_rniuag1tc 0) (instanceRef ime_im0_0_idata0)) (portRef (member faddr_rniuag1tc 0) (instanceRef ime_im0_0_itags0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0)) (portRef (member faddr_rnir9j23d 0) (instanceRef ime_im0_0_idata0)) (portRef (member faddr_rnir9j23d 0) (instanceRef ime_im0_0_itags0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef ime_im0_0_idata0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef ime_im0_0_itags0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0)) (portRef (member faddr_rniom2ubd 0) (instanceRef ime_im0_0_idata0)) (portRef (member faddr_rniom2ubd 0) (instanceRef ime_im0_0_itags0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0)) (portRef (member faddr_rnic5grid 0) (instanceRef ime_im0_0_idata0)) (portRef (member faddr_rnic5grid 0) (instanceRef ime_im0_0_itags0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0)) (portRef (member faddr_rnifa34ud 0) (instanceRef ime_im0_0_idata0)) (portRef (member faddr_rnifa34ud 0) (instanceRef ime_im0_0_itags0)) )) (net (rename istate_RNILK561_1 "istate_RNILK561[1]") (joined (portRef (member istate_rnilk561 0)) (portRef (member istate_rnilk561 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIU0OP1_1 "istate_RNIU0OP1[1]") (joined (portRef (member istate_rniu0op1 0)) (portRef (member istate_rniu0op1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIEAOE1_1 "istate_RNIEAOE1[1]") (joined (portRef (member istate_rnieaoe1 0)) (portRef (member istate_rnieaoe1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNI4J0A1_1 "istate_RNI4J0A1[1]") (joined (portRef (member istate_rni4j0a1 0)) (portRef (member istate_rni4j0a1 0) (instanceRef ime_im0_0_idata0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0)) (portRef (member istate_rniql7e6 0) (instanceRef ime_im0_0_idata0)) )) (net u0_DOUTA0_9 (joined (portRef u0_DOUTA0_9 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA0_9) )) (net u0_DOUTA1_9 (joined (portRef u0_DOUTA1_9 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA1_9) )) (net u0_DOUTA2_9 (joined (portRef u0_DOUTA2_9 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA2_9) )) (net u0_DOUTA3_9 (joined (portRef u0_DOUTA3_9 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA3_9) )) (net u0_DOUTA0_8 (joined (portRef u0_DOUTA0_8 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA0_8) )) (net u0_DOUTA1_8 (joined (portRef u0_DOUTA1_8 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA1_8) )) (net u0_DOUTA2_8 (joined (portRef u0_DOUTA2_8 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA2_8) )) (net u0_DOUTA3_8 (joined (portRef u0_DOUTA3_8 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA3_8) )) (net u0_DOUTA0_7 (joined (portRef u0_DOUTA0_7 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA0_7) )) (net u0_DOUTA1_7 (joined (portRef u0_DOUTA1_7 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA1_7) )) (net u0_DOUTA2_7 (joined (portRef u0_DOUTA2_7 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA2_7) )) (net u0_DOUTA3_7 (joined (portRef u0_DOUTA3_7 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA3_7) )) (net u0_DOUTA0_10 (joined (portRef u0_DOUTA0_10 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA0_10) )) (net u0_DOUTA1_10 (joined (portRef u0_DOUTA1_10 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA1_10) )) (net u0_DOUTA2_10 (joined (portRef u0_DOUTA2_10 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA2_10) )) (net u0_DOUTA3_10 (joined (portRef u0_DOUTA3_10 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA3_10) )) (net u0_DOUTA0_13 (joined (portRef u0_DOUTA0_13 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA0_13) )) (net u0_DOUTA1_13 (joined (portRef u0_DOUTA1_13 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA1_13) )) (net u0_DOUTA2_13 (joined (portRef u0_DOUTA2_13 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA2_13) )) (net u0_DOUTA3_13 (joined (portRef u0_DOUTA3_13 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA3_13) )) (net u0_DOUTA0_12 (joined (portRef u0_DOUTA0_12 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA0_12) )) (net u0_DOUTA1_12 (joined (portRef u0_DOUTA1_12 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA1_12) )) (net u0_DOUTA2_12 (joined (portRef u0_DOUTA2_12 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA2_12) )) (net u0_DOUTA3_12 (joined (portRef u0_DOUTA3_12 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA3_12) )) (net u0_DOUTA0_11 (joined (portRef u0_DOUTA0_11 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA0_11) )) (net u0_DOUTA1_11 (joined (portRef u0_DOUTA1_11 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA1_11) )) (net u0_DOUTA2_11 (joined (portRef u0_DOUTA2_11 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA2_11) )) (net u0_DOUTA3_11 (joined (portRef u0_DOUTA3_11 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA3_11) )) (net u0_DOUTA0_14 (joined (portRef u0_DOUTA0_14 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA0_14) )) (net u0_DOUTA1_14 (joined (portRef u0_DOUTA1_14 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA1_14) )) (net u0_DOUTA2_14 (joined (portRef u0_DOUTA2_14 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA2_14) )) (net u0_DOUTA3_14 (joined (portRef u0_DOUTA3_14 (instanceRef ime_im0_0_idata0)) (portRef u0_DOUTA3_14) )) (net cachemem_GND (joined (portRef cachemem_GND) (portRef syncramZ0_1_GND (instanceRef dme_dd0_0_ddata0)) (portRef syncramZ1_1_GND (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef syncramZ0_GND (instanceRef ime_im0_0_idata0)) (portRef syncramZ1_GND (instanceRef ime_im0_0_itags0)) )) (net cachemem_VCC (joined (portRef cachemem_VCC) (portRef syncramZ0_1_VCC (instanceRef dme_dd0_0_ddata0)) (portRef syncramZ1_1_VCC (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef syncramZ0_VCC (instanceRef ime_im0_0_idata0)) (portRef syncramZ1_VCC (instanceRef ime_im0_0_itags0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef dme_dd0_0_ddata0)) (portRef ramclk (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef ramclk (instanceRef ime_im0_0_idata0)) (portRef ramclk (instanceRef ime_im0_0_itags0)) )) (net (rename edata2_iv_1 "edata2_iv[29]") (joined (portRef edata2_iv_1) (portRef edata2_iv_1 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename edata2_iv_3 "edata2_iv[31]") (joined (portRef edata2_iv_3) (portRef edata2_iv_3 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename edata2_iv_0 "edata2_iv[28]") (joined (portRef edata2_iv_0) (portRef edata2_iv_0 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename addr_0 "addr[28]") (joined (portRef addr_0) (portRef addr_0 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename addr_3 "addr[31]") (joined (portRef addr_3) (portRef addr_3 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename newptag_2_0_26 "newptag_2_0[26]") (joined (portRef (member newptag_2_0 1)) (portRef (member newptag_2_0 1) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename newptag_2_0_27 "newptag_2_0[27]") (joined (portRef (member newptag_2_0 0)) (portRef (member newptag_2_0 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename newptag_2_1_25 "newptag_2_1[25]") (joined (portRef (member newptag_2_1 0)) (portRef (member newptag_2_1 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename un1_p0_2_0_350 "un1_p0_2_0[498]") (joined (portRef un1_p0_2_0_350) (portRef (member un1_p0_2_0 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename un1_p0_2_6 "un1_p0_2[368]") (joined (portRef un1_p0_2_6) (portRef un1_p0_2_6 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename un1_p0_2_0_d0 "un1_p0_2[362]") (joined (portRef un1_p0_2_0_d0) (portRef un1_p0_2_0_d0 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNIVQEG4R3_12 "xaddress_RNIVQEG4R3[12]") (joined (portRef (member xaddress_rnivqeg4r3 0)) (portRef (member xaddress_rnivqeg4r3 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNI4P6H4R3_13 "xaddress_RNI4P6H4R3[13]") (joined (portRef (member xaddress_rni4p6h4r3 0)) (portRef (member xaddress_rni4p6h4r3 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNIFNVH4R3_14 "xaddress_RNIFNVH4R3[14]") (joined (portRef (member xaddress_rnifnvh4r3 0)) (portRef (member xaddress_rnifnvh4r3 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNI1Q8H4R3_15 "xaddress_RNI1Q8H4R3[15]") (joined (portRef (member xaddress_rni1q8h4r3 0)) (portRef (member xaddress_rni1q8h4r3 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNIH8G8VM1_16 "xaddress_RNIH8G8VM1[16]") (joined (portRef (member xaddress_rnih8g8vm1 0)) (portRef (member xaddress_rnih8g8vm1 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNI1HH8VM1_17 "xaddress_RNI1HH8VM1[17]") (joined (portRef (member xaddress_rni1hh8vm1 0)) (portRef (member xaddress_rni1hh8vm1 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNIE9I8VM1_18 "xaddress_RNIE9I8VM1[18]") (joined (portRef (member xaddress_rnie9i8vm1 0)) (portRef (member xaddress_rnie9i8vm1 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNILQ8H4R3_19 "xaddress_RNILQ8H4R3[19]") (joined (portRef (member xaddress_rnilq8h4r3 0)) (portRef (member xaddress_rnilq8h4r3 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename newptag_2_20 "newptag_2[20]") (joined (portRef (member newptag_2 0)) (portRef (member newptag_2 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNID0H8VM1_21 "xaddress_RNID0H8VM1[21]") (joined (portRef (member xaddress_rnid0h8vm1 0)) (portRef (member xaddress_rnid0h8vm1 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNIQOH8VM1_22 "xaddress_RNIQOH8VM1[22]") (joined (portRef (member xaddress_rniqoh8vm1 0)) (portRef (member xaddress_rniqoh8vm1 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename xaddress_RNIFBR7VM1_23 "xaddress_RNIFBR7VM1[23]") (joined (portRef (member xaddress_rnifbr7vm1 0)) (portRef (member xaddress_rnifbr7vm1 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net N_2542 (joined (portRef N_2542) (portRef N_2542 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net newptag_2_a2_0_31_m1_e_0 (joined (portRef newptag_2_a2_0_31_m1_e_0) (portRef newptag_2_a2_0_31_m1_e_0 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net flush_0_RNI2N6NQR1 (joined (portRef flush_0_RNI2N6NQR1) (portRef flush_0_RNI2N6NQR1 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net newptag_2_a2_0_28_m1_e_0 (joined (portRef newptag_2_a2_0_28_m1_e_0) (portRef newptag_2_a2_0_28_m1_e_0 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net N_2868 (joined (portRef N_2868) (portRef N_2868 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net N_2748 (joined (portRef N_2748) (portRef N_2748 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net N_2747 (joined (portRef N_2747) (portRef N_2747 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net N_2698 (joined (portRef N_2698) (portRef N_2698 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net N_2745 (joined (portRef N_2745) (portRef N_2745 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net u0_RD0 (joined (portRef u0_RD0 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD0) )) (net u0_RD1 (joined (portRef u0_RD1 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD1) )) (net u0_RD2 (joined (portRef u0_RD2 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD2) )) (net u0_RD3 (joined (portRef u0_RD3 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD3) )) (net u0_RD4 (joined (portRef u0_RD4 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD4) )) (net u0_RD5_0 (joined (portRef u0_RD5_0 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD5_0) )) (net N_2766 (joined (portRef N_2766) (portRef N_2766 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net u0_RD0_0 (joined (portRef u0_RD0_0 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD0_0) )) (net u0_RD1_0 (joined (portRef u0_RD1_0 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD1_0) )) (net u0_RD2_0 (joined (portRef u0_RD2_0 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD2_0) )) (net u0_RD3_0 (joined (portRef u0_RD3_0 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD3_0) )) (net u0_RD4_0 (joined (portRef u0_RD4_0 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD4_0) )) (net u0_RD5 (joined (portRef u0_RD5 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD5) )) (net u0_RD6 (joined (portRef u0_RD6 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD6) )) (net u0_RD7 (joined (portRef u0_RD7 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD7) )) (net u0_RD8 (joined (portRef u0_RD8 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD8) )) (net u0_RD9 (joined (portRef u0_RD9 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD9) )) (net u0_RD10 (joined (portRef u0_RD10 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD10) )) (net u0_RD11 (joined (portRef u0_RD11 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD11) )) (net u0_RD12 (joined (portRef u0_RD12 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD12) )) (net u0_RD13 (joined (portRef u0_RD13 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD13) )) (net u0_RD14 (joined (portRef u0_RD14 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD14) )) (net u0_RD15 (joined (portRef u0_RD15 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD15) )) (net u0_RD16 (joined (portRef u0_RD16 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD16) )) (net u0_RD17 (joined (portRef u0_RD17 (instanceRef dme_dtags0_dt0_0_dtags0)) (portRef u0_RD17) )) (net flush_RNIRUPHB22 (joined (portRef flush_RNIRUPHB22) (portRef flush_RNIRUPHB22 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net flush_RNI4JQHB22 (joined (portRef flush_RNI4JQHB22) (portRef flush_RNI4JQHB22 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net flush_RNID7RHB22 (joined (portRef flush_RNID7RHB22) (portRef flush_RNID7RHB22 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net flush_RNIMRRHB22 (joined (portRef flush_RNIMRRHB22) (portRef flush_RNIMRRHB22 (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net flush_RNICD8ME (joined (portRef flush_RNICD8ME) (portRef flush_RNICD8ME (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename dstate_RNI3JQ791_1 "dstate_RNI3JQ791[1]") (joined (portRef (member dstate_rni3jq791 0)) (portRef (member dstate_rni3jq791 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIUUCH91_1 "dstate_RNIUUCH91[1]") (joined (portRef (member dstate_rniuuch91 0)) (portRef (member dstate_rniuuch91 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNI5C6E91_1 "dstate_RNI5C6E91[1]") (joined (portRef (member dstate_rni5c6e91 0)) (portRef (member dstate_rni5c6e91 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIJ6PE91_1 "dstate_RNIJ6PE91[1]") (joined (portRef (member dstate_rnij6pe91 0)) (portRef (member dstate_rnij6pe91 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIAK9OF1_1 "dstate_RNIAK9OF1[1]") (joined (portRef (member dstate_rniak9of1 0)) (portRef (member dstate_rniak9of1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIR83TF1_1 "dstate_RNIR83TF1[1]") (joined (portRef (member dstate_rnir83tf1 0)) (portRef (member dstate_rnir83tf1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNI8BDUG1_1 "dstate_RNI8BDUG1[1]") (joined (portRef (member dstate_rni8bdug1 0)) (portRef (member dstate_rni8bdug1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNI8CBSG1_1 "dstate_RNI8CBSG1[1]") (joined (portRef (member dstate_rni8cbsg1 0)) (portRef (member dstate_rni8cbsg1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNISTGFH1_1 "dstate_RNISTGFH1[1]") (joined (portRef (member dstate_rnistgfh1 0)) (portRef (member dstate_rnistgfh1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIOSSTG1_1 "dstate_RNIOSSTG1[1]") (joined (portRef (member dstate_rniosstg1 0)) (portRef (member dstate_rniosstg1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNI0V0E91_1 "dstate_RNI0V0E91[1]") (joined (portRef (member dstate_rni0v0e91 0)) (portRef (member dstate_rni0v0e91 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIILTR91_1 "dstate_RNIILTR91[1]") (joined (portRef (member dstate_rniiltr91 0)) (portRef (member dstate_rniiltr91 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNITLPG91_1 "dstate_RNITLPG91[1]") (joined (portRef (member dstate_rnitlpg91 0)) (portRef (member dstate_rnitlpg91 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIA7SI91_1 "dstate_RNIA7SI91[1]") (joined (portRef (member dstate_rnia7si91 0)) (portRef (member dstate_rnia7si91 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename size_RNIIU3PU_1 "size_RNIIU3PU[1]") (joined (portRef (member size_rniiu3pu 0)) (portRef (member size_rniiu3pu 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename size_RNIQAFGU_1 "size_RNIQAFGU[1]") (joined (portRef (member size_rniqafgu 0)) (portRef (member size_rniqafgu 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNI08ULU_1 "dstate_RNI08ULU[1]") (joined (portRef (member dstate_rni08ulu 0)) (portRef (member dstate_rni08ulu 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename size_RNIQ6O4U_1 "size_RNIQ6O4U[1]") (joined (portRef (member size_rniq6o4u 0)) (portRef (member size_rniq6o4u 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIVAPCB1_1 "dstate_RNIVAPCB1[1]") (joined (portRef (member dstate_rnivapcb1 0)) (portRef (member dstate_rnivapcb1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIGBKHB1_1 "dstate_RNIGBKHB1[1]") (joined (portRef (member dstate_rnigbkhb1 0)) (portRef (member dstate_rnigbkhb1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNISU72D1_1 "dstate_RNISU72D1[1]") (joined (portRef (member dstate_rnisu72d1 0)) (portRef (member dstate_rnisu72d1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIOPHJD1_1 "dstate_RNIOPHJD1[1]") (joined (portRef (member dstate_rniophjd1 0)) (portRef (member dstate_rniophjd1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIBJ5KD1_1 "dstate_RNIBJ5KD1[1]") (joined (portRef (member dstate_rnibj5kd1 0)) (portRef (member dstate_rnibj5kd1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIR5PQD1_1 "dstate_RNIR5PQD1[1]") (joined (portRef (member dstate_rnir5pqd1 0)) (portRef (member dstate_rnir5pqd1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIPKCMD1_1 "dstate_RNIPKCMD1[1]") (joined (portRef (member dstate_rnipkcmd1 0)) (portRef (member dstate_rnipkcmd1 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0)) (portRef (member xaddress_rni9p28c 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0)) (portRef (member faddr_rnik42hd 0) (instanceRef dme_dd0_0_ddata0)) (portRef (member faddr_rnik42hd 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0)) (portRef (member faddr_rnig8pkf 0) (instanceRef dme_dd0_0_ddata0)) (portRef (member faddr_rnig8pkf 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0)) (portRef (member faddr_rni1entg 0) (instanceRef dme_dd0_0_ddata0)) (portRef (member faddr_rni1entg 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0)) (portRef (member faddr_rnivnqnh 0) (instanceRef dme_dd0_0_ddata0)) (portRef (member faddr_rnivnqnh 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0)) (portRef (member faddr_rni6usbi 0) (instanceRef dme_dd0_0_ddata0)) (portRef (member faddr_rni6usbi 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0)) (portRef (member faddr_rniin4om 0) (instanceRef dme_dd0_0_ddata0)) (portRef (member faddr_rniin4om 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0)) (portRef (member faddr_rni49a0q 0) (instanceRef dme_dd0_0_ddata0)) (portRef (member faddr_rni49a0q 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0)) (portRef (member faddr_rnimvm8u 0) (instanceRef dme_dd0_0_ddata0)) (portRef (member faddr_rnimvm8u 0) (instanceRef dme_dtags0_dt0_0_dtags0)) )) (net (rename dstate_RNI5432U_1 "dstate_RNI5432U[1]") (joined (portRef (member dstate_rni5432u 0)) (portRef (member dstate_rni5432u 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename size_RNITKMLU_1 "size_RNITKMLU[1]") (joined (portRef (member size_rnitkmlu 0)) (portRef (member size_rnitkmlu 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename size_RNIS2OAU_1 "size_RNIS2OAU[1]") (joined (portRef (member size_rnis2oau 0)) (portRef (member size_rnis2oau 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename size_RNI1G16U_1 "size_RNI1G16U[1]") (joined (portRef (member size_rni1g16u 0)) (portRef (member size_rni1g16u 0) (instanceRef dme_dd0_0_ddata0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef dme_dd0_0_ddata0)) )) (net u0_DOUTA0_1 (joined (portRef u0_DOUTA0_1 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA0_1) )) (net u0_DOUTA1_1 (joined (portRef u0_DOUTA1_1 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA1_1) )) (net u0_DOUTA2_1 (joined (portRef u0_DOUTA2_1 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA2_1) )) (net u0_DOUTA3_1 (joined (portRef u0_DOUTA3_1 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA3_1) )) (net u0_DOUTA0_0 (joined (portRef u0_DOUTA0_0 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA0_0) )) (net u0_DOUTA1_0 (joined (portRef u0_DOUTA1_0 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA1_0) )) (net u0_DOUTA2_0 (joined (portRef u0_DOUTA2_0 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA2_0) )) (net u0_DOUTA3_0 (joined (portRef u0_DOUTA3_0 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA3_0) )) (net read_RNILMNHG1 (joined (portRef read_RNILMNHG1) (portRef read_RNILMNHG1 (instanceRef dme_dd0_0_ddata0)) )) (net u0_DOUTA0 (joined (portRef u0_DOUTA0 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA0) )) (net u0_DOUTA1 (joined (portRef u0_DOUTA1 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA1) )) (net u0_DOUTA2 (joined (portRef u0_DOUTA2 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA2) )) (net u0_DOUTA3 (joined (portRef u0_DOUTA3 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA3) )) (net read_RNIV144H1 (joined (portRef read_RNIV144H1) (portRef read_RNIV144H1 (instanceRef dme_dd0_0_ddata0)) )) (net u0_DOUTA0_2 (joined (portRef u0_DOUTA0_2 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA0_2) )) (net u0_DOUTA1_2 (joined (portRef u0_DOUTA1_2 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA1_2) )) (net u0_DOUTA2_2 (joined (portRef u0_DOUTA2_2 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA2_2) )) (net u0_DOUTA3_2 (joined (portRef u0_DOUTA3_2 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA3_2) )) (net u0_DOUTA0_5 (joined (portRef u0_DOUTA0_5 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA0_5) )) (net u0_DOUTA1_5 (joined (portRef u0_DOUTA1_5 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA1_5) )) (net u0_DOUTA2_5 (joined (portRef u0_DOUTA2_5 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA2_5) )) (net u0_DOUTA3_5 (joined (portRef u0_DOUTA3_5 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA3_5) )) (net u0_DOUTA0_4 (joined (portRef u0_DOUTA0_4 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA0_4) )) (net u0_DOUTA1_4 (joined (portRef u0_DOUTA1_4 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA1_4) )) (net u0_DOUTA2_4 (joined (portRef u0_DOUTA2_4 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA2_4) )) (net u0_DOUTA3_4 (joined (portRef u0_DOUTA3_4 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA3_4) )) (net u0_DOUTA0_3 (joined (portRef u0_DOUTA0_3 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA0_3) )) (net u0_DOUTA1_3 (joined (portRef u0_DOUTA1_3 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA1_3) )) (net u0_DOUTA2_3 (joined (portRef u0_DOUTA2_3 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA2_3) )) (net u0_DOUTA3_3 (joined (portRef u0_DOUTA3_3 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA3_3) )) (net read_RNIGQ6ND1 (joined (portRef read_RNIGQ6ND1) (portRef read_RNIGQ6ND1 (instanceRef dme_dd0_0_ddata0)) )) (net u0_DOUTA0_6 (joined (portRef u0_DOUTA0_6 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA0_6) )) (net u0_DOUTA1_6 (joined (portRef u0_DOUTA1_6 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA1_6) )) (net u0_DOUTA2_6 (joined (portRef u0_DOUTA2_6 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA2_6) )) (net u0_DOUTA3_6 (joined (portRef u0_DOUTA3_6 (instanceRef dme_dd0_0_ddata0)) (portRef u0_DOUTA3_6) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property tech (integer 10)) (property icen (integer 1)) (property irepl (integer 0)) (property isets (integer 1)) (property ilinesize (integer 4)) (property isetsize (integer 4)) (property isetlock (integer 0)) (property dcen (integer 1)) (property drepl (integer 0)) (property dsets (integer 1)) (property dlinesize (integer 4)) (property dsetsize (integer 4)) (property dsetlock (integer 0)) (property dsnoop (integer 0)) (property ilram (integer 0)) (property ilramsize (integer 1)) (property dlram (integer 0)) (property dlramsize (integer 1)) (property mmuen (integer 0)) (property testen (integer 0)) ) ) (cell proc3 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename vaddress_rnie2gp4s1 "vaddress_RNIE2GP4S1[14:14]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnim2gp4s1 "vaddress_RNIM2GP4S1[18:18]") 1) (direction OUTPUT)) (port (array (rename istate_rni1pdn1 "istate_RNI1PDN1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnia2gp4s1 "vaddress_RNIA2GP4S1[12:12]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnio2gp4s1 "vaddress_RNIO2GP4S1[19:19]") 1) (direction OUTPUT)) (port (array (rename vaddress_rniiagp4s1 "vaddress_RNIIAGP4S1[23:23]") 1) (direction OUTPUT)) (port (array (rename istate_rnibnm52 "istate_RNIBNM52[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnij9ep1 "istate_RNIJ9EP1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni6slv1 "istate_RNI6SLV1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniptli1 "istate_RNIPTLI1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnic2gp4s1 "vaddress_RNIC2GP4S1[13:13]") 1) (direction OUTPUT)) (port (array (rename istate_rni6poa2 "istate_RNI6POA2[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniu0op1 "istate_RNIU0OP1[1:1]") 1) (direction OUTPUT)) (port (array (rename faddr_rnitu19lc "faddr_RNITU19LC[0:0]") 1) (direction OUTPUT)) (port (array (rename faddr_rniuag1tc "faddr_RNIUAG1TC[2:2]") 1) (direction OUTPUT)) (port (array (rename faddr_rniom2ubd "faddr_RNIOM2UBD[5:5]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnif4tscc "vaddress_RNIF4TSCC[3:3]") 1) (direction OUTPUT)) (port (array (rename istate_rnilk561 "istate_RNILK561[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnieaoe1 "istate_RNIEAOE1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni4j0a1 "istate_RNI4J0A1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnir8hu1 "istate_RNIR8HU1[1:1]") 1) (direction OUTPUT)) (port (array (rename hrdatas_rnio2l41 "hrdatas_RNIO2L41[5:5]") 1) (direction INPUT)) (port (array (rename istate_rnikgrl1 "istate_RNIKGRL1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnim32a1 "istate_RNIM32A1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniqf2a1 "istate_RNIQF2A1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnicvqe1 "istate_RNICVQE1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniabmh1 "istate_RNIABMH1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnihle12 "istate_RNIHLE12[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni6u762 "istate_RNI6U762[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniq3pk1 "istate_RNIQ3PK1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnifnt02 "istate_RNIFNT02[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rninas02 "istate_RNINAS02[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnii2gp4s1 "vaddress_RNII2GP4S1[16:16]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnik2gp4s1 "vaddress_RNIK2GP4S1[17:17]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnicagp4s1 "vaddress_RNICAGP4S1[20:20]") 1) (direction OUTPUT)) (port (array (rename istate_rnit9tf1 "istate_RNIT9TF1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnigagp4s1 "vaddress_RNIGAGP4S1[22:22]") 1) (direction OUTPUT)) (port (array (rename istate_rnijmmr1 "istate_RNIJMMR1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rni57t02 "istate_RNI57T02[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnifuph2 "istate_RNIFUPH2[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnil1742 "istate_RNIL1742[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnierqu9c "vaddress_RNIERQU9C[2:2]") 1) (direction OUTPUT)) (port (array (rename istate_rningdn1 "istate_RNINGDN1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rniltpp1 "istate_RNILTPP1[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnihpj22 "istate_RNIHPJ22[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnib7t42 "istate_RNIB7T42[1:1]") 1) (direction OUTPUT)) (port dmao_i_1_25 (direction INPUT)) (port dmao_i_1_0 (direction INPUT)) (port dmao_i_1_15 (direction INPUT)) (port dmao_i_1_28 (direction INPUT)) (port dmao_i_1_31 (direction INPUT)) (port dmao_i_1_24 (direction INPUT)) (port dmao_i_1_26 (direction INPUT)) (port dmao_i_1_30 (direction INPUT)) (port dmao_i_1_29 (direction INPUT)) (port dmao_i_1_17 (direction INPUT)) (port dmao_i_1_14 (direction INPUT)) (port dmao_i_1_22 (direction INPUT)) (port (array (rename istate_rnijllk1 "istate_RNIJLLK1[1:1]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnig2gp4s1 "vaddress_RNIG2GP4S1[15:15]") 1) (direction OUTPUT)) (port (array (rename istate_rniql7e6 "istate_RNIQL7E6[1:1]") 1) (direction OUTPUT)) (port (array (rename istate_rnitkdp1 "istate_RNITKDP1[1:1]") 1) (direction OUTPUT)) (port hrdata_17 (direction INPUT)) (port hrdata_18 (direction INPUT)) (port hrdata_0 (direction INPUT)) (port hrdata_2 (direction INPUT)) (port hrdata_3 (direction INPUT)) (port hrdata_6 (direction INPUT)) (port hrdata_8 (direction INPUT)) (port hrdata_10 (direction INPUT)) (port hrdata_15 (direction INPUT)) (port hrdata_19 (direction INPUT)) (port hrdata_1 (direction INPUT)) (port hrdata_22 (direction INPUT)) (port hrdata_26 (direction INPUT)) (port hrdata_5 (direction INPUT)) (port hrdata_9 (direction INPUT)) (port hrdata_7 (direction INPUT)) (port hrdata_20 (direction INPUT)) (port (array (rename vaddress_rnieagp4s1 "vaddress_RNIEAGP4S1[21:21]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnih15uoc "vaddress_RNIH15UOC[5:5]") 1) (direction OUTPUT)) (port (array (rename faddr_rnir9j23d "faddr_RNIR9J23D[3:3]") 1) (direction OUTPUT)) (port (array (rename faddr_rnic5grid "faddr_RNIC5GRID[6:6]") 1) (direction OUTPUT)) (port (array (rename vaddress_rnifuhr1d "vaddress_RNIFUHR1D[8:8]") 1) (direction OUTPUT)) (port (array (rename faddr_rnifa34ud "faddr_RNIFA34UD[7:7]") 1) (direction OUTPUT)) (port (array (rename vitdatain_0_1_a0_3 "vitdatain_0_1_a0_3[23:23]") 1) (direction INPUT)) (port un1_p0_2_0_0 (direction OUTPUT)) (port un1_p0_2_0_350 (direction OUTPUT)) (port (array (rename size_0 "size_0[0:0]") 1) (direction OUTPUT)) (port (array (rename xaddress_rni8hc9c "xaddress_RNI8HC9C[3:3]") 1) (direction OUTPUT)) (port (array (rename faddr_rni1entg "faddr_RNI1ENTG[2:2]") 1) (direction OUTPUT)) (port (array (rename faddr_rni49a0q "faddr_RNI49A0Q[6:6]") 1) (direction OUTPUT)) (port (array (rename faddr_rnimvm8u "faddr_RNIMVM8U[7:7]") 1) (direction OUTPUT)) (port (array (rename faddr_rnik42hd "faddr_RNIK42HD[0:0]") 1) (direction OUTPUT)) (port (array (rename faddr_rniin4om "faddr_RNIIN4OM[5:5]") 1) (direction OUTPUT)) (port (array (rename faddr_rnig8pkf "faddr_RNIG8PKF[1:1]") 1) (direction OUTPUT)) (port (array (rename faddr_rni6usbi "faddr_RNI6USBI[4:4]") 1) (direction OUTPUT)) (port (array (rename xaddress_rni9p28c "xaddress_RNI9P28C[2:2]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnifnvh4r3 "xaddress_RNIFNVH4R3[14:14]") 1) (direction OUTPUT)) (port (array (rename faddr_rnivnqnh "faddr_RNIVNQNH[3:3]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnilq8h4r3 "xaddress_RNILQ8H4R3[19:19]") 1) (direction OUTPUT)) (port un1_p0_2_6 (direction OUTPUT)) (port un1_p0_2_0_d0 (direction OUTPUT)) (port (array (rename xaddress_rni1q8h4r3 "xaddress_RNI1Q8H4R3[15:15]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnivqeg4r3 "xaddress_RNIVQEG4R3[12:12]") 1) (direction OUTPUT)) (port (array (rename xaddress_rni4p6h4r3 "xaddress_RNI4P6H4R3[13:13]") 1) (direction OUTPUT)) (port (array (rename dstate_rni8bdug1 "dstate_RNI8BDUG1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniak9of1 "dstate_RNIAK9OF1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnitlpg91 "dstate_RNITLPG91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnipkcmd1 "dstate_RNIPKCMD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnir5pqd1 "dstate_RNIR5PQD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnibj5kd1 "dstate_RNIBJ5KD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnigbkhb1 "dstate_RNIGBKHB1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnivapcb1 "dstate_RNIVAPCB1[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rniq6o4u "size_RNIQ6O4U[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rniqafgu "size_RNIQAFGU[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rniiu3pu "size_RNIIU3PU[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rni1g16u "size_RNI1G16U[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rnis2oau "size_RNIS2OAU[1:1]") 1) (direction OUTPUT)) (port (array (rename size_rnitkmlu "size_RNITKMLU[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni5432u "dstate_RNI5432U[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnistgfh1 "dstate_RNISTGFH1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni5c6e91 "dstate_RNI5C6E91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni08ulu "dstate_RNI08ULU[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniiltr91 "dstate_RNIILTR91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnisu72d1 "dstate_RNISU72D1[1:1]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnid0h8vm1 "xaddress_RNID0H8VM1[21:21]") 1) (direction OUTPUT)) (port (array (rename dstate_rniuuch91 "dstate_RNIUUCH91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniosstg1 "dstate_RNIOSSTG1[1:1]") 1) (direction OUTPUT)) (port (array (rename xaddress_rni1hh8vm1 "xaddress_RNI1HH8VM1[17:17]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnifbr7vm1 "xaddress_RNIFBR7VM1[23:23]") 1) (direction OUTPUT)) (port (array (rename dstate_rnij6pe91 "dstate_RNIJ6PE91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rniophjd1 "dstate_RNIOPHJD1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni0v0e91 "dstate_RNI0V0E91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnia7si91 "dstate_RNIA7SI91[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni3jq791 "dstate_RNI3JQ791[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rnir83tf1 "dstate_RNIR83TF1[1:1]") 1) (direction OUTPUT)) (port (array (rename dstate_rni8cbsg1 "dstate_RNI8CBSG1[1:1]") 1) (direction OUTPUT)) (port (array (rename xaddress_rniqoh8vm1 "xaddress_RNIQOH8VM1[22:22]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnih8g8vm1 "xaddress_RNIH8G8VM1[16:16]") 1) (direction OUTPUT)) (port (array (rename xaddress_rnie9i8vm1 "xaddress_RNIE9I8VM1[18:18]") 1) (direction OUTPUT)) (port (array (rename dstate_rnidu8kdr3 "dstate_RNIDU8KDR3[1:1]") 1) (direction OUTPUT)) (port addr_0 (direction OUTPUT)) (port addr_3 (direction OUTPUT)) (port (array (rename newptag_2_1 "newptag_2_1[25:25]") 1) (direction OUTPUT)) (port (array (rename newptag_2_0 "newptag_2_0[27:26]") 2) (direction OUTPUT)) (port (array (rename newptag_2 "newptag_2[20:20]") 1) (direction OUTPUT)) (port (array (rename bo_rnitud75 "bo_RNITUD75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rniv2e75 "bo_RNIV2E75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rni17e75 "bo_RNI17E75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rni5fe75 "bo_RNI5FE75[1:1]") 1) (direction OUTPUT)) (port (array (rename hsize_5 "hsize_5[1:1]") 1) (direction OUTPUT)) (port (array (rename iosn "iosn[93:93]") 1) (direction INPUT)) (port (array (rename hresp "hresp[0:0]") 1) (direction INPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction OUTPUT)) (port haddr_3 (direction OUTPUT)) (port haddr_4 (direction OUTPUT)) (port haddr_5 (direction OUTPUT)) (port haddr_7 (direction OUTPUT)) (port haddr_8 (direction OUTPUT)) (port haddr_9 (direction OUTPUT)) (port haddr_10 (direction OUTPUT)) (port haddr_11 (direction OUTPUT)) (port haddr_12 (direction OUTPUT)) (port haddr_13 (direction OUTPUT)) (port haddr_14 (direction OUTPUT)) (port haddr_15 (direction OUTPUT)) (port haddr_16 (direction OUTPUT)) (port haddr_17 (direction OUTPUT)) (port haddr_18 (direction OUTPUT)) (port haddr_19 (direction OUTPUT)) (port haddr_20 (direction OUTPUT)) (port haddr_21 (direction OUTPUT)) (port haddr_22 (direction OUTPUT)) (port haddr_23 (direction OUTPUT)) (port haddr_24 (direction OUTPUT)) (port haddr_28 (direction OUTPUT)) (port haddr_6 (direction OUTPUT)) (port haddr_2 (direction OUTPUT)) (port haddr_30 (direction OUTPUT)) (port haddr_0 (direction OUTPUT)) (port haddr_1 (direction OUTPUT)) (port haddr_31 (direction OUTPUT)) (port (array (rename hgrant "hgrant[1:1]") 1) (direction INPUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction INPUT)) (port (array (rename htrans "htrans[1:1]") 1) (direction OUTPUT)) (port (array (rename daddr "daddr[23:2]") 22) (direction INPUT)) (port (array (rename rstate_li_0 "rstate_li_0[0:0]") 1) (direction OUTPUT)) (port (array (rename un34_hready_i_m "un34_hready_i_m[0:0]") 1) (direction INPUT)) (port (array (rename dbgi_i_2_i "dbgi_i_2_i[23:23]") 1) (direction OUTPUT)) (port (array (rename dbgi_i_2 "dbgi_i_2[23:23]") 1) (direction OUTPUT)) (port eaddress_29 (direction OUTPUT)) (port (array (rename ddata "ddata[31:0]") 32) (direction INPUT)) (port (array (rename data1 "data1[31:0]") 32) (direction INPUT)) (port data_0_iv_3_2 (direction OUTPUT)) (port data_0_iv_3_0 (direction OUTPUT)) (port data_0_iv_3_6 (direction OUTPUT)) (port data_0_iv_3_17 (direction OUTPUT)) (port data_0_iv_3_4 (direction OUTPUT)) (port data_0_iv_3_11 (direction OUTPUT)) (port data_0_iv_3_15 (direction OUTPUT)) (port data_0_iv_3_18 (direction OUTPUT)) (port data_0_iv_2_2 (direction OUTPUT)) (port data_0_iv_2_0 (direction OUTPUT)) (port data_0_iv_2_6 (direction OUTPUT)) (port data_0_iv_2_4 (direction OUTPUT)) (port data_0_iv_4_6 (direction OUTPUT)) (port data_0_iv_4_0 (direction OUTPUT)) (port data_0_iv_4_4 (direction OUTPUT)) (port data_0_iv_4_7 (direction OUTPUT)) (port (array (rename irl_0 "irl_0[3:0]") 4) (direction INOUT)) (port (array (rename irl "irl[3:0]") 4) (direction INOUT)) (port data_3 (direction OUTPUT)) (port data_13 (direction OUTPUT)) (port data_17 (direction OUTPUT)) (port data_21 (direction OUTPUT)) (port data_15 (direction OUTPUT)) (port data_18 (direction OUTPUT)) (port data_22 (direction OUTPUT)) (port data_7 (direction OUTPUT)) (port data_20 (direction OUTPUT)) (port data_0 (direction OUTPUT)) (port data_4 (direction OUTPUT)) (port data_16 (direction OUTPUT)) (port data_9 (direction OUTPUT)) (port data_2 (direction OUTPUT)) (port data_5 (direction OUTPUT)) (port data_24 (direction OUTPUT)) (port data_1 (direction OUTPUT)) (port (array (rename wdata "wdata[31:0]") 32) (direction OUTPUT)) (port data_i_3 (direction OUTPUT)) (port data_i_2 (direction OUTPUT)) (port data_i_16 (direction OUTPUT)) (port data_i_4 (direction OUTPUT)) (port data_i_0 (direction OUTPUT)) (port data_i_11 (direction OUTPUT)) (port data_i_1 (direction OUTPUT)) (port edata2_iv_7 (direction OUTPUT)) (port edata2_iv_5 (direction OUTPUT)) (port edata2_iv_4 (direction OUTPUT)) (port (array (rename waddr "waddr[6:1]") 6) (direction OUTPUT)) (port (array (rename wa_0_iv "wa_0_iv[0:0]") 1) (direction OUTPUT)) (port (array (rename data2 "data2[31:0]") 32) (direction INPUT)) (port (array (rename raddr1 "raddr1[6:0]") 7) (direction OUTPUT)) (port (array (rename raddr2 "raddr2[6:0]") 7) (direction OUTPUT)) (port (array (rename rfa2 "rfa2[6:0]") 7) (direction OUTPUT)) (port u0_DOUTA2_11 (direction INPUT)) (port u0_DOUTA2_10 (direction INPUT)) (port u0_RD10_0 (direction INPUT)) (port N_892 (direction OUTPUT)) (port N_894 (direction OUTPUT)) (port u0_DOUTA0_11 (direction INPUT)) (port u0_DOUTA3_10 (direction INPUT)) (port u0_DOUTA1_8 (direction INPUT)) (port cfgsel_RNILM2N1 (direction INPUT)) (port cfgsel_RNIFO4S1 (direction INPUT)) (port u0_RD11_0 (direction INPUT)) (port u0_DOUTA0_14 (direction INPUT)) (port u0_DOUTA3_14 (direction INPUT)) (port u0_DOUTA1_14 (direction INPUT)) (port u0_DOUTA3_11 (direction INPUT)) (port u0_DOUTA0_13 (direction INPUT)) (port u0_DOUTA1_13 (direction INPUT)) (port u0_DOUTA2_13 (direction INPUT)) (port u0_DOUTA3_13 (direction INPUT)) (port u0_DOUTA1_12 (direction INPUT)) (port u0_DOUTA3_12 (direction INPUT)) (port u0_DOUTA0_10 (direction INPUT)) (port u0_DOUTA0_7 (direction INPUT)) (port u0_DOUTA3_7 (direction INPUT)) (port u0_RD2_1 (direction INPUT)) (port N_898 (direction OUTPUT)) (port u0_DOUTA0_9 (direction INPUT)) (port u0_RD8_0 (direction INPUT)) (port u0_RD12_0 (direction INPUT)) (port N_891 (direction OUTPUT)) (port N_893 (direction OUTPUT)) (port N_895 (direction OUTPUT)) (port N_896 (direction OUTPUT)) (port u0_DOUTA2_9 (direction INPUT)) (port u0_DOUTA0_8 (direction INPUT)) (port u0_DOUTA2_7 (direction INPUT)) (port u0_RD14_0 (direction INPUT)) (port u0_RD16_0 (direction INPUT)) (port u0_RD4_1 (direction INPUT)) (port N_897 (direction OUTPUT)) (port u0_DOUTA1_7 (direction INPUT)) (port flush2_RNI5NKK2 (direction OUTPUT)) (port flush2_RNI3JKK2 (direction OUTPUT)) (port flush2_RNIVAKK2 (direction OUTPUT)) (port u0_RD3_2 (direction INPUT)) (port u0_RD1_2 (direction INPUT)) (port u0_RD0_2 (direction INPUT)) (port flush2_RNI1FKK2 (direction OUTPUT)) (port u0_DOUTA3_9 (direction INPUT)) (port u0_DOUTA2_14 (direction INPUT)) (port u0_RD2_2 (direction INPUT)) (port u0_DOUTA3_8 (direction INPUT)) (port u0_RD1_1 (direction INPUT)) (port u0_DOUTA1_10 (direction INPUT)) (port u0_DOUTA2_8 (direction INPUT)) (port u0_RD0_1 (direction INPUT)) (port u0_DOUTA2_12 (direction INPUT)) (port u0_DOUTA1_11 (direction INPUT)) (port u0_RD5_1 (direction INPUT)) (port u0_DOUTA0_12 (direction INPUT)) (port flush2_RNICRKB7 (direction OUTPUT)) (port u0_DOUTA1_9 (direction INPUT)) (port u0_RD7_0 (direction INPUT)) (port u0_RD6_0 (direction INPUT)) (port u0_RD4_2 (direction INPUT)) (port u0_RD9_0 (direction INPUT)) (port u0_RD13_0 (direction INPUT)) (port u0_RD15_0 (direction INPUT)) (port u0_RD17_0 (direction INPUT)) (port u0_RD3_1 (direction INPUT)) (port u0_RD5_2 (direction INPUT)) (port faddr_1_sqmuxa_m2_0 (direction OUTPUT)) (port un1_ici (direction OUTPUT)) (port flush_RNICD8ME (direction OUTPUT)) (port flush_RNIRUPHB22 (direction OUTPUT)) (port flush_RNID7RHB22 (direction OUTPUT)) (port flush_RNIMRRHB22 (direction OUTPUT)) (port u0_RD3_0 (direction INPUT)) (port u0_DOUTA1_6 (direction INPUT)) (port u0_RD7 (direction INPUT)) (port u0_DOUTA2 (direction INPUT)) (port u0_RD4 (direction INPUT)) (port u0_RD5_0 (direction INPUT)) (port u0_RD15 (direction INPUT)) (port u0_RD16 (direction INPUT)) (port u0_DOUTA2_3 (direction INPUT)) (port u0_RD8 (direction INPUT)) (port u0_RD6 (direction INPUT)) (port u0_RD4_0 (direction INPUT)) (port u0_RD1_0 (direction INPUT)) (port flush_RNI4JQHB22 (direction OUTPUT)) (port u0_RD0_0 (direction INPUT)) (port u0_RD2 (direction INPUT)) (port u0_DOUTA3 (direction INPUT)) (port u0_DOUTA1_1 (direction INPUT)) (port N_2747 (direction OUTPUT)) (port u0_RD11 (direction INPUT)) (port u0_RD17 (direction INPUT)) (port u0_RD12 (direction INPUT)) (port u0_RD13 (direction INPUT)) (port u0_RD0 (direction INPUT)) (port u0_DOUTA3_0 (direction INPUT)) (port read_RNILMNHG1 (direction OUTPUT)) (port read_RNIGQ6ND1 (direction OUTPUT)) (port read_RNIV144H1 (direction OUTPUT)) (port u0_RD2_0 (direction INPUT)) (port N_2748 (direction OUTPUT)) (port N_2307 (direction INPUT)) (port N_2766 (direction OUTPUT)) (port N_2698 (direction OUTPUT)) (port N_2745 (direction OUTPUT)) (port flush_0_RNI2N6NQR1 (direction OUTPUT)) (port hready_1_sqmuxa (direction INPUT)) (port N_2802 (direction INPUT)) (port u0_RD10 (direction INPUT)) (port u0_RD5 (direction INPUT)) (port u0_RD9 (direction INPUT)) (port u0_RD14 (direction INPUT)) (port u0_RD1 (direction INPUT)) (port u0_RD3 (direction INPUT)) (port u0_DOUTA1_5 (direction INPUT)) (port u0_DOUTA3_4 (direction INPUT)) (port u0_DOUTA0 (direction INPUT)) (port u0_DOUTA1_0 (direction INPUT)) (port u0_DOUTA2_4 (direction INPUT)) (port u0_DOUTA2_5 (direction INPUT)) (port u0_DOUTA1 (direction INPUT)) (port u0_DOUTA0_6 (direction INPUT)) (port u0_DOUTA2_6 (direction INPUT)) (port u0_DOUTA3_6 (direction INPUT)) (port u0_DOUTA0_5 (direction INPUT)) (port u0_DOUTA3_5 (direction INPUT)) (port u0_DOUTA0_4 (direction INPUT)) (port u0_DOUTA1_4 (direction INPUT)) (port u0_DOUTA0_3 (direction INPUT)) (port u0_DOUTA1_3 (direction INPUT)) (port u0_DOUTA3_3 (direction INPUT)) (port u0_DOUTA0_0 (direction INPUT)) (port u0_DOUTA2_0 (direction INPUT)) (port u0_DOUTA0_1 (direction INPUT)) (port u0_DOUTA3_2 (direction INPUT)) (port u0_DOUTA0_2 (direction INPUT)) (port u0_DOUTA3_1 (direction INPUT)) (port u0_DOUTA1_2 (direction INPUT)) (port u0_DOUTA2_2 (direction INPUT)) (port newptag_2_a2_0_31_m1_e_0 (direction OUTPUT)) (port newptag_2_a2_0_28_m1_e_0 (direction OUTPUT)) (port N_2542 (direction OUTPUT)) (port N_2868 (direction OUTPUT)) (port u0_DOUTA2_1 (direction INPUT)) (port un86_nbo (direction OUTPUT)) (port hwrite (direction OUTPUT)) (port hlock (direction OUTPUT)) (port ba_RNIIJKI5_0 (direction OUTPUT)) (port lb_0_sqmuxa_1 (direction OUTPUT)) (port un58_nbo (direction OUTPUT)) (port N_5515 (direction OUTPUT)) (port hbusreq_i_0 (direction OUTPUT)) (port ramclk (direction INPUT)) (port N_148_2 (direction INPUT)) (port rst (direction INPUT)) (port un16_casaen_0_1 (direction OUTPUT)) (port ren2 (direction OUTPUT)) (port wren_i (direction OUTPUT)) (port wren (direction OUTPUT)) (port renable_i_1_i (direction OUTPUT)) (port renable_i_1 (direction OUTPUT)) (port newptag_sn_m2_i_o2_0_m3 (direction OUTPUT)) (port dbreak (direction INPUT)) (port bwatch (direction INPUT)) (port berror (direction INPUT)) (port btrapa (direction INPUT)) (port denable (direction INPUT)) (port btrape (direction INPUT)) (port bsoft (direction INPUT)) (port pwd (direction OUTPUT)) (port tstop (direction OUTPUT)) (port halt (direction INPUT)) (port un1_addout_28_10 (direction OUTPUT)) (port un1_addout_27 (direction OUTPUT)) (port hready_RNICLR2 (direction INPUT)) (port dwrite (direction INPUT)) (port te9_2 (direction INPUT)) (port flush (direction OUTPUT)) (port te8_1 (direction INPUT)) (port N_161 (direction OUTPUT)) (port un1_addout_28 (direction OUTPUT)) (port reset (direction INPUT)) (port step (direction INPUT)) (port rfe2 (direction OUTPUT)) (port intack (direction OUTPUT)) (port crdy (direction OUTPUT)) ) (contents (instance iu0 (viewRef netlist (cellRef iu3)) (property bp (integer 1)) (property clk2x (integer 0)) (property fabtech (integer 10)) (property smp (integer 0)) (property rstaddr (integer 0)) (property svt (integer 0)) (property pwd (integer 2)) (property tbuf (integer 0)) (property disas (integer 0)) (property irfwt (integer 1)) (property lddel (integer 1)) (property index (integer 0)) (property notag (integer 0)) (property pclow (integer 2)) (property nwp (integer 0)) (property dsu (integer 1)) (property mac (integer 0)) (property cp (integer 0)) (property v8 (integer 0)) (property fpu (integer 0)) (property dsets (integer 1)) (property isets (integer 1)) (property nwin (integer 7)) ) (instance c0mmu (viewRef netlist (cellRef mmu_cache)) (property mmuen (integer 0)) (property smp (integer 0)) (property mmupgsz (integer 0)) (property scantest (integer 0)) (property clk2x (integer 0)) (property cached (integer 0)) (property tlb_rep (integer 1)) (property tlb_type (integer 1)) (property dtlbnum (integer 2)) (property itlbnum (integer 2)) (property dlramstart (integer 143)) (property dlramsize (integer 1)) (property dlram (integer 0)) (property ilramstart (integer 142)) (property ilramsize (integer 1)) (property ilram (integer 0)) (property dsnoop (integer 0)) (property dsetlock (integer 0)) (property dsetsize (integer 4)) (property dlinesize (integer 4)) (property dsets (integer 1)) (property drepl (integer 0)) (property dcen (integer 1)) (property isetlock (integer 0)) (property isetsize (integer 4)) (property ilinesize (integer 4)) (property isets (integer 1)) (property irepl (integer 0)) (property icen (integer 1)) (property dsu (integer 1)) (property memtech (integer 10)) (property hindex (integer 0)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename asi_0 "asi[0]") (joined (portRef (member asi_1 4) (instanceRef iu0)) (portRef (member asi_0 0) (instanceRef c0mmu)) )) (net (rename asi_1 "asi[1]") (joined (portRef (member asi_1 3) (instanceRef iu0)) (portRef asi_1 (instanceRef c0mmu)) )) (net (rename asi_2 "asi[2]") (joined (portRef (member asi_1 2) (instanceRef iu0)) (portRef asi_2 (instanceRef c0mmu)) )) (net (rename asi_3 "asi[3]") (joined (portRef (member asi_1 1) (instanceRef iu0)) (portRef asi_3 (instanceRef c0mmu)) )) (net (rename asi_4 "asi[4]") (joined (portRef (member asi_1 0) (instanceRef iu0)) (portRef asi_4 (instanceRef c0mmu)) )) (net (rename npc1_4 "npc1[4]") (joined (portRef npc1_2 (instanceRef iu0)) (portRef npc1_0 (instanceRef c0mmu)) )) (net (rename npc1_7 "npc1[7]") (joined (portRef npc1_5 (instanceRef iu0)) (portRef npc1_3 (instanceRef c0mmu)) )) (net (rename rfa2_0 "rfa2[0]") (joined (portRef (member rfa2 6) (instanceRef iu0)) (portRef (member rfa2 6)) )) (net (rename rfa2_1 "rfa2[1]") (joined (portRef (member rfa2 5) (instanceRef iu0)) (portRef (member rfa2 5)) )) (net (rename rfa2_2 "rfa2[2]") (joined (portRef (member rfa2 4) (instanceRef iu0)) (portRef (member rfa2 4)) )) (net (rename rfa2_3 "rfa2[3]") (joined (portRef (member rfa2 3) (instanceRef iu0)) (portRef (member rfa2 3)) )) (net (rename rfa2_4 "rfa2[4]") (joined (portRef (member rfa2 2) (instanceRef iu0)) (portRef (member rfa2 2)) )) (net (rename rfa2_5 "rfa2[5]") (joined (portRef (member rfa2 1) (instanceRef iu0)) (portRef (member rfa2 1)) )) (net (rename rfa2_6 "rfa2[6]") (joined (portRef (member rfa2 0) (instanceRef iu0)) (portRef (member rfa2 0)) )) (net (rename raddr2_0 "raddr2[0]") (joined (portRef (member raddr2 6) (instanceRef iu0)) (portRef (member raddr2 6)) )) (net (rename raddr2_1 "raddr2[1]") (joined (portRef (member raddr2 5) (instanceRef iu0)) (portRef (member raddr2 5)) )) (net (rename raddr2_2 "raddr2[2]") (joined (portRef (member raddr2 4) (instanceRef iu0)) (portRef (member raddr2 4)) )) (net (rename raddr2_3 "raddr2[3]") (joined (portRef (member raddr2 3) (instanceRef iu0)) (portRef (member raddr2 3)) )) (net (rename raddr2_4 "raddr2[4]") (joined (portRef (member raddr2 2) (instanceRef iu0)) (portRef (member raddr2 2)) )) (net (rename raddr2_5 "raddr2[5]") (joined (portRef (member raddr2 1) (instanceRef iu0)) (portRef (member raddr2 1)) )) (net (rename raddr2_6 "raddr2[6]") (joined (portRef (member raddr2 0) (instanceRef iu0)) (portRef (member raddr2 0)) )) (net (rename rdatav_0_1_0_iv_0_3_4 "rdatav_0_1_0_iv_0_3[4]") (joined (portRef rdatav_0_1_0_iv_0_3_2 (instanceRef c0mmu)) (portRef rdatav_0_1_0_iv_0_3_2 (instanceRef iu0)) )) (net (rename rdatav_0_1_0_iv_0_3_2 "rdatav_0_1_0_iv_0_3[2]") (joined (portRef rdatav_0_1_0_iv_0_3_0 (instanceRef c0mmu)) (portRef rdatav_0_1_0_iv_0_3_0 (instanceRef iu0)) )) (net (rename rdatav_0_1_1_iv_3_21 "rdatav_0_1_1_iv_3[21]") (joined (portRef (member rdatav_0_1_1_iv_3 0) (instanceRef c0mmu)) (portRef (member rdatav_0_1_1_iv_3 0) (instanceRef iu0)) )) (net (rename rdatav_0_1_0_iv_0_2_12 "rdatav_0_1_0_iv_0_2[12]") (joined (portRef rdatav_0_1_0_iv_0_2_0 (instanceRef c0mmu)) (portRef rdatav_0_1_0_iv_0_2_0 (instanceRef iu0)) )) (net (rename rdatav_0_1_0_iv_0_2_19 "rdatav_0_1_0_iv_0_2[19]") (joined (portRef rdatav_0_1_0_iv_0_2_7 (instanceRef c0mmu)) (portRef rdatav_0_1_0_iv_0_2_7 (instanceRef iu0)) )) (net (rename raddr1_0 "raddr1[0]") (joined (portRef (member raddr1 6) (instanceRef iu0)) (portRef (member raddr1 6)) )) (net (rename raddr1_1 "raddr1[1]") (joined (portRef (member raddr1 5) (instanceRef iu0)) (portRef (member raddr1 5)) )) (net (rename raddr1_2 "raddr1[2]") (joined (portRef (member raddr1 4) (instanceRef iu0)) (portRef (member raddr1 4)) )) (net (rename raddr1_3 "raddr1[3]") (joined (portRef (member raddr1 3) (instanceRef iu0)) (portRef (member raddr1 3)) )) (net (rename raddr1_4 "raddr1[4]") (joined (portRef (member raddr1 2) (instanceRef iu0)) (portRef (member raddr1 2)) )) (net (rename raddr1_5 "raddr1[5]") (joined (portRef (member raddr1 1) (instanceRef iu0)) (portRef (member raddr1 1)) )) (net (rename raddr1_6 "raddr1[6]") (joined (portRef (member raddr1 0) (instanceRef iu0)) (portRef (member raddr1 0)) )) (net (rename sizeZ0Z_0 "size[0]") (joined (portRef size_0_0 (instanceRef iu0)) (portRef (member size_0 1) (instanceRef c0mmu)) )) (net (rename rdatav_0_1_0_iv_3_0 "rdatav_0_1_0_iv_3[0]") (joined (portRef rdatav_0_1_0_iv_3_0 (instanceRef c0mmu)) (portRef rdatav_0_1_0_iv_3_0 (instanceRef iu0)) )) (net (rename rdatav_0_1_0_iv_3_3 "rdatav_0_1_0_iv_3[3]") (joined (portRef rdatav_0_1_0_iv_3_3 (instanceRef c0mmu)) (portRef rdatav_0_1_0_iv_3_3 (instanceRef iu0)) )) (net (rename rdatav_0_1_0_iv_3_16 "rdatav_0_1_0_iv_3[16]") (joined (portRef rdatav_0_1_0_iv_3_16 (instanceRef c0mmu)) (portRef rdatav_0_1_0_iv_3_16 (instanceRef iu0)) )) (net (rename rdatav_0_1_0_iv_3_15 "rdatav_0_1_0_iv_3[15]") (joined (portRef rdatav_0_1_0_iv_3_15 (instanceRef c0mmu)) (portRef rdatav_0_1_0_iv_3_15 (instanceRef iu0)) )) (net (rename rpc_5 "rpc[5]") (joined (portRef rpc_3 (instanceRef iu0)) (portRef rpc_3 (instanceRef c0mmu)) )) (net (rename rpc_6 "rpc[6]") (joined (portRef rpc_4 (instanceRef iu0)) (portRef rpc_4 (instanceRef c0mmu)) )) (net (rename rpc_9 "rpc[9]") (joined (portRef rpc_7 (instanceRef iu0)) (portRef rpc_7 (instanceRef c0mmu)) )) (net (rename rpc_10 "rpc[10]") (joined (portRef rpc_8 (instanceRef iu0)) (portRef rpc_8 (instanceRef c0mmu)) )) (net (rename rpc_3 "rpc[3]") (joined (portRef rpc_1 (instanceRef iu0)) (portRef rpc_1 (instanceRef c0mmu)) )) (net (rename rpc_11 "rpc[11]") (joined (portRef rpc_9 (instanceRef iu0)) (portRef rpc_9 (instanceRef c0mmu)) )) (net (rename rpc_2 "rpc[2]") (joined (portRef rpc_0 (instanceRef iu0)) (portRef rpc_0 (instanceRef c0mmu)) )) (net (rename rpc_8 "rpc[8]") (joined (portRef rpc_6 (instanceRef iu0)) (portRef rpc_6 (instanceRef c0mmu)) )) (net (rename rdatav_0_1_0_iv_2_29 "rdatav_0_1_0_iv_2[29]") (joined (portRef (member rdatav_0_1_0_iv_2 1) (instanceRef c0mmu)) (portRef (member rdatav_0_1_0_iv_2 1) (instanceRef iu0)) )) (net (rename rdatav_0_1_0_iv_2_30 "rdatav_0_1_0_iv_2[30]") (joined (portRef (member rdatav_0_1_0_iv_2 0) (instanceRef c0mmu)) (portRef (member rdatav_0_1_0_iv_2 0) (instanceRef iu0)) )) (net (rename data_0_20 "data_0[20]") (joined (portRef data_0_0_19 (instanceRef c0mmu)) (portRef data_0_2_19 (instanceRef iu0)) )) (net (rename data_0_1 "data_0[1]") (joined (portRef (member data_0 30) (instanceRef c0mmu)) (portRef data_0_2_0 (instanceRef iu0)) )) (net (rename data_0_5 "data_0[5]") (joined (portRef (member data_0 26) (instanceRef c0mmu)) (portRef data_0_2_4 (instanceRef iu0)) )) (net (rename data_0_6 "data_0[6]") (joined (portRef (member data_0 25) (instanceRef c0mmu)) (portRef data_0_2_5 (instanceRef iu0)) )) (net (rename data_0_7 "data_0[7]") (joined (portRef (member data_0 24) (instanceRef c0mmu)) (portRef data_0_2_6 (instanceRef iu0)) )) (net (rename data_0_9 "data_0[9]") (joined (portRef (member data_0 22) (instanceRef c0mmu)) (portRef data_0_2_8 (instanceRef iu0)) )) (net (rename data_0_10 "data_0[10]") (joined (portRef (member data_0 21) (instanceRef c0mmu)) (portRef data_0_2_9 (instanceRef iu0)) )) (net (rename data_0_11 "data_0[11]") (joined (portRef (member data_0 20) (instanceRef c0mmu)) (portRef data_0_2_10 (instanceRef iu0)) )) (net (rename data_0_13 "data_0[13]") (joined (portRef (member data_0 18) (instanceRef c0mmu)) (portRef data_0_2_12 (instanceRef iu0)) )) (net (rename data_0_14 "data_0[14]") (joined (portRef (member data_0 17) (instanceRef c0mmu)) (portRef data_0_2_13 (instanceRef iu0)) )) (net (rename data_0_18 "data_0[18]") (joined (portRef (member data_0 13) (instanceRef c0mmu)) (portRef data_0_2_17 (instanceRef iu0)) )) (net (rename data_0_22 "data_0[22]") (joined (portRef (member data_0 9) (instanceRef c0mmu)) (portRef data_0_2_21 (instanceRef iu0)) )) (net (rename data_0_24 "data_0[24]") (joined (portRef (member data_0 7) (instanceRef c0mmu)) (portRef data_0_2_23 (instanceRef iu0)) )) (net (rename data_0_25 "data_0[25]") (joined (portRef (member data_0 6) (instanceRef c0mmu)) (portRef data_0_2_24 (instanceRef iu0)) )) (net (rename data_0_26 "data_0[26]") (joined (portRef (member data_0 5) (instanceRef c0mmu)) (portRef data_0_2_25 (instanceRef iu0)) )) (net (rename data_0_31 "data_0[31]") (joined (portRef (member data_0 0) (instanceRef c0mmu)) (portRef data_0_2_30 (instanceRef iu0)) )) (net (rename data_0_23 "data_0[23]") (joined (portRef (member data_0 8) (instanceRef c0mmu)) (portRef data_0_2_22 (instanceRef iu0)) )) (net (rename data_0_27 "data_0[27]") (joined (portRef (member data_0 4) (instanceRef c0mmu)) (portRef data_0_2_26 (instanceRef iu0)) )) (net (rename data_0_8 "data_0[8]") (joined (portRef (member data_0 23) (instanceRef c0mmu)) (portRef data_0_2_7 (instanceRef iu0)) )) (net (rename ico_m_134 "ico_m[134]") (joined (portRef ico_m_0 (instanceRef c0mmu)) (portRef ico_m_0 (instanceRef iu0)) )) (net (rename ico_m_137 "ico_m[137]") (joined (portRef ico_m_3 (instanceRef c0mmu)) (portRef ico_m_3 (instanceRef iu0)) )) (net (rename ico_m_150 "ico_m[150]") (joined (portRef ico_m_16 (instanceRef c0mmu)) (portRef ico_m_16 (instanceRef iu0)) )) (net (rename ico_m_149 "ico_m[149]") (joined (portRef ico_m_15 (instanceRef c0mmu)) (portRef ico_m_15 (instanceRef iu0)) )) (net (rename ico_m_151 "ico_m[151]") (joined (portRef ico_m_17 (instanceRef c0mmu)) (portRef ico_m_17 (instanceRef iu0)) )) (net (rename ico_m_163 "ico_m[163]") (joined (portRef ico_m_29 (instanceRef c0mmu)) (portRef ico_m_29 (instanceRef iu0)) )) (net (rename ico_m_164 "ico_m[164]") (joined (portRef ico_m_30 (instanceRef c0mmu)) (portRef ico_m_30 (instanceRef iu0)) )) (net (rename ico_m_162 "ico_m[162]") (joined (portRef ico_m_28 (instanceRef c0mmu)) (portRef ico_m_28 (instanceRef iu0)) )) (net (rename rdatav_0_1_1_iv_4_17 "rdatav_0_1_1_iv_4[17]") (joined (portRef rdatav_0_1_1_iv_4_0 (instanceRef c0mmu)) (portRef rdatav_0_1_1_iv_4_0 (instanceRef iu0)) )) (net (rename rdatav_0_1_1_iv_4_28 "rdatav_0_1_1_iv_4[28]") (joined (portRef rdatav_0_1_1_iv_4_11 (instanceRef c0mmu)) (portRef rdatav_0_1_1_iv_4_11 (instanceRef iu0)) )) (net (rename data2_0 "data2[0]") (joined (portRef (member data2 31)) (portRef (member data2 31) (instanceRef iu0)) )) (net (rename data2_1 "data2[1]") (joined (portRef (member data2 30)) (portRef (member data2 30) (instanceRef iu0)) )) (net (rename data2_2 "data2[2]") (joined (portRef (member data2 29)) (portRef (member data2 29) (instanceRef iu0)) )) (net (rename data2_3 "data2[3]") (joined (portRef (member data2 28)) (portRef (member data2 28) (instanceRef iu0)) )) (net (rename data2_4 "data2[4]") (joined (portRef (member data2 27)) (portRef (member data2 27) (instanceRef iu0)) )) (net (rename data2_5 "data2[5]") (joined (portRef (member data2 26)) (portRef (member data2 26) (instanceRef iu0)) )) (net (rename data2_6 "data2[6]") (joined (portRef (member data2 25)) (portRef (member data2 25) (instanceRef iu0)) )) (net (rename data2_7 "data2[7]") (joined (portRef (member data2 24)) (portRef (member data2 24) (instanceRef iu0)) )) (net (rename data2_8 "data2[8]") (joined (portRef (member data2 23)) (portRef (member data2 23) (instanceRef iu0)) )) (net (rename data2_9 "data2[9]") (joined (portRef (member data2 22)) (portRef (member data2 22) (instanceRef iu0)) )) (net (rename data2_10 "data2[10]") (joined (portRef (member data2 21)) (portRef (member data2 21) (instanceRef iu0)) )) (net (rename data2_11 "data2[11]") (joined (portRef (member data2 20)) (portRef (member data2 20) (instanceRef iu0)) )) (net (rename data2_12 "data2[12]") (joined (portRef (member data2 19)) (portRef (member data2 19) (instanceRef iu0)) )) (net (rename data2_13 "data2[13]") (joined (portRef (member data2 18)) (portRef (member data2 18) (instanceRef iu0)) )) (net (rename data2_14 "data2[14]") (joined (portRef (member data2 17)) (portRef (member data2 17) (instanceRef iu0)) )) (net (rename data2_15 "data2[15]") (joined (portRef (member data2 16)) (portRef (member data2 16) (instanceRef iu0)) )) (net (rename data2_16 "data2[16]") (joined (portRef (member data2 15)) (portRef (member data2 15) (instanceRef iu0)) )) (net (rename data2_17 "data2[17]") (joined (portRef (member data2 14)) (portRef (member data2 14) (instanceRef iu0)) )) (net (rename data2_18 "data2[18]") (joined (portRef (member data2 13)) (portRef (member data2 13) (instanceRef iu0)) )) (net (rename data2_19 "data2[19]") (joined (portRef (member data2 12)) (portRef (member data2 12) (instanceRef iu0)) )) (net (rename data2_20 "data2[20]") (joined (portRef (member data2 11)) (portRef (member data2 11) (instanceRef iu0)) )) (net (rename data2_21 "data2[21]") (joined (portRef (member data2 10)) (portRef (member data2 10) (instanceRef iu0)) )) (net (rename data2_22 "data2[22]") (joined (portRef (member data2 9)) (portRef (member data2 9) (instanceRef iu0)) )) (net (rename data2_23 "data2[23]") (joined (portRef (member data2 8)) (portRef (member data2 8) (instanceRef iu0)) )) (net (rename data2_24 "data2[24]") (joined (portRef (member data2 7)) (portRef (member data2 7) (instanceRef iu0)) )) (net (rename data2_25 "data2[25]") (joined (portRef (member data2 6)) (portRef (member data2 6) (instanceRef iu0)) )) (net (rename data2_26 "data2[26]") (joined (portRef (member data2 5)) (portRef (member data2 5) (instanceRef iu0)) )) (net (rename data2_27 "data2[27]") (joined (portRef (member data2 4)) (portRef (member data2 4) (instanceRef iu0)) )) (net (rename data2_28 "data2[28]") (joined (portRef (member data2 3)) (portRef (member data2 3) (instanceRef iu0)) )) (net (rename data2_29 "data2[29]") (joined (portRef (member data2 2)) (portRef (member data2 2) (instanceRef iu0)) )) (net (rename data2_30 "data2[30]") (joined (portRef (member data2 1)) (portRef (member data2 1) (instanceRef iu0)) )) (net (rename data2_31 "data2[31]") (joined (portRef (member data2 0)) (portRef (member data2 0) (instanceRef iu0)) )) (net (rename newptag_2_a2_3_a0_1_22 "newptag_2_a2_3_a0_1[22]") (joined (portRef (member newptag_2_a2_3_a0_1 0) (instanceRef iu0)) (portRef (member newptag_2_a2_3_a0_1 0) (instanceRef c0mmu)) )) (net (rename dstate_0_4 "dstate_0[4]") (joined (portRef (member dstate_0 0) (instanceRef c0mmu)) (portRef (member dstate_0 0) (instanceRef iu0)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0) (instanceRef iu0)) (portRef (member wa_0_iv 0)) )) (net (rename npc0_i_7 "npc0_i[7]") (joined (portRef npc0_i_5 (instanceRef iu0)) (portRef npc0_i_3 (instanceRef c0mmu)) )) (net (rename npc0_i_4 "npc0_i[4]") (joined (portRef npc0_i_2 (instanceRef iu0)) (portRef npc0_i_0 (instanceRef c0mmu)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef (member waddr 5) (instanceRef iu0)) (portRef (member waddr 5)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef (member waddr 4) (instanceRef iu0)) (portRef (member waddr 4)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef (member waddr 3) (instanceRef iu0)) (portRef (member waddr 3)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef (member waddr 2) (instanceRef iu0)) (portRef (member waddr 2)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef (member waddr 1) (instanceRef iu0)) (portRef (member waddr 1)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef (member waddr 0) (instanceRef iu0)) (portRef (member waddr 0)) )) (net (rename edata2_iv_24 "edata2_iv[24]") (joined (portRef (member edata2_iv 7) (instanceRef iu0)) (portRef (member edata2_iv 7) (instanceRef c0mmu)) )) (net (rename edata2_iv_25 "edata2_iv[25]") (joined (portRef (member edata2_iv 6) (instanceRef iu0)) (portRef (member edata2_iv 6) (instanceRef c0mmu)) )) (net (rename edata2_iv_26 "edata2_iv[26]") (joined (portRef (member edata2_iv 5) (instanceRef iu0)) (portRef (member edata2_iv 5) (instanceRef c0mmu)) )) (net (rename edata2_iv_27 "edata2_iv[27]") (joined (portRef (member edata2_iv 4) (instanceRef iu0)) (portRef (member edata2_iv 4) (instanceRef c0mmu)) )) (net (rename edata2_iv_30 "edata2_iv[30]") (joined (portRef (member edata2_iv 1) (instanceRef iu0)) (portRef (member edata2_iv 1) (instanceRef c0mmu)) )) (net (rename data_i_1 "data_i[1]") (joined (portRef data_i_1 (instanceRef iu0)) (portRef data_i_1) )) (net (rename data_i_11 "data_i[11]") (joined (portRef data_i_11 (instanceRef iu0)) (portRef data_i_11) )) (net (rename data_i_0 "data_i[0]") (joined (portRef data_i_0 (instanceRef iu0)) (portRef data_i_0) )) (net (rename data_i_4 "data_i[4]") (joined (portRef data_i_4 (instanceRef iu0)) (portRef data_i_4) )) (net (rename data_i_16 "data_i[16]") (joined (portRef data_i_16 (instanceRef iu0)) (portRef data_i_16) )) (net (rename data_i_2 "data_i[2]") (joined (portRef data_i_2 (instanceRef iu0)) (portRef data_i_2) )) (net (rename data_i_3 "data_i[3]") (joined (portRef data_i_3 (instanceRef iu0)) (portRef data_i_3) )) (net (rename wdata_0 "wdata[0]") (joined (portRef (member wdata 31) (instanceRef iu0)) (portRef (member wdata 31)) )) (net (rename wdata_1 "wdata[1]") (joined (portRef (member wdata 30) (instanceRef iu0)) (portRef (member wdata 30)) )) (net (rename wdata_2 "wdata[2]") (joined (portRef (member wdata 29) (instanceRef iu0)) (portRef (member wdata 29)) )) (net (rename wdata_3 "wdata[3]") (joined (portRef (member wdata 28) (instanceRef iu0)) (portRef (member wdata 28)) )) (net (rename wdata_4 "wdata[4]") (joined (portRef (member wdata 27) (instanceRef iu0)) (portRef (member wdata 27)) )) (net (rename wdata_5 "wdata[5]") (joined (portRef (member wdata 26) (instanceRef iu0)) (portRef (member wdata 26)) )) (net (rename wdata_6 "wdata[6]") (joined (portRef (member wdata 25) (instanceRef iu0)) (portRef (member wdata 25)) )) (net (rename wdata_7 "wdata[7]") (joined (portRef (member wdata 24) (instanceRef iu0)) (portRef (member wdata 24)) )) (net (rename wdata_8 "wdata[8]") (joined (portRef (member wdata 23) (instanceRef iu0)) (portRef (member wdata 23)) )) (net (rename wdata_9 "wdata[9]") (joined (portRef (member wdata 22) (instanceRef iu0)) (portRef (member wdata 22)) )) (net (rename wdata_10 "wdata[10]") (joined (portRef (member wdata 21) (instanceRef iu0)) (portRef (member wdata 21)) )) (net (rename wdata_11 "wdata[11]") (joined (portRef (member wdata 20) (instanceRef iu0)) (portRef (member wdata 20)) )) (net (rename wdata_12 "wdata[12]") (joined (portRef (member wdata 19) (instanceRef iu0)) (portRef (member wdata 19)) )) (net (rename wdata_13 "wdata[13]") (joined (portRef (member wdata 18) (instanceRef iu0)) (portRef (member wdata 18)) )) (net (rename wdata_14 "wdata[14]") (joined (portRef (member wdata 17) (instanceRef iu0)) (portRef (member wdata 17)) )) (net (rename wdata_15 "wdata[15]") (joined (portRef (member wdata 16) (instanceRef iu0)) (portRef (member wdata 16)) )) (net (rename wdata_16 "wdata[16]") (joined (portRef (member wdata 15) (instanceRef iu0)) (portRef (member wdata 15)) )) (net (rename wdata_17 "wdata[17]") (joined (portRef (member wdata 14) (instanceRef iu0)) (portRef (member wdata 14)) )) (net (rename wdata_18 "wdata[18]") (joined (portRef (member wdata 13) (instanceRef iu0)) (portRef (member wdata 13)) )) (net (rename wdata_19 "wdata[19]") (joined (portRef (member wdata 12) (instanceRef iu0)) (portRef (member wdata 12)) )) (net (rename wdata_20 "wdata[20]") (joined (portRef (member wdata 11) (instanceRef iu0)) (portRef (member wdata 11)) )) (net (rename wdata_21 "wdata[21]") (joined (portRef (member wdata 10) (instanceRef iu0)) (portRef (member wdata 10)) )) (net (rename wdata_22 "wdata[22]") (joined (portRef (member wdata 9) (instanceRef iu0)) (portRef (member wdata 9)) )) (net (rename wdata_23 "wdata[23]") (joined (portRef (member wdata 8) (instanceRef iu0)) (portRef (member wdata 8)) )) (net (rename wdata_24 "wdata[24]") (joined (portRef (member wdata 7) (instanceRef iu0)) (portRef (member wdata 7)) )) (net (rename wdata_25 "wdata[25]") (joined (portRef (member wdata 6) (instanceRef iu0)) (portRef (member wdata 6)) )) (net (rename wdata_26 "wdata[26]") (joined (portRef (member wdata 5) (instanceRef iu0)) (portRef (member wdata 5)) )) (net (rename wdata_27 "wdata[27]") (joined (portRef (member wdata 4) (instanceRef iu0)) (portRef (member wdata 4)) )) (net (rename wdata_28 "wdata[28]") (joined (portRef (member wdata 3) (instanceRef iu0)) (portRef (member wdata 3)) )) (net (rename wdata_29 "wdata[29]") (joined (portRef (member wdata 2) (instanceRef iu0)) (portRef (member wdata 2)) )) (net (rename wdata_30 "wdata[30]") (joined (portRef (member wdata 1) (instanceRef iu0)) (portRef (member wdata 1)) )) (net (rename wdata_31 "wdata[31]") (joined (portRef (member wdata 0) (instanceRef iu0)) (portRef (member wdata 0)) )) (net (rename edata2_0_iv_0 "edata2_0_iv[0]") (joined (portRef (member edata2_0_iv 23) (instanceRef iu0)) (portRef (member edata2_0_iv 23) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_1 "edata2_0_iv[1]") (joined (portRef (member edata2_0_iv 22) (instanceRef iu0)) (portRef (member edata2_0_iv 22) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_2 "edata2_0_iv[2]") (joined (portRef (member edata2_0_iv 21) (instanceRef iu0)) (portRef (member edata2_0_iv 21) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_3 "edata2_0_iv[3]") (joined (portRef (member edata2_0_iv 20) (instanceRef iu0)) (portRef (member edata2_0_iv 20) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_4 "edata2_0_iv[4]") (joined (portRef (member edata2_0_iv 19) (instanceRef iu0)) (portRef (member edata2_0_iv 19) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_5 "edata2_0_iv[5]") (joined (portRef (member edata2_0_iv 18) (instanceRef iu0)) (portRef (member edata2_0_iv 18) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_6 "edata2_0_iv[6]") (joined (portRef (member edata2_0_iv 17) (instanceRef iu0)) (portRef (member edata2_0_iv 17) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_7 "edata2_0_iv[7]") (joined (portRef (member edata2_0_iv 16) (instanceRef iu0)) (portRef (member edata2_0_iv 16) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_8 "edata2_0_iv[8]") (joined (portRef (member edata2_0_iv 15) (instanceRef iu0)) (portRef (member edata2_0_iv 15) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_9 "edata2_0_iv[9]") (joined (portRef (member edata2_0_iv 14) (instanceRef iu0)) (portRef (member edata2_0_iv 14) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_10 "edata2_0_iv[10]") (joined (portRef (member edata2_0_iv 13) (instanceRef iu0)) (portRef (member edata2_0_iv 13) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_11 "edata2_0_iv[11]") (joined (portRef (member edata2_0_iv 12) (instanceRef iu0)) (portRef (member edata2_0_iv 12) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_12 "edata2_0_iv[12]") (joined (portRef (member edata2_0_iv 11) (instanceRef iu0)) (portRef (member edata2_0_iv 11) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_13 "edata2_0_iv[13]") (joined (portRef (member edata2_0_iv 10) (instanceRef iu0)) (portRef (member edata2_0_iv 10) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_14 "edata2_0_iv[14]") (joined (portRef (member edata2_0_iv 9) (instanceRef iu0)) (portRef (member edata2_0_iv 9) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_15 "edata2_0_iv[15]") (joined (portRef (member edata2_0_iv 8) (instanceRef iu0)) (portRef (member edata2_0_iv 8) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_16 "edata2_0_iv[16]") (joined (portRef (member edata2_0_iv 7) (instanceRef iu0)) (portRef (member edata2_0_iv 7) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_17 "edata2_0_iv[17]") (joined (portRef (member edata2_0_iv 6) (instanceRef iu0)) (portRef (member edata2_0_iv 6) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_18 "edata2_0_iv[18]") (joined (portRef (member edata2_0_iv 5) (instanceRef iu0)) (portRef (member edata2_0_iv 5) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_19 "edata2_0_iv[19]") (joined (portRef (member edata2_0_iv 4) (instanceRef iu0)) (portRef (member edata2_0_iv 4) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_20 "edata2_0_iv[20]") (joined (portRef (member edata2_0_iv 3) (instanceRef iu0)) (portRef (member edata2_0_iv 3) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_21 "edata2_0_iv[21]") (joined (portRef (member edata2_0_iv 2) (instanceRef iu0)) (portRef (member edata2_0_iv 2) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_22 "edata2_0_iv[22]") (joined (portRef (member edata2_0_iv 1) (instanceRef iu0)) (portRef (member edata2_0_iv 1) (instanceRef c0mmu)) )) (net (rename edata2_0_iv_23 "edata2_0_iv[23]") (joined (portRef (member edata2_0_iv 0) (instanceRef iu0)) (portRef (member edata2_0_iv 0) (instanceRef c0mmu)) )) (net (rename data_1 "data[6]") (joined (portRef data_1 (instanceRef iu0)) (portRef data_1) )) (net (rename data_24 "data[29]") (joined (portRef data_24 (instanceRef iu0)) (portRef data_24) )) (net (rename data_5 "data[10]") (joined (portRef data_5 (instanceRef iu0)) (portRef data_5) )) (net (rename data_2 "data[7]") (joined (portRef data_2 (instanceRef iu0)) (portRef data_2) )) (net (rename data_9 "data[14]") (joined (portRef data_9 (instanceRef iu0)) (portRef data_9) )) (net (rename data_16 "data[21]") (joined (portRef data_16 (instanceRef iu0)) (portRef data_16) )) (net (rename data_4 "data[9]") (joined (portRef data_4 (instanceRef iu0)) (portRef data_4) )) (net (rename data_0 "data[5]") (joined (portRef data_0_d0 (instanceRef iu0)) (portRef data_0) )) (net (rename data_20 "data[25]") (joined (portRef data_20 (instanceRef iu0)) (portRef data_20) )) (net (rename data_7 "data[12]") (joined (portRef data_7 (instanceRef iu0)) (portRef data_7) )) (net (rename data_22 "data[27]") (joined (portRef data_22 (instanceRef iu0)) (portRef data_22) )) (net (rename data_18 "data[23]") (joined (portRef data_18 (instanceRef iu0)) (portRef data_18) )) (net (rename data_15 "data[20]") (joined (portRef data_15 (instanceRef iu0)) (portRef data_15) )) (net (rename data_21 "data[26]") (joined (portRef data_21 (instanceRef iu0)) (portRef data_21) )) (net (rename data_17 "data[22]") (joined (portRef data_17 (instanceRef iu0)) (portRef data_17) )) (net (rename data_13 "data[18]") (joined (portRef data_13 (instanceRef iu0)) (portRef data_13) )) (net (rename data_3 "data[8]") (joined (portRef data_3 (instanceRef iu0)) (portRef data_3) )) (net (rename newptag_2_a2_3_1_tz_i_22 "newptag_2_a2_3_1_tz_i[22]") (joined (portRef (member newptag_2_a2_3_1_tz_i 0) (instanceRef iu0)) (portRef (member newptag_2_a2_3_1_tz_i 0) (instanceRef c0mmu)) )) (net (rename irl_0_p "irl[0]") (joined (portRef (member irl_0 3) (instanceRef iu0)) (portRef (member irl 3)) )) (net (rename irl_1 "irl[1]") (joined (portRef (member irl 2)) (portRef (member irl_0 2) (instanceRef iu0)) )) (net (rename irl_2 "irl[2]") (joined (portRef (member irl_0 1) (instanceRef iu0)) (portRef (member irl 1)) )) (net (rename irl_3 "irl[3]") (joined (portRef (member irl_0 0) (instanceRef iu0)) (portRef (member irl 0)) )) (net (rename size_1 "size[1]") (joined (portRef size_1 (instanceRef iu0)) (portRef (member size_0 0) (instanceRef c0mmu)) )) (net (rename irl_0_0 "irl_0[0]") (joined (portRef (member irl_0 3)) (portRef (member irl 3) (instanceRef iu0)) )) (net (rename irl_0_1 "irl_0[1]") (joined (portRef (member irl 2) (instanceRef iu0)) (portRef (member irl_0 2)) )) (net (rename irl_0_2 "irl_0[2]") (joined (portRef (member irl_0 1)) (portRef (member irl 1) (instanceRef iu0)) )) (net (rename irl_0_3 "irl_0[3]") (joined (portRef (member irl_0 0)) (portRef (member irl 0) (instanceRef iu0)) )) (net (rename data_0_iv_4_7 "data_0_iv_4[31]") (joined (portRef data_0_iv_4_24 (instanceRef iu0)) (portRef data_0_iv_4_7) )) (net (rename data_0_iv_4_4 "data_0_iv_4[28]") (joined (portRef data_0_iv_4_21 (instanceRef iu0)) (portRef data_0_iv_4_4) )) (net (rename data_0_iv_4_0 "data_0_iv_4[24]") (joined (portRef data_0_iv_4_17 (instanceRef iu0)) (portRef data_0_iv_4_0) )) (net (rename data_0_iv_4_6 "data_0_iv_4[30]") (joined (portRef data_0_iv_4_23 (instanceRef iu0)) (portRef data_0_iv_4_6) )) (net (rename data_0_iv_2_4 "data_0_iv_2[17]") (joined (portRef data_0_iv_2_17 (instanceRef iu0)) (portRef data_0_iv_2_4) )) (net (rename data_0_iv_2_6 "data_0_iv_2[19]") (joined (portRef data_0_iv_2_19 (instanceRef iu0)) (portRef data_0_iv_2_6) )) (net (rename data_0_iv_2_0 "data_0_iv_2[13]") (joined (portRef data_0_iv_2_13 (instanceRef iu0)) (portRef data_0_iv_2_0) )) (net (rename data_0_iv_2_2 "data_0_iv_2[15]") (joined (portRef data_0_iv_2_15 (instanceRef iu0)) (portRef data_0_iv_2_2) )) (net (rename data_0_iv_3_18 "data_0_iv_3[31]") (joined (portRef data_0_iv_3_30 (instanceRef iu0)) (portRef data_0_iv_3_18) )) (net (rename data_0_iv_3_15 "data_0_iv_3[28]") (joined (portRef data_0_iv_3_27 (instanceRef iu0)) (portRef data_0_iv_3_15) )) (net (rename data_0_iv_3_11 "data_0_iv_3[24]") (joined (portRef data_0_iv_3_23 (instanceRef iu0)) (portRef data_0_iv_3_11) )) (net (rename data_0_iv_3_4 "data_0_iv_3[17]") (joined (portRef data_0_iv_3_16 (instanceRef iu0)) (portRef data_0_iv_3_4) )) (net (rename data_0_iv_3_17 "data_0_iv_3[30]") (joined (portRef data_0_iv_3_29 (instanceRef iu0)) (portRef data_0_iv_3_17) )) (net (rename data_0_iv_3_6 "data_0_iv_3[19]") (joined (portRef data_0_iv_3_18 (instanceRef iu0)) (portRef data_0_iv_3_6) )) (net (rename data_0_iv_3_0 "data_0_iv_3[13]") (joined (portRef data_0_iv_3_12 (instanceRef iu0)) (portRef data_0_iv_3_0) )) (net (rename data_0_iv_3_2 "data_0_iv_3[15]") (joined (portRef data_0_iv_3_14 (instanceRef iu0)) (portRef data_0_iv_3_2) )) (net (rename rstate_0_RNIVPN36_1 "rstate_0_RNIVPN36[1]") (joined (portRef (member rstate_0_rnivpn36 0) (instanceRef iu0)) (portRef (member rstate_0_rnivpn36 0) (instanceRef c0mmu)) )) (net (rename data_0_0_23 "data_0_0[23]") (joined (portRef data_0_0_22 (instanceRef c0mmu)) (portRef data_0_23 (instanceRef iu0)) )) (net (rename data_0_0_1 "data_0_0[1]") (joined (portRef data_0_0_0 (instanceRef c0mmu)) (portRef data_0_1 (instanceRef iu0)) )) (net (rename data_0_0_31 "data_0_0[31]") (joined (portRef data_0_0_30 (instanceRef c0mmu)) (portRef data_0_31 (instanceRef iu0)) )) (net (rename data_0_0_5 "data_0_0[5]") (joined (portRef data_0_0_4 (instanceRef c0mmu)) (portRef data_0_5 (instanceRef iu0)) )) (net (rename data_0_0_26 "data_0_0[26]") (joined (portRef data_0_0_25 (instanceRef c0mmu)) (portRef data_0_26 (instanceRef iu0)) )) (net (rename data_0_0_27 "data_0_0[27]") (joined (portRef data_0_0_26 (instanceRef c0mmu)) (portRef data_0_27 (instanceRef iu0)) )) (net (rename data_0_0 "data_0[0]") (joined (portRef (member data_0 31) (instanceRef c0mmu)) (portRef data_0_0_0 (instanceRef iu0)) )) (net (rename data_0_3 "data_0[3]") (joined (portRef (member data_0 28) (instanceRef c0mmu)) (portRef data_0_0_3 (instanceRef iu0)) )) (net (rename data_0_4 "data_0[4]") (joined (portRef (member data_0 27) (instanceRef c0mmu)) (portRef data_0_0_4 (instanceRef iu0)) )) (net (rename data_0_12 "data_0[12]") (joined (portRef (member data_0 19) (instanceRef c0mmu)) (portRef data_0_0_12 (instanceRef iu0)) )) (net (rename data_0_15 "data_0[15]") (joined (portRef (member data_0 16) (instanceRef c0mmu)) (portRef data_0_0_15 (instanceRef iu0)) )) (net (rename data_0_16 "data_0[16]") (joined (portRef (member data_0 15) (instanceRef c0mmu)) (portRef data_0_0_16 (instanceRef iu0)) )) (net (rename data_0_19 "data_0[19]") (joined (portRef (member data_0 12) (instanceRef c0mmu)) (portRef data_0_0_19 (instanceRef iu0)) )) (net (rename data_0_0_20 "data_0_0[20]") (joined (portRef (member data_0 11) (instanceRef c0mmu)) (portRef data_0_0_20 (instanceRef iu0)) )) (net (rename data_0_21 "data_0[21]") (joined (portRef (member data_0 10) (instanceRef c0mmu)) (portRef data_0_0_21 (instanceRef iu0)) )) (net (rename data_0_28 "data_0[28]") (joined (portRef (member data_0 3) (instanceRef c0mmu)) (portRef data_0_0_28 (instanceRef iu0)) )) (net (rename data_0_30 "data_0[30]") (joined (portRef (member data_0 1) (instanceRef c0mmu)) (portRef data_0_0_30 (instanceRef iu0)) )) (net (rename data_0_2 "data_0[2]") (joined (portRef (member data_0 29) (instanceRef c0mmu)) (portRef data_0_0_2 (instanceRef iu0)) )) (net (rename data_0_29 "data_0[29]") (joined (portRef (member data_0 2) (instanceRef c0mmu)) (portRef data_0_0_29 (instanceRef iu0)) )) (net (rename data_0_17 "data_0[17]") (joined (portRef (member data_0 14) (instanceRef c0mmu)) (portRef data_0_0_17 (instanceRef iu0)) )) (net (rename data_0_0_22 "data_0_0[22]") (joined (portRef data_0_0_21 (instanceRef c0mmu)) (portRef data_0_0_22 (instanceRef iu0)) )) (net (rename data_0_0_7 "data_0_0[7]") (joined (portRef data_0_0_6 (instanceRef c0mmu)) (portRef data_0_0_7 (instanceRef iu0)) )) (net (rename data_0_0_18 "data_0_0[18]") (joined (portRef data_0_0_17 (instanceRef c0mmu)) (portRef data_0_0_18 (instanceRef iu0)) )) (net (rename data_0_0_14 "data_0_0[14]") (joined (portRef data_0_0_13 (instanceRef c0mmu)) (portRef data_0_0_14 (instanceRef iu0)) )) (net (rename data_0_0_6 "data_0_0[6]") (joined (portRef data_0_0_5 (instanceRef c0mmu)) (portRef data_0_0_6 (instanceRef iu0)) )) (net (rename data_0_0_13 "data_0_0[13]") (joined (portRef data_0_0_12 (instanceRef c0mmu)) (portRef data_0_0_13 (instanceRef iu0)) )) (net (rename data_0_0_10 "data_0_0[10]") (joined (portRef data_0_0_9 (instanceRef c0mmu)) (portRef data_0_0_10 (instanceRef iu0)) )) (net (rename data_0_0_8 "data_0_0[8]") (joined (portRef data_0_0_7 (instanceRef c0mmu)) (portRef data_0_0_8 (instanceRef iu0)) )) (net (rename data_0_0_24 "data_0_0[24]") (joined (portRef data_0_0_23 (instanceRef c0mmu)) (portRef data_0_0_24 (instanceRef iu0)) )) (net (rename data_0_0_11 "data_0_0[11]") (joined (portRef data_0_0_10 (instanceRef c0mmu)) (portRef data_0_0_11 (instanceRef iu0)) )) (net (rename data_0_0_9 "data_0_0[9]") (joined (portRef data_0_0_8 (instanceRef c0mmu)) (portRef data_0_0_9 (instanceRef iu0)) )) (net (rename data_0_0_25 "data_0_0[25]") (joined (portRef data_0_0_24 (instanceRef c0mmu)) (portRef data_0_0_25 (instanceRef iu0)) )) (net (rename maddress_0 "maddress[0]") (joined (portRef (member maddress 31) (instanceRef iu0)) (portRef (member maddress 31) (instanceRef c0mmu)) )) (net (rename maddress_1 "maddress[1]") (joined (portRef (member maddress 30) (instanceRef iu0)) (portRef (member maddress 30) (instanceRef c0mmu)) )) (net (rename maddress_2 "maddress[2]") (joined (portRef (member maddress 29) (instanceRef iu0)) (portRef (member maddress 29) (instanceRef c0mmu)) )) (net (rename maddress_3 "maddress[3]") (joined (portRef (member maddress 28) (instanceRef iu0)) (portRef (member maddress 28) (instanceRef c0mmu)) )) (net (rename maddress_4 "maddress[4]") (joined (portRef (member maddress 27) (instanceRef iu0)) (portRef (member maddress 27) (instanceRef c0mmu)) )) (net (rename maddress_5 "maddress[5]") (joined (portRef (member maddress 26) (instanceRef iu0)) (portRef (member maddress 26) (instanceRef c0mmu)) )) (net (rename maddress_6 "maddress[6]") (joined (portRef (member maddress 25) (instanceRef iu0)) (portRef (member maddress 25) (instanceRef c0mmu)) )) (net (rename maddress_7 "maddress[7]") (joined (portRef (member maddress 24) (instanceRef iu0)) (portRef (member maddress 24) (instanceRef c0mmu)) )) (net (rename maddress_8 "maddress[8]") (joined (portRef (member maddress 23) (instanceRef iu0)) (portRef (member maddress 23) (instanceRef c0mmu)) )) (net (rename maddress_9 "maddress[9]") (joined (portRef (member maddress 22) (instanceRef iu0)) (portRef (member maddress 22) (instanceRef c0mmu)) )) (net (rename maddress_10 "maddress[10]") (joined (portRef (member maddress 21) (instanceRef iu0)) (portRef (member maddress 21) (instanceRef c0mmu)) )) (net (rename maddress_11 "maddress[11]") (joined (portRef (member maddress 20) (instanceRef iu0)) (portRef (member maddress 20) (instanceRef c0mmu)) )) (net (rename maddress_12 "maddress[12]") (joined (portRef (member maddress 19) (instanceRef iu0)) (portRef (member maddress 19) (instanceRef c0mmu)) )) (net (rename maddress_13 "maddress[13]") (joined (portRef (member maddress 18) (instanceRef iu0)) (portRef (member maddress 18) (instanceRef c0mmu)) )) (net (rename maddress_14 "maddress[14]") (joined (portRef (member maddress 17) (instanceRef iu0)) (portRef (member maddress 17) (instanceRef c0mmu)) )) (net (rename maddress_15 "maddress[15]") (joined (portRef (member maddress 16) (instanceRef iu0)) (portRef (member maddress 16) (instanceRef c0mmu)) )) (net (rename maddress_16 "maddress[16]") (joined (portRef (member maddress 15) (instanceRef iu0)) (portRef (member maddress 15) (instanceRef c0mmu)) )) (net (rename maddress_17 "maddress[17]") (joined (portRef (member maddress 14) (instanceRef iu0)) (portRef (member maddress 14) (instanceRef c0mmu)) )) (net (rename maddress_18 "maddress[18]") (joined (portRef (member maddress 13) (instanceRef iu0)) (portRef (member maddress 13) (instanceRef c0mmu)) )) (net (rename maddress_19 "maddress[19]") (joined (portRef (member maddress 12) (instanceRef iu0)) (portRef (member maddress 12) (instanceRef c0mmu)) )) (net (rename maddress_20 "maddress[20]") (joined (portRef (member maddress 11) (instanceRef iu0)) (portRef (member maddress 11) (instanceRef c0mmu)) )) (net (rename maddress_21 "maddress[21]") (joined (portRef (member maddress 10) (instanceRef iu0)) (portRef (member maddress 10) (instanceRef c0mmu)) )) (net (rename maddress_22 "maddress[22]") (joined (portRef (member maddress 9) (instanceRef iu0)) (portRef (member maddress 9) (instanceRef c0mmu)) )) (net (rename maddress_23 "maddress[23]") (joined (portRef (member maddress 8) (instanceRef iu0)) (portRef (member maddress 8) (instanceRef c0mmu)) )) (net (rename maddress_24 "maddress[24]") (joined (portRef (member maddress 7) (instanceRef iu0)) (portRef (member maddress 7) (instanceRef c0mmu)) )) (net (rename maddress_25 "maddress[25]") (joined (portRef (member maddress 6) (instanceRef iu0)) (portRef (member maddress 6) (instanceRef c0mmu)) )) (net (rename maddress_26 "maddress[26]") (joined (portRef (member maddress 5) (instanceRef iu0)) (portRef (member maddress 5) (instanceRef c0mmu)) )) (net (rename maddress_27 "maddress[27]") (joined (portRef (member maddress 4) (instanceRef iu0)) (portRef (member maddress 4) (instanceRef c0mmu)) )) (net (rename maddress_28 "maddress[28]") (joined (portRef (member maddress 3) (instanceRef iu0)) (portRef (member maddress 3) (instanceRef c0mmu)) )) (net (rename maddress_29 "maddress[29]") (joined (portRef (member maddress 2) (instanceRef iu0)) (portRef (member maddress 2) (instanceRef c0mmu)) )) (net (rename maddress_30 "maddress[30]") (joined (portRef (member maddress 1) (instanceRef iu0)) (portRef (member maddress 1) (instanceRef c0mmu)) )) (net (rename maddress_31 "maddress[31]") (joined (portRef (member maddress 0) (instanceRef iu0)) (portRef (member maddress 0) (instanceRef c0mmu)) )) (net (rename data1_0 "data1[0]") (joined (portRef (member data1 31)) (portRef (member data1 31) (instanceRef iu0)) )) (net (rename data1_1 "data1[1]") (joined (portRef (member data1 30)) (portRef (member data1 30) (instanceRef iu0)) )) (net (rename data1_2 "data1[2]") (joined (portRef (member data1 29)) (portRef (member data1 29) (instanceRef iu0)) )) (net (rename data1_3 "data1[3]") (joined (portRef (member data1 28)) (portRef (member data1 28) (instanceRef iu0)) )) (net (rename data1_4 "data1[4]") (joined (portRef (member data1 27)) (portRef (member data1 27) (instanceRef iu0)) )) (net (rename data1_5 "data1[5]") (joined (portRef (member data1 26)) (portRef (member data1 26) (instanceRef iu0)) )) (net (rename data1_6 "data1[6]") (joined (portRef (member data1 25)) (portRef (member data1 25) (instanceRef iu0)) )) (net (rename data1_7 "data1[7]") (joined (portRef (member data1 24)) (portRef (member data1 24) (instanceRef iu0)) )) (net (rename data1_8 "data1[8]") (joined (portRef (member data1 23)) (portRef (member data1 23) (instanceRef iu0)) )) (net (rename data1_9 "data1[9]") (joined (portRef (member data1 22)) (portRef (member data1 22) (instanceRef iu0)) )) (net (rename data1_10 "data1[10]") (joined (portRef (member data1 21)) (portRef (member data1 21) (instanceRef iu0)) )) (net (rename data1_11 "data1[11]") (joined (portRef (member data1 20)) (portRef (member data1 20) (instanceRef iu0)) )) (net (rename data1_12 "data1[12]") (joined (portRef (member data1 19)) (portRef (member data1 19) (instanceRef iu0)) )) (net (rename data1_13 "data1[13]") (joined (portRef (member data1 18)) (portRef (member data1 18) (instanceRef iu0)) )) (net (rename data1_14 "data1[14]") (joined (portRef (member data1 17)) (portRef (member data1 17) (instanceRef iu0)) )) (net (rename data1_15 "data1[15]") (joined (portRef (member data1 16)) (portRef (member data1 16) (instanceRef iu0)) )) (net (rename data1_16 "data1[16]") (joined (portRef (member data1 15)) (portRef (member data1 15) (instanceRef iu0)) )) (net (rename data1_17 "data1[17]") (joined (portRef (member data1 14)) (portRef (member data1 14) (instanceRef iu0)) )) (net (rename data1_18 "data1[18]") (joined (portRef (member data1 13)) (portRef (member data1 13) (instanceRef iu0)) )) (net (rename data1_19 "data1[19]") (joined (portRef (member data1 12)) (portRef (member data1 12) (instanceRef iu0)) )) (net (rename data1_20 "data1[20]") (joined (portRef (member data1 11)) (portRef (member data1 11) (instanceRef iu0)) )) (net (rename data1_21 "data1[21]") (joined (portRef (member data1 10)) (portRef (member data1 10) (instanceRef iu0)) )) (net (rename data1_22 "data1[22]") (joined (portRef (member data1 9)) (portRef (member data1 9) (instanceRef iu0)) )) (net (rename data1_23 "data1[23]") (joined (portRef (member data1 8)) (portRef (member data1 8) (instanceRef iu0)) )) (net (rename data1_24 "data1[24]") (joined (portRef (member data1 7)) (portRef (member data1 7) (instanceRef iu0)) )) (net (rename data1_25 "data1[25]") (joined (portRef (member data1 6)) (portRef (member data1 6) (instanceRef iu0)) )) (net (rename data1_26 "data1[26]") (joined (portRef (member data1 5)) (portRef (member data1 5) (instanceRef iu0)) )) (net (rename data1_27 "data1[27]") (joined (portRef (member data1 4)) (portRef (member data1 4) (instanceRef iu0)) )) (net (rename data1_28 "data1[28]") (joined (portRef (member data1 3)) (portRef (member data1 3) (instanceRef iu0)) )) (net (rename data1_29 "data1[29]") (joined (portRef (member data1 2)) (portRef (member data1 2) (instanceRef iu0)) )) (net (rename data1_30 "data1[30]") (joined (portRef (member data1 1)) (portRef (member data1 1) (instanceRef iu0)) )) (net (rename data1_31 "data1[31]") (joined (portRef (member data1 0)) (portRef (member data1 0) (instanceRef iu0)) )) (net (rename ddata_0 "ddata[0]") (joined (portRef (member ddata 31)) (portRef (member ddata 31) (instanceRef iu0)) )) (net (rename ddata_1 "ddata[1]") (joined (portRef (member ddata 30)) (portRef (member ddata 30) (instanceRef iu0)) )) (net (rename ddata_2 "ddata[2]") (joined (portRef (member ddata 29)) (portRef (member ddata 29) (instanceRef iu0)) )) (net (rename ddata_3 "ddata[3]") (joined (portRef (member ddata 28)) (portRef (member ddata 28) (instanceRef iu0)) )) (net (rename ddata_4 "ddata[4]") (joined (portRef (member ddata 27)) (portRef (member ddata 27) (instanceRef iu0)) )) (net (rename ddata_5 "ddata[5]") (joined (portRef (member ddata 26)) (portRef (member ddata 26) (instanceRef iu0)) )) (net (rename ddata_6 "ddata[6]") (joined (portRef (member ddata 25)) (portRef (member ddata 25) (instanceRef iu0)) )) (net (rename ddata_7 "ddata[7]") (joined (portRef (member ddata 24)) (portRef (member ddata 24) (instanceRef iu0)) )) (net (rename ddata_8 "ddata[8]") (joined (portRef (member ddata 23)) (portRef (member ddata 23) (instanceRef iu0)) )) (net (rename ddata_9 "ddata[9]") (joined (portRef (member ddata 22)) (portRef (member ddata 22) (instanceRef iu0)) )) (net (rename ddata_10 "ddata[10]") (joined (portRef (member ddata 21)) (portRef (member ddata 21) (instanceRef iu0)) )) (net (rename ddata_11 "ddata[11]") (joined (portRef (member ddata 20)) (portRef (member ddata 20) (instanceRef iu0)) )) (net (rename ddata_12 "ddata[12]") (joined (portRef (member ddata 19)) (portRef (member ddata 19) (instanceRef iu0)) )) (net (rename ddata_13 "ddata[13]") (joined (portRef (member ddata 18)) (portRef (member ddata 18) (instanceRef iu0)) )) (net (rename ddata_14 "ddata[14]") (joined (portRef (member ddata 17)) (portRef (member ddata 17) (instanceRef iu0)) )) (net (rename ddata_15 "ddata[15]") (joined (portRef (member ddata 16)) (portRef (member ddata 16) (instanceRef iu0)) )) (net (rename ddata_16 "ddata[16]") (joined (portRef (member ddata 15)) (portRef (member ddata 15) (instanceRef iu0)) )) (net (rename ddata_17 "ddata[17]") (joined (portRef (member ddata 14)) (portRef (member ddata 14) (instanceRef iu0)) )) (net (rename ddata_18 "ddata[18]") (joined (portRef (member ddata 13)) (portRef (member ddata 13) (instanceRef iu0)) )) (net (rename ddata_19 "ddata[19]") (joined (portRef (member ddata 12)) (portRef (member ddata 12) (instanceRef iu0)) )) (net (rename ddata_20 "ddata[20]") (joined (portRef (member ddata 11)) (portRef (member ddata 11) (instanceRef iu0)) )) (net (rename ddata_21 "ddata[21]") (joined (portRef (member ddata 10)) (portRef (member ddata 10) (instanceRef iu0)) )) (net (rename ddata_22 "ddata[22]") (joined (portRef (member ddata 9)) (portRef (member ddata 9) (instanceRef iu0)) )) (net (rename ddata_23 "ddata[23]") (joined (portRef (member ddata 8)) (portRef (member ddata 8) (instanceRef iu0)) )) (net (rename ddata_24 "ddata[24]") (joined (portRef (member ddata 7)) (portRef (member ddata 7) (instanceRef iu0)) )) (net (rename ddata_25 "ddata[25]") (joined (portRef (member ddata 6)) (portRef (member ddata 6) (instanceRef iu0)) )) (net (rename ddata_26 "ddata[26]") (joined (portRef (member ddata 5)) (portRef (member ddata 5) (instanceRef iu0)) )) (net (rename ddata_27 "ddata[27]") (joined (portRef (member ddata 4)) (portRef (member ddata 4) (instanceRef iu0)) )) (net (rename ddata_28 "ddata[28]") (joined (portRef (member ddata 3)) (portRef (member ddata 3) (instanceRef iu0)) )) (net (rename ddata_29 "ddata[29]") (joined (portRef (member ddata 2)) (portRef (member ddata 2) (instanceRef iu0)) )) (net (rename ddata_30 "ddata[30]") (joined (portRef (member ddata 1)) (portRef (member ddata 1) (instanceRef iu0)) )) (net (rename ddata_31 "ddata[31]") (joined (portRef (member ddata 0)) (portRef (member ddata 0) (instanceRef iu0)) )) (net (rename eaddress_2 "eaddress[2]") (joined (portRef eaddress_2 (instanceRef iu0)) (portRef eaddress_0 (instanceRef c0mmu)) )) (net (rename eaddress_3 "eaddress[3]") (joined (portRef eaddress_3 (instanceRef iu0)) (portRef eaddress_1 (instanceRef c0mmu)) )) (net (rename eaddress_5 "eaddress[5]") (joined (portRef eaddress_5 (instanceRef iu0)) (portRef eaddress_3 (instanceRef c0mmu)) )) (net (rename eaddress_11 "eaddress[11]") (joined (portRef eaddress_11 (instanceRef iu0)) (portRef eaddress_9 (instanceRef c0mmu)) )) (net (rename eaddress_9 "eaddress[9]") (joined (portRef eaddress_9 (instanceRef iu0)) (portRef eaddress_7 (instanceRef c0mmu)) )) (net (rename eaddress_16 "eaddress[16]") (joined (portRef eaddress_16 (instanceRef iu0)) (portRef eaddress_14 (instanceRef c0mmu)) )) (net (rename eaddress_8 "eaddress[8]") (joined (portRef eaddress_8 (instanceRef iu0)) (portRef eaddress_6 (instanceRef c0mmu)) )) (net (rename eaddress_6 "eaddress[6]") (joined (portRef eaddress_6 (instanceRef iu0)) (portRef eaddress_4 (instanceRef c0mmu)) )) (net (rename eaddress_7 "eaddress[7]") (joined (portRef eaddress_7 (instanceRef iu0)) (portRef eaddress_5 (instanceRef c0mmu)) )) (net (rename eaddress_10 "eaddress[10]") (joined (portRef eaddress_10 (instanceRef iu0)) (portRef eaddress_8 (instanceRef c0mmu)) )) (net (rename eaddress_4 "eaddress[4]") (joined (portRef eaddress_4 (instanceRef iu0)) (portRef eaddress_2 (instanceRef c0mmu)) )) (net (rename dbgi_i_2_23 "dbgi_i_2[23]") (joined (portRef (member dbgi_i_2 0) (instanceRef iu0)) (portRef (member dbgi_i_2 0)) )) (net (rename dbgi_i_2_i_23 "dbgi_i_2_i[23]") (joined (portRef (member dbgi_i_2_i 0) (instanceRef iu0)) (portRef (member dbgi_i_2_i 0)) )) (net (rename fpc_2 "fpc[2]") (joined (portRef (member fpc 29) (instanceRef iu0)) (portRef (member fpc 29) (instanceRef c0mmu)) )) (net (rename fpc_3 "fpc[3]") (joined (portRef (member fpc 28) (instanceRef iu0)) (portRef (member fpc 28) (instanceRef c0mmu)) )) (net (rename fpc_4 "fpc[4]") (joined (portRef (member fpc 27) (instanceRef iu0)) (portRef (member fpc 27) (instanceRef c0mmu)) )) (net (rename fpc_5 "fpc[5]") (joined (portRef (member fpc 26) (instanceRef iu0)) (portRef (member fpc 26) (instanceRef c0mmu)) )) (net (rename fpc_6 "fpc[6]") (joined (portRef (member fpc 25) (instanceRef iu0)) (portRef (member fpc 25) (instanceRef c0mmu)) )) (net (rename fpc_7 "fpc[7]") (joined (portRef (member fpc 24) (instanceRef iu0)) (portRef (member fpc 24) (instanceRef c0mmu)) )) (net (rename fpc_8 "fpc[8]") (joined (portRef (member fpc 23) (instanceRef iu0)) (portRef (member fpc 23) (instanceRef c0mmu)) )) (net (rename fpc_9 "fpc[9]") (joined (portRef (member fpc 22) (instanceRef iu0)) (portRef (member fpc 22) (instanceRef c0mmu)) )) (net (rename fpc_10 "fpc[10]") (joined (portRef (member fpc 21) (instanceRef iu0)) (portRef (member fpc 21) (instanceRef c0mmu)) )) (net (rename fpc_11 "fpc[11]") (joined (portRef (member fpc 20) (instanceRef iu0)) (portRef (member fpc 20) (instanceRef c0mmu)) )) (net (rename fpc_12 "fpc[12]") (joined (portRef (member fpc 19) (instanceRef iu0)) (portRef (member fpc 19) (instanceRef c0mmu)) )) (net (rename fpc_13 "fpc[13]") (joined (portRef (member fpc 18) (instanceRef iu0)) (portRef (member fpc 18) (instanceRef c0mmu)) )) (net (rename fpc_14 "fpc[14]") (joined (portRef (member fpc 17) (instanceRef iu0)) (portRef (member fpc 17) (instanceRef c0mmu)) )) (net (rename fpc_15 "fpc[15]") (joined (portRef (member fpc 16) (instanceRef iu0)) (portRef (member fpc 16) (instanceRef c0mmu)) )) (net (rename fpc_16 "fpc[16]") (joined (portRef (member fpc 15) (instanceRef iu0)) (portRef (member fpc 15) (instanceRef c0mmu)) )) (net (rename fpc_17 "fpc[17]") (joined (portRef (member fpc 14) (instanceRef iu0)) (portRef (member fpc 14) (instanceRef c0mmu)) )) (net (rename fpc_18 "fpc[18]") (joined (portRef (member fpc 13) (instanceRef iu0)) (portRef (member fpc 13) (instanceRef c0mmu)) )) (net (rename fpc_19 "fpc[19]") (joined (portRef (member fpc 12) (instanceRef iu0)) (portRef (member fpc 12) (instanceRef c0mmu)) )) (net (rename fpc_20 "fpc[20]") (joined (portRef (member fpc 11) (instanceRef iu0)) (portRef (member fpc 11) (instanceRef c0mmu)) )) (net (rename fpc_21 "fpc[21]") (joined (portRef (member fpc 10) (instanceRef iu0)) (portRef (member fpc 10) (instanceRef c0mmu)) )) (net (rename fpc_22 "fpc[22]") (joined (portRef (member fpc 9) (instanceRef iu0)) (portRef (member fpc 9) (instanceRef c0mmu)) )) (net (rename fpc_23 "fpc[23]") (joined (portRef (member fpc 8) (instanceRef iu0)) (portRef (member fpc 8) (instanceRef c0mmu)) )) (net (rename fpc_24 "fpc[24]") (joined (portRef (member fpc 7) (instanceRef iu0)) (portRef (member fpc 7) (instanceRef c0mmu)) )) (net (rename fpc_25 "fpc[25]") (joined (portRef (member fpc 6) (instanceRef iu0)) (portRef (member fpc 6) (instanceRef c0mmu)) )) (net (rename fpc_26 "fpc[26]") (joined (portRef (member fpc 5) (instanceRef iu0)) (portRef (member fpc 5) (instanceRef c0mmu)) )) (net (rename fpc_27 "fpc[27]") (joined (portRef (member fpc 4) (instanceRef iu0)) (portRef (member fpc 4) (instanceRef c0mmu)) )) (net (rename fpc_28 "fpc[28]") (joined (portRef (member fpc 3) (instanceRef iu0)) (portRef (member fpc 3) (instanceRef c0mmu)) )) (net (rename fpc_29 "fpc[29]") (joined (portRef (member fpc 2) (instanceRef iu0)) (portRef (member fpc 2) (instanceRef c0mmu)) )) (net (rename fpc_30 "fpc[30]") (joined (portRef (member fpc 1) (instanceRef iu0)) (portRef (member fpc 1) (instanceRef c0mmu)) )) (net (rename fpc_31 "fpc[31]") (joined (portRef (member fpc 0) (instanceRef iu0)) (portRef (member fpc 0) (instanceRef c0mmu)) )) (net (rename un34_hready_i_m_0 "un34_hready_i_m[0]") (joined (portRef (member un34_hready_i_m 0)) (portRef (member un34_hready_i_m 0) (instanceRef iu0)) )) (net (rename dco_i_1_132 "dco_i_1[132]") (joined (portRef (member dco_i_1 0) (instanceRef c0mmu)) (portRef (member dco_i_1 0) (instanceRef iu0)) )) (net (rename daddr_2 "daddr[2]") (joined (portRef (member daddr 21)) (portRef (member daddr 21) (instanceRef iu0)) )) (net (rename daddr_3 "daddr[3]") (joined (portRef (member daddr 20)) (portRef (member daddr 20) (instanceRef iu0)) )) (net (rename daddr_4 "daddr[4]") (joined (portRef (member daddr 19)) (portRef (member daddr 19) (instanceRef iu0)) )) (net (rename daddr_5 "daddr[5]") (joined (portRef (member daddr 18)) (portRef (member daddr 18) (instanceRef iu0)) )) (net (rename daddr_6 "daddr[6]") (joined (portRef (member daddr 17)) (portRef (member daddr 17) (instanceRef iu0)) )) (net (rename daddr_7 "daddr[7]") (joined (portRef (member daddr 16)) (portRef (member daddr 16) (instanceRef iu0)) )) (net (rename daddr_8 "daddr[8]") (joined (portRef (member daddr 15)) (portRef (member daddr 15) (instanceRef iu0)) )) (net (rename daddr_9 "daddr[9]") (joined (portRef (member daddr 14)) (portRef (member daddr 14) (instanceRef iu0)) )) (net (rename daddr_10 "daddr[10]") (joined (portRef (member daddr 13)) (portRef (member daddr 13) (instanceRef iu0)) )) (net (rename daddr_11 "daddr[11]") (joined (portRef (member daddr 12)) (portRef (member daddr 12) (instanceRef iu0)) )) (net (rename daddr_12 "daddr[12]") (joined (portRef (member daddr 11)) (portRef (member daddr 11) (instanceRef iu0)) )) (net (rename daddr_13 "daddr[13]") (joined (portRef (member daddr 10)) (portRef (member daddr 10) (instanceRef iu0)) )) (net (rename daddr_14 "daddr[14]") (joined (portRef (member daddr 9)) (portRef (member daddr 9) (instanceRef iu0)) )) (net (rename daddr_15 "daddr[15]") (joined (portRef (member daddr 8)) (portRef (member daddr 8) (instanceRef iu0)) )) (net (rename daddr_16 "daddr[16]") (joined (portRef (member daddr 7)) (portRef (member daddr 7) (instanceRef iu0)) )) (net (rename daddr_17 "daddr[17]") (joined (portRef (member daddr 6)) (portRef (member daddr 6) (instanceRef iu0)) )) (net (rename daddr_18 "daddr[18]") (joined (portRef (member daddr 5)) (portRef (member daddr 5) (instanceRef iu0)) )) (net (rename daddr_19 "daddr[19]") (joined (portRef (member daddr 4)) (portRef (member daddr 4) (instanceRef iu0)) )) (net (rename daddr_20 "daddr[20]") (joined (portRef (member daddr 3)) (portRef (member daddr 3) (instanceRef iu0)) )) (net (rename daddr_21 "daddr[21]") (joined (portRef (member daddr 2)) (portRef (member daddr 2) (instanceRef iu0)) )) (net (rename daddr_22 "daddr[22]") (joined (portRef (member daddr 1)) (portRef (member daddr 1) (instanceRef iu0)) )) (net (rename daddr_23 "daddr[23]") (joined (portRef (member daddr 0)) (portRef (member daddr 0) (instanceRef iu0)) )) (net crdy (joined (portRef crdy_1z (instanceRef iu0)) (portRef crdy) )) (net read (joined (portRef read_0 (instanceRef iu0)) (portRef read_2 (instanceRef c0mmu)) )) (net write (joined (portRef write_1 (instanceRef iu0)) (portRef write (instanceRef c0mmu)) )) (net mexc (joined (portRef mexc (instanceRef c0mmu)) (portRef mexc_2 (instanceRef iu0)) )) (net werr (joined (portRef werr (instanceRef c0mmu)) (portRef werr_1 (instanceRef iu0)) )) (net N_2682 (joined (portRef N_2682 (instanceRef c0mmu)) (portRef N_2682 (instanceRef iu0)) )) (net N_2835 (joined (portRef N_2835 (instanceRef c0mmu)) (portRef N_2835 (instanceRef iu0)) )) (net rfe2 (joined (portRef rfe2 (instanceRef iu0)) (portRef rfe2) )) (net lock (joined (portRef lock (instanceRef iu0)) (portRef lock_0 (instanceRef c0mmu)) )) (net step (joined (portRef step) (portRef step_1 (instanceRef iu0)) )) (net N_2449 (joined (portRef N_2449 (instanceRef c0mmu)) (portRef N_2449 (instanceRef iu0)) )) (net nomds_RNIOCKU6 (joined (portRef nomds_RNIOCKU6 (instanceRef c0mmu)) (portRef nomds_RNIOCKU6 (instanceRef iu0)) )) (net N_2851 (joined (portRef N_2851 (instanceRef c0mmu)) (portRef N_2851 (instanceRef iu0)) )) (net nullify2_0_sqmuxa_i_0 (joined (portRef nullify2_0_sqmuxa_i_0 (instanceRef iu0)) (portRef nullify2_0_sqmuxa_i_0 (instanceRef c0mmu)) )) (net eenaddr (joined (portRef eenaddr (instanceRef iu0)) (portRef eenaddr (instanceRef c0mmu)) )) (net dsuen (joined (portRef dsuen_1 (instanceRef iu0)) (portRef dsuen (instanceRef c0mmu)) )) (net reset (joined (portRef reset) (portRef reset (instanceRef iu0)) )) (net un18_hold_pc (joined (portRef un18_hold_pc (instanceRef iu0)) (portRef un18_hold_pc (instanceRef c0mmu)) )) (net nullify (joined (portRef nullify (instanceRef iu0)) (portRef nullify (instanceRef c0mmu)) )) (net casa_RNI55N3OP1 (joined (portRef casa_RNI55N3OP1 (instanceRef iu0)) (portRef casa_RNI55N3OP1 (instanceRef c0mmu)) )) (net mexc_1_0_2_0_1 (joined (portRef mexc_1_0_2_0_1 (instanceRef c0mmu)) (portRef mexc_1_0_2_0_1 (instanceRef iu0)) )) (net mexc_1_0_3_a1_1 (joined (portRef mexc_1_0_3_a1_1 (instanceRef c0mmu)) (portRef mexc_1_0_3_a1_1 (instanceRef iu0)) )) (net N_161 (joined (portRef N_161 (instanceRef iu0)) (portRef N_161) )) (net hold (joined (portRef hold_0 (instanceRef c0mmu)) (portRef hold (instanceRef iu0)) )) (net nomds (joined (portRef nomds (instanceRef c0mmu)) (portRef nomds (instanceRef iu0)) )) (net N_2541 (joined (portRef N_2541 (instanceRef c0mmu)) (portRef N_2541 (instanceRef iu0)) )) (net N_2565 (joined (portRef N_2565 (instanceRef c0mmu)) (portRef N_2565 (instanceRef iu0)) )) (net rbranch (joined (portRef rbranch (instanceRef iu0)) (portRef rbranch (instanceRef c0mmu)) )) (net fbranch (joined (portRef fbranch (instanceRef iu0)) (portRef fbranch (instanceRef c0mmu)) )) (net nullify_1_sqmuxa_2_2 (joined (portRef nullify_1_sqmuxa_2_2 (instanceRef iu0)) (portRef nullify_1_sqmuxa_2_2 (instanceRef c0mmu)) )) (net te8_1 (joined (portRef te8_1) (portRef te8_1 (instanceRef iu0)) )) (net te9_2 (joined (portRef te9_2) (portRef te9_2 (instanceRef iu0)) )) (net rd_1_NE (joined (portRef rd_1_NE (instanceRef iu0)) (portRef rd_1_NE (instanceRef c0mmu)) )) (net dwrite (joined (portRef dwrite) (portRef dwrite (instanceRef iu0)) )) (net me_nullify2_1_b0_m3_0_a2_1 (joined (portRef me_nullify2_1_b0_m3_0_a2_1 (instanceRef iu0)) (portRef me_nullify2_1_b0_m3_0_a2_1 (instanceRef c0mmu)) )) (net N_184_i_0 (joined (portRef N_184_i_0 (instanceRef c0mmu)) (portRef N_184_i_0 (instanceRef iu0)) )) (net trap_0_4 (joined (portRef trap_0_4 (instanceRef iu0)) (portRef trap_0_4 (instanceRef c0mmu)) )) (net trap_a0_0 (joined (portRef trap_a0_0_0 (instanceRef iu0)) (portRef trap_a0_0 (instanceRef c0mmu)) )) (net un1_addout_25_14 (joined (portRef un1_addout_25_14 (instanceRef iu0)) (portRef un1_addout_25_14 (instanceRef c0mmu)) )) (net un1_addout_25_13 (joined (portRef un1_addout_25_13 (instanceRef iu0)) (portRef un1_addout_25_13 (instanceRef c0mmu)) )) (net un1_addout (joined (portRef un1_addout (instanceRef iu0)) (portRef un1_addout (instanceRef c0mmu)) )) (net halt (joined (portRef halt) (portRef halt (instanceRef iu0)) )) (net tstop (joined (portRef tstop (instanceRef iu0)) (portRef tstop) )) (net diagrdy (joined (portRef diagrdy (instanceRef c0mmu)) (portRef diagrdy (instanceRef iu0)) )) (net pwd (joined (portRef pwd_0 (instanceRef iu0)) (portRef pwd) )) (net me_size_1_1_m9_0_a2_0 (joined (portRef me_size_1_1_m9_0_a2_0 (instanceRef iu0)) (portRef me_size_1_1_m9_0_a2_0 (instanceRef c0mmu)) )) (net ba (joined (portRef ba (instanceRef c0mmu)) (portRef ba (instanceRef iu0)) )) (net stpend (joined (portRef stpend (instanceRef c0mmu)) (portRef stpend (instanceRef iu0)) )) (net me_size_1_1_m9_0_a2_3 (joined (portRef me_size_1_1_m9_0_a2_3 (instanceRef iu0)) (portRef me_size_1_1_m9_0_a2_3 (instanceRef c0mmu)) )) (net N_5719_1 (joined (portRef N_5719_1 (instanceRef c0mmu)) (portRef N_5719_1 (instanceRef iu0)) )) (net bsoft (joined (portRef bsoft) (portRef bsoft (instanceRef iu0)) )) (net btrape (joined (portRef btrape) (portRef btrape (instanceRef iu0)) )) (net denable (joined (portRef denable) (portRef denable (instanceRef iu0)) )) (net btrapa (joined (portRef btrapa) (portRef btrapa (instanceRef iu0)) )) (net berror (joined (portRef berror) (portRef berror (instanceRef iu0)) )) (net bwatch (joined (portRef bwatch) (portRef bwatch (instanceRef iu0)) )) (net trap_0_sqmuxa_7_1_0 (joined (portRef trap_0_sqmuxa_7_1_0 (instanceRef iu0)) (portRef trap_0_sqmuxa_7_1_0 (instanceRef c0mmu)) )) (net un6_annul_1 (joined (portRef un6_annul_1 (instanceRef iu0)) (portRef un6_annul_1 (instanceRef c0mmu)) )) (net dbreak (joined (portRef dbreak) (portRef dbreak (instanceRef iu0)) )) (net annul_1 (joined (portRef annul_1_0 (instanceRef iu0)) (portRef annul_1 (instanceRef c0mmu)) )) (net un5_trap (joined (portRef un5_trap (instanceRef iu0)) (portRef un5_trap (instanceRef c0mmu)) )) (net un1_rabpmisstt_b0_i_a3_0_0 (joined (portRef un1_rabpmisstt_b0_i_a3_0_0 (instanceRef iu0)) (portRef un1_rabpmisstt_b0_i_a3_0_0 (instanceRef c0mmu)) )) (net un18_hold_pc_2 (joined (portRef un18_hold_pc_2 (instanceRef iu0)) (portRef un18_hold_pc_2 (instanceRef c0mmu)) )) (net inull (joined (portRef inull (instanceRef iu0)) (portRef inull (instanceRef c0mmu)) )) (net mexc_1_m6_i_a4_0 (joined (portRef mexc_1_m6_i_a4_0 (instanceRef iu0)) (portRef mexc_1_m6_i_a4_0 (instanceRef c0mmu)) )) (net mexc_1_0_2_tz (joined (portRef mexc_1_0_2_tz (instanceRef c0mmu)) (portRef mexc_1_0_2_tz (instanceRef iu0)) )) (net N_73_0 (joined (portRef N_73_0 (instanceRef c0mmu)) (portRef N_73_0 (instanceRef iu0)) )) (net mexc_0 (joined (portRef mexc_0 (instanceRef c0mmu)) (portRef mexc (instanceRef iu0)) )) (net N_96 (joined (portRef N_96 (instanceRef c0mmu)) (portRef N_96 (instanceRef iu0)) )) (net enaddr (joined (portRef enaddr (instanceRef iu0)) (portRef enaddr (instanceRef c0mmu)) )) (net renable_i_1 (joined (portRef renable_i_1 (instanceRef iu0)) (portRef renable_i_1) )) (net renable_i_1_i (joined (portRef renable_i_1_i (instanceRef iu0)) (portRef renable_i_1_i) )) (net wren (joined (portRef wren (instanceRef iu0)) (portRef wren) )) (net wren_i (joined (portRef wren_i (instanceRef iu0)) (portRef wren_i) )) (net ren2 (joined (portRef ren2 (instanceRef iu0)) (portRef ren2) )) (net un16_casaen_0_0 (joined (portRef un16_casaen_0_0 (instanceRef iu0)) (portRef un16_casaen_0_0 (instanceRef c0mmu)) )) (net un16_casaen_0_2 (joined (portRef un16_casaen_0_2 (instanceRef iu0)) (portRef un16_casaen_0_2 (instanceRef c0mmu)) )) (net mds (joined (portRef mds (instanceRef c0mmu)) (portRef mds (instanceRef iu0)) )) (net de_hold_pc_1 (joined (portRef de_hold_pc_1 (instanceRef iu0)) (portRef de_hold_pc_1 (instanceRef c0mmu)) )) (net N_148_2 (joined (portRef N_148_2) (portRef N_148_2 (instanceRef iu0)) )) (net dsuen_0 (joined (portRef dsuen_0 (instanceRef iu0)) (portRef dsuen_0 (instanceRef c0mmu)) )) (net holdn (joined (portRef holdn (instanceRef c0mmu)) (portRef holdn (instanceRef iu0)) )) (net (rename htrans_1 "htrans[1]") (joined (portRef (member htrans 0) (instanceRef c0mmu)) (portRef (member htrans 0)) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef (member iosn_0 0)) (portRef (member iosn_0 0) (instanceRef c0mmu)) )) (net (rename hgrant_1 "hgrant[1]") (joined (portRef (member hgrant 0)) (portRef (member hgrant 0) (instanceRef c0mmu)) )) (net (rename haddr_31 "haddr[31]") (joined (portRef haddr_31 (instanceRef c0mmu)) (portRef haddr_31) )) (net (rename haddr_1 "haddr[1]") (joined (portRef haddr_1 (instanceRef c0mmu)) (portRef haddr_1) )) (net (rename haddr_0 "haddr[0]") (joined (portRef haddr_0 (instanceRef c0mmu)) (portRef haddr_0) )) (net (rename haddr_30 "haddr[30]") (joined (portRef haddr_30 (instanceRef c0mmu)) (portRef haddr_30) )) (net (rename haddr_2 "haddr[2]") (joined (portRef haddr_2 (instanceRef c0mmu)) (portRef haddr_2) )) (net (rename haddr_6 "haddr[6]") (joined (portRef haddr_6 (instanceRef c0mmu)) (portRef haddr_6) )) (net (rename haddr_28 "haddr[28]") (joined (portRef haddr_28 (instanceRef c0mmu)) (portRef haddr_28) )) (net (rename haddr_24 "haddr[24]") (joined (portRef haddr_24 (instanceRef c0mmu)) (portRef haddr_24) )) (net (rename haddr_23 "haddr[23]") (joined (portRef haddr_23 (instanceRef c0mmu)) (portRef haddr_23) )) (net (rename haddr_22 "haddr[22]") (joined (portRef haddr_22 (instanceRef c0mmu)) (portRef haddr_22) )) (net (rename haddr_21 "haddr[21]") (joined (portRef haddr_21 (instanceRef c0mmu)) (portRef haddr_21) )) (net (rename haddr_20 "haddr[20]") (joined (portRef haddr_20 (instanceRef c0mmu)) (portRef haddr_20) )) (net (rename haddr_19 "haddr[19]") (joined (portRef haddr_19 (instanceRef c0mmu)) (portRef haddr_19) )) (net (rename haddr_18 "haddr[18]") (joined (portRef haddr_18 (instanceRef c0mmu)) (portRef haddr_18) )) (net (rename haddr_17 "haddr[17]") (joined (portRef haddr_17 (instanceRef c0mmu)) (portRef haddr_17) )) (net (rename haddr_16 "haddr[16]") (joined (portRef haddr_16 (instanceRef c0mmu)) (portRef haddr_16) )) (net (rename haddr_15 "haddr[15]") (joined (portRef haddr_15 (instanceRef c0mmu)) (portRef haddr_15) )) (net (rename haddr_14 "haddr[14]") (joined (portRef haddr_14 (instanceRef c0mmu)) (portRef haddr_14) )) (net (rename haddr_13 "haddr[13]") (joined (portRef haddr_13 (instanceRef c0mmu)) (portRef haddr_13) )) (net (rename haddr_12 "haddr[12]") (joined (portRef haddr_12 (instanceRef c0mmu)) (portRef haddr_12) )) (net (rename haddr_11 "haddr[11]") (joined (portRef haddr_11 (instanceRef c0mmu)) (portRef haddr_11) )) (net (rename haddr_10 "haddr[10]") (joined (portRef haddr_10 (instanceRef c0mmu)) (portRef haddr_10) )) (net (rename haddr_9 "haddr[9]") (joined (portRef haddr_9 (instanceRef c0mmu)) (portRef haddr_9) )) (net (rename haddr_8 "haddr[8]") (joined (portRef haddr_8 (instanceRef c0mmu)) (portRef haddr_8) )) (net (rename haddr_7 "haddr[7]") (joined (portRef haddr_7 (instanceRef c0mmu)) (portRef haddr_7) )) (net (rename haddr_5 "haddr[5]") (joined (portRef haddr_5 (instanceRef c0mmu)) (portRef haddr_5) )) (net (rename haddr_4 "haddr[4]") (joined (portRef haddr_4 (instanceRef c0mmu)) (portRef haddr_4) )) (net (rename haddr_3 "haddr[3]") (joined (portRef haddr_3 (instanceRef c0mmu)) (portRef haddr_3) )) (net (rename hwdata_0 "hwdata[0]") (joined (portRef (member hwdata 31) (instanceRef c0mmu)) (portRef (member hwdata 31)) )) (net (rename hwdata_1 "hwdata[1]") (joined (portRef (member hwdata 30) (instanceRef c0mmu)) (portRef (member hwdata 30)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef (member hwdata 29) (instanceRef c0mmu)) (portRef (member hwdata 29)) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef (member hwdata 28) (instanceRef c0mmu)) (portRef (member hwdata 28)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef (member hwdata 27) (instanceRef c0mmu)) (portRef (member hwdata 27)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef (member hwdata 26) (instanceRef c0mmu)) (portRef (member hwdata 26)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef (member hwdata 25) (instanceRef c0mmu)) (portRef (member hwdata 25)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef (member hwdata 24) (instanceRef c0mmu)) (portRef (member hwdata 24)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef (member hwdata 23) (instanceRef c0mmu)) (portRef (member hwdata 23)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef (member hwdata 22) (instanceRef c0mmu)) (portRef (member hwdata 22)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef (member hwdata 21) (instanceRef c0mmu)) (portRef (member hwdata 21)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef (member hwdata 20) (instanceRef c0mmu)) (portRef (member hwdata 20)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef (member hwdata 19) (instanceRef c0mmu)) (portRef (member hwdata 19)) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef (member hwdata 18) (instanceRef c0mmu)) (portRef (member hwdata 18)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef (member hwdata 17) (instanceRef c0mmu)) (portRef (member hwdata 17)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef (member hwdata 16) (instanceRef c0mmu)) (portRef (member hwdata 16)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef (member hwdata 15) (instanceRef c0mmu)) (portRef (member hwdata 15)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef (member hwdata 14) (instanceRef c0mmu)) (portRef (member hwdata 14)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef (member hwdata 13) (instanceRef c0mmu)) (portRef (member hwdata 13)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef (member hwdata 12) (instanceRef c0mmu)) (portRef (member hwdata 12)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef (member hwdata 11) (instanceRef c0mmu)) (portRef (member hwdata 11)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef (member hwdata 10) (instanceRef c0mmu)) (portRef (member hwdata 10)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef (member hwdata 9) (instanceRef c0mmu)) (portRef (member hwdata 9)) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef (member hwdata 8) (instanceRef c0mmu)) (portRef (member hwdata 8)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef (member hwdata 7) (instanceRef c0mmu)) (portRef (member hwdata 7)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef (member hwdata 6) (instanceRef c0mmu)) (portRef (member hwdata 6)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef (member hwdata 5) (instanceRef c0mmu)) (portRef (member hwdata 5)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef (member hwdata 4) (instanceRef c0mmu)) (portRef (member hwdata 4)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef (member hwdata 3) (instanceRef c0mmu)) (portRef (member hwdata 3)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef (member hwdata 2) (instanceRef c0mmu)) (portRef (member hwdata 2)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef (member hwdata 1) (instanceRef c0mmu)) (portRef (member hwdata 1)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef (member hwdata 0) (instanceRef c0mmu)) (portRef (member hwdata 0)) )) (net (rename hresp_0 "hresp[0]") (joined (portRef (member hresp 0)) (portRef (member hresp 0) (instanceRef c0mmu)) )) (net (rename iosn_93 "iosn[93]") (joined (portRef (member iosn 0)) (portRef (member iosn 0) (instanceRef c0mmu)) )) (net (rename hsize_5_1 "hsize_5[1]") (joined (portRef (member hsize_5 0) (instanceRef c0mmu)) (portRef (member hsize_5 0)) )) (net (rename bo_RNI5FE75_1 "bo_RNI5FE75[1]") (joined (portRef (member bo_rni5fe75 0) (instanceRef c0mmu)) (portRef (member bo_rni5fe75 0)) )) (net (rename bo_RNI17E75_1 "bo_RNI17E75[1]") (joined (portRef (member bo_rni17e75 0) (instanceRef c0mmu)) (portRef (member bo_rni17e75 0)) )) (net (rename bo_RNIV2E75_1 "bo_RNIV2E75[1]") (joined (portRef (member bo_rniv2e75 0) (instanceRef c0mmu)) (portRef (member bo_rniv2e75 0)) )) (net (rename bo_RNITUD75_1 "bo_RNITUD75[1]") (joined (portRef (member bo_rnitud75 0) (instanceRef c0mmu)) (portRef (member bo_rnitud75 0)) )) (net (rename newptag_2_20 "newptag_2[20]") (joined (portRef (member newptag_2 0) (instanceRef c0mmu)) (portRef (member newptag_2 0)) )) (net (rename newptag_2_0_26 "newptag_2_0[26]") (joined (portRef (member newptag_2_0 1) (instanceRef c0mmu)) (portRef (member newptag_2_0 1)) )) (net (rename newptag_2_0_27 "newptag_2_0[27]") (joined (portRef (member newptag_2_0 0) (instanceRef c0mmu)) (portRef (member newptag_2_0 0)) )) (net (rename edata2_iv_4 "edata2_iv[28]") (joined (portRef (member edata2_iv 3) (instanceRef iu0)) (portRef (member edata2_iv 3) (instanceRef c0mmu)) (portRef edata2_iv_4) )) (net (rename edata2_iv_5 "edata2_iv[29]") (joined (portRef (member edata2_iv 2) (instanceRef iu0)) (portRef (member edata2_iv 2) (instanceRef c0mmu)) (portRef edata2_iv_5) )) (net (rename edata2_iv_7 "edata2_iv[31]") (joined (portRef (member edata2_iv 0) (instanceRef iu0)) (portRef (member edata2_iv 0) (instanceRef c0mmu)) (portRef edata2_iv_7) )) (net (rename newptag_2_1_25 "newptag_2_1[25]") (joined (portRef (member newptag_2_1 0) (instanceRef c0mmu)) (portRef (member newptag_2_1 0)) )) (net (rename addr_3 "addr[31]") (joined (portRef addr_29 (instanceRef c0mmu)) (portRef addr_3) )) (net (rename addr_0 "addr[28]") (joined (portRef addr_26 (instanceRef c0mmu)) (portRef addr_0) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0) (instanceRef c0mmu)) (portRef (member dstate_rnidu8kdr3 0)) )) (net (rename xaddress_RNIE9I8VM1_18 "xaddress_RNIE9I8VM1[18]") (joined (portRef (member xaddress_rnie9i8vm1 0) (instanceRef c0mmu)) (portRef (member xaddress_rnie9i8vm1 0)) )) (net (rename xaddress_RNIH8G8VM1_16 "xaddress_RNIH8G8VM1[16]") (joined (portRef (member xaddress_rnih8g8vm1 0) (instanceRef c0mmu)) (portRef (member xaddress_rnih8g8vm1 0)) )) (net (rename xaddress_RNIQOH8VM1_22 "xaddress_RNIQOH8VM1[22]") (joined (portRef (member xaddress_rniqoh8vm1 0) (instanceRef c0mmu)) (portRef (member xaddress_rniqoh8vm1 0)) )) (net (rename dstate_RNI8CBSG1_1 "dstate_RNI8CBSG1[1]") (joined (portRef (member dstate_rni8cbsg1 0) (instanceRef c0mmu)) (portRef (member dstate_rni8cbsg1 0)) )) (net (rename dstate_RNIR83TF1_1 "dstate_RNIR83TF1[1]") (joined (portRef (member dstate_rnir83tf1 0) (instanceRef c0mmu)) (portRef (member dstate_rnir83tf1 0)) )) (net (rename dstate_RNI3JQ791_1 "dstate_RNI3JQ791[1]") (joined (portRef (member dstate_rni3jq791 0) (instanceRef c0mmu)) (portRef (member dstate_rni3jq791 0)) )) (net (rename dstate_RNIA7SI91_1 "dstate_RNIA7SI91[1]") (joined (portRef (member dstate_rnia7si91 0) (instanceRef c0mmu)) (portRef (member dstate_rnia7si91 0)) )) (net (rename dstate_RNI0V0E91_1 "dstate_RNI0V0E91[1]") (joined (portRef (member dstate_rni0v0e91 0) (instanceRef c0mmu)) (portRef (member dstate_rni0v0e91 0)) )) (net (rename dstate_RNIOPHJD1_1 "dstate_RNIOPHJD1[1]") (joined (portRef (member dstate_rniophjd1 0) (instanceRef c0mmu)) (portRef (member dstate_rniophjd1 0)) )) (net (rename dstate_RNIJ6PE91_1 "dstate_RNIJ6PE91[1]") (joined (portRef (member dstate_rnij6pe91 0) (instanceRef c0mmu)) (portRef (member dstate_rnij6pe91 0)) )) (net (rename xaddress_RNIFBR7VM1_23 "xaddress_RNIFBR7VM1[23]") (joined (portRef (member xaddress_rnifbr7vm1 0) (instanceRef c0mmu)) (portRef (member xaddress_rnifbr7vm1 0)) )) (net (rename xaddress_RNI1HH8VM1_17 "xaddress_RNI1HH8VM1[17]") (joined (portRef (member xaddress_rni1hh8vm1 0) (instanceRef c0mmu)) (portRef (member xaddress_rni1hh8vm1 0)) )) (net (rename dstate_RNIOSSTG1_1 "dstate_RNIOSSTG1[1]") (joined (portRef (member dstate_rniosstg1 0) (instanceRef c0mmu)) (portRef (member dstate_rniosstg1 0)) )) (net (rename dstate_RNIUUCH91_1 "dstate_RNIUUCH91[1]") (joined (portRef (member dstate_rniuuch91 0) (instanceRef c0mmu)) (portRef (member dstate_rniuuch91 0)) )) (net (rename xaddress_RNID0H8VM1_21 "xaddress_RNID0H8VM1[21]") (joined (portRef (member xaddress_rnid0h8vm1 0) (instanceRef c0mmu)) (portRef (member xaddress_rnid0h8vm1 0)) )) (net (rename dstate_RNISU72D1_1 "dstate_RNISU72D1[1]") (joined (portRef (member dstate_rnisu72d1 0) (instanceRef c0mmu)) (portRef (member dstate_rnisu72d1 0)) )) (net (rename dstate_RNIILTR91_1 "dstate_RNIILTR91[1]") (joined (portRef (member dstate_rniiltr91 0) (instanceRef c0mmu)) (portRef (member dstate_rniiltr91 0)) )) (net (rename dstate_RNI08ULU_1 "dstate_RNI08ULU[1]") (joined (portRef (member dstate_rni08ulu 0) (instanceRef c0mmu)) (portRef (member dstate_rni08ulu 0)) )) (net (rename dstate_RNI5C6E91_1 "dstate_RNI5C6E91[1]") (joined (portRef (member dstate_rni5c6e91 0) (instanceRef c0mmu)) (portRef (member dstate_rni5c6e91 0)) )) (net (rename dstate_RNISTGFH1_1 "dstate_RNISTGFH1[1]") (joined (portRef (member dstate_rnistgfh1 0) (instanceRef c0mmu)) (portRef (member dstate_rnistgfh1 0)) )) (net (rename dstate_RNI5432U_1 "dstate_RNI5432U[1]") (joined (portRef (member dstate_rni5432u 0) (instanceRef c0mmu)) (portRef (member dstate_rni5432u 0)) )) (net (rename size_RNITKMLU_1 "size_RNITKMLU[1]") (joined (portRef (member size_rnitkmlu 0) (instanceRef c0mmu)) (portRef (member size_rnitkmlu 0)) )) (net (rename size_RNIS2OAU_1 "size_RNIS2OAU[1]") (joined (portRef (member size_rnis2oau 0) (instanceRef c0mmu)) (portRef (member size_rnis2oau 0)) )) (net (rename size_RNI1G16U_1 "size_RNI1G16U[1]") (joined (portRef (member size_rni1g16u 0) (instanceRef c0mmu)) (portRef (member size_rni1g16u 0)) )) (net (rename size_RNIIU3PU_1 "size_RNIIU3PU[1]") (joined (portRef (member size_rniiu3pu 0) (instanceRef c0mmu)) (portRef (member size_rniiu3pu 0)) )) (net (rename size_RNIQAFGU_1 "size_RNIQAFGU[1]") (joined (portRef (member size_rniqafgu 0) (instanceRef c0mmu)) (portRef (member size_rniqafgu 0)) )) (net (rename size_RNIQ6O4U_1 "size_RNIQ6O4U[1]") (joined (portRef (member size_rniq6o4u 0) (instanceRef c0mmu)) (portRef (member size_rniq6o4u 0)) )) (net (rename dstate_RNIVAPCB1_1 "dstate_RNIVAPCB1[1]") (joined (portRef (member dstate_rnivapcb1 0) (instanceRef c0mmu)) (portRef (member dstate_rnivapcb1 0)) )) (net (rename dstate_RNIGBKHB1_1 "dstate_RNIGBKHB1[1]") (joined (portRef (member dstate_rnigbkhb1 0) (instanceRef c0mmu)) (portRef (member dstate_rnigbkhb1 0)) )) (net (rename dstate_RNIBJ5KD1_1 "dstate_RNIBJ5KD1[1]") (joined (portRef (member dstate_rnibj5kd1 0) (instanceRef c0mmu)) (portRef (member dstate_rnibj5kd1 0)) )) (net (rename dstate_RNIR5PQD1_1 "dstate_RNIR5PQD1[1]") (joined (portRef (member dstate_rnir5pqd1 0) (instanceRef c0mmu)) (portRef (member dstate_rnir5pqd1 0)) )) (net (rename dstate_RNIPKCMD1_1 "dstate_RNIPKCMD1[1]") (joined (portRef (member dstate_rnipkcmd1 0) (instanceRef c0mmu)) (portRef (member dstate_rnipkcmd1 0)) )) (net (rename dstate_RNITLPG91_1 "dstate_RNITLPG91[1]") (joined (portRef (member dstate_rnitlpg91 0) (instanceRef c0mmu)) (portRef (member dstate_rnitlpg91 0)) )) (net (rename dstate_RNIAK9OF1_1 "dstate_RNIAK9OF1[1]") (joined (portRef (member dstate_rniak9of1 0) (instanceRef c0mmu)) (portRef (member dstate_rniak9of1 0)) )) (net (rename dstate_RNI8BDUG1_1 "dstate_RNI8BDUG1[1]") (joined (portRef (member dstate_rni8bdug1 0) (instanceRef c0mmu)) (portRef (member dstate_rni8bdug1 0)) )) (net (rename xaddress_RNI4P6H4R3_13 "xaddress_RNI4P6H4R3[13]") (joined (portRef (member xaddress_rni4p6h4r3 0) (instanceRef c0mmu)) (portRef (member xaddress_rni4p6h4r3 0)) )) (net (rename xaddress_RNIVQEG4R3_12 "xaddress_RNIVQEG4R3[12]") (joined (portRef (member xaddress_rnivqeg4r3 0) (instanceRef c0mmu)) (portRef (member xaddress_rnivqeg4r3 0)) )) (net (rename xaddress_RNI1Q8H4R3_15 "xaddress_RNI1Q8H4R3[15]") (joined (portRef (member xaddress_rni1q8h4r3 0) (instanceRef c0mmu)) (portRef (member xaddress_rni1q8h4r3 0)) )) (net (rename un1_p0_2_0_d0 "un1_p0_2[362]") (joined (portRef un1_p0_2_0_d0 (instanceRef c0mmu)) (portRef un1_p0_2_0_d0) )) (net (rename un1_p0_2_6 "un1_p0_2[368]") (joined (portRef un1_p0_2_6 (instanceRef c0mmu)) (portRef un1_p0_2_6) )) (net (rename xaddress_RNILQ8H4R3_19 "xaddress_RNILQ8H4R3[19]") (joined (portRef (member xaddress_rnilq8h4r3 0) (instanceRef c0mmu)) (portRef (member xaddress_rnilq8h4r3 0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0) (instanceRef c0mmu)) (portRef (member faddr_rnivnqnh 0)) )) (net (rename xaddress_RNIFNVH4R3_14 "xaddress_RNIFNVH4R3[14]") (joined (portRef (member xaddress_rnifnvh4r3 0) (instanceRef c0mmu)) (portRef (member xaddress_rnifnvh4r3 0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0) (instanceRef c0mmu)) (portRef (member xaddress_rni9p28c 0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0) (instanceRef c0mmu)) (portRef (member faddr_rni6usbi 0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0) (instanceRef c0mmu)) (portRef (member faddr_rnig8pkf 0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0) (instanceRef c0mmu)) (portRef (member faddr_rniin4om 0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0) (instanceRef c0mmu)) (portRef (member faddr_rnik42hd 0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0) (instanceRef c0mmu)) (portRef (member faddr_rnimvm8u 0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0) (instanceRef c0mmu)) (portRef (member faddr_rni49a0q 0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0) (instanceRef c0mmu)) (portRef (member faddr_rni1entg 0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0) (instanceRef c0mmu)) (portRef (member xaddress_rni8hc9c 0)) )) (net (rename size_0_0 "size_0[0]") (joined (portRef size_0_d0 (instanceRef c0mmu)) (portRef (member size_0 0)) )) (net (rename un1_p0_2_0_350 "un1_p0_2_0[498]") (joined (portRef un1_p0_2_0_350 (instanceRef c0mmu)) (portRef un1_p0_2_0_350) )) (net (rename un1_p0_2_0_0 "un1_p0_2_0[148]") (joined (portRef un1_p0_2_0_0 (instanceRef c0mmu)) (portRef un1_p0_2_0_0) )) (net (rename eaddress_29 "eaddress[31]") (joined (portRef eaddress_31 (instanceRef iu0)) (portRef eaddress_29 (instanceRef c0mmu)) (portRef eaddress_29) )) (net (rename rstate_li_0_0 "rstate_li_0[0]") (joined (portRef (member rstate_li_0 0) (instanceRef iu0)) (portRef (member rstate_li_0 0) (instanceRef c0mmu)) (portRef (member rstate_li_0 0)) )) (net (rename vitdatain_0_1_a0_3_23 "vitdatain_0_1_a0_3[23]") (joined (portRef (member vitdatain_0_1_a0_3 0)) (portRef (member vitdatain_0_1_a0_3 0) (instanceRef c0mmu)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0) (instanceRef c0mmu)) (portRef (member faddr_rnifa34ud 0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0) (instanceRef c0mmu)) (portRef (member vaddress_rnifuhr1d 0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0) (instanceRef c0mmu)) (portRef (member faddr_rnic5grid 0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0) (instanceRef c0mmu)) (portRef (member faddr_rnir9j23d 0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0) (instanceRef c0mmu)) (portRef (member vaddress_rnih15uoc 0)) )) (net (rename vaddress_RNIEAGP4S1_21 "vaddress_RNIEAGP4S1[21]") (joined (portRef (member vaddress_rnieagp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnieagp4s1 0)) )) (net (rename hrdata_20 "hrdata[21]") (joined (portRef hrdata_20) (portRef hrdata_20 (instanceRef c0mmu)) )) (net (rename hrdata_7 "hrdata[8]") (joined (portRef hrdata_7) (portRef hrdata_7 (instanceRef c0mmu)) )) (net (rename hrdata_9 "hrdata[10]") (joined (portRef hrdata_9) (portRef hrdata_9 (instanceRef c0mmu)) )) (net (rename hrdata_5 "hrdata[6]") (joined (portRef hrdata_5) (portRef hrdata_5 (instanceRef c0mmu)) )) (net (rename hrdata_26 "hrdata[27]") (joined (portRef hrdata_26) (portRef hrdata_26 (instanceRef c0mmu)) )) (net (rename hrdata_22 "hrdata[23]") (joined (portRef hrdata_22) (portRef hrdata_22 (instanceRef c0mmu)) )) (net (rename hrdata_1 "hrdata[2]") (joined (portRef hrdata_1) (portRef hrdata_1 (instanceRef c0mmu)) )) (net (rename hrdata_19 "hrdata[20]") (joined (portRef hrdata_19) (portRef hrdata_19 (instanceRef c0mmu)) )) (net (rename hrdata_15 "hrdata[16]") (joined (portRef hrdata_15) (portRef hrdata_15 (instanceRef c0mmu)) )) (net (rename hrdata_10 "hrdata[11]") (joined (portRef hrdata_10) (portRef hrdata_10 (instanceRef c0mmu)) )) (net (rename hrdata_8 "hrdata[9]") (joined (portRef hrdata_8) (portRef hrdata_8 (instanceRef c0mmu)) )) (net (rename hrdata_6 "hrdata[7]") (joined (portRef hrdata_6) (portRef hrdata_6 (instanceRef c0mmu)) )) (net (rename hrdata_3 "hrdata[4]") (joined (portRef hrdata_3) (portRef hrdata_3 (instanceRef c0mmu)) )) (net (rename hrdata_2 "hrdata[3]") (joined (portRef hrdata_2) (portRef hrdata_2 (instanceRef c0mmu)) )) (net (rename hrdata_0 "hrdata[1]") (joined (portRef hrdata_0) (portRef hrdata_0 (instanceRef c0mmu)) )) (net (rename hrdata_18 "hrdata[19]") (joined (portRef hrdata_18) (portRef hrdata_18 (instanceRef c0mmu)) )) (net (rename hrdata_17 "hrdata[18]") (joined (portRef hrdata_17) (portRef hrdata_17 (instanceRef c0mmu)) )) (net (rename istate_RNITKDP1_1 "istate_RNITKDP1[1]") (joined (portRef (member istate_rnitkdp1 0) (instanceRef c0mmu)) (portRef (member istate_rnitkdp1 0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0) (instanceRef c0mmu)) (portRef (member istate_rniql7e6 0)) )) (net (rename vaddress_RNIG2GP4S1_15 "vaddress_RNIG2GP4S1[15]") (joined (portRef (member vaddress_rnig2gp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnig2gp4s1 0)) )) (net (rename istate_RNIJLLK1_1 "istate_RNIJLLK1[1]") (joined (portRef (member istate_rnijllk1 0) (instanceRef c0mmu)) (portRef (member istate_rnijllk1 0)) )) (net (rename dmao_i_1_22 "dmao_i_1[37]") (joined (portRef dmao_i_1_22) (portRef dmao_i_1_22 (instanceRef c0mmu)) )) (net (rename dmao_i_1_14 "dmao_i_1[29]") (joined (portRef dmao_i_1_14) (portRef dmao_i_1_14 (instanceRef c0mmu)) )) (net (rename dmao_i_1_17 "dmao_i_1[32]") (joined (portRef dmao_i_1_17) (portRef dmao_i_1_17 (instanceRef c0mmu)) )) (net (rename dmao_i_1_29 "dmao_i_1[44]") (joined (portRef dmao_i_1_29) (portRef dmao_i_1_29 (instanceRef c0mmu)) )) (net (rename dmao_i_1_30 "dmao_i_1[45]") (joined (portRef dmao_i_1_30) (portRef dmao_i_1_30 (instanceRef c0mmu)) )) (net (rename dmao_i_1_26 "dmao_i_1[41]") (joined (portRef dmao_i_1_26) (portRef dmao_i_1_26 (instanceRef c0mmu)) )) (net (rename dmao_i_1_24 "dmao_i_1[39]") (joined (portRef dmao_i_1_24) (portRef dmao_i_1_24 (instanceRef c0mmu)) )) (net (rename dmao_i_1_31 "dmao_i_1[46]") (joined (portRef dmao_i_1_31) (portRef dmao_i_1_31 (instanceRef c0mmu)) )) (net (rename dmao_i_1_28 "dmao_i_1[43]") (joined (portRef dmao_i_1_28) (portRef dmao_i_1_28 (instanceRef c0mmu)) )) (net (rename dmao_i_1_15 "dmao_i_1[30]") (joined (portRef dmao_i_1_15) (portRef dmao_i_1_15 (instanceRef c0mmu)) )) (net (rename dmao_i_1_0 "dmao_i_1[15]") (joined (portRef dmao_i_1_0) (portRef dmao_i_1_0 (instanceRef c0mmu)) )) (net (rename dmao_i_1_25 "dmao_i_1[40]") (joined (portRef dmao_i_1_25) (portRef dmao_i_1_25 (instanceRef c0mmu)) )) (net (rename istate_RNIB7T42_1 "istate_RNIB7T42[1]") (joined (portRef (member istate_rnib7t42 0) (instanceRef c0mmu)) (portRef (member istate_rnib7t42 0)) )) (net (rename istate_RNIHPJ22_1 "istate_RNIHPJ22[1]") (joined (portRef (member istate_rnihpj22 0) (instanceRef c0mmu)) (portRef (member istate_rnihpj22 0)) )) (net (rename istate_RNILTPP1_1 "istate_RNILTPP1[1]") (joined (portRef (member istate_rniltpp1 0) (instanceRef c0mmu)) (portRef (member istate_rniltpp1 0)) )) (net (rename istate_RNINGDN1_1 "istate_RNINGDN1[1]") (joined (portRef (member istate_rningdn1 0) (instanceRef c0mmu)) (portRef (member istate_rningdn1 0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0) (instanceRef c0mmu)) (portRef (member vaddress_rnierqu9c 0)) )) (net (rename istate_RNIL1742_1 "istate_RNIL1742[1]") (joined (portRef (member istate_rnil1742 0) (instanceRef c0mmu)) (portRef (member istate_rnil1742 0)) )) (net (rename istate_RNIFUPH2_1 "istate_RNIFUPH2[1]") (joined (portRef (member istate_rnifuph2 0) (instanceRef c0mmu)) (portRef (member istate_rnifuph2 0)) )) (net (rename istate_RNI57T02_1 "istate_RNI57T02[1]") (joined (portRef (member istate_rni57t02 0) (instanceRef c0mmu)) (portRef (member istate_rni57t02 0)) )) (net (rename istate_RNIJMMR1_1 "istate_RNIJMMR1[1]") (joined (portRef (member istate_rnijmmr1 0) (instanceRef c0mmu)) (portRef (member istate_rnijmmr1 0)) )) (net (rename vaddress_RNIGAGP4S1_22 "vaddress_RNIGAGP4S1[22]") (joined (portRef (member vaddress_rnigagp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnigagp4s1 0)) )) (net (rename istate_RNIT9TF1_1 "istate_RNIT9TF1[1]") (joined (portRef (member istate_rnit9tf1 0) (instanceRef c0mmu)) (portRef (member istate_rnit9tf1 0)) )) (net (rename vaddress_RNICAGP4S1_20 "vaddress_RNICAGP4S1[20]") (joined (portRef (member vaddress_rnicagp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnicagp4s1 0)) )) (net (rename vaddress_RNIK2GP4S1_17 "vaddress_RNIK2GP4S1[17]") (joined (portRef (member vaddress_rnik2gp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnik2gp4s1 0)) )) (net (rename vaddress_RNII2GP4S1_16 "vaddress_RNII2GP4S1[16]") (joined (portRef (member vaddress_rnii2gp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnii2gp4s1 0)) )) (net (rename istate_RNINAS02_1 "istate_RNINAS02[1]") (joined (portRef (member istate_rninas02 0) (instanceRef c0mmu)) (portRef (member istate_rninas02 0)) )) (net (rename istate_RNIFNT02_1 "istate_RNIFNT02[1]") (joined (portRef (member istate_rnifnt02 0) (instanceRef c0mmu)) (portRef (member istate_rnifnt02 0)) )) (net (rename istate_RNIQ3PK1_1 "istate_RNIQ3PK1[1]") (joined (portRef (member istate_rniq3pk1 0) (instanceRef c0mmu)) (portRef (member istate_rniq3pk1 0)) )) (net (rename istate_RNI6U762_1 "istate_RNI6U762[1]") (joined (portRef (member istate_rni6u762 0) (instanceRef c0mmu)) (portRef (member istate_rni6u762 0)) )) (net (rename istate_RNIHLE12_1 "istate_RNIHLE12[1]") (joined (portRef (member istate_rnihle12 0) (instanceRef c0mmu)) (portRef (member istate_rnihle12 0)) )) (net (rename istate_RNIABMH1_1 "istate_RNIABMH1[1]") (joined (portRef (member istate_rniabmh1 0) (instanceRef c0mmu)) (portRef (member istate_rniabmh1 0)) )) (net (rename istate_RNICVQE1_1 "istate_RNICVQE1[1]") (joined (portRef (member istate_rnicvqe1 0) (instanceRef c0mmu)) (portRef (member istate_rnicvqe1 0)) )) (net (rename istate_RNIQF2A1_1 "istate_RNIQF2A1[1]") (joined (portRef (member istate_rniqf2a1 0) (instanceRef c0mmu)) (portRef (member istate_rniqf2a1 0)) )) (net (rename istate_RNIM32A1_1 "istate_RNIM32A1[1]") (joined (portRef (member istate_rnim32a1 0) (instanceRef c0mmu)) (portRef (member istate_rnim32a1 0)) )) (net (rename istate_RNIKGRL1_1 "istate_RNIKGRL1[1]") (joined (portRef (member istate_rnikgrl1 0) (instanceRef c0mmu)) (portRef (member istate_rnikgrl1 0)) )) (net (rename hrdatas_RNIO2L41_5 "hrdatas_RNIO2L41[5]") (joined (portRef (member hrdatas_rnio2l41 0)) (portRef (member hrdatas_rnio2l41 0) (instanceRef c0mmu)) )) (net (rename istate_RNIR8HU1_1 "istate_RNIR8HU1[1]") (joined (portRef (member istate_rnir8hu1 0) (instanceRef c0mmu)) (portRef (member istate_rnir8hu1 0)) )) (net (rename istate_RNI4J0A1_1 "istate_RNI4J0A1[1]") (joined (portRef (member istate_rni4j0a1 0) (instanceRef c0mmu)) (portRef (member istate_rni4j0a1 0)) )) (net (rename istate_RNIEAOE1_1 "istate_RNIEAOE1[1]") (joined (portRef (member istate_rnieaoe1 0) (instanceRef c0mmu)) (portRef (member istate_rnieaoe1 0)) )) (net (rename istate_RNILK561_1 "istate_RNILK561[1]") (joined (portRef (member istate_rnilk561 0) (instanceRef c0mmu)) (portRef (member istate_rnilk561 0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0) (instanceRef c0mmu)) (portRef (member vaddress_rnif4tscc 0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0) (instanceRef c0mmu)) (portRef (member faddr_rniom2ubd 0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0) (instanceRef c0mmu)) (portRef (member faddr_rniuag1tc 0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0) (instanceRef c0mmu)) (portRef (member faddr_rnitu19lc 0)) )) (net (rename istate_RNIU0OP1_1 "istate_RNIU0OP1[1]") (joined (portRef (member istate_rniu0op1 0) (instanceRef c0mmu)) (portRef (member istate_rniu0op1 0)) )) (net (rename istate_RNI6POA2_1 "istate_RNI6POA2[1]") (joined (portRef (member istate_rni6poa2 0) (instanceRef c0mmu)) (portRef (member istate_rni6poa2 0)) )) (net (rename vaddress_RNIC2GP4S1_13 "vaddress_RNIC2GP4S1[13]") (joined (portRef (member vaddress_rnic2gp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnic2gp4s1 0)) )) (net (rename istate_RNIPTLI1_1 "istate_RNIPTLI1[1]") (joined (portRef (member istate_rniptli1 0) (instanceRef c0mmu)) (portRef (member istate_rniptli1 0)) )) (net (rename istate_RNI6SLV1_1 "istate_RNI6SLV1[1]") (joined (portRef (member istate_rni6slv1 0) (instanceRef c0mmu)) (portRef (member istate_rni6slv1 0)) )) (net (rename istate_RNIJ9EP1_1 "istate_RNIJ9EP1[1]") (joined (portRef (member istate_rnij9ep1 0) (instanceRef c0mmu)) (portRef (member istate_rnij9ep1 0)) )) (net (rename istate_RNIBNM52_1 "istate_RNIBNM52[1]") (joined (portRef (member istate_rnibnm52 0) (instanceRef c0mmu)) (portRef (member istate_rnibnm52 0)) )) (net (rename vaddress_RNIIAGP4S1_23 "vaddress_RNIIAGP4S1[23]") (joined (portRef (member vaddress_rniiagp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rniiagp4s1 0)) )) (net (rename vaddress_RNIO2GP4S1_19 "vaddress_RNIO2GP4S1[19]") (joined (portRef (member vaddress_rnio2gp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnio2gp4s1 0)) )) (net (rename vaddress_RNIA2GP4S1_12 "vaddress_RNIA2GP4S1[12]") (joined (portRef (member vaddress_rnia2gp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnia2gp4s1 0)) )) (net (rename istate_RNI1PDN1_1 "istate_RNI1PDN1[1]") (joined (portRef (member istate_rni1pdn1 0) (instanceRef c0mmu)) (portRef (member istate_rni1pdn1 0)) )) (net (rename vaddress_RNIM2GP4S1_18 "vaddress_RNIM2GP4S1[18]") (joined (portRef (member vaddress_rnim2gp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnim2gp4s1 0)) )) (net (rename vaddress_RNIE2GP4S1_14 "vaddress_RNIE2GP4S1[14]") (joined (portRef (member vaddress_rnie2gp4s1 0) (instanceRef c0mmu)) (portRef (member vaddress_rnie2gp4s1 0)) )) (net hbusreq_i_0 (joined (portRef hbusreq_i_0 (instanceRef c0mmu)) (portRef hbusreq_i_0) )) (net N_5515 (joined (portRef N_5515 (instanceRef c0mmu)) (portRef N_5515) )) (net un58_nbo (joined (portRef un58_nbo (instanceRef c0mmu)) (portRef un58_nbo) )) (net lb_0_sqmuxa_1 (joined (portRef lb_0_sqmuxa_1 (instanceRef c0mmu)) (portRef lb_0_sqmuxa_1) )) (net ba_RNIIJKI5_0 (joined (portRef ba_RNIIJKI5_0 (instanceRef c0mmu)) (portRef ba_RNIIJKI5_0) )) (net hlock (joined (portRef hlock (instanceRef c0mmu)) (portRef hlock) )) (net hwrite (joined (portRef hwrite (instanceRef c0mmu)) (portRef hwrite) )) (net un86_nbo (joined (portRef un86_nbo (instanceRef c0mmu)) (portRef un86_nbo) )) (net u0_DOUTA2_1 (joined (portRef u0_DOUTA2_1) (portRef u0_DOUTA2_1 (instanceRef c0mmu)) )) (net N_2868 (joined (portRef N_2868 (instanceRef c0mmu)) (portRef N_2868) )) (net N_2542 (joined (portRef N_2542 (instanceRef c0mmu)) (portRef N_2542) )) (net newptag_2_a2_0_28_m1_e_0 (joined (portRef newptag_2_a2_0_28_m1_e_0 (instanceRef c0mmu)) (portRef newptag_2_a2_0_28_m1_e_0) )) (net newptag_2_a2_0_31_m1_e_0 (joined (portRef newptag_2_a2_0_31_m1_e_0 (instanceRef c0mmu)) (portRef newptag_2_a2_0_31_m1_e_0) )) (net intack (joined (portRef intack_2 (instanceRef iu0)) (portRef intack (instanceRef c0mmu)) (portRef intack) )) (net u0_DOUTA2_2 (joined (portRef u0_DOUTA2_2) (portRef u0_DOUTA2_2 (instanceRef c0mmu)) )) (net u0_DOUTA1_2 (joined (portRef u0_DOUTA1_2) (portRef u0_DOUTA1_2 (instanceRef c0mmu)) )) (net u0_DOUTA3_1 (joined (portRef u0_DOUTA3_1) (portRef u0_DOUTA3_1 (instanceRef c0mmu)) )) (net u0_DOUTA0_2 (joined (portRef u0_DOUTA0_2) (portRef u0_DOUTA0_2 (instanceRef c0mmu)) )) (net u0_DOUTA3_2 (joined (portRef u0_DOUTA3_2) (portRef u0_DOUTA3_2 (instanceRef c0mmu)) )) (net u0_DOUTA0_1 (joined (portRef u0_DOUTA0_1) (portRef u0_DOUTA0_1 (instanceRef c0mmu)) )) (net u0_DOUTA2_0 (joined (portRef u0_DOUTA2_0) (portRef u0_DOUTA2_0 (instanceRef c0mmu)) )) (net u0_DOUTA0_0 (joined (portRef u0_DOUTA0_0) (portRef u0_DOUTA0_0 (instanceRef c0mmu)) )) (net u0_DOUTA3_3 (joined (portRef u0_DOUTA3_3) (portRef u0_DOUTA3_3 (instanceRef c0mmu)) )) (net u0_DOUTA1_3 (joined (portRef u0_DOUTA1_3) (portRef u0_DOUTA1_3 (instanceRef c0mmu)) )) (net u0_DOUTA0_3 (joined (portRef u0_DOUTA0_3) (portRef u0_DOUTA0_3 (instanceRef c0mmu)) )) (net u0_DOUTA1_4 (joined (portRef u0_DOUTA1_4) (portRef u0_DOUTA1_4 (instanceRef c0mmu)) )) (net u0_DOUTA0_4 (joined (portRef u0_DOUTA0_4) (portRef u0_DOUTA0_4 (instanceRef c0mmu)) )) (net u0_DOUTA3_5 (joined (portRef u0_DOUTA3_5) (portRef u0_DOUTA3_5 (instanceRef c0mmu)) )) (net u0_DOUTA0_5 (joined (portRef u0_DOUTA0_5) (portRef u0_DOUTA0_5 (instanceRef c0mmu)) )) (net u0_DOUTA3_6 (joined (portRef u0_DOUTA3_6) (portRef u0_DOUTA3_6 (instanceRef c0mmu)) )) (net u0_DOUTA2_6 (joined (portRef u0_DOUTA2_6) (portRef u0_DOUTA2_6 (instanceRef c0mmu)) )) (net u0_DOUTA0_6 (joined (portRef u0_DOUTA0_6) (portRef u0_DOUTA0_6 (instanceRef c0mmu)) )) (net u0_DOUTA1 (joined (portRef u0_DOUTA1) (portRef u0_DOUTA1 (instanceRef c0mmu)) )) (net u0_DOUTA2_5 (joined (portRef u0_DOUTA2_5) (portRef u0_DOUTA2_5 (instanceRef c0mmu)) )) (net u0_DOUTA2_4 (joined (portRef u0_DOUTA2_4) (portRef u0_DOUTA2_4 (instanceRef c0mmu)) )) (net u0_DOUTA1_0 (joined (portRef u0_DOUTA1_0) (portRef u0_DOUTA1_0 (instanceRef c0mmu)) )) (net u0_DOUTA0 (joined (portRef u0_DOUTA0) (portRef u0_DOUTA0 (instanceRef c0mmu)) )) (net u0_DOUTA3_4 (joined (portRef u0_DOUTA3_4) (portRef u0_DOUTA3_4 (instanceRef c0mmu)) )) (net u0_DOUTA1_5 (joined (portRef u0_DOUTA1_5) (portRef u0_DOUTA1_5 (instanceRef c0mmu)) )) (net u0_RD3 (joined (portRef u0_RD3) (portRef u0_RD3 (instanceRef c0mmu)) )) (net u0_RD1 (joined (portRef u0_RD1) (portRef u0_RD1 (instanceRef c0mmu)) )) (net u0_RD14 (joined (portRef u0_RD14) (portRef u0_RD14 (instanceRef c0mmu)) )) (net u0_RD9 (joined (portRef u0_RD9) (portRef u0_RD9 (instanceRef c0mmu)) )) (net u0_RD5 (joined (portRef u0_RD5) (portRef u0_RD5 (instanceRef c0mmu)) )) (net u0_RD10 (joined (portRef u0_RD10) (portRef u0_RD10 (instanceRef c0mmu)) )) (net N_2802 (joined (portRef N_2802) (portRef N_2802 (instanceRef c0mmu)) )) (net hready_1_sqmuxa (joined (portRef hready_1_sqmuxa) (portRef hready_1_sqmuxa (instanceRef c0mmu)) )) (net flush_0_RNI2N6NQR1 (joined (portRef flush_0_RNI2N6NQR1 (instanceRef c0mmu)) (portRef flush_0_RNI2N6NQR1) )) (net hready_RNICLR2 (joined (portRef hready_RNICLR2) (portRef hready_RNICLR2 (instanceRef c0mmu)) (portRef hready_RNICLR2 (instanceRef iu0)) )) (net N_2745 (joined (portRef N_2745 (instanceRef c0mmu)) (portRef N_2745) )) (net N_2698 (joined (portRef N_2698 (instanceRef c0mmu)) (portRef N_2698) )) (net N_2766 (joined (portRef N_2766 (instanceRef c0mmu)) (portRef N_2766) )) (net N_2307 (joined (portRef N_2307) (portRef N_2307 (instanceRef c0mmu)) )) (net N_2748 (joined (portRef N_2748 (instanceRef c0mmu)) (portRef N_2748) )) (net un1_addout_28 (joined (portRef un1_addout_28 (instanceRef c0mmu)) (portRef un1_addout_28 (instanceRef iu0)) (portRef un1_addout_28) )) (net u0_RD2_0 (joined (portRef u0_RD2_0) (portRef u0_RD2_0 (instanceRef c0mmu)) )) (net read_RNIV144H1 (joined (portRef read_RNIV144H1 (instanceRef c0mmu)) (portRef read_RNIV144H1) )) (net read_RNIGQ6ND1 (joined (portRef read_RNIGQ6ND1 (instanceRef c0mmu)) (portRef read_RNIGQ6ND1) )) (net read_RNILMNHG1 (joined (portRef read_RNILMNHG1 (instanceRef c0mmu)) (portRef read_RNILMNHG1) )) (net u0_DOUTA3_0 (joined (portRef u0_DOUTA3_0) (portRef u0_DOUTA3_0 (instanceRef c0mmu)) )) (net u0_RD0 (joined (portRef u0_RD0) (portRef u0_RD0 (instanceRef c0mmu)) )) (net u0_RD13 (joined (portRef u0_RD13) (portRef u0_RD13 (instanceRef c0mmu)) )) (net u0_RD12 (joined (portRef u0_RD12) (portRef u0_RD12 (instanceRef c0mmu)) )) (net u0_RD17 (joined (portRef u0_RD17) (portRef u0_RD17 (instanceRef c0mmu)) )) (net u0_RD11 (joined (portRef u0_RD11) (portRef u0_RD11 (instanceRef c0mmu)) )) (net N_2747 (joined (portRef N_2747 (instanceRef c0mmu)) (portRef N_2747) )) (net u0_DOUTA1_1 (joined (portRef u0_DOUTA1_1) (portRef u0_DOUTA1_1 (instanceRef c0mmu)) )) (net u0_DOUTA3 (joined (portRef u0_DOUTA3) (portRef u0_DOUTA3 (instanceRef c0mmu)) )) (net u0_RD2 (joined (portRef u0_RD2) (portRef u0_RD2 (instanceRef c0mmu)) )) (net u0_RD0_0 (joined (portRef u0_RD0_0) (portRef u0_RD0_0 (instanceRef c0mmu)) )) (net flush_RNI4JQHB22 (joined (portRef flush_RNI4JQHB22 (instanceRef c0mmu)) (portRef flush_RNI4JQHB22) )) (net u0_RD1_0 (joined (portRef u0_RD1_0) (portRef u0_RD1_0 (instanceRef c0mmu)) )) (net u0_RD4_0 (joined (portRef u0_RD4_0) (portRef u0_RD4_0 (instanceRef c0mmu)) )) (net u0_RD6 (joined (portRef u0_RD6) (portRef u0_RD6 (instanceRef c0mmu)) )) (net u0_RD8 (joined (portRef u0_RD8) (portRef u0_RD8 (instanceRef c0mmu)) )) (net u0_DOUTA2_3 (joined (portRef u0_DOUTA2_3) (portRef u0_DOUTA2_3 (instanceRef c0mmu)) )) (net u0_RD16 (joined (portRef u0_RD16) (portRef u0_RD16 (instanceRef c0mmu)) )) (net u0_RD15 (joined (portRef u0_RD15) (portRef u0_RD15 (instanceRef c0mmu)) )) (net u0_RD5_0 (joined (portRef u0_RD5_0) (portRef u0_RD5_0 (instanceRef c0mmu)) )) (net u0_RD4 (joined (portRef u0_RD4) (portRef u0_RD4 (instanceRef c0mmu)) )) (net u0_DOUTA2 (joined (portRef u0_DOUTA2) (portRef u0_DOUTA2 (instanceRef c0mmu)) )) (net u0_RD7 (joined (portRef u0_RD7) (portRef u0_RD7 (instanceRef c0mmu)) )) (net u0_DOUTA1_6 (joined (portRef u0_DOUTA1_6) (portRef u0_DOUTA1_6 (instanceRef c0mmu)) )) (net u0_RD3_0 (joined (portRef u0_RD3_0) (portRef u0_RD3_0 (instanceRef c0mmu)) )) (net flush_RNIMRRHB22 (joined (portRef flush_RNIMRRHB22 (instanceRef c0mmu)) (portRef flush_RNIMRRHB22) )) (net flush_RNID7RHB22 (joined (portRef flush_RNID7RHB22 (instanceRef c0mmu)) (portRef flush_RNID7RHB22) )) (net flush_RNIRUPHB22 (joined (portRef flush_RNIRUPHB22 (instanceRef c0mmu)) (portRef flush_RNIRUPHB22) )) (net flush_RNICD8ME (joined (portRef flush_RNICD8ME (instanceRef c0mmu)) (portRef flush_RNICD8ME) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef c0mmu)) (portRef ramclk (instanceRef iu0)) )) (net un1_ici (joined (portRef un1_ici (instanceRef c0mmu)) (portRef un1_ici) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef newptag_sn_m2_i_o2_0_m3 (instanceRef c0mmu)) (portRef newptag_sn_m2_i_o2_0_m3 (instanceRef iu0)) (portRef newptag_sn_m2_i_o2_0_m3) )) (net flush (joined (portRef flush (instanceRef iu0)) (portRef flush_0 (instanceRef c0mmu)) (portRef flush) )) (net un1_addout_28_10 (joined (portRef un1_addout_28_10 (instanceRef iu0)) (portRef un1_addout_28_10 (instanceRef c0mmu)) (portRef un1_addout_28_10) )) (net rst (joined (portRef rst) (portRef rst (instanceRef c0mmu)) (portRef rst (instanceRef iu0)) )) (net faddr_1_sqmuxa_m2_0 (joined (portRef faddr_1_sqmuxa_m2_0 (instanceRef c0mmu)) (portRef faddr_1_sqmuxa_m2_0) )) (net u0_RD5_2 (joined (portRef u0_RD5_2) (portRef u0_RD5_2 (instanceRef c0mmu)) )) (net u0_RD3_1 (joined (portRef u0_RD3_1) (portRef u0_RD3_1 (instanceRef c0mmu)) )) (net u0_RD17_0 (joined (portRef u0_RD17_0) (portRef u0_RD17_0 (instanceRef c0mmu)) )) (net u0_RD15_0 (joined (portRef u0_RD15_0) (portRef u0_RD15_0 (instanceRef c0mmu)) )) (net u0_RD13_0 (joined (portRef u0_RD13_0) (portRef u0_RD13_0 (instanceRef c0mmu)) )) (net u0_RD9_0 (joined (portRef u0_RD9_0) (portRef u0_RD9_0 (instanceRef c0mmu)) )) (net u0_RD4_2 (joined (portRef u0_RD4_2) (portRef u0_RD4_2 (instanceRef c0mmu)) )) (net un1_addout_27 (joined (portRef un1_addout_27 (instanceRef iu0)) (portRef un1_addout_27 (instanceRef c0mmu)) (portRef un1_addout_27) )) (net un16_casaen_0_1 (joined (portRef un16_casaen_0_1 (instanceRef iu0)) (portRef un16_casaen_0_1 (instanceRef c0mmu)) (portRef un16_casaen_0_1) )) (net u0_RD6_0 (joined (portRef u0_RD6_0) (portRef u0_RD6_0 (instanceRef c0mmu)) )) (net u0_RD7_0 (joined (portRef u0_RD7_0) (portRef u0_RD7_0 (instanceRef c0mmu)) )) (net u0_DOUTA1_9 (joined (portRef u0_DOUTA1_9) (portRef u0_DOUTA1_9 (instanceRef c0mmu)) )) (net flush2_RNICRKB7 (joined (portRef flush2_RNICRKB7 (instanceRef c0mmu)) (portRef flush2_RNICRKB7) )) (net u0_DOUTA0_12 (joined (portRef u0_DOUTA0_12) (portRef u0_DOUTA0_12 (instanceRef c0mmu)) )) (net u0_RD5_1 (joined (portRef u0_RD5_1) (portRef u0_RD5_1 (instanceRef c0mmu)) )) (net u0_DOUTA1_11 (joined (portRef u0_DOUTA1_11) (portRef u0_DOUTA1_11 (instanceRef c0mmu)) )) (net u0_DOUTA2_12 (joined (portRef u0_DOUTA2_12) (portRef u0_DOUTA2_12 (instanceRef c0mmu)) )) (net u0_RD0_1 (joined (portRef u0_RD0_1) (portRef u0_RD0_1 (instanceRef c0mmu)) )) (net u0_DOUTA2_8 (joined (portRef u0_DOUTA2_8) (portRef u0_DOUTA2_8 (instanceRef c0mmu)) )) (net u0_DOUTA1_10 (joined (portRef u0_DOUTA1_10) (portRef u0_DOUTA1_10 (instanceRef c0mmu)) )) (net u0_RD1_1 (joined (portRef u0_RD1_1) (portRef u0_RD1_1 (instanceRef c0mmu)) )) (net u0_DOUTA3_8 (joined (portRef u0_DOUTA3_8) (portRef u0_DOUTA3_8 (instanceRef c0mmu)) )) (net u0_RD2_2 (joined (portRef u0_RD2_2) (portRef u0_RD2_2 (instanceRef c0mmu)) )) (net u0_DOUTA2_14 (joined (portRef u0_DOUTA2_14) (portRef u0_DOUTA2_14 (instanceRef c0mmu)) )) (net u0_DOUTA3_9 (joined (portRef u0_DOUTA3_9) (portRef u0_DOUTA3_9 (instanceRef c0mmu)) )) (net flush2_RNI1FKK2 (joined (portRef flush2_RNI1FKK2 (instanceRef c0mmu)) (portRef flush2_RNI1FKK2) )) (net u0_RD0_2 (joined (portRef u0_RD0_2) (portRef u0_RD0_2 (instanceRef c0mmu)) )) (net u0_RD1_2 (joined (portRef u0_RD1_2) (portRef u0_RD1_2 (instanceRef c0mmu)) )) (net u0_RD3_2 (joined (portRef u0_RD3_2) (portRef u0_RD3_2 (instanceRef c0mmu)) )) (net flush2_RNIVAKK2 (joined (portRef flush2_RNIVAKK2 (instanceRef c0mmu)) (portRef flush2_RNIVAKK2) )) (net flush2_RNI3JKK2 (joined (portRef flush2_RNI3JKK2 (instanceRef c0mmu)) (portRef flush2_RNI3JKK2) )) (net flush2_RNI5NKK2 (joined (portRef flush2_RNI5NKK2 (instanceRef c0mmu)) (portRef flush2_RNI5NKK2) )) (net u0_DOUTA1_7 (joined (portRef u0_DOUTA1_7) (portRef u0_DOUTA1_7 (instanceRef c0mmu)) )) (net N_897 (joined (portRef N_897 (instanceRef c0mmu)) (portRef N_897) )) (net u0_RD4_1 (joined (portRef u0_RD4_1) (portRef u0_RD4_1 (instanceRef c0mmu)) )) (net u0_RD16_0 (joined (portRef u0_RD16_0) (portRef u0_RD16_0 (instanceRef c0mmu)) )) (net u0_RD14_0 (joined (portRef u0_RD14_0) (portRef u0_RD14_0 (instanceRef c0mmu)) )) (net u0_DOUTA2_7 (joined (portRef u0_DOUTA2_7) (portRef u0_DOUTA2_7 (instanceRef c0mmu)) )) (net u0_DOUTA0_8 (joined (portRef u0_DOUTA0_8) (portRef u0_DOUTA0_8 (instanceRef c0mmu)) )) (net u0_DOUTA2_9 (joined (portRef u0_DOUTA2_9) (portRef u0_DOUTA2_9 (instanceRef c0mmu)) )) (net N_896 (joined (portRef N_896 (instanceRef c0mmu)) (portRef N_896) )) (net N_895 (joined (portRef N_895 (instanceRef c0mmu)) (portRef N_895) )) (net N_893 (joined (portRef N_893 (instanceRef c0mmu)) (portRef N_893) )) (net N_891 (joined (portRef N_891 (instanceRef c0mmu)) (portRef N_891) )) (net u0_RD12_0 (joined (portRef u0_RD12_0) (portRef u0_RD12_0 (instanceRef c0mmu)) )) (net u0_RD8_0 (joined (portRef u0_RD8_0) (portRef u0_RD8_0 (instanceRef c0mmu)) )) (net u0_DOUTA0_9 (joined (portRef u0_DOUTA0_9) (portRef u0_DOUTA0_9 (instanceRef c0mmu)) )) (net N_898 (joined (portRef N_898 (instanceRef c0mmu)) (portRef N_898) )) (net u0_RD2_1 (joined (portRef u0_RD2_1) (portRef u0_RD2_1 (instanceRef c0mmu)) )) (net u0_DOUTA3_7 (joined (portRef u0_DOUTA3_7) (portRef u0_DOUTA3_7 (instanceRef c0mmu)) )) (net u0_DOUTA0_7 (joined (portRef u0_DOUTA0_7) (portRef u0_DOUTA0_7 (instanceRef c0mmu)) )) (net u0_DOUTA0_10 (joined (portRef u0_DOUTA0_10) (portRef u0_DOUTA0_10 (instanceRef c0mmu)) )) (net u0_DOUTA3_12 (joined (portRef u0_DOUTA3_12) (portRef u0_DOUTA3_12 (instanceRef c0mmu)) )) (net u0_DOUTA1_12 (joined (portRef u0_DOUTA1_12) (portRef u0_DOUTA1_12 (instanceRef c0mmu)) )) (net u0_DOUTA3_13 (joined (portRef u0_DOUTA3_13) (portRef u0_DOUTA3_13 (instanceRef c0mmu)) )) (net u0_DOUTA2_13 (joined (portRef u0_DOUTA2_13) (portRef u0_DOUTA2_13 (instanceRef c0mmu)) )) (net u0_DOUTA1_13 (joined (portRef u0_DOUTA1_13) (portRef u0_DOUTA1_13 (instanceRef c0mmu)) )) (net u0_DOUTA0_13 (joined (portRef u0_DOUTA0_13) (portRef u0_DOUTA0_13 (instanceRef c0mmu)) )) (net u0_DOUTA3_11 (joined (portRef u0_DOUTA3_11) (portRef u0_DOUTA3_11 (instanceRef c0mmu)) )) (net u0_DOUTA1_14 (joined (portRef u0_DOUTA1_14) (portRef u0_DOUTA1_14 (instanceRef c0mmu)) )) (net u0_DOUTA3_14 (joined (portRef u0_DOUTA3_14) (portRef u0_DOUTA3_14 (instanceRef c0mmu)) )) (net u0_DOUTA0_14 (joined (portRef u0_DOUTA0_14) (portRef u0_DOUTA0_14 (instanceRef c0mmu)) )) (net u0_RD11_0 (joined (portRef u0_RD11_0) (portRef u0_RD11_0 (instanceRef c0mmu)) )) (net cfgsel_RNIFO4S1 (joined (portRef cfgsel_RNIFO4S1) (portRef cfgsel_RNIFO4S1 (instanceRef c0mmu)) )) (net cfgsel_RNILM2N1 (joined (portRef cfgsel_RNILM2N1) (portRef cfgsel_RNILM2N1 (instanceRef c0mmu)) )) (net u0_DOUTA1_8 (joined (portRef u0_DOUTA1_8) (portRef u0_DOUTA1_8 (instanceRef c0mmu)) )) (net u0_DOUTA3_10 (joined (portRef u0_DOUTA3_10) (portRef u0_DOUTA3_10 (instanceRef c0mmu)) )) (net u0_DOUTA0_11 (joined (portRef u0_DOUTA0_11) (portRef u0_DOUTA0_11 (instanceRef c0mmu)) )) (net N_894 (joined (portRef N_894 (instanceRef c0mmu)) (portRef N_894) )) (net N_892 (joined (portRef N_892 (instanceRef c0mmu)) (portRef N_892) )) (net u0_RD10_0 (joined (portRef u0_RD10_0) (portRef u0_RD10_0 (instanceRef c0mmu)) )) (net u0_DOUTA2_10 (joined (portRef u0_DOUTA2_10) (portRef u0_DOUTA2_10 (instanceRef c0mmu)) )) (net u0_DOUTA2_11 (joined (portRef u0_DOUTA2_11) (portRef u0_DOUTA2_11 (instanceRef c0mmu)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property hindex (integer 0)) (property fabtech (integer 10)) (property memtech (integer 10)) (property nwindows (integer 7)) (property dsu (integer 1)) (property fpu (integer 0)) (property v8 (integer 0)) (property cp (integer 0)) (property mac (integer 0)) (property pclow (integer 2)) (property notag (integer 0)) (property nwp (integer 0)) (property icen (integer 1)) (property irepl (integer 0)) (property isets (integer 1)) (property ilinesize (integer 4)) (property isetsize (integer 4)) (property isetlock (integer 0)) (property dcen (integer 1)) (property drepl (integer 0)) (property dsets (integer 1)) (property dlinesize (integer 4)) (property dsetsize (integer 4)) (property dsetlock (integer 0)) (property dsnoop (integer 0)) (property ilram (integer 0)) (property ilramsize (integer 1)) (property ilramstart (integer 142)) (property dlram (integer 0)) (property dlramsize (integer 1)) (property dlramstart (integer 143)) (property mmuen (integer 0)) (property itlbnum (integer 2)) (property dtlbnum (integer 2)) (property tlb_type (integer 1)) (property tlb_rep (integer 1)) (property lddel (integer 1)) (property disas (integer 0)) (property tbuf (integer 0)) (property pwd (integer 2)) (property svt (integer 0)) (property rstaddr (integer 0)) (property smp (integer 0)) (property cached (integer 0)) (property clk2x (integer 0)) (property scantest (integer 0)) (property mmupgsz (integer 0)) (property bp (integer 1)) ) ) (cell grgpio (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename gpio_in "gpio_in[6:2]") 5) (direction INPUT)) (port (array (rename gpio_in_c "gpio_in_c[1:0]") 2) (direction INPUT)) (port (array (rename pwdata_i "pwdata_i[6:0]") 7) (direction INPUT)) (port (array (rename paddr_2 "paddr_2[2:2]") 1) (direction INPUT)) (port readdata_2_m_3 (direction OUTPUT)) (port readdata_2_m_4 (direction OUTPUT)) (port readdata_2_m_5 (direction OUTPUT)) (port readdata_2_m_2 (direction OUTPUT)) (port readdata_2_m_1 (direction OUTPUT)) (port (array (rename pwdata_0 "pwdata_0[3:2]") 2) (direction INPUT)) (port pwdata_5 (direction INPUT)) (port pwdata_4 (direction INPUT)) (port pwdata_6 (direction INPUT)) (port pwdata_1 (direction INPUT)) (port pwdata_0_d0 (direction INPUT)) (port prdata_0 (direction OUTPUT)) (port prdata_6 (direction OUTPUT)) (port paddr_0 (direction INPUT)) (port paddr_1 (direction INPUT)) (port paddr_2_d0 (direction INPUT)) (port paddr_8 (direction INPUT)) (port (array (rename dout "dout[6:0]") 7) (direction OUTPUT)) (port (array (rename prdata_iv_0 "prdata_iv_0[5:1]") 5) (direction OUTPUT)) (port (array (rename oen_i "oen_i[6:0]") 7) (direction OUTPUT)) (port ramclk (direction INPUT)) (port N_897 (direction OUTPUT)) (port readdata57_1 (direction OUTPUT)) (port readdata55_3 (direction OUTPUT)) (port readdata57_3 (direction INPUT)) (port rstn (direction INPUT)) (port N_337 (direction INPUT)) (port N_127 (direction INPUT)) (port un1_apbi_0 (direction INPUT)) (port psel (direction INPUT)) (port readdata56_3 (direction INPUT)) (port readdata55_1 (direction INPUT)) (port readdata57_2 (direction OUTPUT)) (port readdata55_3_0 (direction OUTPUT)) ) (contents (instance (rename comb_readdata15_0 "comb.readdata15_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dir_RNICI7_0 "r.dir_RNICI7[0]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_dir_RNIDM7_1 "r.dir_RNIDM7[1]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_dir_RNIEQ7_2 "r.dir_RNIEQ7[2]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_dir_RNIFU7_3 "r.dir_RNIFU7[3]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_dir_RNIG28_4 "r.dir_RNIG28[4]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_dir_RNIH68_5 "r.dir_RNIH68[5]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_dir_RNIIA8_6 "r.dir_RNIIA8[6]") (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance (rename r_dout_RNIEUPI_3 "r.dout_RNIEUPI[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dout_RNIGUPI_4 "r.dout_RNIGUPI[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dout_RNIAUPI_1 "r.dout_RNIAUPI[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dout_RNICUPI_2 "r.dout_RNICUPI[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dout_RNIIUPI_5 "r.dout_RNIIUPI[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename comb_un1_apbi_1 "comb.un1_apbi_1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dir_RNIDDBQ_6 "r.dir_RNIDDBQ[6]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dir_RNIRKAQ_0 "r.dir_RNIRKAQ[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_un1_apbi "comb.un1_apbi") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dout_RNO_0_0 "r.dout_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dout_RNO_0_1 "r.dout_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dout_RNO_0_2 "r.dout_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dout_RNO_0_6 "r.dout_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dout_RNO_0 "r.dout_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dout_RNO_1 "r.dout_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dout_RNO_2 "r.dout_RNO[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dout_RNO_6 "r.dout_RNO[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename v_dir_1_sqmuxa "v.dir_1_sqmuxa") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename v_dout_1_sqmuxa "v.dout_1_sqmuxa") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_din2_RNI8T2A_0 "r.din2_RNI8T2A[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dout_RNI01N8_0 "r.dout_RNI01N8[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dir_RNIJMG7_0 "r.dir_RNIJMG7[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_din2_RNI9T2A_1 "r.din2_RNI9T2A[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dir_RNIKQG7_1 "r.dir_RNIKQG7[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_din2_RNIAT2A_2 "r.din2_RNIAT2A[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dir_RNILUG7_2 "r.dir_RNILUG7[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_din2_RNIBT2A_3 "r.din2_RNIBT2A[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_din2_RNIDT2A_5 "r.din2_RNIDT2A[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dir_RNIOAH7_5 "r.dir_RNIOAH7[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_din2_RNIET2A_6 "r.din2_RNIET2A[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dout_RNI61N8_6 "r.dout_RNI61N8[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dir_RNIPEH7_6 "r.dir_RNIPEH7[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_readdata15_2 "comb.readdata15_2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename comb_readdata15 "comb.readdata15") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dir_RNIN6H7_4 "r.dir_RNIN6H7[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_din2_RNICT2A_4 "r.din2_RNICT2A[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dout_RNO_4 "r.dout_RNO[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dout_RNO_0_4 "r.dout_RNO_0[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dout_RNO_0_5 "r.dout_RNO_0[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_dout_RNO_5 "r.dout_RNO[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dir_RNIM2H7_3 "r.dir_RNIM2H7[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dout_RNO_3 "r.dout_RNO[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_dout_RNO_0_3 "r.dout_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename comb_readdata17_1 "comb.readdata17_1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_readdata16_1 "comb.readdata16_1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dout_0 "r.dout[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dout_1 "r.dout[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dout_2 "r.dout[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dout_3 "r.dout[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dout_4 "r.dout[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dout_5 "r.dout[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dout_6 "r.dout[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_dir_0 "r.dir[0]") (viewRef prim (cellRef DFN1E1P0 (libraryRef PA3))) ) (instance (rename r_dir_1 "r.dir[1]") (viewRef prim (cellRef DFN1E1P0 (libraryRef PA3))) ) (instance (rename r_dir_2 "r.dir[2]") (viewRef prim (cellRef DFN1E1P0 (libraryRef PA3))) ) (instance (rename r_dir_3 "r.dir[3]") (viewRef prim (cellRef DFN1E1P0 (libraryRef PA3))) ) (instance (rename r_dir_4 "r.dir[4]") (viewRef prim (cellRef DFN1E1P0 (libraryRef PA3))) ) (instance (rename r_dir_5 "r.dir[5]") (viewRef prim (cellRef DFN1E1P0 (libraryRef PA3))) ) (instance (rename r_dir_6 "r.dir[6]") (viewRef prim (cellRef DFN1E1P0 (libraryRef PA3))) ) (instance (rename r_din1_0 "r.din1[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din1_1 "r.din1[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din1_2 "r.din1[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din1_3 "r.din1[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din1_4 "r.din1[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din1_5 "r.din1[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din1_6 "r.din1[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din2_0 "r.din2[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din2_1 "r.din2[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din2_2 "r.din2[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din2_3 "r.din2[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din2_4 "r.din2[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din2_5 "r.din2[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_din2_6 "r.din2[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename oen_i_0 "oen_i[0]") (joined (portRef Y (instanceRef r_dir_RNICI7_0)) (portRef (member oen_i 6)) )) (net (rename oen_0 "oen[0]") (joined (portRef Q (instanceRef r_dir_0)) (portRef B (instanceRef r_dir_RNIJMG7_0)) (portRef A (instanceRef r_dir_RNICI7_0)) )) (net (rename oen_i_1 "oen_i[1]") (joined (portRef Y (instanceRef r_dir_RNIDM7_1)) (portRef (member oen_i 5)) )) (net (rename oen_1 "oen[1]") (joined (portRef Q (instanceRef r_dir_1)) (portRef B (instanceRef r_dir_RNIKQG7_1)) (portRef A (instanceRef r_dir_RNIDM7_1)) )) (net (rename oen_i_2 "oen_i[2]") (joined (portRef Y (instanceRef r_dir_RNIEQ7_2)) (portRef (member oen_i 4)) )) (net (rename oen_2 "oen[2]") (joined (portRef Q (instanceRef r_dir_2)) (portRef B (instanceRef r_dir_RNILUG7_2)) (portRef A (instanceRef r_dir_RNIEQ7_2)) )) (net (rename oen_i_3 "oen_i[3]") (joined (portRef Y (instanceRef r_dir_RNIFU7_3)) (portRef (member oen_i 3)) )) (net (rename oen_3 "oen[3]") (joined (portRef Q (instanceRef r_dir_3)) (portRef B (instanceRef r_dir_RNIM2H7_3)) (portRef A (instanceRef r_dir_RNIFU7_3)) )) (net (rename oen_i_4 "oen_i[4]") (joined (portRef Y (instanceRef r_dir_RNIG28_4)) (portRef (member oen_i 2)) )) (net (rename oen_4 "oen[4]") (joined (portRef Q (instanceRef r_dir_4)) (portRef B (instanceRef r_dir_RNIN6H7_4)) (portRef A (instanceRef r_dir_RNIG28_4)) )) (net (rename oen_i_5 "oen_i[5]") (joined (portRef Y (instanceRef r_dir_RNIH68_5)) (portRef (member oen_i 1)) )) (net (rename oen_5 "oen[5]") (joined (portRef Q (instanceRef r_dir_5)) (portRef B (instanceRef r_dir_RNIOAH7_5)) (portRef A (instanceRef r_dir_RNIH68_5)) )) (net (rename oen_i_6 "oen_i[6]") (joined (portRef Y (instanceRef r_dir_RNIIA8_6)) (portRef (member oen_i 0)) )) (net (rename oen_6 "oen[6]") (joined (portRef Q (instanceRef r_dir_6)) (portRef B (instanceRef r_dir_RNIPEH7_6)) (portRef A (instanceRef r_dir_RNIIA8_6)) )) (net (rename prdata_iv_0_3 "prdata_iv_0[3]") (joined (portRef Y (instanceRef r_dout_RNIEUPI_3)) (portRef (member prdata_iv_0 2)) )) (net (rename un1_grgpio0_m_67 "un1_grgpio0_m[67]") (joined (portRef Y (instanceRef r_din2_RNIBT2A_3)) (portRef C (instanceRef r_dout_RNIEUPI_3)) )) (net (rename prdata_iv_0_4 "prdata_iv_0[4]") (joined (portRef Y (instanceRef r_dout_RNIGUPI_4)) (portRef (member prdata_iv_0 1)) )) (net readdata56_3 (joined (portRef readdata56_3) (portRef A (instanceRef r_dout_RNI61N8_6)) (portRef A (instanceRef r_dout_RNI01N8_0)) (portRef A (instanceRef v_dout_1_sqmuxa)) (portRef A (instanceRef r_dout_RNIIUPI_5)) (portRef A (instanceRef r_dout_RNICUPI_2)) (portRef A (instanceRef r_dout_RNIAUPI_1)) (portRef A (instanceRef r_dout_RNIGUPI_4)) (portRef A (instanceRef r_dout_RNIEUPI_3)) )) (net (rename un1_grgpio0_m_68 "un1_grgpio0_m[68]") (joined (portRef Y (instanceRef r_din2_RNICT2A_4)) (portRef C (instanceRef r_dout_RNIGUPI_4)) )) (net (rename prdata_iv_0_1 "prdata_iv_0[1]") (joined (portRef Y (instanceRef r_dout_RNIAUPI_1)) (portRef (member prdata_iv_0 4)) )) (net (rename un1_grgpio0_m_65 "un1_grgpio0_m[65]") (joined (portRef Y (instanceRef r_din2_RNI9T2A_1)) (portRef C (instanceRef r_dout_RNIAUPI_1)) )) (net (rename prdata_iv_0_2 "prdata_iv_0[2]") (joined (portRef Y (instanceRef r_dout_RNICUPI_2)) (portRef (member prdata_iv_0 3)) )) (net (rename un1_grgpio0_m_66 "un1_grgpio0_m[66]") (joined (portRef Y (instanceRef r_din2_RNIAT2A_2)) (portRef C (instanceRef r_dout_RNICUPI_2)) )) (net (rename prdata_iv_0_5 "prdata_iv_0[5]") (joined (portRef Y (instanceRef r_dout_RNIIUPI_5)) (portRef (member prdata_iv_0 0)) )) (net (rename un1_grgpio0_m_69 "un1_grgpio0_m[69]") (joined (portRef Y (instanceRef r_din2_RNIDT2A_5)) (portRef C (instanceRef r_dout_RNIIUPI_5)) )) (net un1_apbi_1 (joined (portRef Y (instanceRef comb_un1_apbi_1)) (portRef A (instanceRef comb_un1_apbi)) )) (net (rename paddr_8 "paddr[11]") (joined (portRef paddr_8) (portRef A (instanceRef comb_un1_apbi_1)) )) (net psel (joined (portRef psel) (portRef B (instanceRef comb_un1_apbi_1)) )) (net un1_apbi_0 (joined (portRef un1_apbi_0) (portRef C (instanceRef comb_un1_apbi_1)) )) (net (rename prdata_6 "prdata[6]") (joined (portRef Y (instanceRef r_dir_RNIDDBQ_6)) (portRef prdata_6) )) (net (rename un1_grgpio0_m_70 "un1_grgpio0_m[70]") (joined (portRef Y (instanceRef r_din2_RNIET2A_6)) (portRef A (instanceRef r_dir_RNIDDBQ_6)) )) (net (rename dout_m_6 "dout_m[6]") (joined (portRef Y (instanceRef r_dout_RNI61N8_6)) (portRef B (instanceRef r_dir_RNIDDBQ_6)) )) (net (rename readdata_2_m_6 "readdata_2_m[6]") (joined (portRef Y (instanceRef r_dir_RNIPEH7_6)) (portRef C (instanceRef r_dir_RNIDDBQ_6)) )) (net (rename prdata_0 "prdata[0]") (joined (portRef Y (instanceRef r_dir_RNIRKAQ_0)) (portRef prdata_0) )) (net (rename un1_grgpio0_m_64 "un1_grgpio0_m[64]") (joined (portRef Y (instanceRef r_din2_RNI8T2A_0)) (portRef A (instanceRef r_dir_RNIRKAQ_0)) )) (net (rename dout_m_0 "dout_m[0]") (joined (portRef Y (instanceRef r_dout_RNI01N8_0)) (portRef B (instanceRef r_dir_RNIRKAQ_0)) )) (net (rename readdata_2_m_0 "readdata_2_m[0]") (joined (portRef Y (instanceRef r_dir_RNIJMG7_0)) (portRef C (instanceRef r_dir_RNIRKAQ_0)) )) (net un1_apbi (joined (portRef Y (instanceRef comb_un1_apbi)) (portRef B (instanceRef v_dout_1_sqmuxa)) (portRef B (instanceRef v_dir_1_sqmuxa)) )) (net N_127 (joined (portRef N_127) (portRef B (instanceRef comb_un1_apbi)) )) (net N_337 (joined (portRef N_337) (portRef C (instanceRef comb_un1_apbi)) )) (net N_2846 (joined (portRef Y (instanceRef r_dout_RNO_0_0)) (portRef B (instanceRef r_dout_RNO_0)) )) (net (rename pwdata_0_d0 "pwdata[0]") (joined (portRef pwdata_0_d0) (portRef B (instanceRef r_dout_RNO_0_0)) )) (net dout_1_sqmuxa (joined (portRef Y (instanceRef v_dout_1_sqmuxa)) (portRef S (instanceRef r_dout_RNO_0_3)) (portRef S (instanceRef r_dout_RNO_0_5)) (portRef S (instanceRef r_dout_RNO_0_4)) (portRef S (instanceRef r_dout_RNO_0_6)) (portRef S (instanceRef r_dout_RNO_0_2)) (portRef S (instanceRef r_dout_RNO_0_1)) (portRef S (instanceRef r_dout_RNO_0_0)) )) (net N_2847 (joined (portRef Y (instanceRef r_dout_RNO_0_1)) (portRef B (instanceRef r_dout_RNO_1)) )) (net (rename dout_1 "dout[1]") (joined (portRef Q (instanceRef r_dout_1)) (portRef A (instanceRef r_dout_RNO_0_1)) (portRef B (instanceRef r_dout_RNIAUPI_1)) (portRef (member dout 5)) )) (net (rename pwdata_1 "pwdata[1]") (joined (portRef pwdata_1) (portRef B (instanceRef r_dout_RNO_0_1)) )) (net N_2848 (joined (portRef Y (instanceRef r_dout_RNO_0_2)) (portRef B (instanceRef r_dout_RNO_2)) )) (net (rename dout_2 "dout[2]") (joined (portRef Q (instanceRef r_dout_2)) (portRef A (instanceRef r_dout_RNO_0_2)) (portRef B (instanceRef r_dout_RNICUPI_2)) (portRef (member dout 4)) )) (net (rename pwdata_0_2 "pwdata_0[2]") (joined (portRef (member pwdata_0 1)) (portRef B (instanceRef r_dout_RNO_0_2)) )) (net N_2852 (joined (portRef Y (instanceRef r_dout_RNO_0_6)) (portRef B (instanceRef r_dout_RNO_6)) )) (net (rename pwdata_6 "pwdata[6]") (joined (portRef pwdata_6) (portRef B (instanceRef r_dout_RNO_0_6)) )) (net (rename dout_RNO_0 "dout_RNO[0]") (joined (portRef Y (instanceRef r_dout_RNO_0)) (portRef D (instanceRef r_dout_0)) )) (net (rename dout_RNO_1 "dout_RNO[1]") (joined (portRef Y (instanceRef r_dout_RNO_1)) (portRef D (instanceRef r_dout_1)) )) (net rstn (joined (portRef rstn) (portRef PRE (instanceRef r_dir_6)) (portRef PRE (instanceRef r_dir_5)) (portRef PRE (instanceRef r_dir_4)) (portRef PRE (instanceRef r_dir_3)) (portRef PRE (instanceRef r_dir_2)) (portRef PRE (instanceRef r_dir_1)) (portRef PRE (instanceRef r_dir_0)) (portRef A (instanceRef r_dout_RNO_3)) (portRef A (instanceRef r_dout_RNO_5)) (portRef A (instanceRef r_dout_RNO_4)) (portRef A (instanceRef r_dout_RNO_6)) (portRef A (instanceRef r_dout_RNO_2)) (portRef A (instanceRef r_dout_RNO_1)) (portRef A (instanceRef r_dout_RNO_0)) )) (net (rename dout_RNO_2 "dout_RNO[2]") (joined (portRef Y (instanceRef r_dout_RNO_2)) (portRef D (instanceRef r_dout_2)) )) (net (rename dout_RNO_6 "dout_RNO[6]") (joined (portRef Y (instanceRef r_dout_RNO_6)) (portRef D (instanceRef r_dout_6)) )) (net dir_1_sqmuxa (joined (portRef Y (instanceRef v_dir_1_sqmuxa)) (portRef E (instanceRef r_dir_6)) (portRef E (instanceRef r_dir_5)) (portRef E (instanceRef r_dir_4)) (portRef E (instanceRef r_dir_3)) (portRef E (instanceRef r_dir_2)) (portRef E (instanceRef r_dir_1)) (portRef E (instanceRef r_dir_0)) )) (net readdata55_3_0 (joined (portRef Y (instanceRef comb_readdata15_0)) (portRef A (instanceRef r_din2_RNIDT2A_5)) (portRef A (instanceRef r_din2_RNIBT2A_3)) (portRef A (instanceRef r_din2_RNIAT2A_2)) (portRef A (instanceRef r_din2_RNI9T2A_1)) (portRef A (instanceRef r_din2_RNI8T2A_0)) (portRef readdata55_3_0) )) (net (rename un1_grgpio0_64 "un1_grgpio0[64]") (joined (portRef Q (instanceRef r_din2_0)) (portRef B (instanceRef r_din2_RNI8T2A_0)) )) (net (rename dout_0 "dout[0]") (joined (portRef Q (instanceRef r_dout_0)) (portRef B (instanceRef r_dout_RNI01N8_0)) (portRef A (instanceRef r_dout_RNO_0_0)) (portRef (member dout 6)) )) (net readdata57_3 (joined (portRef readdata57_3) (portRef A (instanceRef r_dir_RNIM2H7_3)) (portRef A (instanceRef r_dir_RNIN6H7_4)) (portRef A (instanceRef r_dir_RNIPEH7_6)) (portRef A (instanceRef r_dir_RNIOAH7_5)) (portRef A (instanceRef r_dir_RNILUG7_2)) (portRef A (instanceRef r_dir_RNIKQG7_1)) (portRef A (instanceRef r_dir_RNIJMG7_0)) (portRef A (instanceRef v_dir_1_sqmuxa)) )) (net (rename un1_grgpio0_65 "un1_grgpio0[65]") (joined (portRef Q (instanceRef r_din2_1)) (portRef B (instanceRef r_din2_RNI9T2A_1)) )) (net (rename readdata_2_m_1 "readdata_2_m[1]") (joined (portRef Y (instanceRef r_dir_RNIKQG7_1)) (portRef readdata_2_m_1) )) (net (rename un1_grgpio0_66 "un1_grgpio0[66]") (joined (portRef Q (instanceRef r_din2_2)) (portRef B (instanceRef r_din2_RNIAT2A_2)) )) (net (rename readdata_2_m_2 "readdata_2_m[2]") (joined (portRef Y (instanceRef r_dir_RNILUG7_2)) (portRef readdata_2_m_2) )) (net (rename un1_grgpio0_67 "un1_grgpio0[67]") (joined (portRef Q (instanceRef r_din2_3)) (portRef B (instanceRef r_din2_RNIBT2A_3)) )) (net (rename un1_grgpio0_69 "un1_grgpio0[69]") (joined (portRef Q (instanceRef r_din2_5)) (portRef B (instanceRef r_din2_RNIDT2A_5)) )) (net (rename readdata_2_m_5 "readdata_2_m[5]") (joined (portRef Y (instanceRef r_dir_RNIOAH7_5)) (portRef readdata_2_m_5) )) (net (rename un1_grgpio0_70 "un1_grgpio0[70]") (joined (portRef Q (instanceRef r_din2_6)) (portRef B (instanceRef r_din2_RNIET2A_6)) )) (net (rename dout_6 "dout[6]") (joined (portRef Q (instanceRef r_dout_6)) (portRef B (instanceRef r_dout_RNI61N8_6)) (portRef A (instanceRef r_dout_RNO_0_6)) (portRef (member dout 0)) )) (net readdata57_2 (joined (portRef Y (instanceRef comb_readdata15_2)) (portRef A (instanceRef comb_readdata15)) (portRef A (instanceRef comb_readdata15_0)) (portRef readdata57_2) )) (net (rename paddr_2_d0 "paddr[5]") (joined (portRef paddr_2_d0) (portRef A (instanceRef comb_readdata15_2)) )) (net (rename paddr_1 "paddr[4]") (joined (portRef paddr_1) (portRef B (instanceRef comb_readdata15_2)) )) (net readdata55_3 (joined (portRef Y (instanceRef comb_readdata15)) (portRef A (instanceRef r_din2_RNICT2A_4)) (portRef A (instanceRef r_din2_RNIET2A_6)) (portRef readdata55_3) )) (net readdata55_1 (joined (portRef readdata55_1) (portRef B (instanceRef comb_readdata15)) (portRef B (instanceRef comb_readdata15_0)) )) (net (rename readdata_2_m_4 "readdata_2_m[4]") (joined (portRef Y (instanceRef r_dir_RNIN6H7_4)) (portRef readdata_2_m_4) )) (net (rename un1_grgpio0_68 "un1_grgpio0[68]") (joined (portRef Q (instanceRef r_din2_4)) (portRef B (instanceRef r_din2_RNICT2A_4)) )) (net (rename dout_RNO_4 "dout_RNO[4]") (joined (portRef Y (instanceRef r_dout_RNO_4)) (portRef D (instanceRef r_dout_4)) )) (net N_2850 (joined (portRef Y (instanceRef r_dout_RNO_0_4)) (portRef B (instanceRef r_dout_RNO_4)) )) (net (rename dout_4 "dout[4]") (joined (portRef Q (instanceRef r_dout_4)) (portRef A (instanceRef r_dout_RNO_0_4)) (portRef B (instanceRef r_dout_RNIGUPI_4)) (portRef (member dout 2)) )) (net (rename pwdata_4 "pwdata[4]") (joined (portRef pwdata_4) (portRef B (instanceRef r_dout_RNO_0_4)) )) (net N_2851 (joined (portRef Y (instanceRef r_dout_RNO_0_5)) (portRef B (instanceRef r_dout_RNO_5)) )) (net (rename dout_5 "dout[5]") (joined (portRef Q (instanceRef r_dout_5)) (portRef A (instanceRef r_dout_RNO_0_5)) (portRef B (instanceRef r_dout_RNIIUPI_5)) (portRef (member dout 1)) )) (net (rename pwdata_5 "pwdata[5]") (joined (portRef pwdata_5) (portRef B (instanceRef r_dout_RNO_0_5)) )) (net (rename dout_RNO_5 "dout_RNO[5]") (joined (portRef Y (instanceRef r_dout_RNO_5)) (portRef D (instanceRef r_dout_5)) )) (net (rename readdata_2_m_3 "readdata_2_m[3]") (joined (portRef Y (instanceRef r_dir_RNIM2H7_3)) (portRef readdata_2_m_3) )) (net (rename dout_RNO_3 "dout_RNO[3]") (joined (portRef Y (instanceRef r_dout_RNO_3)) (portRef D (instanceRef r_dout_3)) )) (net N_2849 (joined (portRef Y (instanceRef r_dout_RNO_0_3)) (portRef B (instanceRef r_dout_RNO_3)) )) (net (rename dout_3 "dout[3]") (joined (portRef Q (instanceRef r_dout_3)) (portRef A (instanceRef r_dout_RNO_0_3)) (portRef B (instanceRef r_dout_RNIEUPI_3)) (portRef (member dout 3)) )) (net (rename pwdata_0_3 "pwdata_0[3]") (joined (portRef (member pwdata_0 0)) (portRef B (instanceRef r_dout_RNO_0_3)) )) (net readdata57_1 (joined (portRef Y (instanceRef comb_readdata17_1)) (portRef readdata57_1) )) (net N_897 (joined (portRef Y (instanceRef comb_readdata16_1)) (portRef N_897) )) (net (rename paddr_2_2 "paddr_2[2]") (joined (portRef (member paddr_2 0)) (portRef A (instanceRef comb_readdata16_1)) (portRef B (instanceRef comb_readdata17_1)) )) (net (rename paddr_0 "paddr[3]") (joined (portRef paddr_0) (portRef B (instanceRef comb_readdata16_1)) (portRef A (instanceRef comb_readdata17_1)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_din2_6)) (portRef CLK (instanceRef r_din2_5)) (portRef CLK (instanceRef r_din2_4)) (portRef CLK (instanceRef r_din2_3)) (portRef CLK (instanceRef r_din2_2)) (portRef CLK (instanceRef r_din2_1)) (portRef CLK (instanceRef r_din2_0)) (portRef CLK (instanceRef r_din1_6)) (portRef CLK (instanceRef r_din1_5)) (portRef CLK (instanceRef r_din1_4)) (portRef CLK (instanceRef r_din1_3)) (portRef CLK (instanceRef r_din1_2)) (portRef CLK (instanceRef r_din1_1)) (portRef CLK (instanceRef r_din1_0)) (portRef CLK (instanceRef r_dir_6)) (portRef CLK (instanceRef r_dir_5)) (portRef CLK (instanceRef r_dir_4)) (portRef CLK (instanceRef r_dir_3)) (portRef CLK (instanceRef r_dir_2)) (portRef CLK (instanceRef r_dir_1)) (portRef CLK (instanceRef r_dir_0)) (portRef CLK (instanceRef r_dout_6)) (portRef CLK (instanceRef r_dout_5)) (portRef CLK (instanceRef r_dout_4)) (portRef CLK (instanceRef r_dout_3)) (portRef CLK (instanceRef r_dout_2)) (portRef CLK (instanceRef r_dout_1)) (portRef CLK (instanceRef r_dout_0)) )) (net (rename pwdata_i_0 "pwdata_i[0]") (joined (portRef (member pwdata_i 6)) (portRef D (instanceRef r_dir_0)) )) (net (rename pwdata_i_1 "pwdata_i[1]") (joined (portRef (member pwdata_i 5)) (portRef D (instanceRef r_dir_1)) )) (net (rename pwdata_i_2 "pwdata_i[2]") (joined (portRef (member pwdata_i 4)) (portRef D (instanceRef r_dir_2)) )) (net (rename pwdata_i_3 "pwdata_i[3]") (joined (portRef (member pwdata_i 3)) (portRef D (instanceRef r_dir_3)) )) (net (rename pwdata_i_4 "pwdata_i[4]") (joined (portRef (member pwdata_i 2)) (portRef D (instanceRef r_dir_4)) )) (net (rename pwdata_i_5 "pwdata_i[5]") (joined (portRef (member pwdata_i 1)) (portRef D (instanceRef r_dir_5)) )) (net (rename pwdata_i_6 "pwdata_i[6]") (joined (portRef (member pwdata_i 0)) (portRef D (instanceRef r_dir_6)) )) (net (rename din1_0 "din1[0]") (joined (portRef Q (instanceRef r_din1_0)) (portRef D (instanceRef r_din2_0)) )) (net (rename gpio_in_c_0 "gpio_in_c[0]") (joined (portRef (member gpio_in_c 1)) (portRef D (instanceRef r_din1_0)) )) (net (rename din1_1 "din1[1]") (joined (portRef Q (instanceRef r_din1_1)) (portRef D (instanceRef r_din2_1)) )) (net (rename gpio_in_c_1 "gpio_in_c[1]") (joined (portRef (member gpio_in_c 0)) (portRef D (instanceRef r_din1_1)) )) (net (rename din1_2 "din1[2]") (joined (portRef Q (instanceRef r_din1_2)) (portRef D (instanceRef r_din2_2)) )) (net (rename gpio_in_2 "gpio_in[2]") (joined (portRef (member gpio_in 4)) (portRef D (instanceRef r_din1_2)) )) (net (rename din1_3 "din1[3]") (joined (portRef Q (instanceRef r_din1_3)) (portRef D (instanceRef r_din2_3)) )) (net (rename gpio_in_3 "gpio_in[3]") (joined (portRef (member gpio_in 3)) (portRef D (instanceRef r_din1_3)) )) (net (rename din1_4 "din1[4]") (joined (portRef Q (instanceRef r_din1_4)) (portRef D (instanceRef r_din2_4)) )) (net (rename gpio_in_4 "gpio_in[4]") (joined (portRef (member gpio_in 2)) (portRef D (instanceRef r_din1_4)) )) (net (rename din1_5 "din1[5]") (joined (portRef Q (instanceRef r_din1_5)) (portRef D (instanceRef r_din2_5)) )) (net (rename gpio_in_5 "gpio_in[5]") (joined (portRef (member gpio_in 1)) (portRef D (instanceRef r_din1_5)) )) (net (rename din1_6 "din1[6]") (joined (portRef Q (instanceRef r_din1_6)) (portRef D (instanceRef r_din2_6)) )) (net (rename gpio_in_6 "gpio_in[6]") (joined (portRef (member gpio_in 0)) (portRef D (instanceRef r_din1_6)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property pindex (integer 11)) (property paddr (integer 11)) (property pmask (integer 4095)) (property imask (integer 0)) (property nbits (integer 7)) (property oepol (integer 0)) (property syncrst (integer 0)) (property bypass (integer 0)) (property scantest (integer 0)) (property bpdir (integer 0)) (property pirq (integer 0)) (property irqgen (integer 0)) ) ) (cell apbuart (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port rxf_1 (direction INPUT)) (port rxf_0 (direction OUTPUT)) (port (array (rename paddr_2 "paddr_2[2:2]") 1) (direction INPUT)) (port brate_10 (direction OUTPUT)) (port brate_9 (direction OUTPUT)) (port (array (rename pwdata_0 "pwdata_0[5:2]") 4) (direction INPUT)) (port (array (rename prdata "prdata[11:11]") 1) (direction OUTPUT)) (port (array (rename pirq "pirq[2:2]") 1) (direction OUTPUT)) (port (array (rename pwdata "pwdata[14:0]") 15) (direction INPUT)) (port paddr_0_d0 (direction INPUT)) (port paddr_2_d0 (direction INPUT)) (port paddr_1 (direction INPUT)) (port paddr_7 (direction INPUT)) (port (array (rename prdata_0_iv_0_1 "prdata_0_iv_0_1[7:7]") 1) (direction OUTPUT)) (port (array (rename prdata_iv_0_1 "prdata_iv_0_1[6:0]") 7) (direction OUTPUT)) (port (array (rename prdata_iv_0_2 "prdata_iv_0_2[6:0]") 7) (direction OUTPUT)) (port (array (rename paddr_0 "paddr_0[3:2]") 2) (direction INPUT)) (port ahbrxd_c (direction INPUT)) (port ramclk (direction INPUT)) (port utxd1_c (direction OUTPUT)) (port N_859 (direction OUTPUT)) (port N_897 (direction INPUT)) (port breakirqen (direction OUTPUT)) (port readdata55_1 (direction INPUT)) (port N_847 (direction OUTPUT)) (port N_846 (direction OUTPUT)) (port N_35 (direction INPUT)) (port tsemptyirqen_0 (direction OUTPUT)) (port N_343 (direction INPUT)) (port pwrite (direction INPUT)) (port N_330 (direction INPUT)) (port penable (direction INPUT)) (port delayirqen (direction OUTPUT)) (port rmw_1_sqmuxa_2 (direction OUTPUT)) (port rstn (direction INPUT)) (port rdata_3_sqmuxa (direction OUTPUT)) (port rdata_2_sqmuxa (direction OUTPUT)) ) (contents (instance (rename v_brate_1_sqmuxa_0_o2_0 "v.brate_1_sqmuxa_0_o2_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance un4_scaler_I_8 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_19 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_51 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename apbo_prdata_iv_0_a2_1_6 "apbo.prdata_iv_0_a2_1[6]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename apbo_prdata_iv_0_a2_6 "apbo.prdata_iv_0_a2[6]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename apbo_prdata_iv_0_a2_1_3 "apbo.prdata_iv_0_a2_1[3]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename apbo_prdata_iv_0_a2_3 "apbo.prdata_iv_0_a2[3]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename apbo_prdata_iv_0_a2_0_0 "apbo.prdata_iv_0_a2_0[0]") (viewRef prim (cellRef NAND2 (libraryRef PA3))) ) (instance (rename apbo_prdata_iv_0_a2_3_0 "apbo.prdata_iv_0_a2_3[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxstate_nss_i_0_a3_0_0_0_0 "r.rxstate_nss_i_0_a3_0_0_0[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename uartop_v_rsempty_1_i "uartop.v.rsempty_1_i") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNIURH5_4 "r.tshift_RNIURH5[4]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_tshift_RNI1UO2_6 "r.tshift_RNI1UO2[6]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_tshift_RNITTO2_4 "r.tshift_RNITTO2[4]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename uartop_v_rsempty_1_i_RNO "uartop.v.rsempty_1_i_RNO") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename apbo_prdata_iv_0_a2_1_RNIUQE7_3 "apbo.prdata_iv_0_a2_1_RNIUQE7[3]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename apbo_prdata_iv_0_a2_1_RNI4JF7_6 "apbo.prdata_iv_0_a2_1_RNI4JF7[6]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename apbo_prdata_iv_0_a2_0_RNIPBM1_0 "apbo.prdata_iv_0_a2_0_RNIPBM1[0]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_rhold_0_RNI44EU9_3 "r.rhold_0_RNI44EU9[3]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_brate_RNILUO86_4 "r.brate_RNILUO86[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_ovf_RNIK8AP9 "r.ovf_RNIK8AP9") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_brate_RNIDVR86_2 "r.brate_RNIDVR86[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_rhold_0_RNI6JCV9_2 "r.rhold_0_RNI6JCV9[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_brate_RNIIIN86_1 "r.brate_RNIIIN86[1]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_rhold_0_RNIRHAV9_1 "r.rhold_0_RNIRHAV9[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_rhold_0_RNI8DGU9_6 "r.rhold_0_RNI8DGU9[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_brate_RNI5B0D6_5 "r.brate_RNI5B0D6[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_parerr_RNIPOHQ9 "r.parerr_RNIPOHQ9") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_brate_RNIBEM86_0 "r.brate_RNIBEM86[0]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_rhold_0_RNI9AP63_0 "r.rhold_0_RNI9AP63[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_rhold_0_RNI49PV9_7 "r.rhold_0_RNI49PV9[7]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_irq_RNO_1 "r.irq_RNO_1") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_irq_RNO_3 "r.irq_RNO_3") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_rcnt_RNO_0_0 "r.rcnt_RNO_0[0]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_tcnt_RNO_0_0 "r.tcnt_RNO_0[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_rirqen_RNI49O8 "r.rirqen_RNI49O8") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename v_break_1_sqmuxa_0_a2_0_0 "v.break_1_sqmuxa_0_a2_0_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_5 "r.tshift_RNO_0[5]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_0 "r.tshift_RNO_0[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_0 "r.tshift_RNO_1[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_txtick_RNO_0 "r.txtick_RNO_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_irqpend_RNI87KE "r.irqpend_RNI87KE") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename uartop_un1_apbi_2_0 "uartop.un1_apbi_2_0") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_rxstate_i_RNO_0_4 "r.rxstate_i_RNO_0[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_0_1 "r.rxstate_RNO_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_0_2 "r.rxstate_RNO_0[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxtick_RNO_0 "r.rxtick_RNO_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_txstate_RNIPM09_1 "r.txstate_RNIPM09[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_dpar_RNIK769 "r.dpar_RNIK769") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_rxstate_RNIKLUE_1_0 "r.rxstate_RNIKLUE_1[0]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2_0 "r.tshift_RNO_2[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_txstate_RNO_2_1 "r.txstate_RNO_2[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_rxstate_RNIKLUE_0_0 "r.rxstate_RNIKLUE_0[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_txen_RNI75SF "r.txen_RNI75SF") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_dpar_RNO_1 "r.dpar_RNO_1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_dpar_RNO_5 "r.dpar_RNO_5") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_rshift_RNIM794_4 "r.rshift_RNIM794[4]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_rshift_RNI6794_1 "r.rshift_RNI6794[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_rshift_RNITJ42_6 "r.rshift_RNITJ42[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_rshift_RNILJ42_2 "r.rshift_RNILJ42[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename uartop_v_rsempty_1_i_RNO_3 "uartop.v.rsempty_1_i_RNO_3") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_flow_RNIMUJ8 "r.flow_RNIMUJ8") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_tshift_RNIO879_2 "r.tshift_RNIO879[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_tshift_RNI5UO2_8 "r.tshift_RNI5UO2[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_rsempty_RNI34MC "r.rsempty_RNI34MC") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename v_breakirqen_1_sqmuxa_0_o2 "v.breakirqen_1_sqmuxa_0_o2") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_rcnt_RNO_0 "r.rcnt_RNO[0]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename uartop_v_rsempty_1_i_RNO_1 "uartop.v.rsempty_1_i_RNO_1") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_rxstate_RNIG4HN_0_0 "r.rxstate_RNIG4HN_0[0]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_rcnt_RNI2QSC4_0 "r.rcnt_RNI2QSC4[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_8 "r.tshift_RNO[8]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_7 "r.tshift_RNO[7]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_6 "r.tshift_RNO[6]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_4 "r.tshift_RNO[4]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_3 "r.tshift_RNO[3]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2 "r.tshift_RNO[2]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1 "r.tshift_RNO[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance rdata_4_sqmuxa_0_a2_0_o2_0 (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename uartop_un1_apbi_2 "uartop.un1_apbi_2") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_5 "r.tshift_RNO[5]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_txd_RNO "r.txd_RNO") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_flow_RNI21O61 "r.flow_RNI21O61") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_tshift_RNIR2IH_2 "r.tshift_RNIR2IH[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_rcnt_RNIAVQJ_0 "r.rcnt_RNIAVQJ[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_irqcnt_RNO_4 "r.irqcnt_RNO[4]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_irqcnt_RNO_3 "r.irqcnt_RNO[3]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_irqcnt_RNO_2 "r.irqcnt_RNO[2]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_irqcnt_RNO_1 "r.irqcnt_RNO[1]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_txtick_RNO "r.txtick_RNO") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_irq_RNO "r.irq_RNO") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_rxstate_RNIG4HN_0 "r.rxstate_RNIG4HN[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_irq_RNO_4 "r.irq_RNO_4") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_txstate_RNO_1_0 "r.txstate_RNO_1[0]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_tpar_RNO_0 "r.tpar_RNO_0") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_txstate_RNO_2_0 "r.txstate_RNO_2[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0 "r.tshift_RNO[0]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename v_break_1_sqmuxa_0_a2 "v.break_1_sqmuxa_0_a2") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_rxtick_RNO "r.rxtick_RNO") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_dpar_RNO "r.dpar_RNO") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_rxstate_i_RNO_4 "r.rxstate_i_RNO[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dpar_RNO_4 "r.dpar_RNO_4") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_rxstate_RNIUOA9_0 "r.rxstate_RNIUOA9[0]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_tick_RNO "r.tick_RNO") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_1_0 "r.rxstate_RNO_1[0]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_0 "r.rxstate_RNO[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_dpar_RNI8T4O "r.dpar_RNI8T4O") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxdb_RNI0F8G_1 "r.rxdb_RNI0F8G[1]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_ovf_RNO_1 "r.ovf_RNO_1") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename uartop_v_irq_5_2_0_a2 "uartop.v.irq_5_2_0_a2") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_thold_0_RNIREKJ3_7 "r.thold_0_RNIREKJ3[7]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename v_break_1_sqmuxa_0_a2_0 "v.break_1_sqmuxa_0_a2_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_delayirqen_RNI07BF "r.delayirqen_RNI07BF") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename uartop_v_rsempty_1_i_RNO_0 "uartop.v.rsempty_1_i_RNO_0") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename uartop_v_rsempty_1_i_RNO_2 "uartop.v.rsempty_1_i_RNO_2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxstate_i_RNO_1_4 "r.rxstate_i_RNO_1[4]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_9 "r.tshift_RNO_0[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_3 "r.rxstate_RNO[3]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_0_3 "r.rxstate_RNO_0[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_rxstate_i_RNO_2_4 "r.rxstate_i_RNO_2[4]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_rxdb_RNO_0_0 "r.rxdb_RNO_0[0]") (viewRef prim (cellRef MIN3 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_9 "r.tshift_RNO[9]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_rsempty_RNO_0 "r.rsempty_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_break_RNO_0 "r.break_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_frame_RNO_0 "r.frame_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_txen_RNO_0 "r.txen_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_extclken_RNO_0 "r.extclken_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_0 "r.rshift_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename uartop_rdata57_0_a2_1_o2 "uartop.rdata57_0_a2_1_o2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_tirqen_RNID1M3 "r.tirqen_RNID1M3") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_rxen_RNIIOG23 "r.rxen_RNIIOG23") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_debug_RNI6FN53 "r.debug_RNI6FN53") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2_1 "r.tshift_RNO_2[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_1 "r.tshift_RNO_0[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_1 "r.tshift_RNO_1[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2_2 "r.tshift_RNO_2[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_2 "r.tshift_RNO_0[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_2 "r.tshift_RNO_1[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2_3 "r.tshift_RNO_2[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_3 "r.tshift_RNO_0[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_3 "r.tshift_RNO_1[3]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2_4 "r.tshift_RNO_2[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_4 "r.tshift_RNO_0[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_4 "r.tshift_RNO_1[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2_6 "r.tshift_RNO_2[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_6 "r.tshift_RNO_0[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_6 "r.tshift_RNO_1[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2_7 "r.tshift_RNO_2[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_7 "r.tshift_RNO_0[7]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_7 "r.tshift_RNO_1[7]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_2_8 "r.tshift_RNO_2[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_tshift_RNO_0_8 "r.tshift_RNO_0[8]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_8 "r.tshift_RNO_1[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_rxen_RNI4SI4 "r.rxen_RNI4SI4") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_brate_RNITE4B6_11 "r.brate_RNITE4B6[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_0 "r.scaler_RNO_0[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_2 "r.scaler_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_3 "r.scaler_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_6 "r.scaler_RNO_0[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0 "r.scaler_RNO[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1 "r.scaler_RNO[1]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2 "r.scaler_RNO[2]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3 "r.scaler_RNO[3]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_6 "r.scaler_RNO[6]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_brate_RNIJ3173_8 "r.brate_RNIJ3173[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_extclken_RNI7EO03 "r.extclken_RNI7EO03") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_rsempty_RNO_1 "r.rsempty_RNO_1") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_rsempty_RNO "r.rsempty_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_tcnt_RNI27ID_0 "r.tcnt_RNI27ID[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_break_RNO_2 "r.break_RNO_2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_break_RNO_1 "r.break_RNO_1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_extclken_RNO "r.extclken_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_txen_RNO "r.txen_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_frame_RNO "r.frame_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_break_RNO "r.break_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_tirqen_RNIFBH82 "r.tirqen_RNIFBH82") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_rhold_0_RNIMM353_6 "r.rhold_0_RNIMM353[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_thold_0_RNIQAKJ3_6 "r.thold_0_RNIQAKJ3[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_thold_0_RNIP6KJ3_5 "r.thold_0_RNIP6KJ3[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rhold_0_RNIJA353_3 "r.rhold_0_RNIJA353[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_thold_0_RNILMJJ3_1 "r.thold_0_RNILMJJ3[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxen_RNI0BM13 "r.rxen_RNI0BM13") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename v_thold_0_0_sqmuxa_0_a2 "v.thold_0_0_sqmuxa_0_a2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNI6J3I_11 "r.scaler_RNI6J3I[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_11 "r.scaler_RNO[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_11 "r.scaler_RNO_0[11]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename v_thold_0_0_sqmuxa_0_o2 "v.thold_0_0_sqmuxa_0_o2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_rcnt_RNIISVB1_0 "r.rcnt_RNIISVB1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_frame_RNO_2 "r.frame_RNO_2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_frame_RNO_1 "r.frame_RNO_1") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_5 "r.scaler_RNO_0[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_8 "r.scaler_RNO_0[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_9 "r.scaler_RNO_0[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_10 "r.scaler_RNO_0[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_scaler_RNO_5 "r.scaler_RNO[5]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_8 "r.scaler_RNO[8]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_9 "r.scaler_RNO[9]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_10 "r.scaler_RNO[10]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_rhold_0_RNO_0 "r.rhold_0_RNO[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rhold_0_RNO_1 "r.rhold_0_RNO[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rhold_0_RNO_2 "r.rhold_0_RNO[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rhold_0_RNO_3 "r.rhold_0_RNO[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rhold_0_RNO_4 "r.rhold_0_RNO[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rhold_0_RNO_5 "r.rhold_0_RNO[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rhold_0_RNO_6 "r.rhold_0_RNO[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rhold_0_RNO_7 "r.rhold_0_RNO[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0 "r.rshift_RNO[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename uartop_un1_apbi_6_i_o2 "uartop.un1_apbi_6_i_o2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_tsempty_RNIEEDT1 "r.tsempty_RNIEEDT1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_txtick_RNIO1FF_0 "r.txtick_RNIO1FF_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_txtick_RNIQ27M1 "r.txtick_RNIQ27M1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_txstate_RNO_1_1 "r.txstate_RNO_1[1]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_txtick_RNIQ27M1_0 "r.txtick_RNIQ27M1_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_txtick_RNIO1FF "r.txtick_RNIO1FF") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_txstate_RNO_3_1 "r.txstate_RNO_3[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_txstate_RNI1RKJ1_1 "r.txstate_RNI1RKJ1[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_tshift_RNO_1_5 "r.tshift_RNO_1[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_txstate_RNO_1 "r.txstate_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_txstate_RNO_0_1 "r.txstate_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rxdb_RNO_0 "r.rxdb_RNO[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_rxstate_i_RNI5HRL_4 "r.rxstate_i_RNI5HRL[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_rsempty_RNI5T7E "r.rsempty_RNI5T7E") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_rxen_RNO "r.rxen_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_rxen_RNO_0 "r.rxen_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_irqcnt_RNO_0_5 "r.irqcnt_RNO_0[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_irqcnt_RNII2U_3 "r.irqcnt_RNII2U[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_irqcnt_RNISHM_2 "r.irqcnt_RNISHM[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_irqcnt_RNI71F_1 "r.irqcnt_RNI71F[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_irqcnt_RNO_5 "r.irqcnt_RNO[5]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_irqcnt_RNO_0 "r.irqcnt_RNO[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_delayirqen_RNIARMN "r.delayirqen_RNIARMN") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_irqpend_RNO "r.irqpend_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_delayirqen_RNIT47B "r.delayirqen_RNIT47B") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_rirqen_RNI3I6I5 "r.rirqen_RNI3I6I5") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_rirqen_RNIEIVA2 "r.rirqen_RNIEIVA2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_irqpend_RNO_2 "r.irqpend_RNO_2") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_irqpend_RNO_1 "r.irqpend_RNO_1") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_irqcnt_RNIF1F_5 "r.irqcnt_RNIF1F[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_irqpend_RNO_0 "r.irqpend_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rhold_0_RNIH2353_1 "r.rhold_0_RNIH2353[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_txen_RNI6BN13 "r.txen_RNI6BN13") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_tsempty_RNILOJ63 "r.tsempty_RNILOJ63") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_1 "r.scaler_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_rshift_RNO_6 "r.rshift_RNO[6]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_5 "r.rshift_RNO[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_4 "r.rshift_RNO[4]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_3 "r.rshift_RNO[3]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_2 "r.rshift_RNO[2]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_1 "r.rshift_RNO[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_6 "r.rshift_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_5 "r.rshift_RNO_0[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_4 "r.rshift_RNO_0[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_3 "r.rshift_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_2 "r.rshift_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_1 "r.rshift_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_tcnt_RNO_0 "r.tcnt_RNO[0]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_thold_0_RNIMQJJ3_2 "r.thold_0_RNIMQJJ3[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rhold_0_RNII6353_2 "r.rhold_0_RNII6353[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rirqen_RNI0KR13 "r.rirqen_RNI0KR13") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_tcnt_RNIUHL63_0 "r.tcnt_RNIUHL63[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_tcnt_RNO_1_0 "r.tcnt_RNO_1[0]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_txclk_RNO_0_0 "r.txclk_RNO_0[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_txclk_RNO_0 "r.txclk_RNO[0]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_txtick_RNIKUIN1 "r.txtick_RNIKUIN1") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_txclk_RNO_2 "r.txclk_RNO[2]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_txclk_RNO_1 "r.txclk_RNO[1]") (viewRef prim (cellRef XA1B (libraryRef PA3))) ) (instance (rename r_tick_RNI5KSP1 "r.tick_RNI5KSP1") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance un3_txclk_1_CO1 (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_tsempty_RNO "r.tsempty_RNO") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_txstate_RNO_0_0 "r.txstate_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_txstate_RNO_0 "r.txstate_RNO[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_txstate_RNO_3_0 "r.txstate_RNO_3[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_tpar_RNO "r.tpar_RNO") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_txstate_RNIVPSC_1 "r.txstate_RNIVPSC[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_tpar_RNO_1 "r.tpar_RNO_1") (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_irq_RNO_0 "r.irq_RNO_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_irq_RNO_2 "r.irq_RNO_2") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_frame_RNIOBO53 "r.frame_RNIOBO53") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un2_rxclk_1_CO1 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un2_rxclk_1_SUM1_0 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) ) (instance un2_rxclk_1_SUM2_0 (viewRef prim (cellRef XOR2 (libraryRef PA3))) ) (instance (rename r_tick_RNIG2HP "r.tick_RNIG2HP") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_rxclk_RNO_0 "r.rxclk_RNO[0]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_rxclk_RNO_1 "r.rxclk_RNO[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_rxclk_RNO_2 "r.rxclk_RNO[2]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_2 "r.rxstate_RNO[2]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_1_2 "r.rxstate_RNO_1[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dpar_RNO_3 "r.dpar_RNO_3") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dpar_RNO_0 "r.dpar_RNO_0") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_rxstate_RNIG2GC_3 "r.rxstate_RNIG2GC[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_0_0 "r.rxstate_RNO_0[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxstate_RNIOTR6_1 "r.rxstate_RNIOTR6[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_rxstate_RNIM7FK_2 "r.rxstate_RNIM7FK[2]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_rxstate_RNIKLUE_0 "r.rxstate_RNIKLUE[0]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_rxstate_RNIP1S6_2 "r.rxstate_RNIP1S6[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dpar_RNO_2 "r.dpar_RNO_2") (viewRef prim (cellRef XAI1 (libraryRef PA3))) ) (instance (rename r_rshift_RNO_7 "r.rshift_RNO[7]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_rshift_RNIV8H3_0 "r.rshift_RNIV8H3[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_1 "r.rxstate_RNO[1]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_rxstate_RNO_1_1 "r.rxstate_RNO_1[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_parerr_RNO_2 "r.parerr_RNO_2") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_parerr_RNO_1 "r.parerr_RNO_1") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_parerr_RNO_3 "r.parerr_RNO_3") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_parerr_RNO "r.parerr_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_rshift_RNO_0_7 "r.rshift_RNO_0[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_parerr_RNO_0 "r.parerr_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ovf_RNO_0 "r.ovf_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ovf_RNO "r.ovf_RNO") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_thold_0_RNIO2KJ3_4 "r.thold_0_RNIO2KJ3[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rhold_0_RNIKE353_4 "r.rhold_0_RNIKE353[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_parsel_RNI6BO13 "r.parsel_RNI6BO13") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ovf_RNI8NI03 "r.ovf_RNI8NI03") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_4 "r.scaler_RNO[4]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_4 "r.scaler_RNO_0[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_thold_0_RNINUJJ3_3 "r.thold_0_RNINUJJ3[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_break_RNIQQM53 "r.break_RNIQQM53") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename v_brate_1_sqmuxa_0_o2 "v.brate_1_sqmuxa_0_o2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance rdata_4_sqmuxa_0_a2_0_o2 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename uartop_rdata57_0_a2_1_o2_0 "uartop.rdata57_0_a2_1_o2_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename apbo_prdata_iv_0_a2_4_6 "apbo.prdata_iv_0_a2_4[6]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance rdata_0_sqmuxa_0_a2 (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance rdata_3_sqmuxa_0_a2_0_a2 (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance rdata_2_sqmuxa_0_a2_0_a2 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance rdata_2_sqmuxa_0_a2_0_a2_0 (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename v_breakirqen_1_sqmuxa_0_a2 "v.breakirqen_1_sqmuxa_0_a2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_flow_RNO "r.flow_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_loopb_RNIL6T53 "r.loopb_RNIL6T53") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_brate_RNIIV073_7 "r.brate_RNIIV073[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_7 "r.scaler_RNO[7]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_7 "r.scaler_RNO_0[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_rhold_0_RNINQ353_7 "r.rhold_0_RNINQ353[7]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_flow_RNO_0 "r.flow_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_tcnt_RNII4FE3_0 "r.tcnt_RNII4FE3[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_rhold_0_RNILI353_5 "r.rhold_0_RNILI353[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_paren_RNILJV53 "r.paren_RNILJV53") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_parerr_RNIBVP13 "r.parerr_RNIBVP13") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_txd "r.txd") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tick "r.tick") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_irq "r.irq") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_irqcnt_0 "r.irqcnt[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_irqcnt_1 "r.irqcnt[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_irqcnt_2 "r.irqcnt[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_irqcnt_3 "r.irqcnt[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_irqcnt_4 "r.irqcnt[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_irqcnt_5 "r.irqcnt[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_tpar "r.tpar") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_dpar "r.dpar") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_tsemptyirqen "r.tsemptyirqen") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_tirqen "r.tirqen") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rirqen "r.rirqen") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_parsel "r.parsel") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_paren "r.paren") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_loopb "r.loopb") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_delayirqen "r.delayirqen") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_debug "r.debug") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_breakirqen "r.breakirqen") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rsempty "r.rsempty") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tsempty "r.tsempty") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tcnt_0 "r.tcnt[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rcnt_0 "r.rcnt[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ovf "r.ovf") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_extclken "r.extclken") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_flow "r.flow") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxen "r.rxen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_txen "r.txen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_irqpend "r.irqpend") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_parerr "r.parerr") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_frame "r.frame") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_break "r.break") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_txtick "r.txtick") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxtick "r.rxtick") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_txstate_0 "r.txstate[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_txstate_1 "r.txstate[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxstate_i_4 "r.rxstate_i[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxstate_3 "r.rxstate[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxstate_2 "r.rxstate[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxstate_1 "r.rxstate[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxstate_0 "r.rxstate[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_0 "r.rshift[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_1 "r.rshift[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_2 "r.rshift[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_3 "r.rshift[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_4 "r.rshift[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_5 "r.rshift[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_6 "r.rshift[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rshift_7 "r.rshift[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxclk_0 "r.rxclk[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rxclk_1 "r.rxclk[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rxclk_2 "r.rxclk[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_0_0 "r.rhold_0[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_0_1 "r.rhold_0[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_0_2 "r.rhold_0[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_0_3 "r.rhold_0[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_0_4 "r.rhold_0[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_0_5 "r.rhold_0[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_0_6 "r.rhold_0[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rhold_0_7 "r.rhold_0[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_thold_0_0 "r.thold_0[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_thold_0_1 "r.thold_0[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_thold_0_2 "r.thold_0[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_thold_0_3 "r.thold_0[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_thold_0_4 "r.thold_0[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_thold_0_5 "r.thold_0[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_thold_0_6 "r.thold_0[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_thold_0_7 "r.thold_0[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_brate_0 "r.brate[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_1 "r.brate[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_2 "r.brate[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_3 "r.brate[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_4 "r.brate[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_5 "r.brate[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_6 "r.brate[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_7 "r.brate[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_8 "r.brate[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_9 "r.brate[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_10 "r.brate[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_brate_11 "r.brate[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_0 "r.scaler[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_1 "r.scaler[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_2 "r.scaler[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_3 "r.scaler[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_4 "r.scaler[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_5 "r.scaler[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_6 "r.scaler[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_7 "r.scaler[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_8 "r.scaler[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_9 "r.scaler[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_10 "r.scaler[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_scaler_11 "r.scaler[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_txclk_0 "r.txclk[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_txclk_1 "r.txclk[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_txclk_2 "r.txclk[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_tshift_0 "r.tshift[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_1 "r.tshift[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_2 "r.tshift[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_3 "r.tshift[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_4 "r.tshift[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_5 "r.tshift[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_6 "r.tshift[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_7 "r.tshift[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_8 "r.tshift[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tshift_9 "r.tshift[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxdb_0 "r.rxdb[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxdb_1 "r.rxdb[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxf_0 "r.rxf[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_rxf_2 "r.rxf[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rxf_3 "r.rxf[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_rxf_4 "r.rxf[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance un4_scaler_I_66 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_65 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_62 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_59 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_56 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_55 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_52 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_48 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_45 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_44 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_41 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_38 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_37 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_34 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_31 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_30 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_27 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_24 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_23 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_20 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_16 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_13 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_12 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_9 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un4_scaler_I_5 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net N_55_0 (joined (portRef Y (instanceRef v_brate_1_sqmuxa_0_o2_0)) (portRef S (instanceRef r_scaler_RNO_7)) (portRef S (instanceRef r_scaler_RNO_4)) (portRef S (instanceRef r_scaler_RNO_10)) (portRef S (instanceRef r_scaler_RNO_9)) (portRef S (instanceRef r_scaler_RNO_8)) (portRef S (instanceRef r_scaler_RNO_5)) (portRef S (instanceRef r_scaler_RNO_11)) (portRef S (instanceRef r_scaler_RNO_6)) (portRef S (instanceRef r_scaler_RNO_3)) (portRef S (instanceRef r_scaler_RNO_2)) (portRef S (instanceRef r_scaler_RNO_1)) (portRef S (instanceRef r_scaler_RNO_0)) (portRef B (instanceRef r_rxen_RNIIOG23)) )) (net N_869 (joined (portRef Y (instanceRef v_breakirqen_1_sqmuxa_0_o2)) (portRef A (instanceRef v_breakirqen_1_sqmuxa_0_a2)) (portRef B (instanceRef v_brate_1_sqmuxa_0_o2)) (portRef B (instanceRef v_brate_1_sqmuxa_0_o2_0)) )) (net N_45 (joined (portRef Y (instanceRef un4_scaler_I_8)) (portRef A (instanceRef un4_scaler_I_9)) )) (net (rename un1_uart1_3 "un1_uart1[3]") (joined (portRef Q (instanceRef r_scaler_1)) (portRef B (instanceRef un4_scaler_I_5)) (portRef B (instanceRef un4_scaler_I_12)) (portRef B (instanceRef un4_scaler_I_16)) (portRef A (instanceRef un4_scaler_I_8)) )) (net (rename un1_uart1_2 "un1_uart1[2]") (joined (portRef Q (instanceRef r_scaler_0)) (portRef A (instanceRef un4_scaler_I_5)) (portRef A (instanceRef un4_scaler_I_12)) (portRef A (instanceRef un4_scaler_I_16)) (portRef B (instanceRef r_scaler_RNO_0_0)) (portRef B (instanceRef un4_scaler_I_8)) )) (net N_37 (joined (portRef Y (instanceRef un4_scaler_I_19)) (portRef A (instanceRef un4_scaler_I_20)) )) (net (rename un1_uart1_5 "un1_uart1[5]") (joined (portRef Q (instanceRef r_scaler_3)) (portRef B (instanceRef un4_scaler_I_13)) (portRef B (instanceRef un4_scaler_I_23)) (portRef A (instanceRef un4_scaler_I_27)) (portRef A (instanceRef un4_scaler_I_34)) (portRef A (instanceRef un4_scaler_I_19)) )) (net (rename DWACT_FDEC_E_0 "DWACT_FDEC_E[0]") (joined (portRef Y (instanceRef un4_scaler_I_16)) (portRef A (instanceRef un4_scaler_I_23)) (portRef A (instanceRef un4_scaler_I_30)) (portRef A (instanceRef un4_scaler_I_37)) (portRef A (instanceRef un4_scaler_I_44)) (portRef A (instanceRef un4_scaler_I_48)) (portRef A (instanceRef un4_scaler_I_62)) (portRef B (instanceRef un4_scaler_I_19)) )) (net N_14 (joined (portRef Y (instanceRef un4_scaler_I_51)) (portRef A (instanceRef un4_scaler_I_52)) )) (net (rename un1_uart1_10 "un1_uart1[10]") (joined (portRef Q (instanceRef r_scaler_8)) (portRef B (instanceRef un4_scaler_I_45)) (portRef B (instanceRef un4_scaler_I_55)) (portRef C (instanceRef un4_scaler_I_59)) (portRef A (instanceRef un4_scaler_I_51)) )) (net (rename DWACT_FDEC_E_4 "DWACT_FDEC_E[4]") (joined (portRef Y (instanceRef un4_scaler_I_48)) (portRef A (instanceRef un4_scaler_I_55)) (portRef B (instanceRef un4_scaler_I_51)) )) (net N_74 (joined (portRef Y (instanceRef apbo_prdata_iv_0_a2_1_6)) (portRef A (instanceRef apbo_prdata_iv_0_a2_1_RNI4JF7_6)) )) (net flow (joined (portRef Q (instanceRef r_flow)) (portRef A (instanceRef r_flow_RNO_0)) (portRef A (instanceRef r_flow_RNIMUJ8)) (portRef A (instanceRef apbo_prdata_iv_0_a2_1_6)) )) (net N_72 (joined (portRef Y (instanceRef apbo_prdata_iv_0_a2_6)) (portRef B (instanceRef apbo_prdata_iv_0_a2_1_RNI4JF7_6)) )) (net (rename brate_6 "brate[6]") (joined (portRef Q (instanceRef r_brate_6)) (portRef A (instanceRef r_scaler_RNO_0_6)) (portRef A (instanceRef apbo_prdata_iv_0_a2_6)) )) (net N_883 (joined (portRef Y (instanceRef apbo_prdata_iv_0_a2_1_3)) (portRef A (instanceRef apbo_prdata_iv_0_a2_1_RNIUQE7_3)) )) (net tirqen (joined (portRef Q (instanceRef r_tirqen)) (portRef A (instanceRef r_tirqen_RNID1M3)) (portRef A (instanceRef apbo_prdata_iv_0_a2_1_3)) )) (net rdata_2_sqmuxa (joined (portRef Y (instanceRef rdata_2_sqmuxa_0_a2_0_a2)) (portRef A (instanceRef r_paren_RNILJV53)) (portRef A (instanceRef r_loopb_RNIL6T53)) (portRef A (instanceRef r_parsel_RNI6BO13)) (portRef A (instanceRef r_rirqen_RNI0KR13)) (portRef B (instanceRef r_txen_RNI6BN13)) (portRef B (instanceRef r_rxen_RNI0BM13)) (portRef A (instanceRef r_extclken_RNI7EO03)) (portRef A (instanceRef r_debug_RNI6FN53)) (portRef B (instanceRef apbo_prdata_iv_0_a2_1_3)) (portRef B (instanceRef apbo_prdata_iv_0_a2_1_6)) (portRef rdata_2_sqmuxa) )) (net N_882 (joined (portRef Y (instanceRef apbo_prdata_iv_0_a2_3)) (portRef B (instanceRef apbo_prdata_iv_0_a2_1_RNIUQE7_3)) )) (net (rename brate_3 "brate[3]") (joined (portRef Q (instanceRef r_brate_3)) (portRef A (instanceRef r_scaler_RNO_0_3)) (portRef A (instanceRef apbo_prdata_iv_0_a2_3)) )) (net rdata_3_sqmuxa (joined (portRef Y (instanceRef rdata_3_sqmuxa_0_a2_0_a2)) (portRef A (instanceRef r_brate_RNIIV073_7)) (portRef A (instanceRef r_brate_RNIJ3173_8)) (portRef A (instanceRef r_brate_RNITE4B6_11)) (portRef A (instanceRef r_brate_RNIBEM86_0)) (portRef A (instanceRef r_brate_RNI5B0D6_5)) (portRef A (instanceRef r_brate_RNIIIN86_1)) (portRef A (instanceRef r_brate_RNIDVR86_2)) (portRef A (instanceRef r_brate_RNILUO86_4)) (portRef B (instanceRef apbo_prdata_iv_0_a2_3)) (portRef B (instanceRef apbo_prdata_iv_0_a2_6)) (portRef rdata_3_sqmuxa) )) (net N_890 (joined (portRef Y (instanceRef apbo_prdata_iv_0_a2_0_0)) (portRef A (instanceRef apbo_prdata_iv_0_a2_0_RNIPBM1_0)) )) (net N_898 (joined (portRef Y (instanceRef apbo_prdata_iv_0_a2_4_6)) (portRef B (instanceRef r_parerr_RNIBVP13)) (portRef B (instanceRef r_break_RNIQQM53)) (portRef B (instanceRef r_ovf_RNI8NI03)) (portRef B (instanceRef r_frame_RNIOBO53)) (portRef A (instanceRef r_tcnt_RNIUHL63_0)) (portRef B (instanceRef r_tsempty_RNILOJ63)) (portRef A (instanceRef apbo_prdata_iv_0_a2_0_0)) )) (net (rename rcnt_0 "rcnt[0]") (joined (portRef Q (instanceRef r_rcnt_0)) (portRef A (instanceRef r_rsempty_RNI5T7E)) (portRef A (instanceRef uartop_v_rsempty_1_i_RNO_2)) (portRef B (instanceRef r_rcnt_RNIAVQJ_0)) (portRef A (instanceRef uartop_v_rsempty_1_i_RNO_1)) (portRef A (instanceRef r_rcnt_RNO_0)) (portRef B (instanceRef r_dpar_RNIK769)) (portRef B (instanceRef apbo_prdata_iv_0_a2_0_0)) )) (net N_893 (joined (portRef Y (instanceRef apbo_prdata_iv_0_a2_3_0)) (portRef B (instanceRef apbo_prdata_iv_0_a2_0_RNIPBM1_0)) )) (net (rename thold_0_0 "thold_0[0]") (joined (portRef Q (instanceRef r_thold_0_0)) (portRef B (instanceRef r_tshift_RNO_0_1)) (portRef A (instanceRef apbo_prdata_iv_0_a2_3_0)) )) (net N_865 (joined (portRef Y (instanceRef r_tcnt_RNII4FE3_0)) (portRef B (instanceRef r_thold_0_RNINUJJ3_3)) (portRef B (instanceRef r_thold_0_RNIO2KJ3_4)) (portRef B (instanceRef r_thold_0_RNIMQJJ3_2)) (portRef B (instanceRef r_tcnt_RNO_0)) (portRef B (instanceRef r_thold_0_RNILMJJ3_1)) (portRef B (instanceRef r_thold_0_RNIP6KJ3_5)) (portRef B (instanceRef r_thold_0_RNIQAKJ3_6)) (portRef B (instanceRef apbo_prdata_iv_0_a2_3_0)) )) (net N_842 (joined (portRef Y (instanceRef r_rxstate_nss_i_0_a3_0_0_0_0)) (portRef A (instanceRef uartop_v_rsempty_1_i)) )) (net N_831 (joined (portRef Y (instanceRef r_rxdb_RNI0F8G_1)) (portRef B (instanceRef r_rxstate_i_RNI5HRL_4)) (portRef B (instanceRef r_rxstate_i_RNO_1_4)) (portRef C (instanceRef r_ovf_RNO_1)) (portRef A (instanceRef r_rxstate_nss_i_0_a3_0_0_0_0)) )) (net (rename rxstate_0 "rxstate[0]") (joined (portRef Q (instanceRef r_rxstate_0)) (portRef C (instanceRef r_rxstate_RNIKLUE_0)) (portRef B (instanceRef r_rsempty_RNO_1)) (portRef A (instanceRef r_rxstate_i_RNO_1_4)) (portRef C (instanceRef uartop_v_rsempty_1_i_RNO_0)) (portRef A (instanceRef r_delayirqen_RNI07BF)) (portRef A (instanceRef r_rxstate_RNIUOA9_0)) (portRef A (instanceRef r_rxstate_RNIKLUE_0_0)) (portRef A (instanceRef r_rxstate_RNIKLUE_1_0)) (portRef B (instanceRef r_rxstate_nss_i_0_a3_0_0_0_0)) )) (net N_821 (joined (portRef Y (instanceRef uartop_v_rsempty_1_i)) (portRef A (instanceRef r_rsempty_RNO_0)) )) (net rsempty_1_i_0_0 (joined (portRef Y (instanceRef uartop_v_rsempty_1_i_RNO)) (portRef B (instanceRef uartop_v_rsempty_1_i)) )) (net (rename txstate_ns_i_0_a2_2_5_0 "txstate_ns_i_0_a2_2_5[0]") (joined (portRef Y (instanceRef r_tshift_RNIURH5_4)) (portRef C (instanceRef r_tshift_RNIR2IH_2)) )) (net (rename txstate_ns_i_0_a2_2_2_0 "txstate_ns_i_0_a2_2_2[0]") (joined (portRef Y (instanceRef r_tshift_RNI1UO2_6)) (portRef A (instanceRef r_tshift_RNIURH5_4)) )) (net (rename txstate_ns_i_0_a2_2_1_0 "txstate_ns_i_0_a2_2_1[0]") (joined (portRef Y (instanceRef r_tshift_RNITTO2_4)) (portRef B (instanceRef r_tshift_RNIURH5_4)) )) (net (rename tshift_7 "tshift[7]") (joined (portRef Q (instanceRef r_tshift_7)) (portRef A (instanceRef r_tshift_RNO_2_7)) (portRef A (instanceRef r_tshift_RNO_1_6)) (portRef A (instanceRef r_tshift_RNI1UO2_6)) )) (net (rename tshift_6 "tshift[6]") (joined (portRef Q (instanceRef r_tshift_6)) (portRef A (instanceRef r_tshift_RNO_2_6)) (portRef A (instanceRef r_tshift_RNO_0_5)) (portRef B (instanceRef r_tshift_RNI1UO2_6)) )) (net (rename tshift_5 "tshift[5]") (joined (portRef Q (instanceRef r_tshift_5)) (portRef A (instanceRef r_tshift_RNO_1_4)) (portRef B (instanceRef r_tshift_RNO_5)) (portRef A (instanceRef r_tshift_RNITTO2_4)) )) (net (rename tshift_4 "tshift[4]") (joined (portRef Q (instanceRef r_tshift_4)) (portRef A (instanceRef r_tshift_RNO_2_4)) (portRef A (instanceRef r_tshift_RNO_1_3)) (portRef B (instanceRef r_tshift_RNITTO2_4)) )) (net rsempty_1_i_0 (joined (portRef Y (instanceRef uartop_v_rsempty_1_i_RNO_0)) (portRef A (instanceRef uartop_v_rsempty_1_i_RNO)) )) (net N_839 (joined (portRef Y (instanceRef uartop_v_rsempty_1_i_RNO_1)) (portRef B (instanceRef uartop_v_rsempty_1_i_RNO)) )) (net (rename prdata_iv_0_2_3 "prdata_iv_0_2[3]") (joined (portRef Y (instanceRef apbo_prdata_iv_0_a2_1_RNIUQE7_3)) (portRef (member prdata_iv_0_2 3)) )) (net (rename prdata_iv_0_2_6 "prdata_iv_0_2[6]") (joined (portRef Y (instanceRef apbo_prdata_iv_0_a2_1_RNI4JF7_6)) (portRef (member prdata_iv_0_2 0)) )) (net (rename prdata_iv_0_0_0 "prdata_iv_0_0[0]") (joined (portRef Y (instanceRef apbo_prdata_iv_0_a2_0_RNIPBM1_0)) (portRef C (instanceRef r_rhold_0_RNI9AP63_0)) )) (net (rename prdata_iv_0_1_3 "prdata_iv_0_1[3]") (joined (portRef Y (instanceRef r_rhold_0_RNI44EU9_3)) (portRef (member prdata_iv_0_1 3)) )) (net N_88 (joined (portRef Y (instanceRef r_break_RNIQQM53)) (portRef A (instanceRef r_rhold_0_RNI44EU9_3)) )) (net N_91 (joined (portRef Y (instanceRef r_thold_0_RNINUJJ3_3)) (portRef B (instanceRef r_rhold_0_RNI44EU9_3)) )) (net N_90 (joined (portRef Y (instanceRef r_rhold_0_RNIJA353_3)) (portRef C (instanceRef r_rhold_0_RNI44EU9_3)) )) (net (rename prdata_iv_0_2_4 "prdata_iv_0_2[4]") (joined (portRef Y (instanceRef r_brate_RNILUO86_4)) (portRef (member prdata_iv_0_2 2)) )) (net (rename brate_4 "brate[4]") (joined (portRef Q (instanceRef r_brate_4)) (portRef A (instanceRef r_scaler_RNO_0_4)) (portRef B (instanceRef r_brate_RNILUO86_4)) )) (net N_879 (joined (portRef Y (instanceRef r_parsel_RNI6BO13)) (portRef C (instanceRef r_brate_RNILUO86_4)) )) (net (rename prdata_iv_0_1_4 "prdata_iv_0_1[4]") (joined (portRef Y (instanceRef r_ovf_RNIK8AP9)) (portRef (member prdata_iv_0_1 2)) )) (net N_878 (joined (portRef Y (instanceRef r_ovf_RNI8NI03)) (portRef A (instanceRef r_ovf_RNIK8AP9)) )) (net N_881 (joined (portRef Y (instanceRef r_thold_0_RNIO2KJ3_4)) (portRef B (instanceRef r_ovf_RNIK8AP9)) )) (net N_880 (joined (portRef Y (instanceRef r_rhold_0_RNIKE353_4)) (portRef C (instanceRef r_ovf_RNIK8AP9)) )) (net (rename prdata_iv_0_2_2 "prdata_iv_0_2[2]") (joined (portRef Y (instanceRef r_brate_RNIDVR86_2)) (portRef (member prdata_iv_0_2 4)) )) (net (rename brate_2 "brate[2]") (joined (portRef Q (instanceRef r_brate_2)) (portRef A (instanceRef r_scaler_RNO_0_2)) (portRef B (instanceRef r_brate_RNIDVR86_2)) )) (net N_94 (joined (portRef Y (instanceRef r_rirqen_RNI0KR13)) (portRef C (instanceRef r_brate_RNIDVR86_2)) )) (net (rename prdata_iv_0_1_2 "prdata_iv_0_1[2]") (joined (portRef Y (instanceRef r_rhold_0_RNI6JCV9_2)) (portRef (member prdata_iv_0_1 4)) )) (net N_93 (joined (portRef Y (instanceRef r_tcnt_RNIUHL63_0)) (portRef A (instanceRef r_rhold_0_RNI6JCV9_2)) )) (net N_96 (joined (portRef Y (instanceRef r_thold_0_RNIMQJJ3_2)) (portRef B (instanceRef r_rhold_0_RNI6JCV9_2)) )) (net N_95 (joined (portRef Y (instanceRef r_rhold_0_RNII6353_2)) (portRef C (instanceRef r_rhold_0_RNI6JCV9_2)) )) (net (rename prdata_iv_0_2_1 "prdata_iv_0_2[1]") (joined (portRef Y (instanceRef r_brate_RNIIIN86_1)) (portRef (member prdata_iv_0_2 5)) )) (net (rename brate_1 "brate[1]") (joined (portRef Q (instanceRef r_brate_1)) (portRef A (instanceRef r_scaler_RNO_0_1)) (portRef B (instanceRef r_brate_RNIIIN86_1)) )) (net N_886 (joined (portRef Y (instanceRef r_txen_RNI6BN13)) (portRef C (instanceRef r_brate_RNIIIN86_1)) )) (net (rename prdata_iv_0_1_1 "prdata_iv_0_1[1]") (joined (portRef Y (instanceRef r_rhold_0_RNIRHAV9_1)) (portRef (member prdata_iv_0_1 5)) )) (net N_885 (joined (portRef Y (instanceRef r_tsempty_RNILOJ63)) (portRef A (instanceRef r_rhold_0_RNIRHAV9_1)) )) (net N_888 (joined (portRef Y (instanceRef r_thold_0_RNILMJJ3_1)) (portRef B (instanceRef r_rhold_0_RNIRHAV9_1)) )) (net N_887 (joined (portRef Y (instanceRef r_rhold_0_RNIH2353_1)) (portRef C (instanceRef r_rhold_0_RNIRHAV9_1)) )) (net (rename prdata_iv_0_1_6 "prdata_iv_0_1[6]") (joined (portRef Y (instanceRef r_rhold_0_RNI8DGU9_6)) (portRef (member prdata_iv_0_1 0)) )) (net N_871 (joined (portRef Y (instanceRef r_frame_RNIOBO53)) (portRef A (instanceRef r_rhold_0_RNI8DGU9_6)) )) (net N_76 (joined (portRef Y (instanceRef r_thold_0_RNIQAKJ3_6)) (portRef B (instanceRef r_rhold_0_RNI8DGU9_6)) )) (net N_872 (joined (portRef Y (instanceRef r_rhold_0_RNIMM353_6)) (portRef C (instanceRef r_rhold_0_RNI8DGU9_6)) )) (net (rename prdata_iv_0_2_5 "prdata_iv_0_2[5]") (joined (portRef Y (instanceRef r_brate_RNI5B0D6_5)) (portRef (member prdata_iv_0_2 1)) )) (net (rename brate_5 "brate[5]") (joined (portRef Q (instanceRef r_brate_5)) (portRef A (instanceRef r_scaler_RNO_0_5)) (portRef B (instanceRef r_brate_RNI5B0D6_5)) )) (net N_874 (joined (portRef Y (instanceRef r_paren_RNILJV53)) (portRef C (instanceRef r_brate_RNI5B0D6_5)) )) (net (rename prdata_iv_0_1_5 "prdata_iv_0_1[5]") (joined (portRef Y (instanceRef r_parerr_RNIPOHQ9)) (portRef (member prdata_iv_0_1 1)) )) (net N_78 (joined (portRef Y (instanceRef r_parerr_RNIBVP13)) (portRef A (instanceRef r_parerr_RNIPOHQ9)) )) (net N_876 (joined (portRef Y (instanceRef r_thold_0_RNIP6KJ3_5)) (portRef B (instanceRef r_parerr_RNIPOHQ9)) )) (net N_875 (joined (portRef Y (instanceRef r_rhold_0_RNILI353_5)) (portRef C (instanceRef r_parerr_RNIPOHQ9)) )) (net (rename prdata_iv_0_2_0 "prdata_iv_0_2[0]") (joined (portRef Y (instanceRef r_brate_RNIBEM86_0)) (portRef (member prdata_iv_0_2 6)) )) (net (rename brate_0 "brate[0]") (joined (portRef Q (instanceRef r_brate_0)) (portRef A (instanceRef r_scaler_RNO_0_0)) (portRef B (instanceRef r_brate_RNIBEM86_0)) )) (net N_891 (joined (portRef Y (instanceRef r_rxen_RNI0BM13)) (portRef C (instanceRef r_brate_RNIBEM86_0)) )) (net (rename prdata_iv_0_1_0 "prdata_iv_0_1[0]") (joined (portRef Y (instanceRef r_rhold_0_RNI9AP63_0)) (portRef (member prdata_iv_0_1 6)) )) (net (rename rhold_0_0 "rhold_0[0]") (joined (portRef Q (instanceRef r_rhold_0_0)) (portRef A (instanceRef r_rhold_0_RNI9AP63_0)) )) (net rdata_0_sqmuxa (joined (portRef Y (instanceRef rdata_0_sqmuxa_0_a2)) (portRef B (instanceRef r_rhold_0_RNILI353_5)) (portRef B (instanceRef r_rhold_0_RNINQ353_7)) (portRef B (instanceRef r_rhold_0_RNIKE353_4)) (portRef B (instanceRef r_rhold_0_RNII6353_2)) (portRef B (instanceRef r_rhold_0_RNIH2353_1)) (portRef B (instanceRef r_rhold_0_RNIJA353_3)) (portRef B (instanceRef r_rhold_0_RNIMM353_6)) (portRef A (instanceRef r_rcnt_RNO_0_0)) (portRef B (instanceRef r_rhold_0_RNI9AP63_0)) )) (net (rename prdata_0_iv_0_1_7 "prdata_0_iv_0_1[7]") (joined (portRef Y (instanceRef r_rhold_0_RNI49PV9_7)) (portRef (member prdata_0_iv_0_1 0)) )) (net (rename rhold_0_m_7 "rhold_0_m[7]") (joined (portRef Y (instanceRef r_rhold_0_RNINQ353_7)) (portRef A (instanceRef r_rhold_0_RNI49PV9_7)) )) (net N_860 (joined (portRef Y (instanceRef r_thold_0_RNIREKJ3_7)) (portRef B (instanceRef r_rhold_0_RNI49PV9_7)) )) (net N_858 (joined (portRef Y (instanceRef r_brate_RNIIV073_7)) (portRef C (instanceRef r_rhold_0_RNI49PV9_7)) )) (net irq_1_1 (joined (portRef Y (instanceRef r_irq_RNO_1)) (portRef C (instanceRef r_irq_RNO)) )) (net irq_10 (joined (portRef Y (instanceRef r_rirqen_RNI3I6I5)) (portRef B (instanceRef r_irq_RNO_2)) (portRef A (instanceRef r_irq_RNO_1)) )) (net N_848 (joined (portRef Y (instanceRef r_delayirqen_RNI07BF)) (portRef B (instanceRef r_irq_RNO_3)) (portRef B (instanceRef r_irq_RNO_1)) )) (net irq_1_0 (joined (portRef Y (instanceRef r_irq_RNO_3)) (portRef C (instanceRef r_irq_RNO_1)) )) (net irq_11 (joined (portRef Y (instanceRef r_rirqen_RNIEIVA2)) (portRef A (instanceRef r_irq_RNO_2)) (portRef A (instanceRef r_irq_RNO_3)) )) (net tsemptyirqen (joined (portRef Y (instanceRef r_irq_RNO_4)) (portRef C (instanceRef r_irq_RNO_3)) )) (net (rename rcnt_2_0_0 "rcnt_2_0[0]") (joined (portRef Y (instanceRef r_rcnt_RNO_0_0)) (portRef C (instanceRef r_rcnt_RNO_0)) )) (net rhold_0_0_sqmuxa_2_i (joined (portRef Y (instanceRef r_rcnt_RNIISVB1_0)) (portRef S (instanceRef r_rhold_0_RNO_7)) (portRef S (instanceRef r_rhold_0_RNO_6)) (portRef S (instanceRef r_rhold_0_RNO_5)) (portRef S (instanceRef r_rhold_0_RNO_4)) (portRef S (instanceRef r_rhold_0_RNO_3)) (portRef S (instanceRef r_rhold_0_RNO_2)) (portRef S (instanceRef r_rhold_0_RNO_1)) (portRef S (instanceRef r_rhold_0_RNO_0)) (portRef B (instanceRef r_rcnt_RNO_0_0)) )) (net (rename tcnt_2_0_a2_1_0 "tcnt_2_0_a2_1[0]") (joined (portRef Y (instanceRef r_tcnt_RNO_0_0)) (portRef A (instanceRef r_tcnt_RNO_0)) )) (net rstn (joined (portRef rstn) (portRef B (instanceRef r_flow_RNO)) (portRef C (instanceRef r_ovf_RNO)) (portRef B (instanceRef r_parerr_RNO)) (portRef B (instanceRef r_rxstate_RNIP1S6_2)) (portRef B (instanceRef r_rxstate_RNIOTR6_1)) (portRef B (instanceRef r_rxstate_RNO_2)) (portRef C (instanceRef r_rxclk_RNO_2)) (portRef A (instanceRef r_rxclk_RNO_1)) (portRef A (instanceRef r_rxclk_RNO_0)) (portRef A (instanceRef r_tick_RNIG2HP)) (portRef B (instanceRef r_txstate_RNO_0)) (portRef A (instanceRef r_tsempty_RNO)) (portRef A (instanceRef r_txtick_RNIKUIN1)) (portRef A (instanceRef r_txclk_RNO_0)) (portRef B (instanceRef r_tcnt_RNO_1_0)) (portRef C (instanceRef r_delayirqen_RNIT47B)) (portRef B (instanceRef r_irqpend_RNO)) (portRef C (instanceRef r_delayirqen_RNIARMN)) (portRef B (instanceRef r_rxen_RNO)) (portRef B (instanceRef r_txstate_RNO_1)) (portRef B (instanceRef r_break_RNO)) (portRef B (instanceRef r_frame_RNO)) (portRef B (instanceRef r_txen_RNO)) (portRef B (instanceRef r_extclken_RNO)) (portRef A (instanceRef r_rsempty_RNO)) (portRef C (instanceRef r_rxstate_RNO_3)) (portRef B (instanceRef r_rxstate_RNIUOA9_0)) (portRef B (instanceRef r_rxstate_i_RNO_0_4)) (portRef C (instanceRef r_tshift_RNO_1_0)) (portRef A (instanceRef r_tcnt_RNO_0_0)) (portRef C (instanceRef r_rcnt_RNO_0_0)) )) (net (rename tcnt_0 "tcnt[0]") (joined (portRef Q (instanceRef r_tcnt_0)) (portRef B (instanceRef r_tcnt_RNIUHL63_0)) (portRef A (instanceRef r_tcnt_RNI27ID_0)) (portRef B (instanceRef r_txen_RNI75SF)) (portRef B (instanceRef r_tcnt_RNO_0_0)) )) (net txtick_0_sqmuxa (joined (portRef Y (instanceRef r_txtick_RNIQ27M1_0)) (portRef B (instanceRef r_txtick_RNIKUIN1)) (portRef S (instanceRef r_txclk_RNO_0_0)) (portRef A (instanceRef r_tshift_RNO_1_5)) (portRef S (instanceRef r_tirqen_RNIFBH82)) (portRef A (instanceRef r_tshift_RNO_0_8)) (portRef A (instanceRef r_tshift_RNO_0_7)) (portRef A (instanceRef r_tshift_RNO_0_6)) (portRef A (instanceRef r_tshift_RNO_0_4)) (portRef A (instanceRef r_tshift_RNO_0_3)) (portRef A (instanceRef r_tshift_RNO_0_2)) (portRef A (instanceRef r_tshift_RNO_0_1)) (portRef C (instanceRef r_tshift_RNO_9)) (portRef C (instanceRef r_txtick_RNO)) (portRef C (instanceRef r_tcnt_RNO_0_0)) )) (net irq_10_iv_0_a2_0 (joined (portRef Y (instanceRef r_rirqen_RNI49O8)) (portRef A (instanceRef r_rirqen_RNI3I6I5)) )) (net debug (joined (portRef Q (instanceRef r_debug)) (portRef B (instanceRef r_tcnt_RNI27ID_0)) (portRef B (instanceRef r_debug_RNI6FN53)) (portRef C (instanceRef r_txd_RNO)) (portRef C (instanceRef r_txen_RNI75SF)) (portRef A (instanceRef r_rirqen_RNI49O8)) )) (net rirqen (joined (portRef Q (instanceRef r_rirqen)) (portRef B (instanceRef r_rirqen_RNI0KR13)) (portRef B (instanceRef r_irqpend_RNO_1)) (portRef B (instanceRef r_rirqen_RNIEIVA2)) (portRef B (instanceRef r_rirqen_RNI49O8)) )) (net break_1_sqmuxa_0_a2_0 (joined (portRef Y (instanceRef v_break_1_sqmuxa_0_a2_0_0)) (portRef A (instanceRef v_break_1_sqmuxa_0_a2)) )) (net (rename paddr_0_2 "paddr_0[2]") (joined (portRef (member paddr_0 1)) (portRef A (instanceRef v_break_1_sqmuxa_0_a2_0_0)) (portRef A (instanceRef v_brate_1_sqmuxa_0_o2_0)) )) (net (rename tshift_13_0_iv_i_0_5 "tshift_13_0_iv_i_0[5]") (joined (portRef Y (instanceRef r_tshift_RNO_0_5)) (portRef C (instanceRef r_tshift_RNO_5)) )) (net N_153 (joined (portRef Y (instanceRef r_txtick_RNIO1FF)) (portRef B (instanceRef r_tshift_RNO_1_8)) (portRef B (instanceRef r_tshift_RNO_1_7)) (portRef B (instanceRef r_tshift_RNO_1_6)) (portRef B (instanceRef r_tshift_RNO_1_4)) (portRef B (instanceRef r_tshift_RNO_1_3)) (portRef B (instanceRef r_tshift_RNO_1_2)) (portRef B (instanceRef r_tshift_RNO_1_1)) (portRef B (instanceRef r_tshift_RNO_0_0)) (portRef B (instanceRef r_tshift_RNO_0_5)) )) (net N_132 (joined (portRef Y (instanceRef r_tshift_RNO_1_5)) (portRef C (instanceRef r_tshift_RNO_0_5)) )) (net (rename tshift_1_0_1_0 "tshift_1_0_1[0]") (joined (portRef Y (instanceRef r_tshift_RNO_0_0)) (portRef C (instanceRef r_tshift_RNO_0)) )) (net (rename tshift_1 "tshift[1]") (joined (portRef Q (instanceRef r_tshift_1)) (portRef A (instanceRef r_tpar_RNO_1)) (portRef A (instanceRef r_tshift_RNO_2_1)) (portRef B (instanceRef r_txstate_RNO_2_0)) (portRef A (instanceRef r_txstate_RNO_2_1)) (portRef A (instanceRef r_tshift_RNO_0_0)) )) (net (rename tshift_1_0_0_0 "tshift_1_0_0[0]") (joined (portRef Y (instanceRef r_tshift_RNO_1_0)) (portRef C (instanceRef r_tshift_RNO_0_0)) )) (net (rename tshift_1_0_a2_1_0 "tshift_1_0_a2_1[0]") (joined (portRef Y (instanceRef r_tshift_RNO_2_0)) (portRef A (instanceRef r_tshift_RNO_1_0)) )) (net N_193 (joined (portRef Y (instanceRef r_tshift_RNIR2IH_2)) (portRef A (instanceRef r_txstate_RNO_1_1)) (portRef C (instanceRef r_txstate_RNO_2_0)) (portRef B (instanceRef r_tshift_RNO_1_0)) )) (net txtick_0_0_a2_0 (joined (portRef Y (instanceRef r_txtick_RNO_0)) (portRef A (instanceRef r_txtick_RNO)) )) (net (rename txclk_2 "txclk[2]") (joined (portRef Q (instanceRef r_txclk_2)) (portRef A (instanceRef r_txclk_RNO_2)) (portRef A (instanceRef r_txtick_RNO_0)) )) (net tick (joined (portRef Q (instanceRef r_tick)) (portRef E (instanceRef r_rxf_4)) (portRef E (instanceRef r_rxf_3)) (portRef E (instanceRef r_rxf_2)) (portRef C (instanceRef r_tick_RNIG2HP)) (portRef A (instanceRef r_tick_RNI5KSP1)) (portRef B (instanceRef r_txclk_RNO_0_0)) (portRef B (instanceRef r_rxtick_RNO_0)) (portRef B (instanceRef r_txtick_RNO_0)) )) (net irq_11_0_a2_1 (joined (portRef Y (instanceRef r_irqpend_RNI87KE)) (portRef A (instanceRef r_tirqen_RNIFBH82)) )) (net irqpend (joined (portRef Q (instanceRef r_irqpend)) (portRef A (instanceRef r_irqpend_RNO_0)) (portRef B (instanceRef r_irqpend_RNI87KE)) )) (net irq10 (joined (portRef Y (instanceRef r_irqcnt_RNIF1F_5)) (portRef C (instanceRef r_irqpend_RNO_2)) (portRef C (instanceRef r_irqpend_RNI87KE)) )) (net un1_apbi_2_0 (joined (portRef Y (instanceRef uartop_un1_apbi_2_0)) (portRef A (instanceRef uartop_un1_apbi_2)) )) (net penable (joined (portRef penable) (portRef A (instanceRef uartop_un1_apbi_2_0)) )) (net N_330 (joined (portRef N_330) (portRef B (instanceRef uartop_un1_apbi_2_0)) )) (net (rename rxstate_nss_i_0_0_0_0 "rxstate_nss_i_0_0_0[0]") (joined (portRef Y (instanceRef r_rxstate_i_RNO_0_4)) (portRef A (instanceRef r_rxstate_i_RNO_4)) )) (net (rename rxstate_nss_i_0_0_tz_0 "rxstate_nss_i_0_0_tz[0]") (joined (portRef Y (instanceRef r_rxstate_i_RNO_1_4)) (portRef A (instanceRef r_rxstate_i_RNO_0_4)) )) (net N_765 (joined (portRef Y (instanceRef r_rxstate_RNIUOA9_0)) (portRef B (instanceRef r_rxstate_RNO_0)) (portRef C (instanceRef r_rxstate_i_RNO_0_4)) )) (net (rename rxstate_srsts_0_a3_0_0_1 "rxstate_srsts_0_a3_0_0[1]") (joined (portRef Y (instanceRef r_rxstate_RNO_0_1)) (portRef B (instanceRef r_rxstate_RNO_1)) )) (net paren (joined (portRef Q (instanceRef r_paren)) (portRef B (instanceRef r_paren_RNILJV53)) (portRef B (instanceRef r_rxstate_RNO_1_0)) (portRef A (instanceRef r_txstate_RNO_2_0)) (portRef A (instanceRef r_dpar_RNO_5)) (portRef A (instanceRef r_tshift_RNO_2_0)) (portRef A (instanceRef r_rxstate_RNO_0_1)) )) (net N_756 (joined (portRef Y (instanceRef r_rshift_RNIV8H3_0)) (portRef A (instanceRef r_rxstate_RNO_1_2)) (portRef A (instanceRef r_rxstate_RNO_1_0)) (portRef B (instanceRef r_rxstate_RNO_0_1)) )) (net (rename rxstate_srsts_0_0_a2_0_0_2 "rxstate_srsts_0_0_a2_0_0[2]") (joined (portRef Y (instanceRef r_rxstate_RNO_0_2)) (portRef A (instanceRef r_rxstate_RNO_2)) )) (net rxtick (joined (portRef Q (instanceRef r_rxtick)) (portRef A (instanceRef r_rxstate_RNO_1_1)) (portRef A (instanceRef r_rshift_RNIV8H3_0)) (portRef B (instanceRef r_rxstate_RNIKLUE_0)) (portRef C (instanceRef r_rxstate_RNIM7FK_2)) (portRef A (instanceRef r_rxstate_RNO_0_0)) (portRef C (instanceRef r_dpar_RNO_0)) (portRef A (instanceRef r_delayirqen_RNIT47B)) (portRef A (instanceRef r_rsempty_RNO_1)) (portRef A (instanceRef r_rxstate_i_RNO_2_4)) (portRef B (instanceRef r_rxstate_RNO_0_3)) (portRef B (instanceRef r_delayirqen_RNI07BF)) (portRef C (instanceRef r_rxstate_RNIUOA9_0)) (portRef B (instanceRef r_rxstate_RNIKLUE_0_0)) (portRef B (instanceRef r_rxstate_RNIKLUE_1_0)) (portRef A (instanceRef r_rxstate_RNO_0_2)) )) (net N_867 (joined (portRef Y (instanceRef r_rxstate_RNIG2GC_3)) (portRef B (instanceRef r_rxstate_RNIM7FK_2)) (portRef B (instanceRef r_dpar_RNO_0)) (portRef B (instanceRef r_rxstate_RNO_0_2)) )) (net rxtick_0_i_0 (joined (portRef Y (instanceRef r_rxtick_RNO_0)) (portRef A (instanceRef r_rxtick_RNO)) )) (net (rename rxclk_2 "rxclk[2]") (joined (portRef Q (instanceRef r_rxclk_2)) (portRef B (instanceRef un2_rxclk_1_SUM2_0)) (portRef A (instanceRef r_rxtick_RNO_0)) )) (net (rename tshift_1_0_a2_0_0 "tshift_1_0_a2_0[0]") (joined (portRef Y (instanceRef r_txstate_RNIPM09_1)) (portRef C (instanceRef r_tpar_RNO_0)) (portRef C (instanceRef r_tshift_RNO_2_0)) )) (net txtick (joined (portRef Q (instanceRef r_txtick)) (portRef S (instanceRef r_txstate_RNO_0_0)) (portRef S (instanceRef r_txstate_RNO_0_1)) (portRef A (instanceRef r_txtick_RNIO1FF)) (portRef A (instanceRef r_txtick_RNIQ27M1)) (portRef A (instanceRef r_txtick_RNIO1FF_0)) (portRef A (instanceRef r_tshift_RNO_0_9)) (portRef A (instanceRef r_txstate_RNIPM09_1)) )) (net (rename txstate_1 "txstate[1]") (joined (portRef Q (instanceRef r_txstate_1)) (portRef A (instanceRef r_txstate_RNIVPSC_1)) (portRef A (instanceRef r_txstate_RNO_3_0)) (portRef A (instanceRef r_txstate_RNO_0_1)) (portRef A (instanceRef r_txstate_RNO_3_1)) (portRef B (instanceRef r_txstate_RNO_2_1)) (portRef B (instanceRef r_txstate_RNIPM09_1)) )) (net rhold_0_0_sqmuxa_1_0_a2_0 (joined (portRef Y (instanceRef r_dpar_RNIK769)) (portRef A (instanceRef r_dpar_RNI8T4O)) )) (net dpar (joined (portRef Q (instanceRef r_dpar)) (portRef B (instanceRef r_parerr_RNO_3)) (portRef A (instanceRef r_dpar_RNO_2)) (portRef A (instanceRef r_dpar_RNO_4)) (portRef B (instanceRef uartop_v_rsempty_1_i_RNO_1)) (portRef A (instanceRef r_dpar_RNIK769)) )) (net irq_1_sqmuxa_1_0_a2_0 (joined (portRef Y (instanceRef r_rxstate_RNIKLUE_1_0)) (portRef C (instanceRef r_rxstate_RNIG4HN_0)) )) (net (rename rxdb_0 "rxdb[0]") (joined (portRef Q (instanceRef r_rxdb_0)) (portRef D (instanceRef r_rxdb_1)) (portRef A (instanceRef r_rshift_RNO_0_7)) (portRef B (instanceRef r_dpar_RNO_2)) (portRef A (instanceRef r_rxstate_RNIKLUE_0)) (portRef B (instanceRef r_rxstate_RNIG2GC_3)) (portRef B (instanceRef r_rxstate_i_RNO_2_4)) (portRef C (instanceRef r_rxdb_RNI0F8G_1)) (portRef B (instanceRef r_dpar_RNO_4)) (portRef C (instanceRef uartop_v_rsempty_1_i_RNO_3)) (portRef C (instanceRef r_rxstate_RNIKLUE_0_0)) (portRef C (instanceRef r_rxstate_RNIKLUE_1_0)) )) (net tpar (joined (portRef Q (instanceRef r_tpar)) (portRef B (instanceRef r_tpar_RNO_1)) (portRef B (instanceRef r_tshift_RNO_2_0)) )) (net (rename txstate_ns_0_0_a2_0_0_1 "txstate_ns_0_0_a2_0_0[1]") (joined (portRef Y (instanceRef r_txstate_RNO_2_1)) (portRef B (instanceRef r_txstate_RNO_1_1)) )) (net frame_1_sqmuxa_0_a2_0 (joined (portRef Y (instanceRef r_rxstate_RNIKLUE_0_0)) (portRef C (instanceRef r_rxstate_RNIG4HN_0_0)) )) (net txtick_0_sqmuxa_1_i_o2_1 (joined (portRef Y (instanceRef r_txen_RNI75SF)) (portRef C (instanceRef r_flow_RNI21O61)) )) (net (rename un1_uart1_34 "un1_uart1[34]") (joined (portRef Q (instanceRef r_txen)) (portRef A (instanceRef r_txen_RNI6BN13)) (portRef B (instanceRef r_rxen_RNI4SI4)) (portRef A (instanceRef r_txen_RNO_0)) (portRef A (instanceRef r_txen_RNI75SF)) )) (net rxstate_0_sqmuxa_1_i_0_a2_0 (joined (portRef Y (instanceRef r_dpar_RNO_1)) (portRef A (instanceRef r_dpar_RNO_0)) )) (net (rename rxstate_1 "rxstate[1]") (joined (portRef Q (instanceRef r_rxstate_1)) (portRef C (instanceRef r_dpar_RNO_2)) (portRef A (instanceRef r_rxstate_RNIOTR6_1)) (portRef A (instanceRef r_dpar_RNO_1)) )) (net (rename rxstate_2 "rxstate[2]") (joined (portRef Q (instanceRef r_rxstate_2)) (portRef A (instanceRef r_rxstate_RNIP1S6_2)) (portRef A (instanceRef r_rxstate_RNIM7FK_2)) (portRef C (instanceRef r_dpar_RNO_5)) (portRef B (instanceRef r_dpar_RNO_1)) )) (net dpar_1_iv_0_a2_0 (joined (portRef Y (instanceRef r_dpar_RNO_5)) (portRef C (instanceRef r_dpar_RNO_4)) )) (net (rename rshift_0 "rshift[0]") (joined (portRef Q (instanceRef r_rshift_0)) (portRef B (instanceRef r_rshift_RNIV8H3_0)) (portRef B (instanceRef r_rhold_0_RNO_0)) (portRef B (instanceRef r_rshift_RNO_0_0)) (portRef C (instanceRef r_rshift_RNI6794_1)) (portRef B (instanceRef r_dpar_RNO_5)) )) (net break6_5 (joined (portRef Y (instanceRef r_rshift_RNIM794_4)) (portRef B (instanceRef r_rxstate_RNIG4HN_0)) (portRef A (instanceRef r_rxstate_RNIG4HN_0_0)) )) (net break6_3 (joined (portRef Y (instanceRef r_rshift_RNITJ42_6)) (portRef A (instanceRef r_rshift_RNIM794_4)) )) (net (rename rshift_5 "rshift[5]") (joined (portRef Q (instanceRef r_rshift_5)) (portRef A (instanceRef r_rshift_RNO_0_4)) (portRef B (instanceRef r_rshift_RNO_0_5)) (portRef B (instanceRef r_rhold_0_RNO_5)) (portRef B (instanceRef r_rshift_RNIM794_4)) )) (net (rename rshift_4 "rshift[4]") (joined (portRef Q (instanceRef r_rshift_4)) (portRef A (instanceRef r_rshift_RNO_0_3)) (portRef B (instanceRef r_rshift_RNO_0_4)) (portRef B (instanceRef r_rhold_0_RNO_4)) (portRef C (instanceRef r_rshift_RNIM794_4)) )) (net break6_4 (joined (portRef Y (instanceRef r_rshift_RNI6794_1)) (portRef A (instanceRef r_rxstate_RNIG4HN_0)) (portRef B (instanceRef r_rxstate_RNIG4HN_0_0)) )) (net break6_1 (joined (portRef Y (instanceRef r_rshift_RNILJ42_2)) (portRef A (instanceRef r_rshift_RNI6794_1)) )) (net (rename rshift_1 "rshift[1]") (joined (portRef Q (instanceRef r_rshift_1)) (portRef B (instanceRef r_rshift_RNO_0_1)) (portRef B (instanceRef r_rhold_0_RNO_1)) (portRef A (instanceRef r_rshift_RNO_0_0)) (portRef B (instanceRef r_rshift_RNI6794_1)) )) (net (rename rshift_6 "rshift[6]") (joined (portRef Q (instanceRef r_rshift_6)) (portRef A (instanceRef r_rshift_RNO_0_5)) (portRef B (instanceRef r_rshift_RNO_0_6)) (portRef B (instanceRef r_rhold_0_RNO_6)) (portRef A (instanceRef r_rshift_RNITJ42_6)) )) (net (rename rshift_7 "rshift[7]") (joined (portRef Q (instanceRef r_rshift_7)) (portRef B (instanceRef r_rshift_RNO_0_7)) (portRef A (instanceRef r_rshift_RNO_0_6)) (portRef B (instanceRef r_rhold_0_RNO_7)) (portRef B (instanceRef r_rshift_RNITJ42_6)) )) (net (rename rshift_2 "rshift[2]") (joined (portRef Q (instanceRef r_rshift_2)) (portRef A (instanceRef r_rshift_RNO_0_1)) (portRef B (instanceRef r_rshift_RNO_0_2)) (portRef B (instanceRef r_rhold_0_RNO_2)) (portRef A (instanceRef r_rshift_RNILJ42_2)) )) (net (rename rshift_3 "rshift[3]") (joined (portRef Q (instanceRef r_rshift_3)) (portRef A (instanceRef r_rshift_RNO_0_2)) (portRef B (instanceRef r_rshift_RNO_0_3)) (portRef B (instanceRef r_rhold_0_RNO_3)) (portRef B (instanceRef r_rshift_RNILJ42_2)) )) (net rsempty_1_i_a2_1 (joined (portRef Y (instanceRef uartop_v_rsempty_1_i_RNO_3)) (portRef C (instanceRef uartop_v_rsempty_1_i_RNO_1)) )) (net (rename rxstate_i_4 "rxstate_i[4]") (joined (portRef Q (instanceRef r_rxstate_i_4)) (portRef A (instanceRef r_rxstate_i_RNI5HRL_4)) (portRef C (instanceRef r_rsempty_RNO_1)) (portRef B (instanceRef uartop_v_rsempty_1_i_RNO_0)) (portRef B (instanceRef r_ovf_RNO_1)) (portRef B (instanceRef r_rsempty_RNI34MC)) (portRef A (instanceRef uartop_v_rsempty_1_i_RNO_3)) )) (net rsempty (joined (portRef Q (instanceRef r_rsempty)) (portRef B (instanceRef r_rsempty_RNI5T7E)) (portRef B (instanceRef r_rsempty_RNO_0)) (portRef B (instanceRef uartop_v_rsempty_1_i_RNO_2)) (portRef A (instanceRef r_rsempty_RNI34MC)) (portRef B (instanceRef uartop_v_rsempty_1_i_RNO_3)) )) (net txtick_0_sqmuxa_1_i_o2_1_0 (joined (portRef Y (instanceRef r_flow_RNIMUJ8)) (portRef A (instanceRef r_flow_RNI21O61)) )) (net loopb (joined (portRef Q (instanceRef r_loopb)) (portRef B (instanceRef r_loopb_RNIL6T53)) (portRef S (instanceRef r_rxdb_RNO_0)) (portRef A (instanceRef r_txd_RNO)) (portRef B (instanceRef r_flow_RNIMUJ8)) )) (net (rename txstate_ns_i_0_a2_2_4_0 "txstate_ns_i_0_a2_2_4[0]") (joined (portRef Y (instanceRef r_tshift_RNIO879_2)) (portRef A (instanceRef r_tshift_RNIR2IH_2)) )) (net (rename tshift_3 "tshift[3]") (joined (portRef Q (instanceRef r_tshift_3)) (portRef A (instanceRef r_tshift_RNO_2_3)) (portRef A (instanceRef r_tshift_RNO_1_2)) (portRef A (instanceRef r_tshift_RNIO879_2)) )) (net (rename tshift_2 "tshift[2]") (joined (portRef Q (instanceRef r_tshift_2)) (portRef A (instanceRef r_tshift_RNO_2_2)) (portRef A (instanceRef r_tshift_RNO_1_1)) (portRef B (instanceRef r_tshift_RNIO879_2)) )) (net (rename txstate_0 "txstate[0]") (joined (portRef Q (instanceRef r_txstate_0)) (portRef B (instanceRef r_txstate_RNIVPSC_1)) (portRef S (instanceRef r_tpar_RNO)) (portRef B (instanceRef r_txstate_RNO_3_0)) (portRef A (instanceRef r_txstate_RNO_0_0)) (portRef B (instanceRef r_txstate_RNO_3_1)) (portRef A (instanceRef r_tpar_RNO_0)) (portRef C (instanceRef r_tshift_RNIO879_2)) )) (net (rename txstate_ns_i_0_a2_2_3_0 "txstate_ns_i_0_a2_2_3[0]") (joined (portRef Y (instanceRef r_tshift_RNI5UO2_8)) (portRef B (instanceRef r_tshift_RNIR2IH_2)) )) (net (rename tshift_8 "tshift[8]") (joined (portRef Q (instanceRef r_tshift_8)) (portRef A (instanceRef r_tshift_RNO_2_8)) (portRef A (instanceRef r_tshift_RNO_1_7)) (portRef A (instanceRef r_tshift_RNI5UO2_8)) )) (net (rename tshift_9 "tshift[9]") (joined (portRef Q (instanceRef r_tshift_9)) (portRef A (instanceRef r_tshift_RNO_1_8)) (portRef A (instanceRef r_tshift_RNO_9)) (portRef B (instanceRef r_tshift_RNI5UO2_8)) )) (net rhold_0_0_sqmuxa_0 (joined (portRef Y (instanceRef r_rsempty_RNI34MC)) (portRef A (instanceRef r_rcnt_RNIAVQJ_0)) )) (net N_911 (joined (portRef Y (instanceRef uartop_rdata57_0_a2_1_o2)) (portRef B (instanceRef uartop_v_irq_5_2_0_a2)) (portRef B (instanceRef v_break_1_sqmuxa_0_a2)) (portRef B (instanceRef rdata_4_sqmuxa_0_a2_0_o2_0)) (portRef B (instanceRef v_breakirqen_1_sqmuxa_0_o2)) )) (net N_866 (joined (portRef Y (instanceRef v_thold_0_0_sqmuxa_0_o2)) (portRef A (instanceRef v_thold_0_0_sqmuxa_0_a2)) (portRef C (instanceRef v_breakirqen_1_sqmuxa_0_o2)) )) (net (rename rcnt_RNO_0 "rcnt_RNO[0]") (joined (portRef Y (instanceRef r_rcnt_RNO_0)) (portRef D (instanceRef r_rcnt_0)) )) (net rhold_0_1_sqmuxa (joined (portRef Y (instanceRef r_rcnt_RNI2QSC4_0)) (portRef E (instanceRef r_rhold_0_7)) (portRef E (instanceRef r_rhold_0_6)) (portRef E (instanceRef r_rhold_0_5)) (portRef E (instanceRef r_rhold_0_4)) (portRef E (instanceRef r_rhold_0_3)) (portRef E (instanceRef r_rhold_0_2)) (portRef E (instanceRef r_rhold_0_1)) (portRef E (instanceRef r_rhold_0_0)) (portRef B (instanceRef r_rcnt_RNO_0)) )) (net frame_1_sqmuxa (joined (portRef Y (instanceRef r_rxstate_RNIG4HN_0_0)) (portRef A (instanceRef r_frame_RNO_1)) (portRef B (instanceRef r_frame_RNO_2)) )) (net rhold_0_0_sqmuxa_1 (joined (portRef Y (instanceRef r_dpar_RNI8T4O)) (portRef B (instanceRef r_rcnt_RNIISVB1_0)) (portRef A (instanceRef r_rcnt_RNI2QSC4_0)) )) (net rhold_0_0_sqmuxa (joined (portRef Y (instanceRef r_rcnt_RNIAVQJ_0)) (portRef A (instanceRef r_rcnt_RNIISVB1_0)) (portRef B (instanceRef r_rcnt_RNI2QSC4_0)) )) (net rcnt_1_sqmuxa (joined (portRef Y (instanceRef uartop_v_irq_5_2_0_a2)) (portRef B (instanceRef r_rirqen_RNI3I6I5)) (portRef C (instanceRef r_rcnt_RNI2QSC4_0)) )) (net (rename tshift_RNO_8 "tshift_RNO[8]") (joined (portRef Y (instanceRef r_tshift_RNO_8)) (portRef D (instanceRef r_tshift_8)) )) (net N_141 (joined (portRef Y (instanceRef r_tshift_RNO_0_8)) (portRef A (instanceRef r_tshift_RNO_8)) )) (net N_142 (joined (portRef Y (instanceRef r_tshift_RNO_1_8)) (portRef B (instanceRef r_tshift_RNO_8)) )) (net N_140 (joined (portRef Y (instanceRef r_tshift_RNO_2_8)) (portRef C (instanceRef r_tshift_RNO_8)) )) (net (rename tshift_RNO_7 "tshift_RNO[7]") (joined (portRef Y (instanceRef r_tshift_RNO_7)) (portRef D (instanceRef r_tshift_7)) )) (net N_138 (joined (portRef Y (instanceRef r_tshift_RNO_0_7)) (portRef A (instanceRef r_tshift_RNO_7)) )) (net N_139 (joined (portRef Y (instanceRef r_tshift_RNO_1_7)) (portRef B (instanceRef r_tshift_RNO_7)) )) (net N_137 (joined (portRef Y (instanceRef r_tshift_RNO_2_7)) (portRef C (instanceRef r_tshift_RNO_7)) )) (net N_40 (joined (portRef Y (instanceRef r_tshift_RNO_6)) (portRef D (instanceRef r_tshift_6)) )) (net N_135 (joined (portRef Y (instanceRef r_tshift_RNO_0_6)) (portRef A (instanceRef r_tshift_RNO_6)) )) (net N_136 (joined (portRef Y (instanceRef r_tshift_RNO_1_6)) (portRef B (instanceRef r_tshift_RNO_6)) )) (net N_134 (joined (portRef Y (instanceRef r_tshift_RNO_2_6)) (portRef C (instanceRef r_tshift_RNO_6)) )) (net N_36 (joined (portRef Y (instanceRef r_tshift_RNO_4)) (portRef D (instanceRef r_tshift_4)) )) (net N_129 (joined (portRef Y (instanceRef r_tshift_RNO_0_4)) (portRef A (instanceRef r_tshift_RNO_4)) )) (net N_130 (joined (portRef Y (instanceRef r_tshift_RNO_1_4)) (portRef B (instanceRef r_tshift_RNO_4)) )) (net N_128 (joined (portRef Y (instanceRef r_tshift_RNO_2_4)) (portRef C (instanceRef r_tshift_RNO_4)) )) (net N_34 (joined (portRef Y (instanceRef r_tshift_RNO_3)) (portRef D (instanceRef r_tshift_3)) )) (net N_126 (joined (portRef Y (instanceRef r_tshift_RNO_0_3)) (portRef A (instanceRef r_tshift_RNO_3)) )) (net N_127 (joined (portRef Y (instanceRef r_tshift_RNO_1_3)) (portRef B (instanceRef r_tshift_RNO_3)) )) (net N_125 (joined (portRef Y (instanceRef r_tshift_RNO_2_3)) (portRef C (instanceRef r_tshift_RNO_3)) )) (net N_32 (joined (portRef Y (instanceRef r_tshift_RNO_2)) (portRef D (instanceRef r_tshift_2)) )) (net N_123 (joined (portRef Y (instanceRef r_tshift_RNO_0_2)) (portRef A (instanceRef r_tshift_RNO_2)) )) (net N_124 (joined (portRef Y (instanceRef r_tshift_RNO_1_2)) (portRef B (instanceRef r_tshift_RNO_2)) )) (net N_122 (joined (portRef Y (instanceRef r_tshift_RNO_2_2)) (portRef C (instanceRef r_tshift_RNO_2)) )) (net N_30 (joined (portRef Y (instanceRef r_tshift_RNO_1)) (portRef D (instanceRef r_tshift_1)) )) (net N_120 (joined (portRef Y (instanceRef r_tshift_RNO_0_1)) (portRef A (instanceRef r_tshift_RNO_1)) )) (net N_121 (joined (portRef Y (instanceRef r_tshift_RNO_1_1)) (portRef B (instanceRef r_tshift_RNO_1)) )) (net N_119 (joined (portRef Y (instanceRef r_tshift_RNO_2_1)) (portRef C (instanceRef r_tshift_RNO_1)) )) (net N_37_0 (joined (portRef Y (instanceRef rdata_4_sqmuxa_0_a2_0_o2_0)) (portRef C (instanceRef rdata_2_sqmuxa_0_a2_0_a2_0)) (portRef B (instanceRef rdata_0_sqmuxa_0_a2)) (portRef B (instanceRef apbo_prdata_iv_0_a2_4_6)) (portRef B (instanceRef rdata_4_sqmuxa_0_a2_0_o2)) )) (net un1_apbi_2 (joined (portRef Y (instanceRef uartop_un1_apbi_2)) (portRef A (instanceRef uartop_un1_apbi_6_i_o2)) (portRef A (instanceRef rdata_4_sqmuxa_0_a2_0_o2_0)) )) (net N_343 (joined (portRef N_343) (portRef B (instanceRef uartop_un1_apbi_2)) )) (net (rename paddr_7 "paddr[10]") (joined (portRef paddr_7) (portRef C (instanceRef uartop_un1_apbi_2)) )) (net N_38 (joined (portRef Y (instanceRef r_tshift_RNO_5)) (portRef D (instanceRef r_tshift_5)) )) (net N_84 (joined (portRef Y (instanceRef r_txtick_RNIQ27M1)) (portRef B (instanceRef r_tshift_RNO_2_8)) (portRef B (instanceRef r_tshift_RNO_2_7)) (portRef B (instanceRef r_tshift_RNO_2_6)) (portRef B (instanceRef r_tshift_RNO_2_4)) (portRef B (instanceRef r_tshift_RNO_2_3)) (portRef B (instanceRef r_tshift_RNO_2_2)) (portRef B (instanceRef r_tshift_RNO_2_1)) (portRef A (instanceRef r_tshift_RNO_0)) (portRef A (instanceRef r_tshift_RNO_5)) )) (net txd_1 (joined (portRef Y (instanceRef r_txd_RNO)) (portRef D (instanceRef r_txd)) )) (net (rename tshift_0 "tshift[0]") (joined (portRef Q (instanceRef r_tshift_0)) (portRef B (instanceRef r_rxdb_RNO_0)) (portRef B (instanceRef r_tshift_RNO_0)) (portRef B (instanceRef r_txd_RNO)) )) (net N_83 (joined (portRef Y (instanceRef r_flow_RNI21O61)) (portRef A (instanceRef r_txstate_RNI1RKJ1_1)) (portRef B (instanceRef r_txtick_RNIQ27M1_0)) (portRef A (instanceRef r_tsempty_RNIEEDT1)) (portRef B (instanceRef r_tpar_RNO_0)) )) (net N_5 (joined (portRef Y (instanceRef r_rsempty_RNI5T7E)) (portRef A (instanceRef r_ovf_RNO_1)) (portRef B (instanceRef r_flow_RNI21O61)) )) (net N_11 (joined (portRef Y (instanceRef r_irqcnt_RNO_4)) (portRef D (instanceRef r_irqcnt_4)) )) (net N_16 (joined (portRef Y (instanceRef r_irqcnt_RNII2U_3)) (portRef B (instanceRef r_irqcnt_RNO_0_5)) (portRef A (instanceRef r_irqcnt_RNO_4)) )) (net (rename irqcnt_4 "irqcnt[4]") (joined (portRef Q (instanceRef r_irqcnt_4)) (portRef B (instanceRef r_irqcnt_RNIF1F_5)) (portRef A (instanceRef r_irqcnt_RNO_0_5)) (portRef B (instanceRef r_irqcnt_RNO_4)) )) (net irqpend_0_sqmuxa_1_i_0 (joined (portRef Y (instanceRef r_delayirqen_RNIARMN)) (portRef A (instanceRef r_irqcnt_RNO_0)) (portRef C (instanceRef r_irqcnt_RNO_5)) (portRef C (instanceRef r_irqcnt_RNO_1)) (portRef C (instanceRef r_irqcnt_RNO_2)) (portRef C (instanceRef r_irqcnt_RNO_3)) (portRef C (instanceRef r_irqcnt_RNO_4)) )) (net N_9 (joined (portRef Y (instanceRef r_irqcnt_RNO_3)) (portRef D (instanceRef r_irqcnt_3)) )) (net N_15 (joined (portRef Y (instanceRef r_irqcnt_RNISHM_2)) (portRef B (instanceRef r_irqcnt_RNII2U_3)) (portRef A (instanceRef r_irqcnt_RNO_3)) )) (net (rename irqcnt_3 "irqcnt[3]") (joined (portRef Q (instanceRef r_irqcnt_3)) (portRef A (instanceRef r_irqcnt_RNII2U_3)) (portRef B (instanceRef r_irqcnt_RNO_3)) )) (net N_901 (joined (portRef Y (instanceRef r_irqcnt_RNO_2)) (portRef D (instanceRef r_irqcnt_2)) )) (net N_14_0 (joined (portRef Y (instanceRef r_irqcnt_RNI71F_1)) (portRef B (instanceRef r_irqcnt_RNISHM_2)) (portRef A (instanceRef r_irqcnt_RNO_2)) )) (net (rename irqcnt_2 "irqcnt[2]") (joined (portRef Q (instanceRef r_irqcnt_2)) (portRef A (instanceRef r_irqcnt_RNISHM_2)) (portRef B (instanceRef r_irqcnt_RNO_2)) )) (net N_900 (joined (portRef Y (instanceRef r_irqcnt_RNO_1)) (portRef D (instanceRef r_irqcnt_1)) )) (net (rename irqcnt_0 "irqcnt[0]") (joined (portRef Q (instanceRef r_irqcnt_0)) (portRef B (instanceRef r_irqcnt_RNO_0)) (portRef B (instanceRef r_irqcnt_RNI71F_1)) (portRef A (instanceRef r_irqcnt_RNO_1)) )) (net (rename irqcnt_1 "irqcnt[1]") (joined (portRef Q (instanceRef r_irqcnt_1)) (portRef A (instanceRef r_irqcnt_RNI71F_1)) (portRef B (instanceRef r_irqcnt_RNO_1)) )) (net txtick_RNO (joined (portRef Y (instanceRef r_txtick_RNO)) (portRef D (instanceRef r_txtick)) )) (net CO1 (joined (portRef Y (instanceRef un3_txclk_1_CO1)) (portRef B (instanceRef r_txclk_RNO_2)) (portRef B (instanceRef r_txtick_RNO)) )) (net irq_1 (joined (portRef Y (instanceRef r_irq_RNO)) (portRef D (instanceRef r_irq)) )) (net irq_1_sqmuxa_1 (joined (portRef Y (instanceRef r_rxstate_RNIG4HN_0)) (portRef A (instanceRef r_break_RNO_1)) (portRef A (instanceRef r_break_RNO_2)) (portRef A (instanceRef r_irq_RNO)) )) (net irq_13 (joined (portRef Y (instanceRef r_irq_RNO_0)) (portRef B (instanceRef r_irq_RNO)) )) (net tsempty_4 (joined (portRef Y (instanceRef r_tsempty_RNIEEDT1)) (portRef B (instanceRef r_tsempty_RNO)) (portRef B (instanceRef r_irq_RNO_4)) )) (net tsempty (joined (portRef Q (instanceRef r_tsempty)) (portRef A (instanceRef r_tsempty_RNILOJ63)) (portRef B (instanceRef r_tsempty_RNIEEDT1)) (portRef C (instanceRef r_irq_RNO_4)) )) (net N_788 (joined (portRef Y (instanceRef r_txstate_RNO_1_0)) (portRef B (instanceRef r_txstate_RNO_0_0)) )) (net N_147 (joined (portRef Y (instanceRef r_txstate_RNO_2_0)) (portRef A (instanceRef r_txstate_RNO_1_0)) )) (net N_146 (joined (portRef Y (instanceRef r_txstate_RNO_3_0)) (portRef B (instanceRef r_txstate_RNO_1_0)) )) (net N_145 (joined (portRef Y (instanceRef r_txstate_RNI1RKJ1_1)) (portRef B (instanceRef r_txtick_RNIQ27M1)) (portRef C (instanceRef r_txstate_RNO_1_0)) )) (net N_23 (joined (portRef Y (instanceRef r_tpar_RNO_0)) (portRef E (instanceRef r_tpar)) )) (net (rename tshift_1_0 "tshift_1[0]") (joined (portRef Y (instanceRef r_tshift_RNO_0)) (portRef D (instanceRef r_tshift_0)) )) (net break_1_sqmuxa (joined (portRef Y (instanceRef v_break_1_sqmuxa_0_a2)) (portRef S (instanceRef r_ovf_RNO_0)) (portRef A (instanceRef r_parerr_RNO_2)) (portRef A (instanceRef r_frame_RNO_2)) (portRef B (instanceRef r_break_RNO_2)) )) (net N_833 (joined (portRef Y (instanceRef uartop_un1_apbi_6_i_o2)) (portRef A (instanceRef v_thold_0_0_sqmuxa_0_o2)) (portRef C (instanceRef uartop_v_irq_5_2_0_a2)) (portRef C (instanceRef v_break_1_sqmuxa_0_a2)) )) (net N_71 (joined (portRef Y (instanceRef r_rxtick_RNO)) (portRef D (instanceRef r_rxtick)) )) (net CO1_0 (joined (portRef Y (instanceRef un2_rxclk_1_CO1)) (portRef A (instanceRef un2_rxclk_1_SUM2_0)) (portRef B (instanceRef r_rxtick_RNO)) )) (net N_832 (joined (portRef Y (instanceRef r_rxstate_i_RNI5HRL_4)) (portRef A (instanceRef r_rshift_RNO_7)) (portRef B (instanceRef r_rxclk_RNO_2)) (portRef B (instanceRef r_rxclk_RNO_1)) (portRef B (instanceRef r_rxclk_RNO_0)) (portRef B (instanceRef r_tick_RNIG2HP)) (portRef A (instanceRef r_rshift_RNO_1)) (portRef A (instanceRef r_rshift_RNO_2)) (portRef A (instanceRef r_rshift_RNO_3)) (portRef A (instanceRef r_rshift_RNO_4)) (portRef A (instanceRef r_rshift_RNO_5)) (portRef A (instanceRef r_rshift_RNO_6)) (portRef A (instanceRef r_rshift_RNO_0)) (portRef A (instanceRef r_rxstate_RNO_3)) (portRef C (instanceRef r_rxtick_RNO)) )) (net dpar_1 (joined (portRef Y (instanceRef r_dpar_RNO)) (portRef D (instanceRef r_dpar)) )) (net N_65 (joined (portRef Y (instanceRef r_dpar_RNO_2)) (portRef A (instanceRef r_dpar_RNO)) )) (net N_66 (joined (portRef Y (instanceRef r_dpar_RNO_3)) (portRef B (instanceRef r_dpar_RNO)) )) (net N_64 (joined (portRef Y (instanceRef r_dpar_RNO_4)) (portRef C (instanceRef r_dpar_RNO)) )) (net (rename rxstate_nss_i_0 "rxstate_nss_i[0]") (joined (portRef Y (instanceRef r_rxstate_i_RNO_4)) (portRef D (instanceRef r_rxstate_i_4)) )) (net N_780 (joined (portRef Y (instanceRef r_rxstate_RNIOTR6_1)) (portRef B (instanceRef r_rxstate_RNO_1_1)) (portRef B (instanceRef r_rxstate_RNO_0_0)) (portRef B (instanceRef r_rxstate_i_RNO_4)) )) (net N_59 (joined (portRef Y (instanceRef r_rxstate_RNIP1S6_2)) (portRef A (instanceRef r_rxstate_RNO_1)) (portRef B (instanceRef r_rxstate_RNO_1_2)) (portRef C (instanceRef r_rxstate_RNO_1_0)) (portRef C (instanceRef r_rxstate_i_RNO_4)) )) (net N_25 (joined (portRef Y (instanceRef r_tick_RNO)) (portRef D (instanceRef r_tick)) )) (net N_161 (joined (portRef Y (instanceRef r_rxen_RNI4SI4)) (portRef A (instanceRef r_rxen_RNIIOG23)) (portRef A (instanceRef r_tick_RNO)) )) (net extclken (joined (portRef Q (instanceRef r_extclken)) (portRef B (instanceRef r_extclken_RNI7EO03)) (portRef A (instanceRef r_extclken_RNO_0)) (portRef B (instanceRef r_tick_RNO)) )) (net N_7 (joined (portRef Y (instanceRef r_scaler_RNI6J3I_11)) (portRef S (instanceRef r_scaler_RNO_0_7)) (portRef S (instanceRef r_scaler_RNO_0_4)) (portRef S (instanceRef r_scaler_RNO_0_1)) (portRef S (instanceRef r_scaler_RNO_0_10)) (portRef S (instanceRef r_scaler_RNO_0_9)) (portRef S (instanceRef r_scaler_RNO_0_8)) (portRef S (instanceRef r_scaler_RNO_0_5)) (portRef S (instanceRef r_scaler_RNO_0_6)) (portRef S (instanceRef r_scaler_RNO_0_3)) (portRef S (instanceRef r_scaler_RNO_0_2)) (portRef S (instanceRef r_scaler_RNO_0_0)) (portRef C (instanceRef r_tick_RNO)) )) (net N_767 (joined (portRef Y (instanceRef r_rxstate_RNO_1_0)) (portRef C (instanceRef r_rxstate_RNO_0)) )) (net (rename rxstate_nss_4 "rxstate_nss[4]") (joined (portRef Y (instanceRef r_rxstate_RNO_0)) (portRef D (instanceRef r_rxstate_0)) )) (net N_766 (joined (portRef Y (instanceRef r_rxstate_RNO_0_0)) (portRef A (instanceRef r_rxstate_RNO_0)) )) (net parerr_0_sqmuxa (joined (portRef Y (instanceRef r_rxstate_RNIKLUE_0)) (portRef S (instanceRef r_parerr_RNO_1)) (portRef B (instanceRef r_parerr_RNO_2)) (portRef C (instanceRef r_irqpend_RNO_1)) (portRef A (instanceRef r_irqpend_RNO_2)) (portRef A (instanceRef r_delayirqen_RNIARMN)) (portRef B (instanceRef r_dpar_RNI8T4O)) )) (net (rename un1_uart1_36 "un1_uart1[36]") (joined (portRef Q (instanceRef r_rxen)) (portRef A (instanceRef r_rxen_RNO_0)) (portRef A (instanceRef r_rxen_RNI0BM13)) (portRef A (instanceRef r_rxen_RNI4SI4)) (portRef A (instanceRef r_rxdb_RNI0F8G_1)) )) (net (rename rxdb_1 "rxdb[1]") (joined (portRef Q (instanceRef r_rxdb_1)) (portRef B (instanceRef r_rxdb_RNI0F8G_1)) )) (net ovf_0_sqmuxa (joined (portRef Y (instanceRef r_ovf_RNO_1)) (portRef B (instanceRef r_ovf_RNO)) )) (net N_863 (joined (portRef Y (instanceRef uartop_rdata57_0_a2_1_o2_0)) (portRef A (instanceRef rdata_4_sqmuxa_0_a2_0_o2)) (portRef A (instanceRef uartop_v_irq_5_2_0_a2)) )) (net rdata42 (joined (portRef Y (instanceRef r_tcnt_RNI27ID_0)) (portRef A (instanceRef r_tcnt_RNII4FE3_0)) (portRef A (instanceRef r_thold_0_RNIREKJ3_7)) )) (net (rename thold_0_7 "thold_0[7]") (joined (portRef Q (instanceRef r_thold_0_7)) (portRef B (instanceRef r_tshift_RNO_0_8)) (portRef B (instanceRef r_thold_0_RNIREKJ3_7)) )) (net N_864 (joined (portRef Y (instanceRef rdata_4_sqmuxa_0_a2_0_o2)) (portRef B (instanceRef r_tcnt_RNII4FE3_0)) (portRef C (instanceRef r_thold_0_RNIREKJ3_7)) )) (net rmw_1_sqmuxa_2 (joined (portRef Y (instanceRef v_break_1_sqmuxa_0_a2_0)) (portRef B (instanceRef v_break_1_sqmuxa_0_a2_0_0)) (portRef rmw_1_sqmuxa_2) )) (net (rename paddr_0_3 "paddr_0[3]") (joined (portRef (member paddr_0 0)) (portRef B (instanceRef v_break_1_sqmuxa_0_a2_0)) (portRef A (instanceRef v_breakirqen_1_sqmuxa_0_o2)) )) (net delayirqen (joined (portRef Q (instanceRef r_delayirqen)) (portRef S (instanceRef r_irq_RNO_2)) (portRef A (instanceRef r_irqpend_RNO_1)) (portRef B (instanceRef r_irqpend_RNO_2)) (portRef B (instanceRef r_delayirqen_RNIT47B)) (portRef B (instanceRef r_delayirqen_RNIARMN)) (portRef C (instanceRef r_delayirqen_RNI07BF)) (portRef A (instanceRef r_irqpend_RNI87KE)) (portRef delayirqen) )) (net rsempty_1_i_a2_2_0 (joined (portRef Y (instanceRef uartop_v_rsempty_1_i_RNO_2)) (portRef A (instanceRef uartop_v_rsempty_1_i_RNO_0)) )) (net (rename rxstate_nss_i_0_a3_0_0 "rxstate_nss_i_0_a3_0[0]") (joined (portRef Y (instanceRef r_rxstate_i_RNO_2_4)) (portRef C (instanceRef r_rxstate_i_RNO_1_4)) )) (net (rename tshift_13_0_iv_0_0_tz_9 "tshift_13_0_iv_0_0_tz[9]") (joined (portRef Y (instanceRef r_tshift_RNO_0_9)) (portRef B (instanceRef r_tshift_RNO_9)) )) (net N_79 (joined (portRef Y (instanceRef r_txstate_RNIVPSC_1)) (portRef B (instanceRef r_txstate_RNI1RKJ1_1)) (portRef B (instanceRef r_txtick_RNIO1FF)) (portRef B (instanceRef r_txtick_RNIO1FF_0)) (portRef B (instanceRef r_tshift_RNO_0_9)) )) (net (rename rxstate_nss_1 "rxstate_nss[1]") (joined (portRef Y (instanceRef r_rxstate_RNO_3)) (portRef D (instanceRef r_rxstate_3)) )) (net (rename rxstate_RNO_0_3 "rxstate_RNO_0[3]") (joined (portRef Y (instanceRef r_rxstate_RNO_0_3)) (portRef B (instanceRef r_rxstate_RNO_3)) )) (net (rename rxstate_3 "rxstate[3]") (joined (portRef Q (instanceRef r_rxstate_3)) (portRef A (instanceRef r_rxstate_RNIG2GC_3)) (portRef A (instanceRef r_dpar_RNO_3)) (portRef C (instanceRef r_rxstate_i_RNO_2_4)) (portRef A (instanceRef r_rxstate_RNO_0_3)) )) (net (rename rxdb_3_i_0 "rxdb_3_i[0]") (joined (portRef Y (instanceRef r_rxdb_RNO_0_0)) (portRef A (instanceRef r_rxdb_RNO_0)) )) (net (rename rxf_4 "rxf[4]") (joined (portRef Q (instanceRef r_rxf_4)) (portRef A (instanceRef r_rxdb_RNO_0_0)) )) (net (rename rxf_2 "rxf[2]") (joined (portRef Q (instanceRef r_rxf_2)) (portRef D (instanceRef r_rxf_3)) (portRef B (instanceRef r_rxdb_RNO_0_0)) )) (net (rename rxf_3 "rxf[3]") (joined (portRef Q (instanceRef r_rxf_3)) (portRef D (instanceRef r_rxf_4)) (portRef C (instanceRef r_rxdb_RNO_0_0)) )) (net (rename tshift_13_0_iv_9 "tshift_13_0_iv[9]") (joined (portRef Y (instanceRef r_tshift_RNO_9)) (portRef D (instanceRef r_tshift_9)) )) (net N_498 (joined (portRef Y (instanceRef r_rsempty_RNO_0)) (portRef B (instanceRef r_rsempty_RNO)) )) (net N_856 (joined (portRef Y (instanceRef r_rsempty_RNO_1)) (portRef S (instanceRef r_rsempty_RNO_0)) )) (net N_504 (joined (portRef Y (instanceRef r_break_RNO_0)) (portRef A (instanceRef r_break_RNO)) )) (net break (joined (portRef Q (instanceRef r_break)) (portRef A (instanceRef r_break_RNIQQM53)) (portRef A (instanceRef r_break_RNO_0)) )) (net break_1 (joined (portRef Y (instanceRef r_break_RNO_1)) (portRef B (instanceRef r_break_RNO_0)) )) (net N_28 (joined (portRef Y (instanceRef r_break_RNO_2)) (portRef S (instanceRef r_break_RNO_0)) )) (net N_505 (joined (portRef Y (instanceRef r_frame_RNO_0)) (portRef A (instanceRef r_frame_RNO)) )) (net frame_1 (joined (portRef Y (instanceRef r_frame_RNO_1)) (portRef A (instanceRef r_frame_RNO_0)) )) (net frame (joined (portRef Q (instanceRef r_frame)) (portRef A (instanceRef r_frame_RNIOBO53)) (portRef B (instanceRef r_frame_RNO_0)) )) (net frame_0_sqmuxa (joined (portRef Y (instanceRef r_frame_RNO_2)) (portRef S (instanceRef r_frame_RNO_0)) )) (net N_538 (joined (portRef Y (instanceRef r_txen_RNO_0)) (portRef A (instanceRef r_txen_RNO)) )) (net breakirqen_1_sqmuxa (joined (portRef Y (instanceRef v_breakirqen_1_sqmuxa_0_a2)) (portRef E (instanceRef r_breakirqen)) (portRef E (instanceRef r_debug)) (portRef E (instanceRef r_delayirqen)) (portRef E (instanceRef r_loopb)) (portRef E (instanceRef r_paren)) (portRef E (instanceRef r_parsel)) (portRef E (instanceRef r_rirqen)) (portRef E (instanceRef r_tirqen)) (portRef E (instanceRef r_tsemptyirqen)) (portRef S (instanceRef r_flow_RNO_0)) (portRef S (instanceRef r_rxen_RNO_0)) (portRef S (instanceRef r_extclken_RNO_0)) (portRef S (instanceRef r_txen_RNO_0)) )) (net N_541 (joined (portRef Y (instanceRef r_extclken_RNO_0)) (portRef A (instanceRef r_extclken_RNO)) )) (net N_551 (joined (portRef Y (instanceRef r_rshift_RNO_0_0)) (portRef B (instanceRef r_rshift_RNO_0)) )) (net N_737 (joined (portRef Y (instanceRef r_rxstate_RNIM7FK_2)) (portRef S (instanceRef r_rshift_RNO_0_7)) (portRef S (instanceRef r_rshift_RNO_0_1)) (portRef S (instanceRef r_rshift_RNO_0_2)) (portRef S (instanceRef r_rshift_RNO_0_3)) (portRef S (instanceRef r_rshift_RNO_0_4)) (portRef S (instanceRef r_rshift_RNO_0_5)) (portRef S (instanceRef r_rshift_RNO_0_6)) (portRef S (instanceRef r_rshift_RNO_0_0)) )) (net N_35 (joined (portRef N_35) (portRef A (instanceRef uartop_rdata57_0_a2_1_o2)) )) (net (rename paddr_2_d0 "paddr[5]") (joined (portRef paddr_2_d0) (portRef B (instanceRef uartop_rdata57_0_a2_1_o2)) )) (net irq_8 (joined (portRef Y (instanceRef r_tirqen_RNID1M3)) (portRef B (instanceRef r_tirqen_RNIFBH82)) )) (net scaler_2_sqmuxa (joined (portRef Y (instanceRef r_rxen_RNIIOG23)) (portRef E (instanceRef r_scaler_11)) (portRef E (instanceRef r_scaler_10)) (portRef E (instanceRef r_scaler_9)) (portRef E (instanceRef r_scaler_8)) (portRef E (instanceRef r_scaler_7)) (portRef E (instanceRef r_scaler_6)) (portRef E (instanceRef r_scaler_5)) (portRef E (instanceRef r_scaler_4)) (portRef E (instanceRef r_scaler_3)) (portRef E (instanceRef r_scaler_2)) (portRef E (instanceRef r_scaler_1)) (portRef E (instanceRef r_scaler_0)) )) (net N_118 (joined (portRef Y (instanceRef r_debug_RNI6FN53)) (portRef C (instanceRef r_brate_RNITE4B6_11)) )) (net (rename thold_0_1 "thold_0[1]") (joined (portRef Q (instanceRef r_thold_0_1)) (portRef A (instanceRef r_thold_0_RNILMJJ3_1)) (portRef B (instanceRef r_tshift_RNO_0_2)) )) (net (rename thold_0_2 "thold_0[2]") (joined (portRef Q (instanceRef r_thold_0_2)) (portRef A (instanceRef r_thold_0_RNIMQJJ3_2)) (portRef B (instanceRef r_tshift_RNO_0_3)) )) (net (rename thold_0_3 "thold_0[3]") (joined (portRef Q (instanceRef r_thold_0_3)) (portRef A (instanceRef r_thold_0_RNINUJJ3_3)) (portRef B (instanceRef r_tshift_RNO_0_4)) )) (net (rename thold_0_5 "thold_0[5]") (joined (portRef Q (instanceRef r_thold_0_5)) (portRef A (instanceRef r_thold_0_RNIP6KJ3_5)) (portRef B (instanceRef r_tshift_RNO_0_6)) )) (net (rename thold_0_6 "thold_0[6]") (joined (portRef Q (instanceRef r_thold_0_6)) (portRef A (instanceRef r_thold_0_RNIQAKJ3_6)) (portRef B (instanceRef r_tshift_RNO_0_7)) )) (net (rename prdata_11 "prdata[11]") (joined (portRef Y (instanceRef r_brate_RNITE4B6_11)) (portRef (member prdata 0)) )) (net (rename brate_11 "brate[11]") (joined (portRef Q (instanceRef r_brate_11)) (portRef A (instanceRef r_scaler_RNO_0_11)) (portRef B (instanceRef r_brate_RNITE4B6_11)) )) (net N_97 (joined (portRef Y (instanceRef r_scaler_RNO_0_0)) (portRef B (instanceRef r_scaler_RNO_0)) )) (net N_99 (joined (portRef Y (instanceRef r_scaler_RNO_0_2)) (portRef B (instanceRef r_scaler_RNO_2)) )) (net I_9_5 (joined (portRef Y (instanceRef un4_scaler_I_9)) (portRef B (instanceRef r_scaler_RNO_0_2)) )) (net N_100 (joined (portRef Y (instanceRef r_scaler_RNO_0_3)) (portRef B (instanceRef r_scaler_RNO_3)) )) (net I_13_10 (joined (portRef Y (instanceRef un4_scaler_I_13)) (portRef B (instanceRef r_scaler_RNO_0_3)) )) (net N_102 (joined (portRef Y (instanceRef r_scaler_RNO_0_6)) (portRef B (instanceRef r_scaler_RNO_6)) )) (net I_31_4 (joined (portRef Y (instanceRef un4_scaler_I_31)) (portRef B (instanceRef r_scaler_RNO_0_6)) )) (net N_104 (joined (portRef Y (instanceRef r_scaler_RNO_0)) (portRef D (instanceRef r_scaler_0)) )) (net N_105 (joined (portRef Y (instanceRef r_scaler_RNO_1)) (portRef D (instanceRef r_scaler_1)) )) (net (rename pwdata_1 "pwdata[1]") (joined (portRef (member pwdata 13)) (portRef D (instanceRef r_brate_1)) (portRef D (instanceRef r_thold_0_1)) (portRef A (instanceRef r_rhold_0_RNO_1)) (portRef A (instanceRef r_scaler_RNO_1)) (portRef B (instanceRef r_txen_RNO_0)) )) (net N_98 (joined (portRef Y (instanceRef r_scaler_RNO_0_1)) (portRef B (instanceRef r_scaler_RNO_1)) )) (net N_106 (joined (portRef Y (instanceRef r_scaler_RNO_2)) (portRef D (instanceRef r_scaler_2)) )) (net N_107 (joined (portRef Y (instanceRef r_scaler_RNO_3)) (portRef D (instanceRef r_scaler_3)) )) (net N_109 (joined (portRef Y (instanceRef r_scaler_RNO_6)) (portRef D (instanceRef r_scaler_6)) )) (net N_846 (joined (portRef Y (instanceRef r_brate_RNIJ3173_8)) (portRef N_846) )) (net (rename brate_8 "brate[8]") (joined (portRef Q (instanceRef r_brate_8)) (portRef A (instanceRef r_scaler_RNO_0_8)) (portRef B (instanceRef r_brate_RNIJ3173_8)) )) (net N_847 (joined (portRef Y (instanceRef r_extclken_RNI7EO03)) (portRef N_847) )) (net N_156 (joined (portRef Y (instanceRef r_rsempty_RNO)) (portRef D (instanceRef r_rsempty)) )) (net (rename pwdata_0_3 "pwdata_0[3]") (joined (portRef (member pwdata_0 2)) (portRef A (instanceRef r_rhold_0_RNO_3)) (portRef B (instanceRef r_break_RNO_1)) (portRef A (instanceRef r_scaler_RNO_3)) )) (net N_73 (joined (portRef Y (instanceRef r_extclken_RNO)) (portRef D (instanceRef r_extclken)) )) (net txen_RNO (joined (portRef Y (instanceRef r_txen_RNO)) (portRef D (instanceRef r_txen)) )) (net frame_RNO_0 (joined (portRef Y (instanceRef r_frame_RNO)) (portRef D (instanceRef r_frame)) )) (net N_87 (joined (portRef Y (instanceRef r_break_RNO)) (portRef D (instanceRef r_break)) )) (net N_830 (joined (portRef Y (instanceRef r_tirqen_RNIFBH82)) (portRef A (instanceRef r_rirqen_RNIEIVA2)) (portRef C (instanceRef r_rirqen_RNI3I6I5)) )) (net (rename rhold_0_6 "rhold_0[6]") (joined (portRef Q (instanceRef r_rhold_0_6)) (portRef A (instanceRef r_rhold_0_RNIMM353_6)) )) (net (rename rhold_0_3 "rhold_0[3]") (joined (portRef Q (instanceRef r_rhold_0_3)) (portRef A (instanceRef r_rhold_0_RNIJA353_3)) )) (net thold_0_0_sqmuxa (joined (portRef Y (instanceRef v_thold_0_0_sqmuxa_0_a2)) (portRef E (instanceRef r_thold_0_7)) (portRef E (instanceRef r_thold_0_6)) (portRef E (instanceRef r_thold_0_5)) (portRef E (instanceRef r_thold_0_4)) (portRef E (instanceRef r_thold_0_3)) (portRef E (instanceRef r_thold_0_2)) (portRef E (instanceRef r_thold_0_1)) (portRef E (instanceRef r_thold_0_0)) (portRef A (instanceRef r_tcnt_RNO_1_0)) )) (net I_66_1 (joined (portRef Y (instanceRef un4_scaler_I_66)) (portRef C (instanceRef r_scaler_RNO_0_11)) (portRef A (instanceRef r_scaler_RNI6J3I_11)) )) (net (rename un1_uart1_13 "un1_uart1[13]") (joined (portRef Q (instanceRef r_scaler_11)) (portRef B (instanceRef un4_scaler_I_66)) (portRef B (instanceRef r_scaler_RNO_0_11)) (portRef B (instanceRef r_scaler_RNI6J3I_11)) )) (net N_19 (joined (portRef Y (instanceRef r_scaler_RNO_11)) (portRef D (instanceRef r_scaler_11)) )) (net N_862 (joined (portRef Y (instanceRef r_scaler_RNO_0_11)) (portRef B (instanceRef r_scaler_RNO_11)) )) (net (rename paddr_1 "paddr[4]") (joined (portRef paddr_1) (portRef B (instanceRef rdata_2_sqmuxa_0_a2_0_a2_0)) (portRef A (instanceRef rdata_0_sqmuxa_0_a2)) (portRef A (instanceRef apbo_prdata_iv_0_a2_4_6)) (portRef A (instanceRef uartop_rdata57_0_a2_1_o2_0)) (portRef B (instanceRef v_thold_0_0_sqmuxa_0_o2)) (portRef A (instanceRef v_break_1_sqmuxa_0_a2_0)) )) (net (rename pwdata_6 "pwdata[6]") (joined (portRef (member pwdata 8)) (portRef D (instanceRef r_brate_6)) (portRef D (instanceRef r_thold_0_6)) (portRef B (instanceRef r_flow_RNO_0)) (portRef A (instanceRef r_rhold_0_RNO_6)) (portRef B (instanceRef r_frame_RNO_1)) (portRef A (instanceRef r_scaler_RNO_6)) )) (net N_397 (joined (portRef Y (instanceRef r_scaler_RNO_0_5)) (portRef B (instanceRef r_scaler_RNO_5)) )) (net I_24_5 (joined (portRef Y (instanceRef un4_scaler_I_24)) (portRef B (instanceRef r_scaler_RNO_0_5)) )) (net N_400 (joined (portRef Y (instanceRef r_scaler_RNO_0_8)) (portRef B (instanceRef r_scaler_RNO_8)) )) (net I_45_1 (joined (portRef Y (instanceRef un4_scaler_I_45)) (portRef B (instanceRef r_scaler_RNO_0_8)) )) (net N_401 (joined (portRef Y (instanceRef r_scaler_RNO_0_9)) (portRef B (instanceRef r_scaler_RNO_9)) )) (net I_52_1 (joined (portRef Y (instanceRef un4_scaler_I_52)) (portRef B (instanceRef r_scaler_RNO_0_9)) )) (net N_402 (joined (portRef Y (instanceRef r_scaler_RNO_0_10)) (portRef B (instanceRef r_scaler_RNO_10)) )) (net I_56_1 (joined (portRef Y (instanceRef un4_scaler_I_56)) (portRef B (instanceRef r_scaler_RNO_0_10)) )) (net (rename scaler_1_5 "scaler_1[5]") (joined (portRef Y (instanceRef r_scaler_RNO_5)) (portRef D (instanceRef r_scaler_5)) )) (net (rename scaler_1_8 "scaler_1[8]") (joined (portRef Y (instanceRef r_scaler_RNO_8)) (portRef D (instanceRef r_scaler_8)) )) (net (rename pwdata_8 "pwdata[8]") (joined (portRef (member pwdata 6)) (portRef D (instanceRef r_brate_8)) (portRef A (instanceRef r_scaler_RNO_8)) (portRef B (instanceRef r_extclken_RNO_0)) )) (net (rename scaler_1_9 "scaler_1[9]") (joined (portRef Y (instanceRef r_scaler_RNO_9)) (portRef D (instanceRef r_scaler_9)) )) (net (rename scaler_1_10 "scaler_1[10]") (joined (portRef Y (instanceRef r_scaler_RNO_10)) (portRef D (instanceRef r_scaler_10)) )) (net (rename rhold_0_1_0 "rhold_0_1[0]") (joined (portRef Y (instanceRef r_rhold_0_RNO_0)) (portRef D (instanceRef r_rhold_0_0)) )) (net (rename pwdata_0_p "pwdata[0]") (joined (portRef (member pwdata 14)) (portRef D (instanceRef r_brate_0)) (portRef D (instanceRef r_thold_0_0)) (portRef B (instanceRef r_rxen_RNO_0)) (portRef A (instanceRef r_rhold_0_RNO_0)) (portRef A (instanceRef r_scaler_RNO_0)) )) (net (rename rhold_0_1_1 "rhold_0_1[1]") (joined (portRef Y (instanceRef r_rhold_0_RNO_1)) (portRef D (instanceRef r_rhold_0_1)) )) (net (rename rhold_0_1_2 "rhold_0_1[2]") (joined (portRef Y (instanceRef r_rhold_0_RNO_2)) (portRef D (instanceRef r_rhold_0_2)) )) (net (rename pwdata_0_2 "pwdata_0[2]") (joined (portRef (member pwdata_0 3)) (portRef A (instanceRef r_rhold_0_RNO_2)) (portRef A (instanceRef r_scaler_RNO_2)) )) (net (rename rhold_0_1_3 "rhold_0_1[3]") (joined (portRef Y (instanceRef r_rhold_0_RNO_3)) (portRef D (instanceRef r_rhold_0_3)) )) (net (rename rhold_0_1_4 "rhold_0_1[4]") (joined (portRef Y (instanceRef r_rhold_0_RNO_4)) (portRef D (instanceRef r_rhold_0_4)) )) (net (rename rhold_0_1_5 "rhold_0_1[5]") (joined (portRef Y (instanceRef r_rhold_0_RNO_5)) (portRef D (instanceRef r_rhold_0_5)) )) (net (rename pwdata_0_5 "pwdata_0[5]") (joined (portRef (member pwdata_0 0)) (portRef A (instanceRef r_rhold_0_RNO_5)) (portRef A (instanceRef r_scaler_RNO_5)) )) (net (rename rhold_0_1_6 "rhold_0_1[6]") (joined (portRef Y (instanceRef r_rhold_0_RNO_6)) (portRef D (instanceRef r_rhold_0_6)) )) (net (rename rhold_0_1_7 "rhold_0_1[7]") (joined (portRef Y (instanceRef r_rhold_0_RNO_7)) (portRef D (instanceRef r_rhold_0_7)) )) (net (rename rshift_RNO_0_0 "rshift_RNO_0[0]") (joined (portRef Y (instanceRef r_rshift_RNO_0)) (portRef D (instanceRef r_rshift_0)) )) (net pwrite (joined (portRef pwrite) (portRef B (instanceRef uartop_un1_apbi_6_i_o2)) (portRef C (instanceRef rdata_4_sqmuxa_0_a2_0_o2_0)) )) (net N_86 (joined (portRef Y (instanceRef r_txtick_RNIO1FF_0)) (portRef A (instanceRef r_txtick_RNIQ27M1_0)) (portRef S (instanceRef r_tsempty_RNIEEDT1)) )) (net (rename txstate_ns_1 "txstate_ns[1]") (joined (portRef Y (instanceRef r_txstate_RNO_1_1)) (portRef B (instanceRef r_txstate_RNO_0_1)) )) (net N_148 (joined (portRef Y (instanceRef r_txstate_RNO_3_1)) (portRef C (instanceRef r_txstate_RNO_1_1)) )) (net (rename thold_0_4 "thold_0[4]") (joined (portRef Q (instanceRef r_thold_0_4)) (portRef A (instanceRef r_thold_0_RNIO2KJ3_4)) (portRef B (instanceRef r_tshift_RNO_1_5)) )) (net N_679s (joined (portRef Y (instanceRef r_txstate_RNO_1)) (portRef D (instanceRef r_txstate_1)) )) (net N_679 (joined (portRef Y (instanceRef r_txstate_RNO_0_1)) (portRef A (instanceRef r_txstate_RNO_1)) )) (net (rename rxdb_1_0 "rxdb_1[0]") (joined (portRef Y (instanceRef r_rxdb_RNO_0)) (portRef D (instanceRef r_rxdb_0)) )) (net N_77 (joined (portRef Y (instanceRef r_rxen_RNO)) (portRef D (instanceRef r_rxen)) )) (net N_539 (joined (portRef Y (instanceRef r_rxen_RNO_0)) (portRef A (instanceRef r_rxen_RNO)) )) (net N_903 (joined (portRef Y (instanceRef r_irqcnt_RNO_0_5)) (portRef A (instanceRef r_irqcnt_RNO_5)) )) (net N_902 (joined (portRef Y (instanceRef r_irqcnt_RNO_5)) (portRef D (instanceRef r_irqcnt_5)) )) (net (rename irqcnt_5 "irqcnt[5]") (joined (portRef Q (instanceRef r_irqcnt_5)) (portRef A (instanceRef r_irqcnt_RNIF1F_5)) (portRef B (instanceRef r_irqcnt_RNO_5)) )) (net irqcnt_n0 (joined (portRef Y (instanceRef r_irqcnt_RNO_0)) (portRef D (instanceRef r_irqcnt_0)) )) (net irqpend_RNO (joined (portRef Y (instanceRef r_irqpend_RNO)) (portRef D (instanceRef r_irqpend)) )) (net N_516 (joined (portRef Y (instanceRef r_irqpend_RNO_0)) (portRef A (instanceRef r_irqpend_RNO)) )) (net irqcnte (joined (portRef Y (instanceRef r_delayirqen_RNIT47B)) (portRef E (instanceRef r_irqcnt_5)) (portRef E (instanceRef r_irqcnt_4)) (portRef E (instanceRef r_irqcnt_3)) (portRef E (instanceRef r_irqcnt_2)) (portRef E (instanceRef r_irqcnt_1)) (portRef E (instanceRef r_irqcnt_0)) )) (net N_17 (joined (portRef Y (instanceRef r_irqpend_RNO_2)) (portRef S (instanceRef r_irqpend_RNO_0)) )) (net irqpend_1 (joined (portRef Y (instanceRef r_irqpend_RNO_1)) (portRef B (instanceRef r_irqpend_RNO_0)) )) (net (rename rhold_0_1 "rhold_0[1]") (joined (portRef Q (instanceRef r_rhold_0_1)) (portRef A (instanceRef r_rhold_0_RNIH2353_1)) )) (net I_5_5 (joined (portRef Y (instanceRef un4_scaler_I_5)) (portRef B (instanceRef r_scaler_RNO_0_1)) )) (net (rename rshift_RNO_0_6 "rshift_RNO_0[6]") (joined (portRef Y (instanceRef r_rshift_RNO_6)) (portRef D (instanceRef r_rshift_6)) )) (net N_557 (joined (portRef Y (instanceRef r_rshift_RNO_0_6)) (portRef B (instanceRef r_rshift_RNO_6)) )) (net (rename rshift_RNO_0_5 "rshift_RNO_0[5]") (joined (portRef Y (instanceRef r_rshift_RNO_5)) (portRef D (instanceRef r_rshift_5)) )) (net N_556 (joined (portRef Y (instanceRef r_rshift_RNO_0_5)) (portRef B (instanceRef r_rshift_RNO_5)) )) (net (rename rshift_RNO_0_4 "rshift_RNO_0[4]") (joined (portRef Y (instanceRef r_rshift_RNO_4)) (portRef D (instanceRef r_rshift_4)) )) (net N_555 (joined (portRef Y (instanceRef r_rshift_RNO_0_4)) (portRef B (instanceRef r_rshift_RNO_4)) )) (net (rename rshift_RNO_0_3 "rshift_RNO_0[3]") (joined (portRef Y (instanceRef r_rshift_RNO_3)) (portRef D (instanceRef r_rshift_3)) )) (net N_554 (joined (portRef Y (instanceRef r_rshift_RNO_0_3)) (portRef B (instanceRef r_rshift_RNO_3)) )) (net (rename rshift_RNO_0_2 "rshift_RNO_0[2]") (joined (portRef Y (instanceRef r_rshift_RNO_2)) (portRef D (instanceRef r_rshift_2)) )) (net N_553 (joined (portRef Y (instanceRef r_rshift_RNO_0_2)) (portRef B (instanceRef r_rshift_RNO_2)) )) (net (rename rshift_RNO_0_1 "rshift_RNO_0[1]") (joined (portRef Y (instanceRef r_rshift_RNO_1)) (portRef D (instanceRef r_rshift_1)) )) (net N_552 (joined (portRef Y (instanceRef r_rshift_RNO_0_1)) (portRef B (instanceRef r_rshift_RNO_1)) )) (net (rename tcnt_RNO_0 "tcnt_RNO[0]") (joined (portRef Y (instanceRef r_tcnt_RNO_0)) (portRef D (instanceRef r_tcnt_0)) )) (net N_870 (joined (portRef Y (instanceRef r_tcnt_RNO_1_0)) (portRef C (instanceRef r_tcnt_RNO_0)) )) (net (rename rhold_0_2 "rhold_0[2]") (joined (portRef Q (instanceRef r_rhold_0_2)) (portRef A (instanceRef r_rhold_0_RNII6353_2)) )) (net N_834 (joined (portRef Y (instanceRef r_txclk_RNO_0_0)) (portRef B (instanceRef r_txclk_RNO_0)) )) (net (rename txclk_0 "txclk[0]") (joined (portRef Q (instanceRef r_txclk_0)) (portRef B (instanceRef un3_txclk_1_CO1)) (portRef A (instanceRef r_txclk_RNO_1)) (portRef A (instanceRef r_txclk_RNO_0_0)) )) (net N_823 (joined (portRef Y (instanceRef r_txclk_RNO_0)) (portRef D (instanceRef r_txclk_0)) )) (net N_175 (joined (portRef Y (instanceRef r_txtick_RNIKUIN1)) (portRef B (instanceRef r_tick_RNI5KSP1)) (portRef C (instanceRef r_txclk_RNO_1)) (portRef C (instanceRef r_txclk_RNO_2)) )) (net (rename txclk_1_2 "txclk_1[2]") (joined (portRef Y (instanceRef r_txclk_RNO_2)) (portRef D (instanceRef r_txclk_2)) )) (net (rename txclk_1_1 "txclk_1[1]") (joined (portRef Y (instanceRef r_txclk_RNO_1)) (portRef D (instanceRef r_txclk_1)) )) (net (rename txclk_1 "txclk[1]") (joined (portRef Q (instanceRef r_txclk_1)) (portRef A (instanceRef un3_txclk_1_CO1)) (portRef B (instanceRef r_txclk_RNO_1)) )) (net txclk_1_sqmuxa_1 (joined (portRef Y (instanceRef r_tick_RNI5KSP1)) (portRef E (instanceRef r_txclk_2)) (portRef E (instanceRef r_txclk_1)) (portRef E (instanceRef r_txclk_0)) )) (net tsempty_RNO_0 (joined (portRef Y (instanceRef r_tsempty_RNO)) (portRef D (instanceRef r_tsempty)) )) (net N_678 (joined (portRef Y (instanceRef r_txstate_RNO_0_0)) (portRef A (instanceRef r_txstate_RNO_0)) )) (net N_678s (joined (portRef Y (instanceRef r_txstate_RNO_0)) (portRef D (instanceRef r_txstate_0)) )) (net tpar_1 (joined (portRef Y (instanceRef r_tpar_RNO)) (portRef D (instanceRef r_tpar)) )) (net parsel (joined (portRef Q (instanceRef r_parsel)) (portRef B (instanceRef r_parsel_RNI6BO13)) (portRef B (instanceRef r_dpar_RNO_3)) (portRef A (instanceRef r_tpar_RNO)) )) (net N_89_i (joined (portRef Y (instanceRef r_tpar_RNO_1)) (portRef B (instanceRef r_tpar_RNO)) )) (net irq_12_i (joined (portRef Y (instanceRef r_irq_RNO_2)) (portRef A (instanceRef r_irq_RNO_0)) )) (net (rename rxclk_1 "rxclk[1]") (joined (portRef Q (instanceRef r_rxclk_1)) (portRef A (instanceRef un2_rxclk_1_SUM1_0)) (portRef A (instanceRef un2_rxclk_1_CO1)) )) (net N_811_i_1 (joined (portRef Q (instanceRef r_rxclk_0)) (portRef C (instanceRef r_rxclk_RNO_0)) (portRef B (instanceRef un2_rxclk_1_SUM1_0)) (portRef B (instanceRef un2_rxclk_1_CO1)) )) (net N_812_i_0 (joined (portRef Y (instanceRef un2_rxclk_1_SUM1_0)) (portRef C (instanceRef r_rxclk_RNO_1)) )) (net N_813_i_0 (joined (portRef Y (instanceRef un2_rxclk_1_SUM2_0)) (portRef A (instanceRef r_rxclk_RNO_2)) )) (net N_818 (joined (portRef Y (instanceRef r_tick_RNIG2HP)) (portRef E (instanceRef r_rxclk_2)) (portRef E (instanceRef r_rxclk_1)) (portRef E (instanceRef r_rxclk_0)) )) (net N_819 (joined (portRef Y (instanceRef r_rxclk_RNO_0)) (portRef D (instanceRef r_rxclk_0)) )) (net N_820 (joined (portRef Y (instanceRef r_rxclk_RNO_1)) (portRef D (instanceRef r_rxclk_1)) )) (net N_822 (joined (portRef Y (instanceRef r_rxclk_RNO_2)) (portRef D (instanceRef r_rxclk_2)) )) (net (rename rxstate_nss_2 "rxstate_nss[2]") (joined (portRef Y (instanceRef r_rxstate_RNO_2)) (portRef D (instanceRef r_rxstate_2)) )) (net N_852 (joined (portRef Y (instanceRef r_rxstate_RNO_1_2)) (portRef C (instanceRef r_rxstate_RNO_2)) )) (net N_752 (joined (portRef Y (instanceRef r_dpar_RNO_0)) (portRef E (instanceRef r_dpar)) )) (net (rename rshift_RNO_0_7 "rshift_RNO_0[7]") (joined (portRef Y (instanceRef r_rshift_RNO_7)) (portRef D (instanceRef r_rshift_7)) )) (net N_558 (joined (portRef Y (instanceRef r_rshift_RNO_0_7)) (portRef B (instanceRef r_rshift_RNO_7)) )) (net (rename rxstate_nss_3 "rxstate_nss[3]") (joined (portRef Y (instanceRef r_rxstate_RNO_1)) (portRef D (instanceRef r_rxstate_1)) )) (net N_768 (joined (portRef Y (instanceRef r_rxstate_RNO_1_1)) (portRef C (instanceRef r_rxstate_RNO_1)) )) (net parerr_0_sqmuxa_1 (joined (portRef Y (instanceRef r_parerr_RNO_2)) (portRef S (instanceRef r_parerr_RNO_0)) )) (net parerr_1 (joined (portRef Y (instanceRef r_parerr_RNO_1)) (portRef A (instanceRef r_parerr_RNO_0)) )) (net parerr_5 (joined (portRef Y (instanceRef r_parerr_RNO_3)) (portRef A (instanceRef r_parerr_RNO_1)) )) (net parerr (joined (portRef Q (instanceRef r_parerr)) (portRef A (instanceRef r_parerr_RNIBVP13)) (portRef B (instanceRef r_parerr_RNO_0)) (portRef A (instanceRef r_parerr_RNO_3)) )) (net parerr_RNO (joined (portRef Y (instanceRef r_parerr_RNO)) (portRef D (instanceRef r_parerr)) )) (net N_515 (joined (portRef Y (instanceRef r_parerr_RNO_0)) (portRef A (instanceRef r_parerr_RNO)) )) (net N_537 (joined (portRef Y (instanceRef r_ovf_RNO_0)) (portRef A (instanceRef r_ovf_RNO)) )) (net (rename pwdata_0_4 "pwdata_0[4]") (joined (portRef (member pwdata_0 1)) (portRef A (instanceRef r_ovf_RNO_0)) (portRef A (instanceRef r_rhold_0_RNO_4)) )) (net ovf (joined (portRef Q (instanceRef r_ovf)) (portRef A (instanceRef r_ovf_RNI8NI03)) (portRef B (instanceRef r_ovf_RNO_0)) )) (net ovf_RNO_0 (joined (portRef Y (instanceRef r_ovf_RNO)) (portRef D (instanceRef r_ovf)) )) (net (rename rhold_0_4 "rhold_0[4]") (joined (portRef Q (instanceRef r_rhold_0_4)) (portRef A (instanceRef r_rhold_0_RNIKE353_4)) )) (net N_108 (joined (portRef Y (instanceRef r_scaler_RNO_4)) (portRef D (instanceRef r_scaler_4)) )) (net N_101 (joined (portRef Y (instanceRef r_scaler_RNO_0_4)) (portRef B (instanceRef r_scaler_RNO_4)) )) (net I_20_5 (joined (portRef Y (instanceRef un4_scaler_I_20)) (portRef B (instanceRef r_scaler_RNO_0_4)) )) (net N_55 (joined (portRef Y (instanceRef v_brate_1_sqmuxa_0_o2)) (portRef E (instanceRef r_brate_11)) (portRef E (instanceRef r_brate_10)) (portRef E (instanceRef r_brate_9)) (portRef E (instanceRef r_brate_8)) (portRef E (instanceRef r_brate_7)) (portRef E (instanceRef r_brate_6)) (portRef E (instanceRef r_brate_5)) (portRef E (instanceRef r_brate_4)) (portRef E (instanceRef r_brate_3)) (portRef E (instanceRef r_brate_2)) (portRef E (instanceRef r_brate_1)) (portRef E (instanceRef r_brate_0)) )) (net readdata55_1 (joined (portRef readdata55_1) (portRef C (instanceRef rdata_0_sqmuxa_0_a2)) (portRef B (instanceRef uartop_rdata57_0_a2_1_o2_0)) (portRef B (instanceRef v_thold_0_0_sqmuxa_0_a2)) )) (net N_897 (joined (portRef N_897) (portRef C (instanceRef apbo_prdata_iv_0_a2_4_6)) )) (net (rename paddr_2_2 "paddr_2[2]") (joined (portRef (member paddr_2 0)) (portRef B (instanceRef v_breakirqen_1_sqmuxa_0_a2)) (portRef B (instanceRef rdata_2_sqmuxa_0_a2_0_a2)) (portRef A (instanceRef rdata_3_sqmuxa_0_a2_0_a2)) (portRef A (instanceRef v_brate_1_sqmuxa_0_o2)) )) (net N_896 (joined (portRef Y (instanceRef rdata_2_sqmuxa_0_a2_0_a2_0)) (portRef A (instanceRef rdata_2_sqmuxa_0_a2_0_a2)) (portRef B (instanceRef rdata_3_sqmuxa_0_a2_0_a2)) )) (net (rename paddr_0_d0 "paddr[3]") (joined (portRef paddr_0_d0) (portRef A (instanceRef rdata_2_sqmuxa_0_a2_0_a2_0)) )) (net N_75 (joined (portRef Y (instanceRef r_flow_RNO)) (portRef D (instanceRef r_flow)) )) (net N_540 (joined (portRef Y (instanceRef r_flow_RNO_0)) (portRef A (instanceRef r_flow_RNO)) )) (net N_859 (joined (portRef Y (instanceRef r_loopb_RNIL6T53)) (portRef N_859) )) (net (rename brate_7 "brate[7]") (joined (portRef Q (instanceRef r_brate_7)) (portRef A (instanceRef r_scaler_RNO_0_7)) (portRef B (instanceRef r_brate_RNIIV073_7)) )) (net N_110 (joined (portRef Y (instanceRef r_scaler_RNO_7)) (portRef D (instanceRef r_scaler_7)) )) (net (rename pwdata_7 "pwdata[7]") (joined (portRef (member pwdata 7)) (portRef D (instanceRef r_brate_7)) (portRef D (instanceRef r_thold_0_7)) (portRef D (instanceRef r_loopb)) (portRef A (instanceRef r_scaler_RNO_7)) (portRef A (instanceRef r_rhold_0_RNO_7)) )) (net N_103 (joined (portRef Y (instanceRef r_scaler_RNO_0_7)) (portRef B (instanceRef r_scaler_RNO_7)) )) (net I_38_4 (joined (portRef Y (instanceRef un4_scaler_I_38)) (portRef B (instanceRef r_scaler_RNO_0_7)) )) (net (rename rhold_0_7 "rhold_0[7]") (joined (portRef Q (instanceRef r_rhold_0_7)) (portRef A (instanceRef r_rhold_0_RNINQ353_7)) )) (net (rename rhold_0_5 "rhold_0[5]") (joined (portRef Q (instanceRef r_rhold_0_5)) (portRef A (instanceRef r_rhold_0_RNILI353_5)) )) (net utxd1_c (joined (portRef Q (instanceRef r_txd)) (portRef utxd1_c) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_rxf_4)) (portRef CLK (instanceRef r_rxf_3)) (portRef CLK (instanceRef r_rxf_2)) (portRef CLK (instanceRef r_rxf_0)) (portRef CLK (instanceRef r_rxdb_1)) (portRef CLK (instanceRef r_rxdb_0)) (portRef CLK (instanceRef r_tshift_9)) (portRef CLK (instanceRef r_tshift_8)) (portRef CLK (instanceRef r_tshift_7)) (portRef CLK (instanceRef r_tshift_6)) (portRef CLK (instanceRef r_tshift_5)) (portRef CLK (instanceRef r_tshift_4)) (portRef CLK (instanceRef r_tshift_3)) (portRef CLK (instanceRef r_tshift_2)) (portRef CLK (instanceRef r_tshift_1)) (portRef CLK (instanceRef r_tshift_0)) (portRef CLK (instanceRef r_txclk_2)) (portRef CLK (instanceRef r_txclk_1)) (portRef CLK (instanceRef r_txclk_0)) (portRef CLK (instanceRef r_scaler_11)) (portRef CLK (instanceRef r_scaler_10)) (portRef CLK (instanceRef r_scaler_9)) (portRef CLK (instanceRef r_scaler_8)) (portRef CLK (instanceRef r_scaler_7)) (portRef CLK (instanceRef r_scaler_6)) (portRef CLK (instanceRef r_scaler_5)) (portRef CLK (instanceRef r_scaler_4)) (portRef CLK (instanceRef r_scaler_3)) (portRef CLK (instanceRef r_scaler_2)) (portRef CLK (instanceRef r_scaler_1)) (portRef CLK (instanceRef r_scaler_0)) (portRef CLK (instanceRef r_brate_11)) (portRef CLK (instanceRef r_brate_10)) (portRef CLK (instanceRef r_brate_9)) (portRef CLK (instanceRef r_brate_8)) (portRef CLK (instanceRef r_brate_7)) (portRef CLK (instanceRef r_brate_6)) (portRef CLK (instanceRef r_brate_5)) (portRef CLK (instanceRef r_brate_4)) (portRef CLK (instanceRef r_brate_3)) (portRef CLK (instanceRef r_brate_2)) (portRef CLK (instanceRef r_brate_1)) (portRef CLK (instanceRef r_brate_0)) (portRef CLK (instanceRef r_thold_0_7)) (portRef CLK (instanceRef r_thold_0_6)) (portRef CLK (instanceRef r_thold_0_5)) (portRef CLK (instanceRef r_thold_0_4)) (portRef CLK (instanceRef r_thold_0_3)) (portRef CLK (instanceRef r_thold_0_2)) (portRef CLK (instanceRef r_thold_0_1)) (portRef CLK (instanceRef r_thold_0_0)) (portRef CLK (instanceRef r_rhold_0_7)) (portRef CLK (instanceRef r_rhold_0_6)) (portRef CLK (instanceRef r_rhold_0_5)) (portRef CLK (instanceRef r_rhold_0_4)) (portRef CLK (instanceRef r_rhold_0_3)) (portRef CLK (instanceRef r_rhold_0_2)) (portRef CLK (instanceRef r_rhold_0_1)) (portRef CLK (instanceRef r_rhold_0_0)) (portRef CLK (instanceRef r_rxclk_2)) (portRef CLK (instanceRef r_rxclk_1)) (portRef CLK (instanceRef r_rxclk_0)) (portRef CLK (instanceRef r_rshift_7)) (portRef CLK (instanceRef r_rshift_6)) (portRef CLK (instanceRef r_rshift_5)) (portRef CLK (instanceRef r_rshift_4)) (portRef CLK (instanceRef r_rshift_3)) (portRef CLK (instanceRef r_rshift_2)) (portRef CLK (instanceRef r_rshift_1)) (portRef CLK (instanceRef r_rshift_0)) (portRef CLK (instanceRef r_rxstate_0)) (portRef CLK (instanceRef r_rxstate_1)) (portRef CLK (instanceRef r_rxstate_2)) (portRef CLK (instanceRef r_rxstate_3)) (portRef CLK (instanceRef r_rxstate_i_4)) (portRef CLK (instanceRef r_txstate_1)) (portRef CLK (instanceRef r_txstate_0)) (portRef CLK (instanceRef r_rxtick)) (portRef CLK (instanceRef r_txtick)) (portRef CLK (instanceRef r_break)) (portRef CLK (instanceRef r_frame)) (portRef CLK (instanceRef r_parerr)) (portRef CLK (instanceRef r_irqpend)) (portRef CLK (instanceRef r_txen)) (portRef CLK (instanceRef r_rxen)) (portRef CLK (instanceRef r_flow)) (portRef CLK (instanceRef r_extclken)) (portRef CLK (instanceRef r_ovf)) (portRef CLK (instanceRef r_rcnt_0)) (portRef CLK (instanceRef r_tcnt_0)) (portRef CLK (instanceRef r_tsempty)) (portRef CLK (instanceRef r_rsempty)) (portRef CLK (instanceRef r_breakirqen)) (portRef CLK (instanceRef r_debug)) (portRef CLK (instanceRef r_delayirqen)) (portRef CLK (instanceRef r_loopb)) (portRef CLK (instanceRef r_paren)) (portRef CLK (instanceRef r_parsel)) (portRef CLK (instanceRef r_rirqen)) (portRef CLK (instanceRef r_tirqen)) (portRef CLK (instanceRef r_tsemptyirqen)) (portRef CLK (instanceRef r_dpar)) (portRef CLK (instanceRef r_tpar)) (portRef CLK (instanceRef r_irqcnt_5)) (portRef CLK (instanceRef r_irqcnt_4)) (portRef CLK (instanceRef r_irqcnt_3)) (portRef CLK (instanceRef r_irqcnt_2)) (portRef CLK (instanceRef r_irqcnt_1)) (portRef CLK (instanceRef r_irqcnt_0)) (portRef CLK (instanceRef r_irq)) (portRef CLK (instanceRef r_tick)) (portRef CLK (instanceRef r_txd)) )) (net (rename pirq_2 "pirq[2]") (joined (portRef Q (instanceRef r_irq)) (portRef B (instanceRef r_tirqen_RNID1M3)) (portRef (member pirq 0)) )) (net tsemptyirqen_0 (joined (portRef Q (instanceRef r_tsemptyirqen)) (portRef A (instanceRef r_irq_RNO_4)) (portRef tsemptyirqen_0) )) (net (rename pwdata_14 "pwdata[14]") (joined (portRef (member pwdata 0)) (portRef D (instanceRef r_tsemptyirqen)) )) (net (rename pwdata_4 "pwdata[4]") (joined (portRef (member pwdata 10)) (portRef D (instanceRef r_brate_4)) (portRef D (instanceRef r_thold_0_4)) (portRef D (instanceRef r_parsel)) (portRef A (instanceRef r_scaler_RNO_4)) )) (net (rename pwdata_5 "pwdata[5]") (joined (portRef (member pwdata 9)) (portRef D (instanceRef r_brate_5)) (portRef D (instanceRef r_thold_0_5)) (portRef D (instanceRef r_paren)) (portRef B (instanceRef r_parerr_RNO_1)) )) (net (rename pwdata_13 "pwdata[13]") (joined (portRef (member pwdata 1)) (portRef D (instanceRef r_delayirqen)) )) (net (rename pwdata_11 "pwdata[11]") (joined (portRef (member pwdata 3)) (portRef D (instanceRef r_brate_11)) (portRef D (instanceRef r_debug)) (portRef A (instanceRef r_scaler_RNO_11)) )) (net breakirqen (joined (portRef Q (instanceRef r_breakirqen)) (portRef B (instanceRef r_irq_RNO_0)) (portRef breakirqen) )) (net (rename pwdata_12 "pwdata[12]") (joined (portRef (member pwdata 2)) (portRef D (instanceRef r_breakirqen)) )) (net (rename pwdata_2 "pwdata[2]") (joined (portRef (member pwdata 12)) (portRef D (instanceRef r_brate_2)) (portRef D (instanceRef r_thold_0_2)) (portRef D (instanceRef r_rirqen)) )) (net (rename pwdata_3 "pwdata[3]") (joined (portRef (member pwdata 11)) (portRef D (instanceRef r_brate_3)) (portRef D (instanceRef r_thold_0_3)) (portRef D (instanceRef r_tirqen)) )) (net (rename brate_9 "brate[9]") (joined (portRef Q (instanceRef r_brate_9)) (portRef A (instanceRef r_scaler_RNO_0_9)) (portRef brate_9) )) (net (rename pwdata_9 "pwdata[9]") (joined (portRef (member pwdata 5)) (portRef D (instanceRef r_brate_9)) (portRef A (instanceRef r_scaler_RNO_9)) )) (net (rename brate_10 "brate[10]") (joined (portRef Q (instanceRef r_brate_10)) (portRef A (instanceRef r_scaler_RNO_0_10)) (portRef brate_10) )) (net (rename pwdata_10 "pwdata[10]") (joined (portRef (member pwdata 4)) (portRef D (instanceRef r_brate_10)) (portRef A (instanceRef r_scaler_RNO_10)) )) (net (rename un1_uart1_4 "un1_uart1[4]") (joined (portRef Q (instanceRef r_scaler_2)) (portRef B (instanceRef un4_scaler_I_9)) (portRef C (instanceRef un4_scaler_I_12)) (portRef C (instanceRef un4_scaler_I_16)) )) (net (rename un1_uart1_6 "un1_uart1[6]") (joined (portRef Q (instanceRef r_scaler_4)) (portRef B (instanceRef un4_scaler_I_20)) (portRef C (instanceRef un4_scaler_I_23)) (portRef B (instanceRef un4_scaler_I_27)) (portRef B (instanceRef un4_scaler_I_34)) )) (net (rename un1_uart1_7 "un1_uart1[7]") (joined (portRef Q (instanceRef r_scaler_5)) (portRef B (instanceRef un4_scaler_I_24)) (portRef C (instanceRef un4_scaler_I_30)) (portRef C (instanceRef un4_scaler_I_34)) )) (net (rename un1_uart1_8 "un1_uart1[8]") (joined (portRef Q (instanceRef r_scaler_6)) (portRef B (instanceRef un4_scaler_I_31)) (portRef C (instanceRef un4_scaler_I_37)) (portRef A (instanceRef un4_scaler_I_41)) (portRef A (instanceRef un4_scaler_I_59)) )) (net (rename un1_uart1_9 "un1_uart1[9]") (joined (portRef Q (instanceRef r_scaler_7)) (portRef B (instanceRef un4_scaler_I_38)) (portRef B (instanceRef un4_scaler_I_41)) (portRef B (instanceRef un4_scaler_I_59)) )) (net (rename un1_uart1_11 "un1_uart1[11]") (joined (portRef Q (instanceRef r_scaler_9)) (portRef B (instanceRef un4_scaler_I_52)) (portRef C (instanceRef un4_scaler_I_55)) (portRef B (instanceRef un4_scaler_I_65)) )) (net (rename un1_uart1_12 "un1_uart1[12]") (joined (portRef Q (instanceRef r_scaler_10)) (portRef B (instanceRef un4_scaler_I_56)) (portRef C (instanceRef un4_scaler_I_65)) )) (net (rename rxf_0 "rxf[0]") (joined (portRef Q (instanceRef r_rxf_0)) (portRef rxf_0) )) (net ahbrxd_c (joined (portRef ahbrxd_c) (portRef D (instanceRef r_rxf_0)) )) (net (rename rxf_1 "rxf[1]") (joined (portRef rxf_1) (portRef D (instanceRef r_rxf_2)) )) (net N_4 (joined (portRef Y (instanceRef un4_scaler_I_65)) (portRef A (instanceRef un4_scaler_I_66)) )) (net (rename DWACT_FDEC_E_6 "DWACT_FDEC_E[6]") (joined (portRef Y (instanceRef un4_scaler_I_62)) (portRef A (instanceRef un4_scaler_I_65)) )) (net (rename DWACT_FDEC_E_2 "DWACT_FDEC_E[2]") (joined (portRef Y (instanceRef un4_scaler_I_34)) (portRef B (instanceRef un4_scaler_I_37)) (portRef B (instanceRef un4_scaler_I_44)) (portRef B (instanceRef un4_scaler_I_48)) (portRef B (instanceRef un4_scaler_I_62)) )) (net (rename DWACT_FDEC_E_5 "DWACT_FDEC_E[5]") (joined (portRef Y (instanceRef un4_scaler_I_59)) (portRef C (instanceRef un4_scaler_I_62)) )) (net N_11_0 (joined (portRef Y (instanceRef un4_scaler_I_55)) (portRef A (instanceRef un4_scaler_I_56)) )) (net (rename DWACT_FDEC_E_3 "DWACT_FDEC_E[3]") (joined (portRef Y (instanceRef un4_scaler_I_41)) (portRef C (instanceRef un4_scaler_I_44)) (portRef C (instanceRef un4_scaler_I_48)) )) (net N_19_0 (joined (portRef Y (instanceRef un4_scaler_I_44)) (portRef A (instanceRef un4_scaler_I_45)) )) (net N_24 (joined (portRef Y (instanceRef un4_scaler_I_37)) (portRef A (instanceRef un4_scaler_I_38)) )) (net N_29 (joined (portRef Y (instanceRef un4_scaler_I_30)) (portRef A (instanceRef un4_scaler_I_31)) )) (net (rename DWACT_FDEC_E_1 "DWACT_FDEC_E[1]") (joined (portRef Y (instanceRef un4_scaler_I_27)) (portRef B (instanceRef un4_scaler_I_30)) )) (net N_34_0 (joined (portRef Y (instanceRef un4_scaler_I_23)) (portRef A (instanceRef un4_scaler_I_24)) )) (net N_42 (joined (portRef Y (instanceRef un4_scaler_I_12)) (portRef A (instanceRef un4_scaler_I_13)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property pindex (integer 1)) (property paddr (integer 1)) (property pmask (integer 4095)) (property console (integer 0)) (property pirq (integer 2)) (property parity (integer 1)) (property flow (integer 1)) (property fifosize (integer 1)) (property abits (integer 8)) (property sbits (integer 12)) ) ) (cell gptimer (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename reload_rnihtbf "reload_RNIHTBF[6:6]") 1) (direction OUTPUT)) (port readdata_9_i_0_5 (direction OUTPUT)) (port readdata_9_i_0_0 (direction OUTPUT)) (port value_6 (direction OUTPUT)) (port (array (rename paddr_2 "paddr_2[2:2]") 1) (direction INPUT)) (port (array (rename pirq "pirq[9:8]") 2) (direction OUTPUT)) (port (array (rename reload_rnictbf "reload_RNICTBF[1:1]") 1) (direction OUTPUT)) (port (array (rename value_rnibahh "value_RNIBAHH[1:1]") 1) (direction OUTPUT)) (port value_m_30 (direction OUTPUT)) (port value_m_26 (direction OUTPUT)) (port value_m_29 (direction OUTPUT)) (port value_m_13 (direction OUTPUT)) (port value_m_11 (direction OUTPUT)) (port value_m_15 (direction OUTPUT)) (port value_m_18 (direction OUTPUT)) (port value_m_14 (direction OUTPUT)) (port value_m_9 (direction OUTPUT)) (port value_m_23 (direction OUTPUT)) (port value_m_10 (direction OUTPUT)) (port (array (rename paddr_1 "paddr_1[2:2]") 1) (direction INPUT)) (port (array (rename pwdata_0 "pwdata_0[5:1]") 5) (direction INPUT)) (port reload_6 (direction OUTPUT)) (port reload_1 (direction OUTPUT)) (port (array (rename pwdata "pwdata[31:0]") 32) (direction INPUT)) (port (array (rename paddr "paddr[6:2]") 5) (direction INPUT)) (port prdata_15 (direction OUTPUT)) (port prdata_24 (direction OUTPUT)) (port prdata_0 (direction OUTPUT)) (port prdata_12 (direction OUTPUT)) (port prdata_10 (direction OUTPUT)) (port prdata_21 (direction OUTPUT)) (port prdata_5 (direction OUTPUT)) (port prdata_18 (direction OUTPUT)) (port prdata_13 (direction OUTPUT)) (port prdata_17 (direction OUTPUT)) (port prdata_9 (direction OUTPUT)) (port prdata_14 (direction OUTPUT)) (port prdata_20 (direction OUTPUT)) (port prdata_1 (direction OUTPUT)) (port (array (rename readdata_1_iv_1 "readdata_1_iv_1[9:9]") 1) (direction OUTPUT)) (port readdata_1_iv_0_7 (direction OUTPUT)) (port readdata_1_iv_0_5 (direction OUTPUT)) (port readdata_1_iv_0_3 (direction OUTPUT)) (port readdata_1_iv_0_6 (direction OUTPUT)) (port readdata_1_iv_0_2 (direction OUTPUT)) (port readdata_1_iv_0_18 (direction OUTPUT)) (port readdata_1_iv_0_22 (direction OUTPUT)) (port readdata_1_iv_0_15 (direction OUTPUT)) (port readdata_1_iv_0_10 (direction OUTPUT)) (port readdata_1_iv_0_21 (direction OUTPUT)) (port readdata_iv_2_0 (direction OUTPUT)) (port readdata_iv_2_5 (direction OUTPUT)) (port readdata_iv_2_2 (direction OUTPUT)) (port readdata_iv_2_4 (direction OUTPUT)) (port readdata_iv_2_3 (direction OUTPUT)) (port readdata_iv_3_0 (direction OUTPUT)) (port readdata_iv_3_5 (direction OUTPUT)) (port readdata_iv_3_2 (direction OUTPUT)) (port readdata_iv_3_4 (direction OUTPUT)) (port readdata_iv_3_3 (direction OUTPUT)) (port scaler_6 (direction OUTPUT)) (port scaler_1 (direction OUTPUT)) (port (array (rename paddr_0 "paddr_0[3:2]") 2) (direction INPUT)) (port restart_RNI34S9 (direction OUTPUT)) (port readdata57_1 (direction INPUT)) (port readdata57_3 (direction OUTPUT)) (port readdata55_3_0 (direction INPUT)) (port brate_1_sqmuxa_1_0 (direction INPUT)) (port tstop (direction INPUT)) (port un1_gpti_m (direction OUTPUT)) (port rstn (direction INPUT)) (port readdata57_2 (direction INPUT)) (port readdata56_3 (direction OUTPUT)) (port readdata56 (direction OUTPUT)) (port readdata55 (direction OUTPUT)) (port penable (direction INPUT)) (port pwrite (direction INPUT)) (port N_343 (direction INPUT)) (port un1_apbi_0 (direction OUTPUT)) (port N_337 (direction INPUT)) (port readdata_3_sqmuxa (direction OUTPUT)) (port N_897 (direction INPUT)) (port readdata55_1 (direction OUTPUT)) (port value_0_sqmuxa_0 (direction OUTPUT)) (port ramclk (direction INPUT)) ) (contents (instance (rename r_tsel_0_0_0 "r.tsel_0_0[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_1_load_RNIOPTO3_0 "r.timers_1.load_RNIOPTO3_0") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_timers_2_load_RNIP9AN3_0 "r.timers_2.load_RNIP9AN3_0") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename v_timers_2_value_1_sqmuxa_0 "v.timers_2.value_1_sqmuxa_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_1_readdata_9_sn_m3_0 "comb.1.readdata_9_sn_m3_0") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename v_timers_2_value_0_sqmuxa_0 "v.timers_2.value_0_sqmuxa_0") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance readdata_1_sqmuxa_1_0 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename v_timers_1_value_1_sqmuxa_0 "v.timers_1.value_1_sqmuxa_0") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename v_timers_1_reload_1_sqmuxa_0 "v.timers_1.reload_1_sqmuxa_0") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename v_timers_2_reload_1_sqmuxa_0 "v.timers_2.reload_1_sqmuxa_0") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_restart_RNIQ4GGI_0 "r.timers_2.restart_RNIQ4GGI_0") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_timers_1_restart_RNI0E9GI_0 "r.timers_1.restart_RNI0E9GI_0") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance un6_scaler_I_8 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_19 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_8 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_19 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_51 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_72 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance (rename r_timers_2_irqpen_RNO_2 "r.timers_2.irqpen_RNO_2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_irqpen_RNO_2 "r.timers_1.irqpen_RNO_2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_timers_2_enable_RNIBI0K "r.timers_2.enable_RNIBI0K") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIGP241_7 "r.timers_2.value_RNIGP241[7]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNISN961_7 "r.timers_1.reload_RNISN961[7]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_timers_2_irqen_RNIQFVG2 "r.timers_2.irqen_RNIQFVG2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIRFT01_3 "r.timers_2.reload_RNIRFT01[3]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_timers_2_irqen_RNI6L6D1 "r.timers_2.irqen_RNI6L6D1") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_scaler_RNIT6BP2_4 "r.scaler_RNIT6BP2[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNITJT01_4 "r.timers_2.reload_RNITJT01[4]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_timers_2_irqpen_RNI74IL1 "r.timers_2.irqpen_RNI74IL1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_scaler_RNIOJKB2_2 "r.scaler_RNIOJKB2[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIPBT01_2 "r.timers_2.reload_RNIPBT01[2]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_timers_2_load_RNI61S71 "r.timers_2.load_RNI61S71") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_scaler_RNING6F2_5 "r.scaler_RNING6F2[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIVNT01_5 "r.timers_2.reload_RNIVNT01[5]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_timers_2_chain_RNIV5DB1 "r.timers_2.chain_RNIV5DB1") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_scaler_RNIANUM2_0 "r.scaler_RNIANUM2[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIL3T01_0 "r.timers_2.reload_RNIL3T01[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_timers_2_enable_RNISK6J1 "r.timers_2.enable_RNISK6J1") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIVHP41_29 "r.timers_1.value_RNIVHP41[29]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI7M861_18 "r.timers_1.value_RNI7M861[18]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNITH761_23 "r.timers_1.value_RNITH761[23]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIPT661_30 "r.timers_1.reload_RNIPT661[30]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNINPO41_26 "r.timers_1.value_RNINPO41[26]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIV4N41_10 "r.timers_1.reload_RNIV4N41[10]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIB5O41_14 "r.timers_1.value_RNIB5O41[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNI2DN41_11 "r.timers_1.reload_RNI2DN41[11]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI8TN41_13 "r.timers_1.value_RNI8TN41[13]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_dishlt_RNIDVFI1 "r.dishlt_RNIDVFI1") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIG1GU_8 "r.timers_1.reload_RNIG1GU[8]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIEDO41_15 "r.timers_1.value_RNIEDO41[15]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIL5EV1_8 "r.timers_2.reload_RNIL5EV1[8]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename readdata_3_sqmuxaZ0 "readdata_3_sqmuxa") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename v_timers_1_value_1_sqmuxa "v.timers_1.value_1_sqmuxa") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIH3LN1_27 "r.timers_2.value_RNIH3LN1[27]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIPIJN1_21 "r.timers_2.value_RNIPIJN1[21]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI5JKN1_16 "r.timers_2.value_RNI5JKN1[16]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI5BKN1_24 "r.timers_2.value_RNI5BKN1[24]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNILAJN1_20 "r.timers_2.value_RNILAJN1[20]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI9JKN1_25 "r.timers_2.value_RNI9JKN1[25]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNILIJN1_12 "r.timers_2.value_RNILIJN1[12]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNILBLN1_28 "r.timers_2.value_RNILBLN1[28]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIPB4P1_17 "r.timers_2.value_RNIPB4P1[17]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename v_timers_1_reload_1_sqmuxa "v.timers_1.reload_1_sqmuxa") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename comb_un1_apbi "comb.un1_apbi") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename comb_1_readdata30 "comb.1.readdata30") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_timers_2_enable_RNIG813J "r.timers_2.enable_RNIG813J") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_2_load_RNIP9AN3 "r.timers_2.load_RNIP9AN3") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_timers_1_load_RNIOPTO3 "r.timers_1.load_RNIOPTO3") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename comb_2_readdata51 "comb.2.readdata51") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI0R5M1_19 "r.timers_2.value_RNI0R5M1[19]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIUEOP2_7 "r.timers_2.reload_RNIUEOP2[7]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIHB3P1_31 "r.timers_2.value_RNIHB3P1[31]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIDB3P1_22 "r.timers_2.value_RNIDB3P1[22]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename comb_un1_apbi_0 "comb.un1_apbi_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dishlt_RNO_0 "r.dishlt_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_0 "r.scaler_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_1 "r.scaler_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_2 "r.scaler_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_3 "r.scaler_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_4 "r.scaler_RNO_0[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_5 "r.scaler_RNO_0[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_6 "r.scaler_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0_7 "r.scaler_RNO_0[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_reload_RNO_0_0 "r.reload_RNO_0[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_reload_RNO_0_1 "r.reload_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_reload_RNO_0_2 "r.reload_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_reload_RNO_0_3 "r.reload_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_reload_RNO_0_4 "r.reload_RNO_0[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_reload_RNO_0_5 "r.reload_RNO_0[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_reload_RNO_0_6 "r.reload_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIN27C_0 "r.timers_1.reload_RNIN27C[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNITQ521_0 "r.timers_1.reload_RNITQ521[0]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_scaler_RNIOQFI_0 "r.scaler_RNIOQFI[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNIM78H_0 "r.reload_RNIM78H[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIA6HH_0 "r.timers_2.value_RNIA6HH[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNII6IH_8 "r.timers_2.value_RNII6IH[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIJTBF_8 "r.timers_2.reload_RNIJTBF[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_1 "r.timers_1.value_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_14 "r.timers_1.value_RNO_0[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_1 "r.timers_1.value_RNO[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_1 "r.timers_2.value_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_31 "r.timers_2.value_RNO_0[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_1 "r.timers_2.value_RNO[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_31 "r.timers_2.value_RNO[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_enable_RNITJLE "r.timers_1.enable_RNITJLE") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename comb_readdata56_3 "comb.readdata56_3") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIVI7C_4 "r.timers_1.reload_RNIVI7C[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNI1N7C_5 "r.timers_1.reload_RNI1N7C[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_load_RNIM3AT "r.timers_1.load_RNIM3AT") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_chain_RNIK3BU "r.timers_1.chain_RNIK3BU") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_scaler_RNISAGI_4 "r.scaler_RNISAGI[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNIQN8H_4 "r.reload_RNIQN8H[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIEMHH_4 "r.timers_2.value_RNIEMHH[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNITEGI_5 "r.scaler_RNITEGI[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNIRR8H_5 "r.reload_RNIRR8H[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIFQHH_5 "r.timers_2.value_RNIFQHH[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_load_RNI14A8 "r.timers_1.load_RNI14A8") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_timers_1_chain_RNIA8QA "r.timers_1.chain_RNIA8QA") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_dishlt_RNO "r.dishlt_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_0 "r.scaler_RNO[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1 "r.scaler_RNO[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2 "r.scaler_RNO[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_3 "r.scaler_RNO[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_4 "r.scaler_RNO[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_5 "r.scaler_RNO[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_6 "r.scaler_RNO[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_7 "r.scaler_RNO[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNO_0 "r.reload_RNO[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNO_1 "r.reload_RNO[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNO_2 "r.reload_RNO[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNO_3 "r.reload_RNO[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNO_4 "r.reload_RNO[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNO_5 "r.reload_RNO[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNO_6 "r.reload_RNO[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_dishlt_RNO_1 "r.dishlt_RNO_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIQTIC_10 "r.timers_1.reload_RNIQTIC[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIC2KC_19 "r.timers_1.value_RNIC2KC[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename comb_un1_gpti_m "comb.un1_gpti_m") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNITCCH_10 "r.timers_2.value_RNITCCH[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIS2RG_10 "r.timers_2.reload_RNIS2RG[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI4DCH_17 "r.timers_2.value_RNI4DCH[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI3VRG_17 "r.timers_2.reload_RNI3VRG[17]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI6DCH_19 "r.timers_2.value_RNI6DCH[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI57SG_19 "r.timers_2.reload_RNI57SG[19]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI3HCH_23 "r.timers_2.value_RNI3HCH[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI0FRG_23 "r.timers_2.reload_RNI0FRG[23]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_6 "r.timers_1.value_RNO_0[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_6 "r.timers_2.value_RNO_0[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_6 "r.timers_2.value_RNO[6]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename comb_1_readdata_9_0_6 "comb.1.readdata_9_0[6]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIL6TJ_19 "r.timers_1.value_RNIL6TJ[19]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_dishlt_RNIBJKC3 "r.dishlt_RNIBJKC3") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_tick_RNO "r.tick_RNO") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_dishlt_RNI5LJC "r.dishlt_RNI5LJC") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIJAIH_9 "r.timers_2.value_RNIJAIH[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIKTBF_9 "r.timers_2.reload_RNIKTBF[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_0 "r.scaler_RNO_2[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_5 "r.scaler_RNO_2[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_6 "r.scaler_RNO_2[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_0 "r.scaler_RNO_1[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_5 "r.scaler_RNO_1[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_6 "r.scaler_RNO_1[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename comb_v_scaler_1_sn_m1 "comb.v.scaler_1_sn_m1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_0 "r.timers_2.value_RNO_0[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_8 "r.timers_2.value_RNO_0[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_9 "r.timers_2.value_RNO_0[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0 "r.timers_2.value_RNO[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_8 "r.timers_2.value_RNO[8]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_9 "r.timers_2.value_RNO[9]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIKCGM_9 "r.timers_1.reload_RNIKCGM[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_22 "r.timers_1.value_RNO_0[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_23 "r.timers_1.value_RNO_0[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_29 "r.timers_1.value_RNO_0[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_22 "r.timers_1.value_RNO[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_23 "r.timers_1.value_RNO[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_29 "r.timers_1.value_RNO[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_13 "r.timers_2.value_RNO_0[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_15 "r.timers_2.value_RNO_0[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_16 "r.timers_2.value_RNO_0[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_17 "r.timers_2.value_RNO_0[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_18 "r.timers_2.value_RNO_0[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_19 "r.timers_2.value_RNO_0[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_20 "r.timers_2.value_RNO_0[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_21 "r.timers_2.value_RNO_0[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_22 "r.timers_2.value_RNO_0[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_23 "r.timers_2.value_RNO_0[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_29 "r.timers_2.value_RNO_0[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_30 "r.timers_2.value_RNO_0[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_13 "r.timers_2.value_RNO[13]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_15 "r.timers_2.value_RNO[15]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_16 "r.timers_2.value_RNO[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_17 "r.timers_2.value_RNO[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_18 "r.timers_2.value_RNO[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_19 "r.timers_2.value_RNO[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_20 "r.timers_2.value_RNO[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_21 "r.timers_2.value_RNO[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_22 "r.timers_2.value_RNO[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_23 "r.timers_2.value_RNO[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_29 "r.timers_2.value_RNO[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_30 "r.timers_2.value_RNO[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI2EJC_14 "r.timers_1.value_RNI2EJC[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI1DCH_14 "r.timers_2.value_RNI1DCH[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI0JRG_14 "r.timers_2.reload_RNI0JRG[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_14 "r.timers_2.value_RNO_0[14]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_14 "r.timers_2.value_RNO[14]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_11 "r.timers_1.value_RNO_0[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_12 "r.timers_1.value_RNO_0[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_15 "r.timers_1.value_RNO_0[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_16 "r.timers_1.value_RNO_0[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_17 "r.timers_1.value_RNO_0[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_18 "r.timers_1.value_RNO_0[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_26 "r.timers_1.value_RNO_0[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_27 "r.timers_1.value_RNO_0[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_28 "r.timers_1.value_RNO_0[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_11 "r.timers_1.value_RNO[11]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_12 "r.timers_1.value_RNO[12]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_15 "r.timers_1.value_RNO[15]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_16 "r.timers_1.value_RNO[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_17 "r.timers_1.value_RNO[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_18 "r.timers_1.value_RNO[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_26 "r.timers_1.value_RNO[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_27 "r.timers_1.value_RNO[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_28 "r.timers_1.value_RNO[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_10 "r.timers_2.value_RNO_0[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_11 "r.timers_2.value_RNO_0[11]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_12 "r.timers_2.value_RNO_0[12]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_24 "r.timers_2.value_RNO_0[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_25 "r.timers_2.value_RNO_0[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_26 "r.timers_2.value_RNO_0[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_27 "r.timers_2.value_RNO_0[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_28 "r.timers_2.value_RNO_0[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_10 "r.timers_2.value_RNO[10]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_11 "r.timers_2.value_RNO[11]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_12 "r.timers_2.value_RNO[12]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_24 "r.timers_2.value_RNO[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_25 "r.timers_2.value_RNO[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_26 "r.timers_2.value_RNO[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_27 "r.timers_2.value_RNO[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_28 "r.timers_2.value_RNO[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIE2KC_28 "r.timers_1.value_RNIE2KC[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIBAHH_1 "r.timers_2.value_RNIBAHH[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNICTBF_1 "r.timers_2.reload_RNICTBF[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI8HCH_28 "r.timers_2.value_RNI8HCH[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI53SG_28 "r.timers_2.reload_RNI53SG[28]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_restart_RNICSG7 "r.timers_1.restart_RNICSG7") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI8NCL_28 "r.timers_1.value_RNI8NCL[28]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIUE7C_3 "r.timers_1.reload_RNIUE7C[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNIR6GI_3 "r.scaler_RNIR6GI[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNIPJ8H_3 "r.reload_RNIPJ8H[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_5 "r.timers_1.value_RNO_0[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_5 "r.timers_2.value_RNO_0[5]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_5 "r.timers_2.value_RNO[5]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_3 "r.timers_1.value_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_4 "r.timers_2.value_RNO_0[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_4 "r.timers_2.value_RNO[4]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIU5JC_12 "r.timers_1.value_RNIU5JC[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI8MJC_25 "r.timers_1.value_RNI8MJC[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIVCCH_12 "r.timers_2.value_RNIVCCH[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIUARG_12 "r.timers_2.reload_RNIUARG[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI5HCH_25 "r.timers_2.value_RNI5HCH[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI2NRG_25 "r.timers_2.reload_RNI2NRG[25]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_1 "r.scaler_RNO_2[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_2 "r.scaler_RNO_2[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_3 "r.scaler_RNO_2[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_4 "r.scaler_RNO_2[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_2_7 "r.scaler_RNO_2[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_1 "r.scaler_RNO_1[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_2 "r.scaler_RNO_1[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_3 "r.scaler_RNO_1[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_4 "r.scaler_RNO_1[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNO_1_7 "r.scaler_RNO_1[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIOQBL_12 "r.timers_1.value_RNIOQBL[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI2BCL_25 "r.timers_1.value_RNI2BCL[25]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI5DCH_18 "r.timers_2.value_RNI5DCH[18]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI43SG_18 "r.timers_2.reload_RNI43SG[18]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI2HCH_22 "r.timers_2.value_RNI2HCH[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIVARG_22 "r.timers_2.reload_RNIVARG[22]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIU1JC_20 "r.timers_1.reload_RNIU1JC[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI6IJC_24 "r.timers_1.value_RNI6IJC[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI0HCH_20 "r.timers_2.value_RNI0HCH[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIT2RG_20 "r.timers_2.reload_RNIT2RG[20]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIOMBL_20 "r.timers_1.reload_RNIOMBL[20]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI07CL_24 "r.timers_1.value_RNI07CL[24]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI4IJC_15 "r.timers_1.value_RNI4IJC[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI6MJC_16 "r.timers_1.value_RNI6MJC[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI2DCH_15 "r.timers_2.value_RNI2DCH[15]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI1NRG_15 "r.timers_2.reload_RNI1NRG[15]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI3DCH_16 "r.timers_2.value_RNI3DCH[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI0BCL_16 "r.timers_1.value_RNI0BCL[16]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI93QI_11 "r.timers_1.value_RNI93QI[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIB3QI_12 "r.timers_1.value_RNIB3QI[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIH3QI_15 "r.timers_1.value_RNIH3QI[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIJ3QI_16 "r.timers_1.value_RNIJ3QI[16]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIL3QI_17 "r.timers_1.value_RNIL3QI[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIHBQI_22 "r.timers_1.value_RNIHBQI[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIJBQI_23 "r.timers_1.value_RNIJBQI[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIRBQI_27 "r.timers_1.value_RNIRBQI[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNITBQI_28 "r.timers_1.value_RNITBQI[28]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIVBQI_29 "r.timers_1.value_RNIVBQI[29]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIS1JC_11 "r.timers_1.reload_RNIS1JC[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI0AJC_13 "r.timers_1.value_RNI0AJC[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNI06JC_21 "r.timers_1.reload_RNI06JC[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNICUJC_27 "r.timers_1.value_RNICUJC[27]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIG6KC_29 "r.timers_1.value_RNIG6KC[29]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIUCCH_11 "r.timers_2.value_RNIUCCH[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIT6RG_11 "r.timers_2.reload_RNIT6RG[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI0DCH_13 "r.timers_2.value_RNI0DCH[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIVERG_13 "r.timers_2.reload_RNIVERG[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI1HCH_21 "r.timers_2.value_RNI1HCH[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIU6RG_21 "r.timers_2.reload_RNIU6RG[21]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI7HCH_27 "r.timers_2.value_RNI7HCH[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI4VRG_27 "r.timers_2.reload_RNI4VRG[27]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI9HCH_29 "r.timers_2.value_RNI9HCH[29]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI67SG_29 "r.timers_2.reload_RNI67SG[29]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIQQBL_21 "r.timers_1.reload_RNIQQBL[21]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI6JCL_27 "r.timers_1.value_RNI6JCL[27]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance un1_readdata52 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_readdata56 "comb.readdata56") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_readdata55 "comb.readdata55") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename v_scaler_0_sqmuxa "v.scaler_0_sqmuxa") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_readdata57_3 "comb.readdata57_3") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_readdata57 "comb.readdata57") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_irq_RNIF1IB "r.timers_2.irq_RNIF1IB") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_timers_2_restart_RNI34S9 "r.timers_2.restart_RNI34S9") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_timers_1_enable_RNO_2 "r.timers_1.enable_RNO_2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_timers_1_irq_RNIBSFB "r.timers_1.irq_RNIBSFB") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_enable_RNO_1 "r.timers_1.enable_RNO_1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_enable_RNO "r.timers_1.enable_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_timers_1_enable_RNO_0 "r.timers_1.enable_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNID3QI_13 "r.timers_1.value_RNID3QI[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI534J_1 "r.timers_1.value_RNI534J[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI2RRG_16 "r.timers_2.reload_RNI2RRG[16]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI1JRG_24 "r.timers_2.reload_RNI1JRG[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI4HCH_24 "r.timers_2.value_RNI4HCH[24]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_3 "r.timers_2.value_RNO[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_3 "r.timers_2.value_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_13 "r.timers_1.value_RNO[13]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_13 "r.timers_1.value_RNO_0[13]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename v_timers_2_value_1_sqmuxa "v.timers_2.value_1_sqmuxa") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_1_readdata_9_sn_m3 "comb.1.readdata_9_sn_m3") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename v_timers_2_reload_1_sqmuxa "v.timers_2.reload_1_sqmuxa") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename v_reload_1_sqmuxa "v.reload_1_sqmuxa") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNI3RRG_26 "r.timers_2.reload_RNI3RRG[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI6HCH_26 "r.timers_2.value_RNI6HCH[26]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIBQJC_26 "r.timers_1.value_RNIBQJC[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename comb_v_timers_1_load_1 "comb.v.timers_1.load_1") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_load_RNO "r.timers_1.load_RNO") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename v_timers_1_load_1_sqmuxa_1 "v.timers_1.load_1_sqmuxa_1") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_tick_RNI6TPD "r.tick_RNI6TPD") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_tsel_0_0_RNIS1KL_0 "r.tsel_0_0_RNIS1KL[0]") (viewRef prim (cellRef XA1A (libraryRef PA3))) ) (instance (rename r_timers_2_enable_RNO_0 "r.timers_2.enable_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_enable_RNO_2 "r.timers_2.enable_RNO_2") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_timers_2_irq_RNO "r.timers_2.irq_RNO") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_timers_2_enable_RNO "r.timers_2.enable_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_timers_2_chain_RNIQDCB "r.timers_2.chain_RNIQDCB") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_irqen_RNO_0 "r.timers_2.irqen_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_enable_RNIHTGN "r.timers_2.enable_RNIHTGN") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_timers_2_irqpen_RNI7HEH "r.timers_2.irqpen_RNI7HEH") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_irqen_RNO "r.timers_2.irqen_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_timers_2_enable_RNO_1 "r.timers_2.enable_RNO_1") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_irqpen_RNO_0 "r.timers_2.irqpen_RNO_0") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_timers_2_irqpen_RNO_1 "r.timers_2.irqpen_RNO_1") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_timers_2_irqpen_RNO "r.timers_2.irqpen_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename v_timers_2_load_1_sqmuxa "v.timers_2.load_1_sqmuxa") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_load_RNO "r.timers_2.load_RNO") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_timers_1_irqpen_RNI7B3F "r.timers_1.irqpen_RNI7B3F") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_timers_2_chain_RNIB22D "r.timers_2.chain_RNIB22D") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_2_load_RNIGTHA "r.timers_2.load_RNIGTHA") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNI0J341_4 "r.timers_1.reload_RNI0J341[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_irqen_RNINBVA "r.timers_1.irqen_RNINBVA") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNIDIHH_3 "r.timers_2.value_RNIDIHH[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_irqen_RNIFFVV "r.timers_1.irqen_RNIFFVV") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIU2RG_30 "r.timers_2.reload_RNIU2RG[30]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI3LCH_30 "r.timers_2.value_RNI3LCH[30]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_1_irqen_RNO_0 "r.timers_1.irqen_RNO_0") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_irqen_RNO "r.timers_1.irqen_RNO") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_timers_1_irqpen_RNO_0 "r.timers_1.irqpen_RNO_0") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_timers_1_load_RNIMN79J "r.timers_1.load_RNIMN79J") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_timers_1_irqpen_RNO "r.timers_1.irqpen_RNO") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_1_restart_RNI0E9GI "r.timers_1.restart_RNI0E9GI") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_timers_1_chain_RNITONI "r.timers_1.chain_RNITONI") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_tsel_0_0_RNIP16P_0 "r.tsel_0_0_RNIP16P[0]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_timers_1_load_RNITL1GI "r.timers_1.load_RNITL1GI") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_restart_RNIQ4GGI "r.timers_2.restart_RNIQ4GGI") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI5M0FI_31 "r.timers_1.value_RNI5M0FI[31]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIJJQI_30 "r.timers_1.value_RNIJJQI[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIPBQI_26 "r.timers_1.value_RNIPBQI[26]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNINBQI_25 "r.timers_1.value_RNINBQI[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIVTUG_24 "r.timers_1.value_RNIVTUG[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIPTUG_21 "r.timers_1.value_RNIPTUG[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNINTUG_20 "r.timers_1.value_RNINTUG[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI3MUG_19 "r.timers_1.value_RNI3MUG[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNI1MUG_18 "r.timers_1.value_RNI1MUG[18]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIPLUG_14 "r.timers_1.value_RNIPLUG[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIHLUG_10 "r.timers_1.value_RNIHLUG[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIVLAH_9 "r.timers_1.value_RNIVLAH[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNITDAH_8 "r.timers_1.value_RNITDAH[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIPT9H_6 "r.timers_1.value_RNIPT9H[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNINL9H_5 "r.timers_1.value_RNINL9H[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNILD9H_4 "r.timers_1.value_RNILD9H[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIJ59H_3 "r.timers_1.value_RNIJ59H[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIDD8H_0 "r.timers_1.value_RNIDD8H[0]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_2 "r.timers_2.value_RNO[2]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_2 "r.timers_2.value_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_3 "r.timers_1.value_RNO[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_2 "r.timers_1.value_RNO[2]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_2 "r.timers_1.value_RNO_0[2]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_5 "r.timers_1.value_RNO[5]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_4 "r.timers_1.value_RNO[4]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_4 "r.timers_1.value_RNO_0[4]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_irqpen_RNO_1 "r.timers_1.irqpen_RNO_1") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIV5VG_31 "r.timers_1.value_RNIV5VG[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_25 "r.timers_1.value_RNO[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_24 "r.timers_1.value_RNO[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_19 "r.timers_1.value_RNO[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_10 "r.timers_1.value_RNO[10]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_25 "r.timers_1.value_RNO_0[25]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_24 "r.timers_1.value_RNO_0[24]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_19 "r.timers_1.value_RNO_0[19]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_10 "r.timers_1.value_RNO_0[10]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_30 "r.timers_1.value_RNO[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_21 "r.timers_1.value_RNO[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_20 "r.timers_1.value_RNO[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_30 "r.timers_1.value_RNO_0[30]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_21 "r.timers_1.value_RNO_0[21]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_20 "r.timers_1.value_RNO_0[20]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_9 "r.timers_1.value_RNO[9]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_8 "r.timers_1.value_RNO[8]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_9 "r.timers_1.value_RNO_0[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_8 "r.timers_1.value_RNO_0[8]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_6 "r.timers_1.value_RNO[6]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_tsel_RNO_1 "r.tsel_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_31 "r.timers_1.value_RNO[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_14 "r.timers_1.value_RNO[14]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0 "r.timers_1.value_RNO[0]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_31 "r.timers_1.value_RNO_0[31]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_0 "r.timers_1.value_RNO_0[0]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIV6RG_31 "r.timers_2.reload_RNIV6RG[31]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNI4LCH_31 "r.timers_2.value_RNI4LCH[31]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance readdata_2_sqmuxa (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance readdata_1_sqmuxa_1 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename v_timers_2_value_0_sqmuxa "v.timers_2.value_0_sqmuxa") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_reload_RNIT39H_7 "r.reload_RNIT39H[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNO_7 "r.reload_RNO[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_1_readdata24 "comb.1.readdata24") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_reload_RNO_0_7 "r.reload_RNO_0[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_scaler_RNIVMGI_7 "r.scaler_RNIVMGI[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_0_7 "r.timers_1.value_RNO_0[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNO_7 "r.timers_1.value_RNO[7]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_0_7 "r.timers_2.value_RNO_0[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNO_7 "r.timers_2.value_RNO[7]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIR5AH_7 "r.timers_1.value_RNIR5AH[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIMFND_7 "r.timers_1.reload_RNIMFND[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIITBF_7 "r.timers_2.reload_RNIITBF[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIKQ2E_31 "r.timers_1.reload_RNIKQ2E[31]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIEFRM_31 "r.timers_1.reload_RNIEFRM[31]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIHT8H_2 "r.timers_1.value_RNIHT8H[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIIM2E_30 "r.timers_1.reload_RNIIM2E[30]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNICFRM_22 "r.timers_1.reload_RNICFRM[22]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIIQ2E_22 "r.timers_1.reload_RNIIQ2E[22]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIQE3E_18 "r.timers_1.value_RNIQE3E[18]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_restart_RNIG80U "r.timers_1.restart_RNIG80U") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIANMD_1 "r.timers_1.reload_RNIANMD[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIQNND_9 "r.timers_1.reload_RNIQNND[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIIVRM_17 "r.timers_1.value_RNIIVRM[17]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_2_reload_RNIHTBF_6 "r.timers_2.reload_RNIHTBF[6]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIMA3V_6 "r.timers_1.reload_RNIMA3V[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIKU2E_23 "r.timers_1.value_RNIKU2E[23]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_value_RNIOA3E_17 "r.timers_1.value_RNIOA3E[17]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIKBND_6 "r.timers_1.reload_RNIKBND[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_timers_2_value_RNICEHH_2 "r.timers_2.value_RNICEHH[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_reload_RNIOF8H_2 "r.reload_RNIOF8H[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_scaler_RNIQ2GI_2 "r.scaler_RNIQ2GI[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNICRMD_2 "r.timers_1.reload_RNICRMD[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance un1_readdata55 (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_timers_1_reload_RNIOJND_8 "r.timers_1.reload_RNIOJND[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_tick "r.tick") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_2_restart "r.timers_2.restart") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_chain "r.timers_2.chain") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_restart "r.timers_1.restart") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_chain "r.timers_1.chain") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_dishlt "r.dishlt") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_1_irqen "r.timers_1.irqen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_2_irqen "r.timers_2.irqen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_1_enable "r.timers_1.enable") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_2_enable "r.timers_2.enable") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_2_irqpen "r.timers_2.irqpen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_1_irqpen "r.timers_1.irqpen") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_2_irq "r.timers_2.irq") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_1_irq "r.timers_1.irq") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_2_load "r.timers_2.load") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_1_load "r.timers_1.load") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tsel_0 "r.tsel[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_tsel_1 "r.tsel[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_scaler_0 "r.scaler[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_scaler_1 "r.scaler[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_scaler_2 "r.scaler[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_scaler_3 "r.scaler[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_scaler_4 "r.scaler[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_scaler_5 "r.scaler[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_scaler_6 "r.scaler[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_scaler_7 "r.scaler[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_0 "r.timers_2.reload[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_1 "r.timers_2.reload[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_2 "r.timers_2.reload[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_3 "r.timers_2.reload[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_4 "r.timers_2.reload[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_5 "r.timers_2.reload[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_6 "r.timers_2.reload[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_7 "r.timers_2.reload[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_8 "r.timers_2.reload[8]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_9 "r.timers_2.reload[9]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_10 "r.timers_2.reload[10]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_11 "r.timers_2.reload[11]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_12 "r.timers_2.reload[12]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_13 "r.timers_2.reload[13]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_14 "r.timers_2.reload[14]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_15 "r.timers_2.reload[15]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_16 "r.timers_2.reload[16]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_17 "r.timers_2.reload[17]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_18 "r.timers_2.reload[18]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_19 "r.timers_2.reload[19]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_20 "r.timers_2.reload[20]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_21 "r.timers_2.reload[21]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_22 "r.timers_2.reload[22]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_23 "r.timers_2.reload[23]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_24 "r.timers_2.reload[24]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_25 "r.timers_2.reload[25]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_26 "r.timers_2.reload[26]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_27 "r.timers_2.reload[27]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_28 "r.timers_2.reload[28]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_29 "r.timers_2.reload[29]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_30 "r.timers_2.reload[30]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_2_reload_31 "r.timers_2.reload[31]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_0 "r.timers_1.reload[0]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_1 "r.timers_1.reload[1]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_2 "r.timers_1.reload[2]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_3 "r.timers_1.reload[3]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_4 "r.timers_1.reload[4]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_5 "r.timers_1.reload[5]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_6 "r.timers_1.reload[6]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_7 "r.timers_1.reload[7]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_8 "r.timers_1.reload[8]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_9 "r.timers_1.reload[9]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_10 "r.timers_1.reload[10]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_11 "r.timers_1.reload[11]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_12 "r.timers_1.reload[12]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_13 "r.timers_1.reload[13]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_14 "r.timers_1.reload[14]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_15 "r.timers_1.reload[15]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_16 "r.timers_1.reload[16]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_17 "r.timers_1.reload[17]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_18 "r.timers_1.reload[18]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_19 "r.timers_1.reload[19]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_20 "r.timers_1.reload[20]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_21 "r.timers_1.reload[21]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_22 "r.timers_1.reload[22]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_23 "r.timers_1.reload[23]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_24 "r.timers_1.reload[24]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_25 "r.timers_1.reload[25]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_26 "r.timers_1.reload[26]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_27 "r.timers_1.reload[27]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_28 "r.timers_1.reload[28]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_29 "r.timers_1.reload[29]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_30 "r.timers_1.reload[30]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_timers_1_reload_31 "r.timers_1.reload[31]") (viewRef prim (cellRef DFN1E1 (libraryRef PA3))) ) (instance (rename r_reload_0 "r.reload[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_reload_1 "r.reload[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_reload_2 "r.reload[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_reload_3 "r.reload[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_reload_4 "r.reload[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_reload_5 "r.reload[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_reload_6 "r.reload[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_reload_7 "r.reload[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_timers_2_value_0 "r.timers_2.value[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_1 "r.timers_2.value[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_2 "r.timers_2.value[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_3 "r.timers_2.value[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_4 "r.timers_2.value[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_5 "r.timers_2.value[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_6 "r.timers_2.value[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_7 "r.timers_2.value[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_8 "r.timers_2.value[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_9 "r.timers_2.value[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_10 "r.timers_2.value[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_11 "r.timers_2.value[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_12 "r.timers_2.value[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_13 "r.timers_2.value[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_14 "r.timers_2.value[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_15 "r.timers_2.value[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_16 "r.timers_2.value[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_17 "r.timers_2.value[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_18 "r.timers_2.value[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_19 "r.timers_2.value[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_20 "r.timers_2.value[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_21 "r.timers_2.value[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_22 "r.timers_2.value[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_23 "r.timers_2.value[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_24 "r.timers_2.value[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_25 "r.timers_2.value[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_26 "r.timers_2.value[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_27 "r.timers_2.value[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_28 "r.timers_2.value[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_29 "r.timers_2.value[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_30 "r.timers_2.value[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_2_value_31 "r.timers_2.value[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_0 "r.timers_1.value[0]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_1 "r.timers_1.value[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_2 "r.timers_1.value[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_3 "r.timers_1.value[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_4 "r.timers_1.value[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_5 "r.timers_1.value[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_6 "r.timers_1.value[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_7 "r.timers_1.value[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_8 "r.timers_1.value[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_9 "r.timers_1.value[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_10 "r.timers_1.value[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_11 "r.timers_1.value[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_12 "r.timers_1.value[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_13 "r.timers_1.value[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_14 "r.timers_1.value[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_15 "r.timers_1.value[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_16 "r.timers_1.value[16]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_17 "r.timers_1.value[17]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_18 "r.timers_1.value[18]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_19 "r.timers_1.value[19]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_20 "r.timers_1.value[20]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_21 "r.timers_1.value[21]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_22 "r.timers_1.value[22]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_23 "r.timers_1.value[23]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_24 "r.timers_1.value[24]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_25 "r.timers_1.value[25]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_26 "r.timers_1.value[26]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_27 "r.timers_1.value[27]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_28 "r.timers_1.value[28]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_29 "r.timers_1.value[29]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_30 "r.timers_1.value[30]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_timers_1_value_31 "r.timers_1.value[31]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance un12_res_I_224 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_223 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_220 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_217 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_216 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_213 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_210 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_209 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_206 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_203 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_202 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_199 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_196 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_195 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_192 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_189 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_186 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_185 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_182 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_179 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_176 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_173 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_172 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_169 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_166 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_165 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_162 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_159 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_156 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_155 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_152 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_149 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_146 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_143 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_142 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_139 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_136 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_135 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_132 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_129 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_128 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_125 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_122 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_121 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_118 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_115 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_114 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_111 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_108 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_105 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_104 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_101 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_98 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_97 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_94 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_91 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_90 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_87 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_84 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_83 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_80 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_77 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_76 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_73 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_69 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_66 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_65 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_62 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_59 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_56 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_55 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_52 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_48 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_45 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_44 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_41 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_38 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_37 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_34 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_31 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_30 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_27 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_24 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_23 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_20 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_16 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_13 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_12 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_9 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un12_res_I_5 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_44 (viewRef prim (cellRef NOR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_41 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_38 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_37 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_34 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_31 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_30 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_27 (viewRef prim (cellRef OR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_24 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_23 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_20 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_16 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_13 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_12 (viewRef prim (cellRef OR3 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_9 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance un6_scaler_I_5 (viewRef prim (cellRef XNOR2 (libraryRef PA3))) (property is_instantiated (integer 1)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename tsel_0_0 "tsel_0[0]") (joined (portRef Q (instanceRef r_tsel_0_0_0)) (portRef S (instanceRef r_timers_1_value_RNINBQI_25)) (portRef S (instanceRef r_timers_1_value_RNIPBQI_26)) (portRef S (instanceRef r_timers_1_value_RNIJJQI_30)) (portRef A (instanceRef r_tsel_0_0_RNIP16P_0)) (portRef A (instanceRef r_tsel_0_0_RNIS1KL_0)) (portRef B (instanceRef r_tick_RNI6TPD)) (portRef S (instanceRef r_timers_1_value_RNI534J_1)) (portRef S (instanceRef r_timers_1_value_RNID3QI_13)) (portRef S (instanceRef r_timers_1_value_RNIVBQI_29)) (portRef S (instanceRef r_timers_1_value_RNITBQI_28)) (portRef S (instanceRef r_timers_1_value_RNIRBQI_27)) (portRef S (instanceRef r_timers_1_value_RNIJBQI_23)) (portRef S (instanceRef r_timers_1_value_RNIHBQI_22)) (portRef S (instanceRef r_timers_1_value_RNIL3QI_17)) (portRef S (instanceRef r_timers_1_value_RNIJ3QI_16)) (portRef S (instanceRef r_timers_1_value_RNIH3QI_15)) (portRef S (instanceRef r_timers_1_value_RNIB3QI_12)) (portRef S (instanceRef r_timers_1_value_RNI93QI_11)) (portRef C (instanceRef r_timers_1_irqpen_RNO_2)) )) (net (rename tsel_0_0_RNIS1KL_0 "tsel_0_0_RNIS1KL[0]") (joined (portRef Y (instanceRef r_tsel_0_0_RNIS1KL_0)) (portRef D (instanceRef r_tsel_0)) (portRef D (instanceRef r_tsel_0_0_0)) )) (net value_2_sqmuxa_0 (joined (portRef Y (instanceRef r_timers_1_load_RNIOPTO3_0)) (portRef E (instanceRef r_timers_1_value_23)) (portRef E (instanceRef r_timers_1_value_22)) (portRef E (instanceRef r_timers_1_value_21)) (portRef E (instanceRef r_timers_1_value_20)) (portRef E (instanceRef r_timers_1_value_19)) (portRef E (instanceRef r_timers_1_value_18)) (portRef E (instanceRef r_timers_1_value_17)) (portRef E (instanceRef r_timers_1_value_16)) (portRef E (instanceRef r_timers_1_value_15)) (portRef E (instanceRef r_timers_1_value_14)) (portRef E (instanceRef r_timers_1_value_13)) (portRef E (instanceRef r_timers_1_value_12)) (portRef E (instanceRef r_timers_1_value_11)) (portRef E (instanceRef r_timers_1_value_10)) (portRef E (instanceRef r_timers_1_value_1)) (portRef E (instanceRef r_timers_1_value_0)) )) (net irq_0_sqmuxa (joined (portRef Y (instanceRef r_tsel_0_0_RNIP16P_0)) (portRef A (instanceRef r_timers_1_load_RNIMN79J)) (portRef A (instanceRef r_timers_1_load_RNIOPTO3)) (portRef A (instanceRef r_timers_1_load_RNIOPTO3_0)) )) (net load (joined (portRef Q (instanceRef r_timers_1_load)) (portRef B (instanceRef r_timers_1_load_RNITL1GI)) (portRef C (instanceRef r_timers_1_restart_RNI0E9GI)) (portRef A (instanceRef r_timers_1_load_RNI14A8)) (portRef B (instanceRef r_timers_1_load_RNIOPTO3)) (portRef C (instanceRef r_timers_1_restart_RNI0E9GI_0)) (portRef B (instanceRef r_timers_1_load_RNIOPTO3_0)) )) (net value_1_sqmuxa_0 (joined (portRef Y (instanceRef v_timers_1_value_1_sqmuxa_0)) (portRef S (instanceRef r_timers_1_value_RNO_2)) (portRef S (instanceRef r_timers_1_value_RNO_3)) (portRef S (instanceRef r_timers_1_value_RNO_13)) (portRef S (instanceRef r_timers_1_value_RNO_28)) (portRef S (instanceRef r_timers_1_value_RNO_27)) (portRef S (instanceRef r_timers_1_value_RNO_26)) (portRef S (instanceRef r_timers_1_value_RNO_18)) (portRef S (instanceRef r_timers_1_value_RNO_17)) (portRef S (instanceRef r_timers_1_value_RNO_16)) (portRef S (instanceRef r_timers_1_value_RNO_15)) (portRef S (instanceRef r_timers_1_value_RNO_12)) (portRef S (instanceRef r_timers_1_value_RNO_11)) (portRef S (instanceRef r_timers_1_value_RNO_29)) (portRef S (instanceRef r_timers_1_value_RNO_23)) (portRef S (instanceRef r_timers_1_value_RNO_22)) (portRef S (instanceRef r_timers_1_value_RNO_1)) (portRef C (instanceRef r_timers_1_load_RNIOPTO3)) (portRef C (instanceRef r_timers_1_load_RNIOPTO3_0)) )) (net value_2_sqmuxa_0_0 (joined (portRef Y (instanceRef r_timers_2_load_RNIP9AN3_0)) (portRef E (instanceRef r_timers_2_value_23)) (portRef E (instanceRef r_timers_2_value_22)) (portRef E (instanceRef r_timers_2_value_21)) (portRef E (instanceRef r_timers_2_value_20)) (portRef E (instanceRef r_timers_2_value_19)) (portRef E (instanceRef r_timers_2_value_18)) (portRef E (instanceRef r_timers_2_value_17)) (portRef E (instanceRef r_timers_2_value_16)) (portRef E (instanceRef r_timers_2_value_15)) (portRef E (instanceRef r_timers_2_value_14)) (portRef E (instanceRef r_timers_2_value_13)) (portRef E (instanceRef r_timers_2_value_12)) (portRef E (instanceRef r_timers_2_value_11)) (portRef E (instanceRef r_timers_2_value_10)) (portRef E (instanceRef r_timers_2_value_1)) (portRef E (instanceRef r_timers_2_value_0)) )) (net irq_0_sqmuxa_0 (joined (portRef Y (instanceRef r_timers_2_enable_RNIHTGN)) (portRef A (instanceRef r_timers_2_load_RNIP9AN3)) (portRef A (instanceRef r_timers_2_load_RNIP9AN3_0)) )) (net load_0 (joined (portRef Q (instanceRef r_timers_2_load)) (portRef C (instanceRef r_timers_2_restart_RNIQ4GGI)) (portRef A (instanceRef r_timers_2_load_RNIGTHA)) (portRef B (instanceRef r_timers_2_load_RNIP9AN3)) (portRef C (instanceRef r_timers_2_enable_RNIBI0K)) (portRef C (instanceRef r_timers_2_restart_RNIQ4GGI_0)) (portRef B (instanceRef r_timers_2_load_RNIP9AN3_0)) )) (net value_1_sqmuxa_0_0 (joined (portRef Y (instanceRef v_timers_2_value_1_sqmuxa_0)) (portRef S (instanceRef r_timers_2_value_RNO_23)) (portRef S (instanceRef r_timers_2_value_RNO_22)) (portRef S (instanceRef r_timers_2_value_RNO_21)) (portRef S (instanceRef r_timers_2_value_RNO_20)) (portRef S (instanceRef r_timers_2_value_RNO_19)) (portRef S (instanceRef r_timers_2_value_RNO_18)) (portRef S (instanceRef r_timers_2_value_RNO_17)) (portRef S (instanceRef r_timers_2_value_RNO_16)) (portRef S (instanceRef r_timers_2_value_RNO_15)) (portRef S (instanceRef r_timers_2_value_RNO_13)) (portRef S (instanceRef r_timers_2_value_RNO_9)) (portRef S (instanceRef r_timers_2_value_RNO_8)) (portRef S (instanceRef r_timers_2_value_RNO_0)) (portRef S (instanceRef r_timers_2_value_RNO_6)) (portRef S (instanceRef r_timers_2_value_RNO_31)) (portRef S (instanceRef r_timers_2_value_RNO_1)) (portRef C (instanceRef r_timers_2_load_RNIP9AN3)) (portRef C (instanceRef r_timers_2_load_RNIP9AN3_0)) )) (net un1_apbi (joined (portRef Y (instanceRef comb_un1_apbi)) (portRef A (instanceRef v_timers_2_load_1_sqmuxa)) (portRef A (instanceRef v_timers_1_load_1_sqmuxa_1)) (portRef A (instanceRef comb_v_timers_1_load_1)) (portRef A (instanceRef v_reload_1_sqmuxa)) (portRef A (instanceRef v_timers_2_reload_1_sqmuxa)) (portRef A (instanceRef v_timers_2_value_1_sqmuxa)) (portRef B (instanceRef v_scaler_0_sqmuxa)) (portRef A (instanceRef r_dishlt_RNO_1)) (portRef A (instanceRef v_timers_1_reload_1_sqmuxa)) (portRef A (instanceRef v_timers_1_value_1_sqmuxa)) (portRef A (instanceRef v_timers_2_reload_1_sqmuxa_0)) (portRef A (instanceRef v_timers_1_reload_1_sqmuxa_0)) (portRef A (instanceRef v_timers_1_value_1_sqmuxa_0)) (portRef A (instanceRef v_timers_2_value_1_sqmuxa_0)) )) (net value_0_sqmuxa (joined (portRef Y (instanceRef v_timers_2_value_0_sqmuxa)) (portRef B (instanceRef r_timers_2_value_RNICEHH_2)) (portRef B (instanceRef r_timers_2_value_RNI4LCH_31)) (portRef B (instanceRef r_timers_2_value_RNI3LCH_30)) (portRef B (instanceRef r_timers_2_value_RNIDIHH_3)) (portRef B (instanceRef r_timers_2_value_RNI6HCH_26)) (portRef B (instanceRef v_timers_2_value_1_sqmuxa)) (portRef B (instanceRef r_timers_2_value_RNI4HCH_24)) (portRef B (instanceRef r_timers_2_value_RNI9HCH_29)) (portRef B (instanceRef r_timers_2_value_RNI7HCH_27)) (portRef B (instanceRef r_timers_2_value_RNI1HCH_21)) (portRef B (instanceRef r_timers_2_value_RNI0DCH_13)) (portRef B (instanceRef r_timers_2_value_RNIUCCH_11)) (portRef B (instanceRef r_timers_2_value_RNI3DCH_16)) (portRef B (instanceRef r_timers_2_value_RNI2DCH_15)) (portRef B (instanceRef r_timers_2_value_RNI0HCH_20)) (portRef B (instanceRef r_timers_2_value_RNI2HCH_22)) (portRef B (instanceRef v_timers_2_value_1_sqmuxa_0)) )) (net N_237_0 (joined (portRef Y (instanceRef comb_1_readdata_9_sn_m3_0)) (portRef A (instanceRef r_timers_1_value_RNIL6TJ_19)) (portRef S (instanceRef r_timers_1_chain_RNIK3BU)) (portRef S (instanceRef r_timers_1_load_RNIM3AT)) (portRef S (instanceRef r_timers_1_reload_RNITQ521_0)) (portRef B (instanceRef r_timers_1_value_RNIEDO41_15)) (portRef A (instanceRef r_timers_1_reload_RNIG1GU_8)) (portRef A (instanceRef r_timers_1_value_RNI8TN41_13)) (portRef A (instanceRef r_timers_1_reload_RNI2DN41_11)) (portRef A (instanceRef r_timers_1_value_RNIB5O41_14)) (portRef A (instanceRef r_timers_1_reload_RNIV4N41_10)) (portRef A (instanceRef r_timers_1_value_RNINPO41_26)) (portRef B (instanceRef r_timers_1_reload_RNIPT661_30)) (portRef A (instanceRef r_timers_1_value_RNITH761_23)) (portRef B (instanceRef r_timers_1_value_RNI7M861_18)) (portRef B (instanceRef r_timers_1_value_RNIVHP41_29)) (portRef A (instanceRef r_timers_1_reload_RNISN961_7)) )) (net readdata30 (joined (portRef Y (instanceRef comb_1_readdata30)) (portRef C (instanceRef r_timers_1_irqen_RNINBVA)) (portRef C (instanceRef r_timers_1_irqpen_RNI7B3F)) (portRef B (instanceRef v_timers_1_load_1_sqmuxa_1)) (portRef A (instanceRef r_timers_1_load_RNO)) (portRef A (instanceRef comb_1_readdata_9_sn_m3)) (portRef C (instanceRef r_timers_1_restart_RNICSG7)) (portRef C (instanceRef comb_1_readdata_9_0_6)) (portRef C (instanceRef r_timers_1_chain_RNIA8QA)) (portRef C (instanceRef r_timers_1_load_RNI14A8)) (portRef C (instanceRef r_timers_1_enable_RNITJLE)) (portRef C (instanceRef v_timers_1_reload_1_sqmuxa)) (portRef C (instanceRef v_timers_1_value_1_sqmuxa)) (portRef C (instanceRef v_timers_1_reload_1_sqmuxa_0)) (portRef C (instanceRef v_timers_1_value_1_sqmuxa_0)) (portRef A (instanceRef comb_1_readdata_9_sn_m3_0)) )) (net (rename paddr_0_3 "paddr_0[3]") (joined (portRef (member paddr_0 0)) (portRef B (instanceRef comb_1_readdata_9_sn_m3_0)) )) (net readdata51 (joined (portRef Y (instanceRef comb_2_readdata51)) (portRef B (instanceRef v_timers_2_value_0_sqmuxa)) (portRef B (instanceRef readdata_1_sqmuxa_1)) (portRef B (instanceRef readdata_2_sqmuxa)) (portRef A (instanceRef un1_readdata52)) (portRef B (instanceRef readdata_1_sqmuxa_1_0)) (portRef B (instanceRef v_timers_2_value_0_sqmuxa_0)) )) (net (rename readdata_1_sqmuxa_1Z0Z_0 "readdata_1_sqmuxa_1_0") (joined (portRef Y (instanceRef readdata_1_sqmuxa_1_0)) (portRef B (instanceRef r_timers_2_reload_RNI2NRG_25)) (portRef B (instanceRef r_timers_2_reload_RNIUARG_12)) (portRef B (instanceRef r_timers_2_reload_RNI53SG_28)) (portRef A (instanceRef r_timers_2_reload_RNICTBF_1)) (portRef B (instanceRef r_timers_2_reload_RNI0JRG_14)) (portRef B (instanceRef r_timers_2_reload_RNIKTBF_9)) (portRef B (instanceRef r_timers_2_reload_RNI0FRG_23)) (portRef B (instanceRef r_timers_2_reload_RNI57SG_19)) (portRef B (instanceRef r_timers_2_reload_RNI3VRG_17)) (portRef B (instanceRef r_timers_2_reload_RNIS2RG_10)) (portRef B (instanceRef r_timers_2_reload_RNIJTBF_8)) (portRef B (instanceRef r_timers_2_reload_RNIL3T01_0)) (portRef B (instanceRef r_timers_2_reload_RNIVNT01_5)) (portRef B (instanceRef r_timers_2_reload_RNIPBT01_2)) (portRef B (instanceRef r_timers_2_reload_RNITJT01_4)) (portRef B (instanceRef r_timers_2_reload_RNIRFT01_3)) (portRef B (instanceRef v_timers_2_reload_1_sqmuxa_0)) )) (net readdata55_1 (joined (portRef Y (instanceRef comb_1_readdata24)) (portRef A (instanceRef v_timers_2_value_0_sqmuxa)) (portRef B (instanceRef v_timers_1_value_1_sqmuxa)) (portRef B (instanceRef v_timers_1_value_1_sqmuxa_0)) (portRef A (instanceRef v_timers_2_value_0_sqmuxa_0)) (portRef readdata55_1) )) (net reload_1_sqmuxa_0 (joined (portRef Y (instanceRef v_timers_1_reload_1_sqmuxa_0)) (portRef E (instanceRef r_timers_1_reload_23)) (portRef E (instanceRef r_timers_1_reload_22)) (portRef E (instanceRef r_timers_1_reload_21)) (portRef E (instanceRef r_timers_1_reload_20)) (portRef E (instanceRef r_timers_1_reload_19)) (portRef E (instanceRef r_timers_1_reload_18)) (portRef E (instanceRef r_timers_1_reload_17)) (portRef E (instanceRef r_timers_1_reload_16)) (portRef E (instanceRef r_timers_1_reload_15)) (portRef E (instanceRef r_timers_1_reload_14)) (portRef E (instanceRef r_timers_1_reload_13)) (portRef E (instanceRef r_timers_1_reload_12)) (portRef E (instanceRef r_timers_1_reload_11)) (portRef E (instanceRef r_timers_1_reload_10)) (portRef E (instanceRef r_timers_1_reload_1)) (portRef E (instanceRef r_timers_1_reload_0)) )) (net N_897 (joined (portRef N_897) (portRef A (instanceRef readdata_1_sqmuxa_1)) (portRef A (instanceRef comb_readdata56_3)) (portRef B (instanceRef v_timers_1_reload_1_sqmuxa)) (portRef B (instanceRef v_timers_1_reload_1_sqmuxa_0)) (portRef A (instanceRef readdata_1_sqmuxa_1_0)) )) (net reload_1_sqmuxa_0_0 (joined (portRef Y (instanceRef v_timers_2_reload_1_sqmuxa_0)) (portRef E (instanceRef r_timers_2_reload_23)) (portRef E (instanceRef r_timers_2_reload_22)) (portRef E (instanceRef r_timers_2_reload_21)) (portRef E (instanceRef r_timers_2_reload_20)) (portRef E (instanceRef r_timers_2_reload_19)) (portRef E (instanceRef r_timers_2_reload_18)) (portRef E (instanceRef r_timers_2_reload_17)) (portRef E (instanceRef r_timers_2_reload_16)) (portRef E (instanceRef r_timers_2_reload_15)) (portRef E (instanceRef r_timers_2_reload_14)) (portRef E (instanceRef r_timers_2_reload_13)) (portRef E (instanceRef r_timers_2_reload_12)) (portRef E (instanceRef r_timers_2_reload_11)) (portRef E (instanceRef r_timers_2_reload_10)) (portRef E (instanceRef r_timers_2_reload_1)) (portRef E (instanceRef r_timers_2_reload_0)) )) (net value_1_sn_N_9_i_0 (joined (portRef Y (instanceRef r_timers_2_restart_RNIQ4GGI_0)) (portRef S (instanceRef r_timers_2_value_RNO_0_23)) (portRef S (instanceRef r_timers_2_value_RNO_0_22)) (portRef S (instanceRef r_timers_2_value_RNO_0_21)) (portRef S (instanceRef r_timers_2_value_RNO_0_20)) (portRef S (instanceRef r_timers_2_value_RNO_0_19)) (portRef S (instanceRef r_timers_2_value_RNO_0_18)) (portRef S (instanceRef r_timers_2_value_RNO_0_17)) (portRef S (instanceRef r_timers_2_value_RNO_0_16)) (portRef S (instanceRef r_timers_2_value_RNO_0_15)) (portRef S (instanceRef r_timers_2_value_RNO_0_13)) (portRef S (instanceRef r_timers_2_value_RNO_0_9)) (portRef S (instanceRef r_timers_2_value_RNO_0_8)) (portRef S (instanceRef r_timers_2_value_RNO_0_0)) (portRef S (instanceRef r_timers_2_value_RNO_0_6)) (portRef S (instanceRef r_timers_2_value_RNO_0_31)) (portRef S (instanceRef r_timers_2_value_RNO_0_1)) )) (net restart (joined (portRef Q (instanceRef r_timers_2_restart)) (portRef A (instanceRef r_timers_2_restart_RNIQ4GGI)) (portRef A (instanceRef r_timers_2_enable_RNO_1)) (portRef B (instanceRef r_timers_2_restart_RNI34S9)) (portRef A (instanceRef r_timers_2_restart_RNIQ4GGI_0)) )) (net un19_res (joined (portRef Y (instanceRef r_timers_1_value_RNI5M0FI_31)) (portRef B (instanceRef r_timers_2_restart_RNIQ4GGI)) (portRef A (instanceRef r_timers_1_load_RNITL1GI)) (portRef B (instanceRef r_timers_1_restart_RNI0E9GI)) (portRef B (instanceRef r_timers_2_enable_RNIG813J)) (portRef B (instanceRef r_timers_1_restart_RNI0E9GI_0)) (portRef B (instanceRef r_timers_2_restart_RNIQ4GGI_0)) )) (net value_1_sn_N_9_i_0_0 (joined (portRef Y (instanceRef r_timers_1_restart_RNI0E9GI_0)) (portRef S (instanceRef r_timers_1_value_RNO_0_5)) (portRef S (instanceRef r_timers_1_value_RNO_0_28)) (portRef S (instanceRef r_timers_1_value_RNO_0_27)) (portRef S (instanceRef r_timers_1_value_RNO_0_26)) (portRef S (instanceRef r_timers_1_value_RNO_0_18)) (portRef S (instanceRef r_timers_1_value_RNO_0_17)) (portRef S (instanceRef r_timers_1_value_RNO_0_16)) (portRef S (instanceRef r_timers_1_value_RNO_0_15)) (portRef S (instanceRef r_timers_1_value_RNO_0_12)) (portRef S (instanceRef r_timers_1_value_RNO_0_11)) (portRef S (instanceRef r_timers_1_value_RNO_0_29)) (portRef S (instanceRef r_timers_1_value_RNO_0_23)) (portRef S (instanceRef r_timers_1_value_RNO_0_22)) (portRef S (instanceRef r_timers_1_value_RNO_0_6)) (portRef S (instanceRef r_timers_1_value_RNO_0_14)) (portRef S (instanceRef r_timers_1_value_RNO_0_1)) )) (net restart_0 (joined (portRef Q (instanceRef r_timers_1_restart)) (portRef A (instanceRef r_timers_1_restart_RNI0E9GI)) (portRef A (instanceRef r_timers_1_enable_RNO_1)) (portRef A (instanceRef r_timers_1_restart_RNICSG7)) (portRef A (instanceRef r_timers_1_restart_RNI0E9GI_0)) )) (net N_30 (joined (portRef Y (instanceRef un6_scaler_I_8)) (portRef A (instanceRef un6_scaler_I_9)) )) (net (rename scaler_0 "scaler[0]") (joined (portRef Q (instanceRef r_scaler_0)) (portRef A (instanceRef un6_scaler_I_5)) (portRef A (instanceRef un6_scaler_I_12)) (portRef A (instanceRef un6_scaler_I_16)) (portRef B (instanceRef r_scaler_RNO_2_0)) (portRef A (instanceRef r_scaler_RNIOQFI_0)) (portRef B (instanceRef r_scaler_RNO_0_0)) (portRef B (instanceRef un6_scaler_I_8)) )) (net N_22 (joined (portRef Y (instanceRef un6_scaler_I_19)) (portRef A (instanceRef un6_scaler_I_20)) )) (net (rename scaler_3 "scaler[3]") (joined (portRef Q (instanceRef r_scaler_3)) (portRef B (instanceRef un6_scaler_I_13)) (portRef B (instanceRef un6_scaler_I_23)) (portRef A (instanceRef un6_scaler_I_27)) (portRef A (instanceRef un6_scaler_I_34)) (portRef A (instanceRef r_scaler_RNIR6GI_3)) (portRef B (instanceRef r_scaler_RNO_0_3)) (portRef A (instanceRef un6_scaler_I_19)) )) (net (rename DWACT_FDEC_E_0 "DWACT_FDEC_E[0]") (joined (portRef Y (instanceRef un6_scaler_I_16)) (portRef A (instanceRef un6_scaler_I_23)) (portRef A (instanceRef un6_scaler_I_30)) (portRef A (instanceRef un6_scaler_I_37)) (portRef C (instanceRef un6_scaler_I_44)) (portRef B (instanceRef un6_scaler_I_19)) )) (net N_157 (joined (portRef Y (instanceRef un12_res_I_8)) (portRef A (instanceRef un12_res_I_9)) )) (net (rename value_RNI534J_1 "value_RNI534J[1]") (joined (portRef Y (instanceRef r_timers_1_value_RNI534J_1)) (portRef B (instanceRef un12_res_I_5)) (portRef B (instanceRef un12_res_I_12)) (portRef B (instanceRef un12_res_I_16)) (portRef B (instanceRef un12_res_I_149)) (portRef A (instanceRef un12_res_I_8)) )) (net (rename value_RNIDD8H_0 "value_RNIDD8H[0]") (joined (portRef Y (instanceRef r_timers_1_value_RNIDD8H_0)) (portRef A (instanceRef un12_res_I_5)) (portRef A (instanceRef un12_res_I_12)) (portRef A (instanceRef un12_res_I_16)) (portRef A (instanceRef un12_res_I_149)) (portRef A (instanceRef r_timers_1_value_RNO_0_0)) (portRef A (instanceRef r_timers_2_value_RNO_0_0)) (portRef B (instanceRef un12_res_I_8)) )) (net N_149 (joined (portRef Y (instanceRef un12_res_I_19)) (portRef A (instanceRef un12_res_I_20)) )) (net (rename value_RNIJ59H_3 "value_RNIJ59H[3]") (joined (portRef Y (instanceRef r_timers_1_value_RNIJ59H_3)) (portRef B (instanceRef un12_res_I_13)) (portRef B (instanceRef un12_res_I_23)) (portRef A (instanceRef un12_res_I_27)) (portRef A (instanceRef un12_res_I_34)) (portRef A (instanceRef un12_res_I_19)) )) (net (rename DWACT_FDEC_E_0_0 "DWACT_FDEC_E_0[0]") (joined (portRef Y (instanceRef un12_res_I_16)) (portRef A (instanceRef un12_res_I_23)) (portRef A (instanceRef un12_res_I_30)) (portRef A (instanceRef un12_res_I_37)) (portRef A (instanceRef un12_res_I_44)) (portRef A (instanceRef un12_res_I_48)) (portRef A (instanceRef un12_res_I_62)) (portRef A (instanceRef un12_res_I_111)) (portRef B (instanceRef un12_res_I_19)) )) (net N_126 (joined (portRef Y (instanceRef un12_res_I_51)) (portRef A (instanceRef un12_res_I_52)) )) (net (rename value_RNITDAH_8 "value_RNITDAH[8]") (joined (portRef Y (instanceRef r_timers_1_value_RNITDAH_8)) (portRef B (instanceRef un12_res_I_45)) (portRef B (instanceRef un12_res_I_55)) (portRef C (instanceRef un12_res_I_59)) (portRef A (instanceRef un12_res_I_51)) )) (net (rename DWACT_FDEC_E_4 "DWACT_FDEC_E[4]") (joined (portRef Y (instanceRef un12_res_I_48)) (portRef A (instanceRef un12_res_I_55)) (portRef B (instanceRef un12_res_I_51)) )) (net N_111 (joined (portRef Y (instanceRef un12_res_I_72)) (portRef A (instanceRef un12_res_I_73)) )) (net (rename DWACT_FDEC_E_7 "DWACT_FDEC_E[7]") (joined (portRef Y (instanceRef un12_res_I_69)) (portRef B (instanceRef un12_res_I_76)) (portRef B (instanceRef un12_res_I_83)) (portRef B (instanceRef un12_res_I_90)) (portRef A (instanceRef un12_res_I_94)) (portRef A (instanceRef un12_res_I_118)) (portRef A (instanceRef un12_res_I_182)) (portRef A (instanceRef un12_res_I_72)) )) (net (rename DWACT_FDEC_E_6 "DWACT_FDEC_E[6]") (joined (portRef Y (instanceRef un12_res_I_62)) (portRef A (instanceRef un12_res_I_65)) (portRef A (instanceRef un12_res_I_76)) (portRef A (instanceRef un12_res_I_83)) (portRef A (instanceRef un12_res_I_90)) (portRef A (instanceRef un12_res_I_97)) (portRef A (instanceRef un12_res_I_104)) (portRef B (instanceRef un12_res_I_72)) )) (net irqpen_0_sqmuxa_0 (joined (portRef Y (instanceRef r_timers_2_irqpen_RNO_2)) (portRef A (instanceRef r_timers_2_irqpen_RNO_1)) )) (net irqen (joined (portRef Q (instanceRef r_timers_2_irqen)) (portRef A (instanceRef r_timers_2_irqen_RNO_0)) (portRef B (instanceRef r_timers_2_irq_RNIF1IB)) (portRef A (instanceRef r_timers_2_irqen_RNI6L6D1)) (portRef A (instanceRef r_timers_2_irqpen_RNO_2)) )) (net (rename tsel_1 "tsel[1]") (joined (portRef Q (instanceRef r_tsel_1)) (portRef C (instanceRef r_timers_2_enable_RNIHTGN)) (portRef A (instanceRef r_timers_2_irq_RNO)) (portRef A (instanceRef r_timers_2_enable_RNO_2)) (portRef C (instanceRef r_tick_RNI6TPD)) (portRef B (instanceRef r_timers_2_irqpen_RNO_2)) )) (net irqpen_0_sqmuxa_1 (joined (portRef Y (instanceRef r_timers_1_irqpen_RNO_2)) (portRef A (instanceRef r_timers_1_irqpen_RNO_1)) )) (net un4_i (joined (portRef Y (instanceRef r_timers_1_chain_RNITONI)) (portRef B (instanceRef r_tsel_0_0_RNIP16P_0)) (portRef A (instanceRef r_timers_1_irqpen_RNO_2)) )) (net irqen_0 (joined (portRef Q (instanceRef r_timers_1_irqen)) (portRef A (instanceRef r_timers_1_irqen_RNO_0)) (portRef A (instanceRef r_timers_1_irqen_RNINBVA)) (portRef B (instanceRef r_timers_1_irq_RNIBSFB)) (portRef B (instanceRef r_timers_1_irqpen_RNO_2)) )) (net irqpen_0_sqmuxa_1_0 (joined (portRef Y (instanceRef r_timers_2_enable_RNIBI0K)) (portRef A (instanceRef r_timers_2_enable_RNIG813J)) )) (net un36_i (joined (portRef Y (instanceRef r_timers_2_chain_RNIQDCB)) (portRef A (instanceRef r_timers_2_enable_RNIHTGN)) (portRef A (instanceRef r_timers_2_enable_RNIBI0K)) )) (net enable (joined (portRef Q (instanceRef r_timers_2_enable)) (portRef B (instanceRef r_timers_2_enable_RNIHTGN)) (portRef B (instanceRef r_timers_2_enable_RNO_0)) (portRef A (instanceRef r_timers_2_enable_RNISK6J1)) (portRef B (instanceRef r_timers_2_enable_RNIBI0K)) )) (net (rename readdata_0_iv_2_7 "readdata_0_iv_2[7]") (joined (portRef Y (instanceRef r_timers_2_value_RNIGP241_7)) (portRef C (instanceRef r_timers_2_reload_RNIUEOP2_7)) )) (net (rename value_7 "value[7]") (joined (portRef Q (instanceRef r_timers_2_value_7)) (portRef A (instanceRef r_timers_1_value_RNIR5AH_7)) (portRef A (instanceRef r_timers_2_value_RNIGP241_7)) )) (net value_0_sqmuxa_0 (joined (portRef Y (instanceRef v_timers_2_value_0_sqmuxa_0)) (portRef B (instanceRef r_timers_2_value_RNI5DCH_18)) (portRef B (instanceRef r_timers_2_value_RNI5HCH_25)) (portRef B (instanceRef r_timers_2_value_RNIVCCH_12)) (portRef B (instanceRef r_timers_2_value_RNI8HCH_28)) (portRef A (instanceRef r_timers_2_value_RNIBAHH_1)) (portRef B (instanceRef r_timers_2_value_RNI1DCH_14)) (portRef B (instanceRef r_timers_2_value_RNIJAIH_9)) (portRef B (instanceRef r_timers_2_value_RNI3HCH_23)) (portRef B (instanceRef r_timers_2_value_RNI6DCH_19)) (portRef B (instanceRef r_timers_2_value_RNI4DCH_17)) (portRef B (instanceRef r_timers_2_value_RNITCCH_10)) (portRef B (instanceRef r_timers_2_value_RNIFQHH_5)) (portRef B (instanceRef r_timers_2_value_RNIEMHH_4)) (portRef B (instanceRef r_timers_2_value_RNII6IH_8)) (portRef B (instanceRef r_timers_2_value_RNIA6HH_0)) (portRef B (instanceRef r_timers_2_value_RNIGP241_7)) (portRef value_0_sqmuxa_0) )) (net (rename scaler_m_7 "scaler_m[7]") (joined (portRef Y (instanceRef r_scaler_RNIVMGI_7)) (portRef C (instanceRef r_timers_2_value_RNIGP241_7)) )) (net (rename readdata_0_iv_0_7 "readdata_0_iv_0[7]") (joined (portRef Y (instanceRef r_timers_1_reload_RNISN961_7)) (portRef B (instanceRef r_timers_2_reload_RNIUEOP2_7)) )) (net N_212 (joined (portRef Y (instanceRef r_timers_1_reload_RNIMFND_7)) (portRef B (instanceRef r_timers_1_reload_RNISN961_7)) )) (net (rename reload_m_7 "reload_m[7]") (joined (portRef Y (instanceRef r_reload_RNIT39H_7)) (portRef C (instanceRef r_timers_1_reload_RNISN961_7)) )) (net (rename readdata_iv_3_3 "readdata_iv_3[3]") (joined (portRef Y (instanceRef r_timers_2_irqen_RNIQFVG2)) (portRef readdata_iv_3_3) )) (net (rename readdata_iv_0_3 "readdata_iv_0[3]") (joined (portRef Y (instanceRef r_timers_2_irqen_RNI6L6D1)) (portRef A (instanceRef r_timers_2_irqen_RNIQFVG2)) )) (net (rename reload_m_3 "reload_m[3]") (joined (portRef Y (instanceRef r_reload_RNIPJ8H_3)) (portRef B (instanceRef r_timers_2_irqen_RNIQFVG2)) )) (net (rename scaler_m_3 "scaler_m[3]") (joined (portRef Y (instanceRef r_scaler_RNIR6GI_3)) (portRef C (instanceRef r_timers_2_irqen_RNIQFVG2)) )) (net (rename readdata_iv_2_3 "readdata_iv_2[3]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIRFT01_3)) (portRef readdata_iv_2_3) )) (net (rename reload_3 "reload[3]") (joined (portRef Q (instanceRef r_timers_2_reload_3)) (portRef B (instanceRef r_timers_2_value_RNO_0_3)) (portRef A (instanceRef r_timers_2_reload_RNIRFT01_3)) )) (net (rename value_m_3 "value_m[3]") (joined (portRef Y (instanceRef r_timers_2_value_RNIDIHH_3)) (portRef C (instanceRef r_timers_2_reload_RNIRFT01_3)) )) (net (rename readdata_2_sqmuxaZ0 "readdata_2_sqmuxa") (joined (portRef Y (instanceRef readdata_2_sqmuxa)) (portRef B (instanceRef r_timers_2_load_RNIGTHA)) (portRef B (instanceRef r_timers_2_chain_RNIB22D)) (portRef A (instanceRef r_timers_2_load_RNO)) (portRef B (instanceRef v_timers_2_load_1_sqmuxa)) (portRef B (instanceRef r_timers_2_irqpen_RNI7HEH)) (portRef A (instanceRef r_timers_2_restart_RNI34S9)) (portRef A (instanceRef comb_un1_gpti_m)) (portRef B (instanceRef r_timers_2_enable_RNISK6J1)) (portRef B (instanceRef r_timers_2_irqen_RNI6L6D1)) )) (net (rename readdata_9_3 "readdata_9[3]") (joined (portRef Y (instanceRef r_timers_1_irqen_RNIFFVV)) (portRef C (instanceRef r_timers_2_irqen_RNI6L6D1)) )) (net (rename readdata_iv_3_4 "readdata_iv_3[4]") (joined (portRef Y (instanceRef r_scaler_RNIT6BP2_4)) (portRef readdata_iv_3_4) )) (net (rename readdata_iv_0_4 "readdata_iv_0[4]") (joined (portRef Y (instanceRef r_timers_2_irqpen_RNI74IL1)) (portRef A (instanceRef r_scaler_RNIT6BP2_4)) )) (net (rename reload_m_4 "reload_m[4]") (joined (portRef Y (instanceRef r_reload_RNIQN8H_4)) (portRef B (instanceRef r_scaler_RNIT6BP2_4)) )) (net (rename scaler_m_4 "scaler_m[4]") (joined (portRef Y (instanceRef r_scaler_RNISAGI_4)) (portRef C (instanceRef r_scaler_RNIT6BP2_4)) )) (net (rename readdata_iv_2_4 "readdata_iv_2[4]") (joined (portRef Y (instanceRef r_timers_2_reload_RNITJT01_4)) (portRef readdata_iv_2_4) )) (net (rename reload_4 "reload[4]") (joined (portRef Q (instanceRef r_timers_2_reload_4)) (portRef B (instanceRef r_timers_2_value_RNO_0_4)) (portRef A (instanceRef r_timers_2_reload_RNITJT01_4)) )) (net (rename value_m_4 "value_m[4]") (joined (portRef Y (instanceRef r_timers_2_value_RNIEMHH_4)) (portRef C (instanceRef r_timers_2_reload_RNITJT01_4)) )) (net (rename readdata_9_4 "readdata_9[4]") (joined (portRef Y (instanceRef r_timers_1_reload_RNI0J341_4)) (portRef A (instanceRef r_timers_2_irqpen_RNI74IL1)) )) (net irqpen_m (joined (portRef Y (instanceRef r_timers_2_irqpen_RNI7HEH)) (portRef B (instanceRef r_timers_2_irqpen_RNI74IL1)) )) (net (rename readdata_iv_3_2 "readdata_iv_3[2]") (joined (portRef Y (instanceRef r_scaler_RNIOJKB2_2)) (portRef readdata_iv_3_2) )) (net (rename readdata_iv_0_2 "readdata_iv_0[2]") (joined (portRef Y (instanceRef r_timers_2_load_RNI61S71)) (portRef A (instanceRef r_scaler_RNIOJKB2_2)) )) (net (rename reload_m_2 "reload_m[2]") (joined (portRef Y (instanceRef r_reload_RNIOF8H_2)) (portRef B (instanceRef r_scaler_RNIOJKB2_2)) )) (net (rename scaler_m_2 "scaler_m[2]") (joined (portRef Y (instanceRef r_scaler_RNIQ2GI_2)) (portRef C (instanceRef r_scaler_RNIOJKB2_2)) )) (net (rename readdata_iv_2_2 "readdata_iv_2[2]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIPBT01_2)) (portRef readdata_iv_2_2) )) (net (rename reload_2 "reload[2]") (joined (portRef Q (instanceRef r_timers_2_reload_2)) (portRef B (instanceRef r_timers_2_value_RNO_0_2)) (portRef A (instanceRef r_timers_2_reload_RNIPBT01_2)) )) (net (rename value_m_2 "value_m[2]") (joined (portRef Y (instanceRef r_timers_2_value_RNICEHH_2)) (portRef C (instanceRef r_timers_2_reload_RNIPBT01_2)) )) (net (rename readdata_9_2 "readdata_9[2]") (joined (portRef Y (instanceRef r_timers_1_load_RNIM3AT)) (portRef A (instanceRef r_timers_2_load_RNI61S71)) )) (net load_m (joined (portRef Y (instanceRef r_timers_2_load_RNIGTHA)) (portRef B (instanceRef r_timers_2_load_RNI61S71)) )) (net (rename readdata_iv_3_5 "readdata_iv_3[5]") (joined (portRef Y (instanceRef r_scaler_RNING6F2_5)) (portRef readdata_iv_3_5) )) (net (rename readdata_iv_0_5 "readdata_iv_0[5]") (joined (portRef Y (instanceRef r_timers_2_chain_RNIV5DB1)) (portRef A (instanceRef r_scaler_RNING6F2_5)) )) (net (rename reload_m_5 "reload_m[5]") (joined (portRef Y (instanceRef r_reload_RNIRR8H_5)) (portRef B (instanceRef r_scaler_RNING6F2_5)) )) (net (rename scaler_m_5 "scaler_m[5]") (joined (portRef Y (instanceRef r_scaler_RNITEGI_5)) (portRef C (instanceRef r_scaler_RNING6F2_5)) )) (net (rename readdata_iv_2_5 "readdata_iv_2[5]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIVNT01_5)) (portRef readdata_iv_2_5) )) (net (rename reload_5 "reload[5]") (joined (portRef Q (instanceRef r_timers_2_reload_5)) (portRef B (instanceRef r_timers_2_value_RNO_0_5)) (portRef A (instanceRef r_timers_2_reload_RNIVNT01_5)) )) (net (rename value_m_5 "value_m[5]") (joined (portRef Y (instanceRef r_timers_2_value_RNIFQHH_5)) (portRef C (instanceRef r_timers_2_reload_RNIVNT01_5)) )) (net (rename readdata_9_5 "readdata_9[5]") (joined (portRef Y (instanceRef r_timers_1_chain_RNIK3BU)) (portRef A (instanceRef r_timers_2_chain_RNIV5DB1)) )) (net chain_m (joined (portRef Y (instanceRef r_timers_2_chain_RNIB22D)) (portRef B (instanceRef r_timers_2_chain_RNIV5DB1)) )) (net (rename readdata_iv_3_0 "readdata_iv_3[0]") (joined (portRef Y (instanceRef r_scaler_RNIANUM2_0)) (portRef readdata_iv_3_0) )) (net (rename readdata_iv_0_0 "readdata_iv_0[0]") (joined (portRef Y (instanceRef r_timers_2_enable_RNISK6J1)) (portRef A (instanceRef r_scaler_RNIANUM2_0)) )) (net (rename reload_m_0 "reload_m[0]") (joined (portRef Y (instanceRef r_reload_RNIM78H_0)) (portRef B (instanceRef r_scaler_RNIANUM2_0)) )) (net (rename scaler_m_0 "scaler_m[0]") (joined (portRef Y (instanceRef r_scaler_RNIOQFI_0)) (portRef C (instanceRef r_scaler_RNIANUM2_0)) )) (net (rename readdata_iv_2_0 "readdata_iv_2[0]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIL3T01_0)) (portRef readdata_iv_2_0) )) (net (rename reload_0 "reload[0]") (joined (portRef Q (instanceRef r_timers_2_reload_0)) (portRef B (instanceRef r_timers_2_value_RNO_0_0)) (portRef A (instanceRef r_timers_2_reload_RNIL3T01_0)) )) (net (rename value_m_0 "value_m[0]") (joined (portRef Y (instanceRef r_timers_2_value_RNIA6HH_0)) (portRef C (instanceRef r_timers_2_reload_RNIL3T01_0)) )) (net (rename readdata_9_0 "readdata_9[0]") (joined (portRef Y (instanceRef r_timers_1_reload_RNITQ521_0)) (portRef C (instanceRef r_timers_2_enable_RNISK6J1)) )) (net (rename readdata_1_iv_0_21 "readdata_1_iv_0[29]") (joined (portRef Y (instanceRef r_timers_1_value_RNIVHP41_29)) (portRef readdata_1_iv_0_21) )) (net N_234 (joined (portRef Y (instanceRef r_timers_1_value_RNIG6KC_29)) (portRef A (instanceRef r_timers_1_value_RNIVHP41_29)) )) (net (rename reload_m_29 "reload_m[29]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI67SG_29)) (portRef C (instanceRef r_timers_1_value_RNIVHP41_29)) )) (net (rename readdata_1_iv_0_10 "readdata_1_iv_0[18]") (joined (portRef Y (instanceRef r_timers_1_value_RNI7M861_18)) (portRef readdata_1_iv_0_10) )) (net N_223 (joined (portRef Y (instanceRef r_timers_1_value_RNIQE3E_18)) (portRef A (instanceRef r_timers_1_value_RNI7M861_18)) )) (net (rename reload_m_18 "reload_m[18]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI43SG_18)) (portRef C (instanceRef r_timers_1_value_RNI7M861_18)) )) (net (rename readdata_1_iv_0_15 "readdata_1_iv_0[23]") (joined (portRef Y (instanceRef r_timers_1_value_RNITH761_23)) (portRef readdata_1_iv_0_15) )) (net N_228 (joined (portRef Y (instanceRef r_timers_1_value_RNIKU2E_23)) (portRef B (instanceRef r_timers_1_value_RNITH761_23)) )) (net (rename reload_m_23 "reload_m[23]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI0FRG_23)) (portRef C (instanceRef r_timers_1_value_RNITH761_23)) )) (net (rename readdata_1_iv_0_22 "readdata_1_iv_0[30]") (joined (portRef Y (instanceRef r_timers_1_reload_RNIPT661_30)) (portRef readdata_1_iv_0_22) )) (net N_235 (joined (portRef Y (instanceRef r_timers_1_reload_RNIIM2E_30)) (portRef A (instanceRef r_timers_1_reload_RNIPT661_30)) )) (net (rename reload_m_30 "reload_m[30]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIU2RG_30)) (portRef C (instanceRef r_timers_1_reload_RNIPT661_30)) )) (net (rename readdata_1_iv_0_18 "readdata_1_iv_0[26]") (joined (portRef Y (instanceRef r_timers_1_value_RNINPO41_26)) (portRef readdata_1_iv_0_18) )) (net N_231 (joined (portRef Y (instanceRef r_timers_1_value_RNIBQJC_26)) (portRef B (instanceRef r_timers_1_value_RNINPO41_26)) )) (net (rename reload_m_26 "reload_m[26]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI3RRG_26)) (portRef C (instanceRef r_timers_1_value_RNINPO41_26)) )) (net (rename readdata_1_iv_0_2 "readdata_1_iv_0[10]") (joined (portRef Y (instanceRef r_timers_1_reload_RNIV4N41_10)) (portRef readdata_1_iv_0_2) )) (net N_215 (joined (portRef Y (instanceRef r_timers_1_reload_RNIQTIC_10)) (portRef B (instanceRef r_timers_1_reload_RNIV4N41_10)) )) (net (rename reload_m_10 "reload_m[10]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIS2RG_10)) (portRef C (instanceRef r_timers_1_reload_RNIV4N41_10)) )) (net (rename readdata_1_iv_0_6 "readdata_1_iv_0[14]") (joined (portRef Y (instanceRef r_timers_1_value_RNIB5O41_14)) (portRef readdata_1_iv_0_6) )) (net N_219 (joined (portRef Y (instanceRef r_timers_1_value_RNI2EJC_14)) (portRef B (instanceRef r_timers_1_value_RNIB5O41_14)) )) (net (rename reload_m_14 "reload_m[14]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI0JRG_14)) (portRef C (instanceRef r_timers_1_value_RNIB5O41_14)) )) (net (rename readdata_1_iv_0_3 "readdata_1_iv_0[11]") (joined (portRef Y (instanceRef r_timers_1_reload_RNI2DN41_11)) (portRef readdata_1_iv_0_3) )) (net N_216 (joined (portRef Y (instanceRef r_timers_1_reload_RNIS1JC_11)) (portRef B (instanceRef r_timers_1_reload_RNI2DN41_11)) )) (net (rename reload_m_11 "reload_m[11]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIT6RG_11)) (portRef C (instanceRef r_timers_1_reload_RNI2DN41_11)) )) (net (rename readdata_1_iv_0_5 "readdata_1_iv_0[13]") (joined (portRef Y (instanceRef r_timers_1_value_RNI8TN41_13)) (portRef readdata_1_iv_0_5) )) (net N_218 (joined (portRef Y (instanceRef r_timers_1_value_RNI0AJC_13)) (portRef B (instanceRef r_timers_1_value_RNI8TN41_13)) )) (net (rename reload_m_13 "reload_m[13]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIVERG_13)) (portRef C (instanceRef r_timers_1_value_RNI8TN41_13)) )) (net (rename readdata_1_iv_1_9 "readdata_1_iv_1[9]") (joined (portRef Y (instanceRef r_dishlt_RNIDVFI1)) (portRef (member readdata_1_iv_1 0)) )) (net dishlt_m (joined (portRef Y (instanceRef r_dishlt_RNI5LJC)) (portRef A (instanceRef r_dishlt_RNIDVFI1)) )) (net (rename readdata_9_9 "readdata_9[9]") (joined (portRef Y (instanceRef r_timers_1_reload_RNIKCGM_9)) (portRef B (instanceRef r_dishlt_RNIDVFI1)) )) (net (rename reload_m_9 "reload_m[9]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIKTBF_9)) (portRef C (instanceRef r_dishlt_RNIDVFI1)) )) (net (rename readdata_1_iv_0_8 "readdata_1_iv_0[8]") (joined (portRef Y (instanceRef r_timers_1_reload_RNIG1GU_8)) (portRef B (instanceRef r_timers_2_reload_RNIL5EV1_8)) )) (net N_213 (joined (portRef Y (instanceRef r_timers_1_reload_RNIOJND_8)) (portRef B (instanceRef r_timers_1_reload_RNIG1GU_8)) )) (net readdata57 (joined (portRef Y (instanceRef comb_readdata57)) (portRef B (instanceRef r_dishlt_RNI5LJC)) (portRef B (instanceRef r_dishlt_RNO_1)) (portRef A (instanceRef readdata_3_sqmuxaZ0)) (portRef C (instanceRef r_timers_1_reload_RNIG1GU_8)) )) (net (rename readdata_1_iv_0_7 "readdata_1_iv_0[15]") (joined (portRef Y (instanceRef r_timers_1_value_RNIEDO41_15)) (portRef readdata_1_iv_0_7) )) (net N_220 (joined (portRef Y (instanceRef r_timers_1_value_RNI4IJC_15)) (portRef A (instanceRef r_timers_1_value_RNIEDO41_15)) )) (net (rename reload_m_15 "reload_m[15]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI1NRG_15)) (portRef C (instanceRef r_timers_1_value_RNIEDO41_15)) )) (net (rename prdata_1 "prdata[8]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIL5EV1_8)) (portRef prdata_1) )) (net (rename reload_m_8 "reload_m[8]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIJTBF_8)) (portRef A (instanceRef r_timers_2_reload_RNIL5EV1_8)) )) (net (rename value_m_8 "value_m[8]") (joined (portRef Y (instanceRef r_timers_2_value_RNII6IH_8)) (portRef C (instanceRef r_timers_2_reload_RNIL5EV1_8)) )) (net readdata_3_sqmuxa (joined (portRef Y (instanceRef readdata_3_sqmuxaZ0)) (portRef readdata_3_sqmuxa) )) (net (rename un1_readdataZ0Z52 "un1_readdata52") (joined (portRef Y (instanceRef un1_readdata52)) (portRef B (instanceRef readdata_3_sqmuxaZ0)) )) (net (rename un1_readdataZ0Z55 "un1_readdata55") (joined (portRef Y (instanceRef un1_readdata55)) (portRef A (instanceRef v_scaler_0_sqmuxa)) (portRef C (instanceRef readdata_3_sqmuxaZ0)) )) (net value_1_sqmuxa (joined (portRef Y (instanceRef v_timers_1_value_1_sqmuxa)) (portRef S (instanceRef r_timers_1_value_RNO_7)) (portRef S (instanceRef r_timers_1_value_RNO_0)) (portRef S (instanceRef r_timers_1_value_RNO_14)) (portRef S (instanceRef r_timers_1_value_RNO_31)) (portRef S (instanceRef r_timers_1_value_RNO_6)) (portRef S (instanceRef r_timers_1_value_RNO_8)) (portRef S (instanceRef r_timers_1_value_RNO_9)) (portRef S (instanceRef r_timers_1_value_RNO_20)) (portRef S (instanceRef r_timers_1_value_RNO_21)) (portRef S (instanceRef r_timers_1_value_RNO_30)) (portRef S (instanceRef r_timers_1_value_RNO_10)) (portRef S (instanceRef r_timers_1_value_RNO_19)) (portRef S (instanceRef r_timers_1_value_RNO_24)) (portRef S (instanceRef r_timers_1_value_RNO_25)) (portRef S (instanceRef r_timers_1_value_RNO_4)) (portRef S (instanceRef r_timers_1_value_RNO_5)) )) (net (rename prdata_20 "prdata[27]") (joined (portRef Y (instanceRef r_timers_2_value_RNIH3LN1_27)) (portRef prdata_20) )) (net (rename reload_m_27 "reload_m[27]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI4VRG_27)) (portRef A (instanceRef r_timers_2_value_RNIH3LN1_27)) )) (net (rename readdata_9_27 "readdata_9[27]") (joined (portRef Y (instanceRef r_timers_1_value_RNI6JCL_27)) (portRef B (instanceRef r_timers_2_value_RNIH3LN1_27)) )) (net (rename value_m_27 "value_m[27]") (joined (portRef Y (instanceRef r_timers_2_value_RNI7HCH_27)) (portRef C (instanceRef r_timers_2_value_RNIH3LN1_27)) )) (net (rename prdata_14 "prdata[21]") (joined (portRef Y (instanceRef r_timers_2_value_RNIPIJN1_21)) (portRef prdata_14) )) (net (rename reload_m_21 "reload_m[21]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIU6RG_21)) (portRef A (instanceRef r_timers_2_value_RNIPIJN1_21)) )) (net (rename readdata_9_21 "readdata_9[21]") (joined (portRef Y (instanceRef r_timers_1_reload_RNIQQBL_21)) (portRef B (instanceRef r_timers_2_value_RNIPIJN1_21)) )) (net (rename value_m_21 "value_m[21]") (joined (portRef Y (instanceRef r_timers_2_value_RNI1HCH_21)) (portRef C (instanceRef r_timers_2_value_RNIPIJN1_21)) )) (net (rename prdata_9 "prdata[16]") (joined (portRef Y (instanceRef r_timers_2_value_RNI5JKN1_16)) (portRef prdata_9) )) (net (rename reload_m_16 "reload_m[16]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI2RRG_16)) (portRef A (instanceRef r_timers_2_value_RNI5JKN1_16)) )) (net (rename readdata_9_16 "readdata_9[16]") (joined (portRef Y (instanceRef r_timers_1_value_RNI0BCL_16)) (portRef B (instanceRef r_timers_2_value_RNI5JKN1_16)) )) (net (rename value_m_16 "value_m[16]") (joined (portRef Y (instanceRef r_timers_2_value_RNI3DCH_16)) (portRef C (instanceRef r_timers_2_value_RNI5JKN1_16)) )) (net (rename prdata_17 "prdata[24]") (joined (portRef Y (instanceRef r_timers_2_value_RNI5BKN1_24)) (portRef prdata_17) )) (net (rename reload_m_24 "reload_m[24]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI1JRG_24)) (portRef A (instanceRef r_timers_2_value_RNI5BKN1_24)) )) (net (rename readdata_9_24 "readdata_9[24]") (joined (portRef Y (instanceRef r_timers_1_value_RNI07CL_24)) (portRef B (instanceRef r_timers_2_value_RNI5BKN1_24)) )) (net (rename value_m_24 "value_m[24]") (joined (portRef Y (instanceRef r_timers_2_value_RNI4HCH_24)) (portRef C (instanceRef r_timers_2_value_RNI5BKN1_24)) )) (net (rename prdata_13 "prdata[20]") (joined (portRef Y (instanceRef r_timers_2_value_RNILAJN1_20)) (portRef prdata_13) )) (net (rename reload_m_20 "reload_m[20]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIT2RG_20)) (portRef A (instanceRef r_timers_2_value_RNILAJN1_20)) )) (net (rename readdata_9_20 "readdata_9[20]") (joined (portRef Y (instanceRef r_timers_1_reload_RNIOMBL_20)) (portRef B (instanceRef r_timers_2_value_RNILAJN1_20)) )) (net (rename value_m_20 "value_m[20]") (joined (portRef Y (instanceRef r_timers_2_value_RNI0HCH_20)) (portRef C (instanceRef r_timers_2_value_RNILAJN1_20)) )) (net (rename prdata_18 "prdata[25]") (joined (portRef Y (instanceRef r_timers_2_value_RNI9JKN1_25)) (portRef prdata_18) )) (net (rename reload_m_25 "reload_m[25]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI2NRG_25)) (portRef A (instanceRef r_timers_2_value_RNI9JKN1_25)) )) (net (rename readdata_9_25 "readdata_9[25]") (joined (portRef Y (instanceRef r_timers_1_value_RNI2BCL_25)) (portRef B (instanceRef r_timers_2_value_RNI9JKN1_25)) )) (net (rename value_m_25 "value_m[25]") (joined (portRef Y (instanceRef r_timers_2_value_RNI5HCH_25)) (portRef C (instanceRef r_timers_2_value_RNI9JKN1_25)) )) (net (rename prdata_5 "prdata[12]") (joined (portRef Y (instanceRef r_timers_2_value_RNILIJN1_12)) (portRef prdata_5) )) (net (rename reload_m_12 "reload_m[12]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIUARG_12)) (portRef A (instanceRef r_timers_2_value_RNILIJN1_12)) )) (net (rename readdata_9_12 "readdata_9[12]") (joined (portRef Y (instanceRef r_timers_1_value_RNIOQBL_12)) (portRef B (instanceRef r_timers_2_value_RNILIJN1_12)) )) (net (rename value_m_12 "value_m[12]") (joined (portRef Y (instanceRef r_timers_2_value_RNIVCCH_12)) (portRef C (instanceRef r_timers_2_value_RNILIJN1_12)) )) (net (rename prdata_21 "prdata[28]") (joined (portRef Y (instanceRef r_timers_2_value_RNILBLN1_28)) (portRef prdata_21) )) (net (rename reload_m_28 "reload_m[28]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI53SG_28)) (portRef A (instanceRef r_timers_2_value_RNILBLN1_28)) )) (net (rename readdata_9_28 "readdata_9[28]") (joined (portRef Y (instanceRef r_timers_1_value_RNI8NCL_28)) (portRef B (instanceRef r_timers_2_value_RNILBLN1_28)) )) (net (rename value_m_28 "value_m[28]") (joined (portRef Y (instanceRef r_timers_2_value_RNI8HCH_28)) (portRef C (instanceRef r_timers_2_value_RNILBLN1_28)) )) (net (rename prdata_10 "prdata[17]") (joined (portRef Y (instanceRef r_timers_2_value_RNIPB4P1_17)) (portRef prdata_10) )) (net (rename reload_m_17 "reload_m[17]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI3VRG_17)) (portRef A (instanceRef r_timers_2_value_RNIPB4P1_17)) )) (net (rename readdata_9_17 "readdata_9[17]") (joined (portRef Y (instanceRef r_timers_1_value_RNIIVRM_17)) (portRef B (instanceRef r_timers_2_value_RNIPB4P1_17)) )) (net (rename value_m_17 "value_m[17]") (joined (portRef Y (instanceRef r_timers_2_value_RNI4DCH_17)) (portRef C (instanceRef r_timers_2_value_RNIPB4P1_17)) )) (net reload_1_sqmuxa (joined (portRef Y (instanceRef v_timers_1_reload_1_sqmuxa)) (portRef E (instanceRef r_timers_1_reload_31)) (portRef E (instanceRef r_timers_1_reload_30)) (portRef E (instanceRef r_timers_1_reload_29)) (portRef E (instanceRef r_timers_1_reload_28)) (portRef E (instanceRef r_timers_1_reload_27)) (portRef E (instanceRef r_timers_1_reload_26)) (portRef E (instanceRef r_timers_1_reload_25)) (portRef E (instanceRef r_timers_1_reload_24)) (portRef E (instanceRef r_timers_1_reload_9)) (portRef E (instanceRef r_timers_1_reload_8)) (portRef E (instanceRef r_timers_1_reload_7)) (portRef E (instanceRef r_timers_1_reload_6)) (portRef E (instanceRef r_timers_1_reload_5)) (portRef E (instanceRef r_timers_1_reload_4)) (portRef E (instanceRef r_timers_1_reload_3)) (portRef E (instanceRef r_timers_1_reload_2)) )) (net N_337 (joined (portRef N_337) (portRef A (instanceRef comb_un1_apbi)) )) (net N_343 (joined (portRef N_343) (portRef C (instanceRef comb_un1_apbi)) )) (net irqpen_0_sqmuxa_1_1 (joined (portRef Y (instanceRef r_timers_2_enable_RNIG813J)) (portRef B (instanceRef r_timers_2_irqpen_RNO_1)) (portRef C (instanceRef r_timers_2_irq_RNO)) (portRef B (instanceRef r_timers_2_enable_RNO_2)) )) (net value_2_sqmuxa (joined (portRef Y (instanceRef r_timers_2_load_RNIP9AN3)) (portRef E (instanceRef r_timers_2_value_31)) (portRef E (instanceRef r_timers_2_value_30)) (portRef E (instanceRef r_timers_2_value_29)) (portRef E (instanceRef r_timers_2_value_28)) (portRef E (instanceRef r_timers_2_value_27)) (portRef E (instanceRef r_timers_2_value_26)) (portRef E (instanceRef r_timers_2_value_25)) (portRef E (instanceRef r_timers_2_value_24)) (portRef E (instanceRef r_timers_2_value_9)) (portRef E (instanceRef r_timers_2_value_8)) (portRef E (instanceRef r_timers_2_value_7)) (portRef E (instanceRef r_timers_2_value_6)) (portRef E (instanceRef r_timers_2_value_5)) (portRef E (instanceRef r_timers_2_value_4)) (portRef E (instanceRef r_timers_2_value_3)) (portRef E (instanceRef r_timers_2_value_2)) )) (net value_2_sqmuxa_1 (joined (portRef Y (instanceRef r_timers_1_load_RNIOPTO3)) (portRef E (instanceRef r_timers_1_value_31)) (portRef E (instanceRef r_timers_1_value_30)) (portRef E (instanceRef r_timers_1_value_29)) (portRef E (instanceRef r_timers_1_value_28)) (portRef E (instanceRef r_timers_1_value_27)) (portRef E (instanceRef r_timers_1_value_26)) (portRef E (instanceRef r_timers_1_value_25)) (portRef E (instanceRef r_timers_1_value_24)) (portRef E (instanceRef r_timers_1_value_9)) (portRef E (instanceRef r_timers_1_value_8)) (portRef E (instanceRef r_timers_1_value_7)) (portRef E (instanceRef r_timers_1_value_6)) (portRef E (instanceRef r_timers_1_value_5)) (portRef E (instanceRef r_timers_1_value_4)) (portRef E (instanceRef r_timers_1_value_3)) (portRef E (instanceRef r_timers_1_value_2)) )) (net (rename paddr_5 "paddr[5]") (joined (portRef (member paddr 1)) (portRef A (instanceRef comb_2_readdata51)) (portRef B (instanceRef comb_1_readdata30)) )) (net (rename paddr_4 "paddr[4]") (joined (portRef (member paddr 2)) (portRef B (instanceRef comb_2_readdata51)) (portRef A (instanceRef comb_1_readdata30)) )) (net (rename paddr_6 "paddr[6]") (joined (portRef (member paddr 0)) (portRef B (instanceRef comb_readdata57)) (portRef B (instanceRef comb_readdata55)) (portRef B (instanceRef comb_readdata56)) (portRef C (instanceRef comb_2_readdata51)) (portRef C (instanceRef comb_1_readdata30)) )) (net (rename prdata_12 "prdata[19]") (joined (portRef Y (instanceRef r_timers_2_value_RNI0R5M1_19)) (portRef prdata_12) )) (net (rename reload_m_19 "reload_m[19]") (joined (portRef Y (instanceRef r_timers_2_reload_RNI57SG_19)) (portRef A (instanceRef r_timers_2_value_RNI0R5M1_19)) )) (net (rename readdata_9_19 "readdata_9[19]") (joined (portRef Y (instanceRef r_timers_1_value_RNIL6TJ_19)) (portRef B (instanceRef r_timers_2_value_RNI0R5M1_19)) )) (net (rename value_m_19 "value_m[19]") (joined (portRef Y (instanceRef r_timers_2_value_RNI6DCH_19)) (portRef C (instanceRef r_timers_2_value_RNI0R5M1_19)) )) (net (rename prdata_0 "prdata[7]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIUEOP2_7)) (portRef prdata_0) )) (net (rename reload_m_0_7 "reload_m_0[7]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIITBF_7)) (portRef A (instanceRef r_timers_2_reload_RNIUEOP2_7)) )) (net (rename prdata_24 "prdata[31]") (joined (portRef Y (instanceRef r_timers_2_value_RNIHB3P1_31)) (portRef prdata_24) )) (net (rename reload_m_31 "reload_m[31]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIV6RG_31)) (portRef A (instanceRef r_timers_2_value_RNIHB3P1_31)) )) (net (rename readdata_9_31 "readdata_9[31]") (joined (portRef Y (instanceRef r_timers_1_reload_RNIEFRM_31)) (portRef B (instanceRef r_timers_2_value_RNIHB3P1_31)) )) (net (rename value_m_31 "value_m[31]") (joined (portRef Y (instanceRef r_timers_2_value_RNI4LCH_31)) (portRef C (instanceRef r_timers_2_value_RNIHB3P1_31)) )) (net (rename prdata_15 "prdata[22]") (joined (portRef Y (instanceRef r_timers_2_value_RNIDB3P1_22)) (portRef prdata_15) )) (net (rename reload_m_22 "reload_m[22]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIVARG_22)) (portRef A (instanceRef r_timers_2_value_RNIDB3P1_22)) )) (net (rename readdata_9_22 "readdata_9[22]") (joined (portRef Y (instanceRef r_timers_1_reload_RNICFRM_22)) (portRef B (instanceRef r_timers_2_value_RNIDB3P1_22)) )) (net (rename value_m_22 "value_m[22]") (joined (portRef Y (instanceRef r_timers_2_value_RNI2HCH_22)) (portRef C (instanceRef r_timers_2_value_RNIDB3P1_22)) )) (net un1_apbi_0 (joined (portRef Y (instanceRef comb_un1_apbi_0)) (portRef B (instanceRef comb_un1_apbi)) (portRef un1_apbi_0) )) (net pwrite (joined (portRef pwrite) (portRef A (instanceRef comb_un1_apbi_0)) )) (net penable (joined (portRef penable) (portRef B (instanceRef comb_un1_apbi_0)) )) (net N_628 (joined (portRef Y (instanceRef r_dishlt_RNO_0)) (portRef B (instanceRef r_dishlt_RNO)) )) (net dishlt (joined (portRef Q (instanceRef r_dishlt)) (portRef A (instanceRef r_dishlt_RNI5LJC)) (portRef B (instanceRef r_tick_RNO)) (portRef C (instanceRef r_dishlt_RNIBJKC3)) (portRef A (instanceRef r_dishlt_RNO_0)) )) (net dishlt_1_sqmuxa (joined (portRef Y (instanceRef r_dishlt_RNO_1)) (portRef S (instanceRef r_dishlt_RNO_0)) )) (net N_542 (joined (portRef Y (instanceRef r_scaler_RNO_0_0)) (portRef B (instanceRef r_scaler_RNO_0)) )) (net (rename scaler_1_0 "scaler_1[0]") (joined (portRef Y (instanceRef r_scaler_RNO_1_0)) (portRef A (instanceRef r_scaler_RNO_0_0)) )) (net scaler_2_sqmuxa (joined (portRef Y (instanceRef r_dishlt_RNIBJKC3)) (portRef S (instanceRef r_scaler_RNO_0_7)) (portRef S (instanceRef r_scaler_RNO_0_6)) (portRef S (instanceRef r_scaler_RNO_0_5)) (portRef S (instanceRef r_scaler_RNO_0_4)) (portRef S (instanceRef r_scaler_RNO_0_3)) (portRef S (instanceRef r_scaler_RNO_0_2)) (portRef S (instanceRef r_scaler_RNO_0_1)) (portRef S (instanceRef r_scaler_RNO_0_0)) )) (net N_543 (joined (portRef Y (instanceRef r_scaler_RNO_0_1)) (portRef B (instanceRef r_scaler_RNO_1)) )) (net (rename scaler_1_1 "scaler_1[1]") (joined (portRef Y (instanceRef r_scaler_RNO_1_1)) (portRef A (instanceRef r_scaler_RNO_0_1)) )) (net (rename scaler_1 "scaler[1]") (joined (portRef Q (instanceRef r_scaler_1)) (portRef B (instanceRef un6_scaler_I_5)) (portRef B (instanceRef un6_scaler_I_12)) (portRef B (instanceRef un6_scaler_I_16)) (portRef B (instanceRef r_scaler_RNO_0_1)) (portRef A (instanceRef un6_scaler_I_8)) (portRef scaler_1) )) (net N_544 (joined (portRef Y (instanceRef r_scaler_RNO_0_2)) (portRef B (instanceRef r_scaler_RNO_2)) )) (net (rename scaler_1_2 "scaler_1[2]") (joined (portRef Y (instanceRef r_scaler_RNO_1_2)) (portRef A (instanceRef r_scaler_RNO_0_2)) )) (net (rename scaler_2 "scaler[2]") (joined (portRef Q (instanceRef r_scaler_2)) (portRef B (instanceRef un6_scaler_I_9)) (portRef C (instanceRef un6_scaler_I_12)) (portRef C (instanceRef un6_scaler_I_16)) (portRef A (instanceRef r_scaler_RNIQ2GI_2)) (portRef B (instanceRef r_scaler_RNO_0_2)) )) (net N_545 (joined (portRef Y (instanceRef r_scaler_RNO_0_3)) (portRef B (instanceRef r_scaler_RNO_3)) )) (net (rename scaler_1_3 "scaler_1[3]") (joined (portRef Y (instanceRef r_scaler_RNO_1_3)) (portRef A (instanceRef r_scaler_RNO_0_3)) )) (net N_546 (joined (portRef Y (instanceRef r_scaler_RNO_0_4)) (portRef B (instanceRef r_scaler_RNO_4)) )) (net (rename scaler_1_4 "scaler_1[4]") (joined (portRef Y (instanceRef r_scaler_RNO_1_4)) (portRef A (instanceRef r_scaler_RNO_0_4)) )) (net (rename scaler_4 "scaler[4]") (joined (portRef Q (instanceRef r_scaler_4)) (portRef B (instanceRef un6_scaler_I_20)) (portRef C (instanceRef un6_scaler_I_23)) (portRef B (instanceRef un6_scaler_I_27)) (portRef B (instanceRef un6_scaler_I_34)) (portRef A (instanceRef r_scaler_RNISAGI_4)) (portRef B (instanceRef r_scaler_RNO_0_4)) )) (net N_547 (joined (portRef Y (instanceRef r_scaler_RNO_0_5)) (portRef B (instanceRef r_scaler_RNO_5)) )) (net (rename scaler_1_5 "scaler_1[5]") (joined (portRef Y (instanceRef r_scaler_RNO_1_5)) (portRef A (instanceRef r_scaler_RNO_0_5)) )) (net (rename scaler_5 "scaler[5]") (joined (portRef Q (instanceRef r_scaler_5)) (portRef B (instanceRef un6_scaler_I_24)) (portRef C (instanceRef un6_scaler_I_30)) (portRef C (instanceRef un6_scaler_I_34)) (portRef A (instanceRef r_scaler_RNITEGI_5)) (portRef B (instanceRef r_scaler_RNO_0_5)) )) (net N_548 (joined (portRef Y (instanceRef r_scaler_RNO_0_6)) (portRef B (instanceRef r_scaler_RNO_6)) )) (net (rename scaler_1_6 "scaler_1[6]") (joined (portRef Y (instanceRef r_scaler_RNO_1_6)) (portRef A (instanceRef r_scaler_RNO_0_6)) )) (net N_549 (joined (portRef Y (instanceRef r_scaler_RNO_0_7)) (portRef B (instanceRef r_scaler_RNO_7)) )) (net (rename scaler_1_7 "scaler_1[7]") (joined (portRef Y (instanceRef r_scaler_RNO_1_7)) (portRef A (instanceRef r_scaler_RNO_0_7)) )) (net (rename scaler_7 "scaler[7]") (joined (portRef Q (instanceRef r_scaler_7)) (portRef B (instanceRef un6_scaler_I_38)) (portRef B (instanceRef un6_scaler_I_41)) (portRef A (instanceRef r_scaler_RNIVMGI_7)) (portRef B (instanceRef r_scaler_RNO_0_7)) )) (net N_620 (joined (portRef Y (instanceRef r_reload_RNO_0_0)) (portRef B (instanceRef r_reload_RNO_0)) )) (net (rename reload_0_0 "reload_0[0]") (joined (portRef Q (instanceRef r_reload_0)) (portRef A (instanceRef r_scaler_RNO_1_0)) (portRef A (instanceRef r_reload_RNIM78H_0)) (portRef A (instanceRef r_reload_RNO_0_0)) )) (net reload_1_sqmuxa_1 (joined (portRef Y (instanceRef v_reload_1_sqmuxa)) (portRef S (instanceRef r_reload_RNO_0_7)) (portRef S (instanceRef r_reload_RNO_0_6)) (portRef S (instanceRef r_reload_RNO_0_5)) (portRef S (instanceRef r_reload_RNO_0_4)) (portRef S (instanceRef r_reload_RNO_0_3)) (portRef S (instanceRef r_reload_RNO_0_2)) (portRef S (instanceRef r_reload_RNO_0_1)) (portRef S (instanceRef r_reload_RNO_0_0)) )) (net N_621 (joined (portRef Y (instanceRef r_reload_RNO_0_1)) (portRef B (instanceRef r_reload_RNO_1)) )) (net N_622 (joined (portRef Y (instanceRef r_reload_RNO_0_2)) (portRef B (instanceRef r_reload_RNO_2)) )) (net (rename reload_0_2 "reload_0[2]") (joined (portRef Q (instanceRef r_reload_2)) (portRef A (instanceRef r_reload_RNIOF8H_2)) (portRef A (instanceRef r_scaler_RNO_1_2)) (portRef A (instanceRef r_reload_RNO_0_2)) )) (net N_623 (joined (portRef Y (instanceRef r_reload_RNO_0_3)) (portRef B (instanceRef r_reload_RNO_3)) )) (net (rename reload_0_3 "reload_0[3]") (joined (portRef Q (instanceRef r_reload_3)) (portRef A (instanceRef r_scaler_RNO_1_3)) (portRef A (instanceRef r_reload_RNIPJ8H_3)) (portRef A (instanceRef r_reload_RNO_0_3)) )) (net N_624 (joined (portRef Y (instanceRef r_reload_RNO_0_4)) (portRef B (instanceRef r_reload_RNO_4)) )) (net (rename reload_0_4 "reload_0[4]") (joined (portRef Q (instanceRef r_reload_4)) (portRef A (instanceRef r_scaler_RNO_1_4)) (portRef A (instanceRef r_reload_RNIQN8H_4)) (portRef A (instanceRef r_reload_RNO_0_4)) )) (net N_625 (joined (portRef Y (instanceRef r_reload_RNO_0_5)) (portRef B (instanceRef r_reload_RNO_5)) )) (net (rename reload_0_5 "reload_0[5]") (joined (portRef Q (instanceRef r_reload_5)) (portRef A (instanceRef r_scaler_RNO_1_5)) (portRef A (instanceRef r_reload_RNIRR8H_5)) (portRef A (instanceRef r_reload_RNO_0_5)) )) (net N_626 (joined (portRef Y (instanceRef r_reload_RNO_0_6)) (portRef B (instanceRef r_reload_RNO_6)) )) (net N_205 (joined (portRef Y (instanceRef r_timers_1_reload_RNIN27C_0)) (portRef B (instanceRef r_timers_1_reload_RNITQ521_0)) )) (net (rename un1_timer0_8 "un1_timer0[8]") (joined (portRef Q (instanceRef r_timers_1_value_0)) (portRef B (instanceRef r_timers_1_value_RNIDD8H_0)) (portRef A (instanceRef r_timers_1_reload_RNIN27C_0)) )) (net (rename reload_1_0 "reload_1[0]") (joined (portRef Q (instanceRef r_timers_1_reload_0)) (portRef B (instanceRef r_timers_1_value_RNO_0_0)) (portRef B (instanceRef r_timers_1_reload_RNIN27C_0)) )) (net N_196 (joined (portRef Y (instanceRef r_timers_1_enable_RNITJLE)) (portRef A (instanceRef r_timers_1_reload_RNITQ521_0)) )) (net (rename value_0 "value[0]") (joined (portRef Q (instanceRef r_timers_2_value_0)) (portRef A (instanceRef r_timers_1_value_RNIDD8H_0)) (portRef A (instanceRef r_timers_2_value_RNIA6HH_0)) )) (net (rename value_8 "value[8]") (joined (portRef Q (instanceRef r_timers_2_value_8)) (portRef A (instanceRef r_timers_1_value_RNITDAH_8)) (portRef A (instanceRef r_timers_2_value_RNII6IH_8)) )) (net (rename reload_8 "reload[8]") (joined (portRef Q (instanceRef r_timers_2_reload_8)) (portRef B (instanceRef r_timers_2_value_RNO_0_8)) (portRef A (instanceRef r_timers_2_reload_RNIJTBF_8)) )) (net N_340 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_1)) (portRef A (instanceRef r_timers_1_value_RNO_1)) )) (net I_5_3 (joined (portRef Y (instanceRef un12_res_I_5)) (portRef A (instanceRef r_timers_2_value_RNO_0_1)) (portRef A (instanceRef r_timers_1_value_RNO_0_1)) )) (net (rename reload_0_1 "reload_0[1]") (joined (portRef Q (instanceRef r_timers_1_reload_1)) (portRef B (instanceRef r_timers_1_reload_RNIANMD_1)) (portRef B (instanceRef r_timers_1_value_RNO_0_1)) )) (net N_353 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_14)) (portRef A (instanceRef r_timers_1_value_RNO_14)) )) (net I_84_0 (joined (portRef Y (instanceRef un12_res_I_84)) (portRef A (instanceRef r_timers_2_value_RNO_0_14)) (portRef A (instanceRef r_timers_1_value_RNO_0_14)) )) (net (rename reload_14 "reload[14]") (joined (portRef Q (instanceRef r_timers_1_reload_14)) (portRef B (instanceRef r_timers_1_value_RNI2EJC_14)) (portRef B (instanceRef r_timers_1_value_RNO_0_14)) )) (net (rename value_1_1 "value_1[1]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_1)) (portRef D (instanceRef r_timers_1_value_1)) )) (net (rename pwdata_0_1 "pwdata_0[1]") (joined (portRef (member pwdata_0 4)) (portRef A (instanceRef r_scaler_RNO_2_1)) (portRef B (instanceRef r_timers_2_value_RNO_1)) (portRef B (instanceRef r_timers_1_value_RNO_1)) (portRef B (instanceRef r_reload_RNO_0_1)) )) (net N_407 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_1)) (portRef A (instanceRef r_timers_2_value_RNO_1)) )) (net (rename reload_1_1 "reload_1[1]") (joined (portRef Q (instanceRef r_timers_2_reload_1)) (portRef B (instanceRef r_timers_2_reload_RNICTBF_1)) (portRef B (instanceRef r_timers_2_value_RNO_0_1)) )) (net N_437 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_31)) (portRef A (instanceRef r_timers_2_value_RNO_31)) )) (net I_224 (joined (portRef Y (instanceRef un12_res_I_224)) (portRef A (instanceRef r_timers_1_value_RNO_0_31)) (portRef A (instanceRef r_timers_1_value_RNI5M0FI_31)) (portRef A (instanceRef r_timers_2_value_RNO_0_31)) )) (net (rename reload_31 "reload[31]") (joined (portRef Q (instanceRef r_timers_2_reload_31)) (portRef A (instanceRef r_timers_2_reload_RNIV6RG_31)) (portRef B (instanceRef r_timers_2_value_RNO_0_31)) )) (net (rename value_1_0_1 "value_1_0[1]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_1)) (portRef D (instanceRef r_timers_2_value_1)) )) (net (rename value_1_31 "value_1[31]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_31)) (portRef D (instanceRef r_timers_2_value_31)) )) (net enable_0 (joined (portRef Q (instanceRef r_timers_1_enable)) (portRef C (instanceRef r_timers_1_chain_RNITONI)) (portRef B (instanceRef r_timers_1_enable_RNO_0)) (portRef A (instanceRef r_timers_1_enable_RNITJLE)) )) (net (rename paddr_0_2 "paddr_0[2]") (joined (portRef (member paddr_0 1)) (portRef S (instanceRef r_timers_1_reload_RNI1N7C_5)) (portRef S (instanceRef r_timers_1_reload_RNIVI7C_4)) (portRef B (instanceRef r_timers_1_enable_RNITJLE)) (portRef S (instanceRef r_timers_1_reload_RNIN27C_0)) )) (net N_209 (joined (portRef Y (instanceRef r_timers_1_reload_RNIVI7C_4)) (portRef B (instanceRef r_timers_1_reload_RNI0J341_4)) )) (net (rename un1_timer0_12 "un1_timer0[12]") (joined (portRef Q (instanceRef r_timers_1_value_4)) (portRef B (instanceRef r_timers_1_value_RNILD9H_4)) (portRef A (instanceRef r_timers_1_reload_RNIVI7C_4)) )) (net (rename reload_1_4 "reload_1[4]") (joined (portRef Q (instanceRef r_timers_1_reload_4)) (portRef B (instanceRef r_timers_1_value_RNO_0_4)) (portRef B (instanceRef r_timers_1_reload_RNIVI7C_4)) )) (net N_210 (joined (portRef Y (instanceRef r_timers_1_reload_RNI1N7C_5)) (portRef B (instanceRef r_timers_1_chain_RNIK3BU)) )) (net (rename un1_timer0_13 "un1_timer0[13]") (joined (portRef Q (instanceRef r_timers_1_value_5)) (portRef B (instanceRef r_timers_1_value_RNINL9H_5)) (portRef A (instanceRef r_timers_1_reload_RNI1N7C_5)) )) (net (rename reload_1_5 "reload_1[5]") (joined (portRef Q (instanceRef r_timers_1_reload_5)) (portRef B (instanceRef r_timers_1_value_RNO_0_5)) (portRef B (instanceRef r_timers_1_reload_RNI1N7C_5)) )) (net N_198 (joined (portRef Y (instanceRef r_timers_1_load_RNI14A8)) (portRef A (instanceRef r_timers_1_load_RNIM3AT)) )) (net N_207 (joined (portRef Y (instanceRef r_timers_1_reload_RNICRMD_2)) (portRef B (instanceRef r_timers_1_load_RNIM3AT)) )) (net N_201 (joined (portRef Y (instanceRef r_timers_1_chain_RNIA8QA)) (portRef A (instanceRef r_timers_1_chain_RNIK3BU)) )) (net readdata55 (joined (portRef Y (instanceRef comb_readdata55)) (portRef B (instanceRef un1_readdata55)) (portRef B (instanceRef r_scaler_RNIQ2GI_2)) (portRef B (instanceRef r_scaler_RNIVMGI_7)) (portRef B (instanceRef r_scaler_RNIR6GI_3)) (portRef B (instanceRef r_scaler_RNITEGI_5)) (portRef B (instanceRef r_scaler_RNISAGI_4)) (portRef B (instanceRef r_scaler_RNIOQFI_0)) (portRef readdata55) )) (net readdata56 (joined (portRef Y (instanceRef comb_readdata56)) (portRef A (instanceRef un1_readdata55)) (portRef B (instanceRef r_reload_RNIOF8H_2)) (portRef B (instanceRef r_reload_RNIT39H_7)) (portRef B (instanceRef v_reload_1_sqmuxa)) (portRef B (instanceRef r_reload_RNIPJ8H_3)) (portRef B (instanceRef r_reload_RNIRR8H_5)) (portRef B (instanceRef r_reload_RNIQN8H_4)) (portRef B (instanceRef r_reload_RNIM78H_0)) (portRef readdata56) )) (net (rename value_4 "value[4]") (joined (portRef Q (instanceRef r_timers_2_value_4)) (portRef A (instanceRef r_timers_1_value_RNILD9H_4)) (portRef A (instanceRef r_timers_2_value_RNIEMHH_4)) )) (net (rename value_5 "value[5]") (joined (portRef Q (instanceRef r_timers_2_value_5)) (portRef A (instanceRef r_timers_1_value_RNINL9H_5)) (portRef A (instanceRef r_timers_2_value_RNIFQHH_5)) )) (net chain (joined (portRef Q (instanceRef r_timers_1_chain)) (portRef A (instanceRef r_timers_1_chain_RNITONI)) (portRef A (instanceRef r_timers_1_chain_RNIA8QA)) )) (net (rename paddr_1_2 "paddr_1[2]") (joined (portRef (member paddr_1 0)) (portRef S (instanceRef r_timers_1_value_RNIG6KC_29)) (portRef S (instanceRef r_timers_1_value_RNICUJC_27)) (portRef S (instanceRef r_timers_1_reload_RNI06JC_21)) (portRef S (instanceRef r_timers_1_value_RNI0AJC_13)) (portRef S (instanceRef r_timers_1_reload_RNIS1JC_11)) (portRef S (instanceRef r_timers_1_value_RNI6MJC_16)) (portRef S (instanceRef r_timers_1_value_RNI4IJC_15)) (portRef S (instanceRef r_timers_1_value_RNI6IJC_24)) (portRef S (instanceRef r_timers_1_reload_RNIU1JC_20)) (portRef S (instanceRef r_timers_1_value_RNI8MJC_25)) (portRef S (instanceRef r_timers_1_value_RNIU5JC_12)) (portRef S (instanceRef r_timers_1_reload_RNIUE7C_3)) (portRef B (instanceRef r_timers_1_restart_RNICSG7)) (portRef S (instanceRef r_timers_1_value_RNIE2KC_28)) (portRef S (instanceRef r_timers_1_value_RNI2EJC_14)) (portRef B (instanceRef comb_1_readdata_9_0_6)) (portRef S (instanceRef r_timers_1_value_RNIC2KC_19)) (portRef S (instanceRef r_timers_1_reload_RNIQTIC_10)) (portRef B (instanceRef r_timers_1_chain_RNIA8QA)) (portRef B (instanceRef r_timers_1_load_RNI14A8)) )) (net dishlt_RNO (joined (portRef Y (instanceRef r_dishlt_RNO)) (portRef D (instanceRef r_dishlt)) )) (net (rename scaler_RNO_0 "scaler_RNO[0]") (joined (portRef Y (instanceRef r_scaler_RNO_0)) (portRef D (instanceRef r_scaler_0)) )) (net rstn (joined (portRef rstn) (portRef A (instanceRef r_reload_RNO_7)) (portRef A (instanceRef r_tsel_RNO_1)) (portRef A (instanceRef r_timers_1_irqpen_RNO)) (portRef A (instanceRef r_timers_1_irqen_RNO)) (portRef A (instanceRef r_timers_2_irqpen_RNO)) (portRef A (instanceRef r_timers_2_irqen_RNO)) (portRef A (instanceRef r_timers_2_enable_RNO)) (portRef B (instanceRef r_timers_2_irq_RNO)) (portRef C (instanceRef r_tsel_0_0_RNIS1KL_0)) (portRef A (instanceRef r_timers_1_enable_RNO)) (portRef A (instanceRef r_reload_RNO_6)) (portRef A (instanceRef r_reload_RNO_5)) (portRef A (instanceRef r_reload_RNO_4)) (portRef A (instanceRef r_reload_RNO_3)) (portRef A (instanceRef r_reload_RNO_2)) (portRef A (instanceRef r_reload_RNO_1)) (portRef A (instanceRef r_reload_RNO_0)) (portRef A (instanceRef r_scaler_RNO_7)) (portRef A (instanceRef r_scaler_RNO_6)) (portRef A (instanceRef r_scaler_RNO_5)) (portRef A (instanceRef r_scaler_RNO_4)) (portRef A (instanceRef r_scaler_RNO_3)) (portRef A (instanceRef r_scaler_RNO_2)) (portRef A (instanceRef r_scaler_RNO_1)) (portRef A (instanceRef r_scaler_RNO_0)) (portRef A (instanceRef r_dishlt_RNO)) )) (net (rename scaler_RNO_1 "scaler_RNO[1]") (joined (portRef Y (instanceRef r_scaler_RNO_1)) (portRef D (instanceRef r_scaler_1)) )) (net (rename scaler_RNO_2 "scaler_RNO[2]") (joined (portRef Y (instanceRef r_scaler_RNO_2)) (portRef D (instanceRef r_scaler_2)) )) (net (rename scaler_RNO_3 "scaler_RNO[3]") (joined (portRef Y (instanceRef r_scaler_RNO_3)) (portRef D (instanceRef r_scaler_3)) )) (net (rename scaler_RNO_4 "scaler_RNO[4]") (joined (portRef Y (instanceRef r_scaler_RNO_4)) (portRef D (instanceRef r_scaler_4)) )) (net (rename scaler_RNO_5 "scaler_RNO[5]") (joined (portRef Y (instanceRef r_scaler_RNO_5)) (portRef D (instanceRef r_scaler_5)) )) (net (rename scaler_RNO_6 "scaler_RNO[6]") (joined (portRef Y (instanceRef r_scaler_RNO_6)) (portRef D (instanceRef r_scaler_6)) )) (net (rename scaler_RNO_7 "scaler_RNO[7]") (joined (portRef Y (instanceRef r_scaler_RNO_7)) (portRef D (instanceRef r_scaler_7)) )) (net (rename reload_RNO_0 "reload_RNO[0]") (joined (portRef Y (instanceRef r_reload_RNO_0)) (portRef D (instanceRef r_reload_0)) )) (net (rename reload_RNO_1 "reload_RNO[1]") (joined (portRef Y (instanceRef r_reload_RNO_1)) (portRef D (instanceRef r_reload_1)) )) (net (rename reload_RNO_2 "reload_RNO[2]") (joined (portRef Y (instanceRef r_reload_RNO_2)) (portRef D (instanceRef r_reload_2)) )) (net (rename reload_RNO_3 "reload_RNO[3]") (joined (portRef Y (instanceRef r_reload_RNO_3)) (portRef D (instanceRef r_reload_3)) )) (net (rename reload_RNO_4 "reload_RNO[4]") (joined (portRef Y (instanceRef r_reload_RNO_4)) (portRef D (instanceRef r_reload_4)) )) (net (rename reload_RNO_5 "reload_RNO[5]") (joined (portRef Y (instanceRef r_reload_RNO_5)) (portRef D (instanceRef r_reload_5)) )) (net (rename reload_RNO_6 "reload_RNO[6]") (joined (portRef Y (instanceRef r_reload_RNO_6)) (portRef D (instanceRef r_reload_6)) )) (net (rename un1_timer0_18 "un1_timer0[18]") (joined (portRef Q (instanceRef r_timers_1_value_10)) (portRef B (instanceRef r_timers_1_value_RNIHLUG_10)) (portRef A (instanceRef r_timers_1_reload_RNIQTIC_10)) )) (net (rename reload_10 "reload[10]") (joined (portRef Q (instanceRef r_timers_1_reload_10)) (portRef B (instanceRef r_timers_1_value_RNO_0_10)) (portRef B (instanceRef r_timers_1_reload_RNIQTIC_10)) )) (net N_224 (joined (portRef Y (instanceRef r_timers_1_value_RNIC2KC_19)) (portRef B (instanceRef r_timers_1_value_RNIL6TJ_19)) )) (net (rename un1_timer0_27 "un1_timer0[27]") (joined (portRef Q (instanceRef r_timers_1_value_19)) (portRef B (instanceRef r_timers_1_value_RNI3MUG_19)) (portRef A (instanceRef r_timers_1_value_RNIC2KC_19)) )) (net (rename reload_19 "reload[19]") (joined (portRef Q (instanceRef r_timers_1_reload_19)) (portRef B (instanceRef r_timers_1_value_RNO_0_19)) (portRef B (instanceRef r_timers_1_value_RNIC2KC_19)) )) (net un1_gpti_m (joined (portRef Y (instanceRef comb_un1_gpti_m)) (portRef un1_gpti_m) )) (net (rename value_m_10 "value_m[10]") (joined (portRef Y (instanceRef r_timers_2_value_RNITCCH_10)) (portRef value_m_10) )) (net (rename value_10 "value[10]") (joined (portRef Q (instanceRef r_timers_2_value_10)) (portRef A (instanceRef r_timers_1_value_RNIHLUG_10)) (portRef A (instanceRef r_timers_2_value_RNITCCH_10)) )) (net (rename reload_0_10 "reload_0[10]") (joined (portRef Q (instanceRef r_timers_2_reload_10)) (portRef B (instanceRef r_timers_2_value_RNO_0_10)) (portRef A (instanceRef r_timers_2_reload_RNIS2RG_10)) )) (net (rename value_17 "value[17]") (joined (portRef Q (instanceRef r_timers_2_value_17)) (portRef A (instanceRef r_timers_1_value_RNIL3QI_17)) (portRef A (instanceRef r_timers_2_value_RNI4DCH_17)) )) (net (rename reload_17 "reload[17]") (joined (portRef Q (instanceRef r_timers_2_reload_17)) (portRef B (instanceRef r_timers_2_value_RNO_0_17)) (portRef A (instanceRef r_timers_2_reload_RNI3VRG_17)) )) (net (rename value_19 "value[19]") (joined (portRef Q (instanceRef r_timers_2_value_19)) (portRef A (instanceRef r_timers_1_value_RNI3MUG_19)) (portRef A (instanceRef r_timers_2_value_RNI6DCH_19)) )) (net (rename reload_0_19 "reload_0[19]") (joined (portRef Q (instanceRef r_timers_2_reload_19)) (portRef B (instanceRef r_timers_2_value_RNO_0_19)) (portRef A (instanceRef r_timers_2_reload_RNI57SG_19)) )) (net (rename value_m_23 "value_m[23]") (joined (portRef Y (instanceRef r_timers_2_value_RNI3HCH_23)) (portRef value_m_23) )) (net (rename value_23 "value[23]") (joined (portRef Q (instanceRef r_timers_2_value_23)) (portRef A (instanceRef r_timers_1_value_RNIJBQI_23)) (portRef A (instanceRef r_timers_2_value_RNI3HCH_23)) )) (net (rename reload_23 "reload[23]") (joined (portRef Q (instanceRef r_timers_2_reload_23)) (portRef B (instanceRef r_timers_2_value_RNO_0_23)) (portRef A (instanceRef r_timers_2_reload_RNI0FRG_23)) )) (net N_345 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_6)) (portRef A (instanceRef r_timers_1_value_RNO_6)) )) (net I_31_2 (joined (portRef Y (instanceRef un12_res_I_31)) (portRef A (instanceRef r_timers_2_value_RNO_0_6)) (portRef A (instanceRef r_timers_1_value_RNO_0_6)) )) (net (rename reload_0_6 "reload_0[6]") (joined (portRef Q (instanceRef r_timers_1_reload_6)) (portRef B (instanceRef r_timers_1_reload_RNIKBND_6)) (portRef B (instanceRef r_timers_1_value_RNO_0_6)) )) (net N_412 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_6)) (portRef A (instanceRef r_timers_2_value_RNO_6)) )) (net (rename reload_1_6 "reload_1[6]") (joined (portRef Q (instanceRef r_timers_2_reload_6)) (portRef B (instanceRef r_timers_2_reload_RNIHTBF_6)) (portRef B (instanceRef r_timers_2_value_RNO_0_6)) )) (net (rename value_1_6 "value_1[6]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_6)) (portRef D (instanceRef r_timers_2_value_6)) )) (net (rename pwdata_6 "pwdata[6]") (joined (portRef (member pwdata 25)) (portRef D (instanceRef r_timers_1_reload_6)) (portRef D (instanceRef r_timers_2_reload_6)) (portRef B (instanceRef r_timers_1_value_RNO_6)) (portRef A (instanceRef r_scaler_RNO_2_6)) (portRef B (instanceRef r_timers_2_value_RNO_6)) (portRef B (instanceRef r_reload_RNO_0_6)) )) (net N_202 (joined (portRef Y (instanceRef comb_1_readdata_9_0_6)) (portRef A (instanceRef r_timers_1_reload_RNIMA3V_6)) )) (net tstop (joined (portRef tstop) (portRef A (instanceRef r_tick_RNO)) (portRef A (instanceRef r_dishlt_RNIBJKC3)) (portRef A (instanceRef comb_1_readdata_9_0_6)) (portRef B (instanceRef comb_un1_gpti_m)) )) (net scaler_0_sqmuxa (joined (portRef Y (instanceRef v_scaler_0_sqmuxa)) (portRef S (instanceRef r_scaler_RNO_2_7)) (portRef S (instanceRef r_scaler_RNO_2_4)) (portRef S (instanceRef r_scaler_RNO_2_3)) (portRef S (instanceRef r_scaler_RNO_2_2)) (portRef S (instanceRef r_scaler_RNO_2_1)) (portRef A (instanceRef comb_v_scaler_1_sn_m1)) (portRef S (instanceRef r_scaler_RNO_2_6)) (portRef S (instanceRef r_scaler_RNO_2_5)) (portRef S (instanceRef r_scaler_RNO_2_0)) (portRef B (instanceRef r_dishlt_RNIBJKC3)) )) (net scaler_0_sqmuxa_1 (joined (portRef Y (instanceRef r_tick_RNO)) (portRef D (instanceRef r_tick)) )) (net I_44 (joined (portRef Y (instanceRef un6_scaler_I_44)) (portRef B (instanceRef comb_v_scaler_1_sn_m1)) (portRef C (instanceRef r_tick_RNO)) )) (net (rename value_m_9 "value_m[9]") (joined (portRef Y (instanceRef r_timers_2_value_RNIJAIH_9)) (portRef value_m_9) )) (net (rename value_9 "value[9]") (joined (portRef Q (instanceRef r_timers_2_value_9)) (portRef A (instanceRef r_timers_1_value_RNIVLAH_9)) (portRef A (instanceRef r_timers_2_value_RNIJAIH_9)) )) (net (rename reload_9 "reload[9]") (joined (portRef Q (instanceRef r_timers_2_reload_9)) (portRef B (instanceRef r_timers_2_value_RNO_0_9)) (portRef A (instanceRef r_timers_2_reload_RNIKTBF_9)) )) (net N_321 (joined (portRef Y (instanceRef r_scaler_RNO_2_0)) (portRef B (instanceRef r_scaler_RNO_1_0)) )) (net (rename pwdata_0_p "pwdata[0]") (joined (portRef (member pwdata 31)) (portRef D (instanceRef r_timers_1_reload_0)) (portRef D (instanceRef r_timers_2_reload_0)) (portRef B (instanceRef r_timers_1_value_RNO_0)) (portRef B (instanceRef r_timers_2_enable_RNO_1)) (portRef B (instanceRef r_timers_1_enable_RNO_1)) (portRef B (instanceRef r_timers_2_value_RNO_0)) (portRef A (instanceRef r_scaler_RNO_2_0)) (portRef B (instanceRef r_reload_RNO_0_0)) )) (net N_326 (joined (portRef Y (instanceRef r_scaler_RNO_2_5)) (portRef B (instanceRef r_scaler_RNO_1_5)) )) (net (rename pwdata_0_5 "pwdata_0[5]") (joined (portRef (member pwdata_0 0)) (portRef B (instanceRef r_timers_2_value_RNO_5)) (portRef A (instanceRef r_scaler_RNO_2_5)) (portRef B (instanceRef r_reload_RNO_0_5)) )) (net I_24_4 (joined (portRef Y (instanceRef un6_scaler_I_24)) (portRef B (instanceRef r_scaler_RNO_2_5)) )) (net N_327 (joined (portRef Y (instanceRef r_scaler_RNO_2_6)) (portRef B (instanceRef r_scaler_RNO_1_6)) )) (net I_31_3 (joined (portRef Y (instanceRef un6_scaler_I_31)) (portRef B (instanceRef r_scaler_RNO_2_6)) )) (net N_329 (joined (portRef Y (instanceRef comb_v_scaler_1_sn_m1)) (portRef S (instanceRef r_scaler_RNO_1_7)) (portRef S (instanceRef r_scaler_RNO_1_4)) (portRef S (instanceRef r_scaler_RNO_1_3)) (portRef S (instanceRef r_scaler_RNO_1_2)) (portRef S (instanceRef r_scaler_RNO_1_1)) (portRef S (instanceRef r_scaler_RNO_1_6)) (portRef S (instanceRef r_scaler_RNO_1_5)) (portRef S (instanceRef r_scaler_RNO_1_0)) )) (net (rename reload_6 "reload[6]") (joined (portRef Q (instanceRef r_reload_6)) (portRef A (instanceRef r_scaler_RNO_1_6)) (portRef A (instanceRef r_reload_RNO_0_6)) (portRef reload_6) )) (net N_406 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_0)) (portRef A (instanceRef r_timers_2_value_RNO_0)) )) (net N_414 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_8)) (portRef A (instanceRef r_timers_2_value_RNO_8)) )) (net I_45_0 (joined (portRef Y (instanceRef un12_res_I_45)) (portRef A (instanceRef r_timers_1_value_RNO_0_8)) (portRef A (instanceRef r_timers_2_value_RNO_0_8)) )) (net N_415 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_9)) (portRef A (instanceRef r_timers_2_value_RNO_9)) )) (net I_52_0 (joined (portRef Y (instanceRef un12_res_I_52)) (portRef A (instanceRef r_timers_1_value_RNO_0_9)) (portRef A (instanceRef r_timers_2_value_RNO_0_9)) )) (net (rename value_1_0 "value_1[0]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_0)) (portRef D (instanceRef r_timers_2_value_0)) )) (net (rename value_1_8 "value_1[8]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_8)) (portRef D (instanceRef r_timers_2_value_8)) )) (net (rename value_1_9 "value_1[9]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_9)) (portRef D (instanceRef r_timers_2_value_9)) )) (net (rename pwdata_9 "pwdata[9]") (joined (portRef (member pwdata 22)) (portRef D (instanceRef r_timers_1_reload_9)) (portRef D (instanceRef r_timers_2_reload_9)) (portRef B (instanceRef r_timers_1_value_RNO_9)) (portRef B (instanceRef r_timers_2_value_RNO_9)) (portRef B (instanceRef r_dishlt_RNO_0)) )) (net N_237 (joined (portRef Y (instanceRef comb_1_readdata_9_sn_m3)) (portRef S (instanceRef r_timers_1_reload_RNIMA3V_6)) (portRef A (instanceRef r_timers_1_value_RNIIVRM_17)) (portRef S (instanceRef r_timers_1_restart_RNIG80U)) (portRef A (instanceRef r_timers_1_reload_RNICFRM_22)) (portRef A (instanceRef r_timers_1_reload_RNIEFRM_31)) (portRef S (instanceRef r_timers_1_irqen_RNIFFVV)) (portRef S (instanceRef r_timers_1_reload_RNI0J341_4)) (portRef A (instanceRef r_timers_1_value_RNI6JCL_27)) (portRef A (instanceRef r_timers_1_reload_RNIQQBL_21)) (portRef A (instanceRef r_timers_1_value_RNI0BCL_16)) (portRef A (instanceRef r_timers_1_value_RNI07CL_24)) (portRef A (instanceRef r_timers_1_reload_RNIOMBL_20)) (portRef A (instanceRef r_timers_1_value_RNI2BCL_25)) (portRef A (instanceRef r_timers_1_value_RNIOQBL_12)) (portRef A (instanceRef r_timers_1_value_RNI8NCL_28)) (portRef A (instanceRef r_timers_1_reload_RNIKCGM_9)) )) (net N_214 (joined (portRef Y (instanceRef r_timers_1_reload_RNIQNND_9)) (portRef B (instanceRef r_timers_1_reload_RNIKCGM_9)) )) (net N_361 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_22)) (portRef A (instanceRef r_timers_1_value_RNO_22)) )) (net I_143_0 (joined (portRef Y (instanceRef un12_res_I_143)) (portRef A (instanceRef r_timers_2_value_RNO_0_22)) (portRef A (instanceRef r_timers_1_value_RNO_0_22)) )) (net (rename reload_22 "reload[22]") (joined (portRef Q (instanceRef r_timers_1_reload_22)) (portRef B (instanceRef r_timers_1_reload_RNIIQ2E_22)) (portRef B (instanceRef r_timers_1_value_RNO_0_22)) )) (net N_362 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_23)) (portRef A (instanceRef r_timers_1_value_RNO_23)) )) (net I_156_0 (joined (portRef Y (instanceRef un12_res_I_156)) (portRef A (instanceRef r_timers_2_value_RNO_0_23)) (portRef A (instanceRef r_timers_1_value_RNO_0_23)) )) (net (rename reload_0_23 "reload_0[23]") (joined (portRef Q (instanceRef r_timers_1_reload_23)) (portRef B (instanceRef r_timers_1_value_RNIKU2E_23)) (portRef B (instanceRef r_timers_1_value_RNO_0_23)) )) (net N_368 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_29)) (portRef A (instanceRef r_timers_1_value_RNO_29)) )) (net I_210_0 (joined (portRef Y (instanceRef un12_res_I_210)) (portRef A (instanceRef r_timers_2_value_RNO_0_29)) (portRef A (instanceRef r_timers_1_value_RNO_0_29)) )) (net (rename reload_29 "reload[29]") (joined (portRef Q (instanceRef r_timers_1_reload_29)) (portRef B (instanceRef r_timers_1_value_RNIG6KC_29)) (portRef B (instanceRef r_timers_1_value_RNO_0_29)) )) (net (rename value_1_22 "value_1[22]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_22)) (portRef D (instanceRef r_timers_1_value_22)) )) (net (rename value_1_23 "value_1[23]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_23)) (portRef D (instanceRef r_timers_1_value_23)) )) (net (rename value_1_29 "value_1[29]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_29)) (portRef D (instanceRef r_timers_1_value_29)) )) (net N_419 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_13)) (portRef A (instanceRef r_timers_2_value_RNO_13)) )) (net I_77_0 (joined (portRef Y (instanceRef un12_res_I_77)) (portRef A (instanceRef r_timers_1_value_RNO_0_13)) (portRef A (instanceRef r_timers_2_value_RNO_0_13)) )) (net (rename reload_13 "reload[13]") (joined (portRef Q (instanceRef r_timers_2_reload_13)) (portRef A (instanceRef r_timers_2_reload_RNIVERG_13)) (portRef B (instanceRef r_timers_2_value_RNO_0_13)) )) (net N_421 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_15)) (portRef A (instanceRef r_timers_2_value_RNO_15)) )) (net I_91_0 (joined (portRef Y (instanceRef un12_res_I_91)) (portRef A (instanceRef r_timers_1_value_RNO_0_15)) (portRef A (instanceRef r_timers_2_value_RNO_0_15)) )) (net (rename reload_15 "reload[15]") (joined (portRef Q (instanceRef r_timers_2_reload_15)) (portRef A (instanceRef r_timers_2_reload_RNI1NRG_15)) (portRef B (instanceRef r_timers_2_value_RNO_0_15)) )) (net N_422 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_16)) (portRef A (instanceRef r_timers_2_value_RNO_16)) )) (net I_98_0 (joined (portRef Y (instanceRef un12_res_I_98)) (portRef A (instanceRef r_timers_1_value_RNO_0_16)) (portRef A (instanceRef r_timers_2_value_RNO_0_16)) )) (net (rename reload_16 "reload[16]") (joined (portRef Q (instanceRef r_timers_2_reload_16)) (portRef A (instanceRef r_timers_2_reload_RNI2RRG_16)) (portRef B (instanceRef r_timers_2_value_RNO_0_16)) )) (net N_423 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_17)) (portRef A (instanceRef r_timers_2_value_RNO_17)) )) (net I_105_0 (joined (portRef Y (instanceRef un12_res_I_105)) (portRef A (instanceRef r_timers_1_value_RNO_0_17)) (portRef A (instanceRef r_timers_2_value_RNO_0_17)) )) (net N_424 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_18)) (portRef A (instanceRef r_timers_2_value_RNO_18)) )) (net I_115_0 (joined (portRef Y (instanceRef un12_res_I_115)) (portRef A (instanceRef r_timers_1_value_RNO_0_18)) (portRef A (instanceRef r_timers_2_value_RNO_0_18)) )) (net (rename reload_18 "reload[18]") (joined (portRef Q (instanceRef r_timers_2_reload_18)) (portRef A (instanceRef r_timers_2_reload_RNI43SG_18)) (portRef B (instanceRef r_timers_2_value_RNO_0_18)) )) (net N_425 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_19)) (portRef A (instanceRef r_timers_2_value_RNO_19)) )) (net I_122_0 (joined (portRef Y (instanceRef un12_res_I_122)) (portRef A (instanceRef r_timers_1_value_RNO_0_19)) (portRef A (instanceRef r_timers_2_value_RNO_0_19)) )) (net N_426 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_20)) (portRef A (instanceRef r_timers_2_value_RNO_20)) )) (net I_129_0 (joined (portRef Y (instanceRef un12_res_I_129)) (portRef A (instanceRef r_timers_1_value_RNO_0_20)) (portRef A (instanceRef r_timers_2_value_RNO_0_20)) )) (net (rename reload_20 "reload[20]") (joined (portRef Q (instanceRef r_timers_2_reload_20)) (portRef A (instanceRef r_timers_2_reload_RNIT2RG_20)) (portRef B (instanceRef r_timers_2_value_RNO_0_20)) )) (net N_427 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_21)) (portRef A (instanceRef r_timers_2_value_RNO_21)) )) (net I_136_0 (joined (portRef Y (instanceRef un12_res_I_136)) (portRef A (instanceRef r_timers_1_value_RNO_0_21)) (portRef A (instanceRef r_timers_2_value_RNO_0_21)) )) (net (rename reload_21 "reload[21]") (joined (portRef Q (instanceRef r_timers_2_reload_21)) (portRef A (instanceRef r_timers_2_reload_RNIU6RG_21)) (portRef B (instanceRef r_timers_2_value_RNO_0_21)) )) (net N_428 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_22)) (portRef A (instanceRef r_timers_2_value_RNO_22)) )) (net (rename reload_0_22 "reload_0[22]") (joined (portRef Q (instanceRef r_timers_2_reload_22)) (portRef A (instanceRef r_timers_2_reload_RNIVARG_22)) (portRef B (instanceRef r_timers_2_value_RNO_0_22)) )) (net N_429 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_23)) (portRef A (instanceRef r_timers_2_value_RNO_23)) )) (net N_435 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_29)) (portRef A (instanceRef r_timers_2_value_RNO_29)) )) (net (rename reload_0_29 "reload_0[29]") (joined (portRef Q (instanceRef r_timers_2_reload_29)) (portRef A (instanceRef r_timers_2_reload_RNI67SG_29)) (portRef B (instanceRef r_timers_2_value_RNO_0_29)) )) (net value_1_sn_N_9_i (joined (portRef Y (instanceRef r_timers_2_restart_RNIQ4GGI)) (portRef S (instanceRef r_timers_2_value_RNO_0_7)) (portRef S (instanceRef r_timers_2_value_RNO_0_2)) (portRef S (instanceRef r_timers_2_value_RNO_0_3)) (portRef S (instanceRef r_timers_2_value_RNO_0_4)) (portRef S (instanceRef r_timers_2_value_RNO_0_5)) (portRef S (instanceRef r_timers_2_value_RNO_0_28)) (portRef S (instanceRef r_timers_2_value_RNO_0_27)) (portRef S (instanceRef r_timers_2_value_RNO_0_26)) (portRef S (instanceRef r_timers_2_value_RNO_0_25)) (portRef S (instanceRef r_timers_2_value_RNO_0_24)) (portRef S (instanceRef r_timers_2_value_RNO_0_12)) (portRef S (instanceRef r_timers_2_value_RNO_0_11)) (portRef S (instanceRef r_timers_2_value_RNO_0_10)) (portRef S (instanceRef r_timers_2_value_RNO_0_14)) (portRef S (instanceRef r_timers_2_value_RNO_0_30)) (portRef S (instanceRef r_timers_2_value_RNO_0_29)) )) (net N_436 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_30)) (portRef A (instanceRef r_timers_2_value_RNO_30)) )) (net I_217 (joined (portRef Y (instanceRef un12_res_I_217)) (portRef A (instanceRef r_timers_1_value_RNO_0_30)) (portRef A (instanceRef r_timers_2_value_RNO_0_30)) )) (net (rename reload_30 "reload[30]") (joined (portRef Q (instanceRef r_timers_2_reload_30)) (portRef A (instanceRef r_timers_2_reload_RNIU2RG_30)) (portRef B (instanceRef r_timers_2_value_RNO_0_30)) )) (net (rename value_1_13 "value_1[13]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_13)) (portRef D (instanceRef r_timers_2_value_13)) )) (net (rename value_1_15 "value_1[15]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_15)) (portRef D (instanceRef r_timers_2_value_15)) )) (net (rename value_1_16 "value_1[16]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_16)) (portRef D (instanceRef r_timers_2_value_16)) )) (net (rename value_1_17 "value_1[17]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_17)) (portRef D (instanceRef r_timers_2_value_17)) )) (net (rename value_1_18 "value_1[18]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_18)) (portRef D (instanceRef r_timers_2_value_18)) )) (net (rename value_1_19 "value_1[19]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_19)) (portRef D (instanceRef r_timers_2_value_19)) )) (net (rename value_1_20 "value_1[20]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_20)) (portRef D (instanceRef r_timers_2_value_20)) )) (net (rename value_1_21 "value_1[21]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_21)) (portRef D (instanceRef r_timers_2_value_21)) )) (net (rename value_1_0_22 "value_1_0[22]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_22)) (portRef D (instanceRef r_timers_2_value_22)) )) (net (rename pwdata_22 "pwdata[22]") (joined (portRef (member pwdata 9)) (portRef D (instanceRef r_timers_1_reload_22)) (portRef D (instanceRef r_timers_2_reload_22)) (portRef B (instanceRef r_timers_2_value_RNO_22)) (portRef B (instanceRef r_timers_1_value_RNO_22)) )) (net (rename value_1_0_23 "value_1_0[23]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_23)) (portRef D (instanceRef r_timers_2_value_23)) )) (net (rename pwdata_23 "pwdata[23]") (joined (portRef (member pwdata 8)) (portRef D (instanceRef r_timers_1_reload_23)) (portRef D (instanceRef r_timers_2_reload_23)) (portRef B (instanceRef r_timers_2_value_RNO_23)) (portRef B (instanceRef r_timers_1_value_RNO_23)) )) (net (rename value_1_0_29 "value_1_0[29]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_29)) (portRef D (instanceRef r_timers_2_value_29)) )) (net (rename pwdata_29 "pwdata[29]") (joined (portRef (member pwdata 2)) (portRef D (instanceRef r_timers_1_reload_29)) (portRef D (instanceRef r_timers_2_reload_29)) (portRef B (instanceRef r_timers_2_value_RNO_29)) (portRef B (instanceRef r_timers_1_value_RNO_29)) )) (net value_1_sqmuxa_1 (joined (portRef Y (instanceRef v_timers_2_value_1_sqmuxa)) (portRef S (instanceRef r_timers_2_value_RNO_7)) (portRef S (instanceRef r_timers_2_value_RNO_2)) (portRef S (instanceRef r_timers_2_value_RNO_3)) (portRef S (instanceRef r_timers_2_value_RNO_4)) (portRef S (instanceRef r_timers_2_value_RNO_5)) (portRef S (instanceRef r_timers_2_value_RNO_28)) (portRef S (instanceRef r_timers_2_value_RNO_27)) (portRef S (instanceRef r_timers_2_value_RNO_26)) (portRef S (instanceRef r_timers_2_value_RNO_25)) (portRef S (instanceRef r_timers_2_value_RNO_24)) (portRef S (instanceRef r_timers_2_value_RNO_12)) (portRef S (instanceRef r_timers_2_value_RNO_11)) (portRef S (instanceRef r_timers_2_value_RNO_10)) (portRef S (instanceRef r_timers_2_value_RNO_14)) (portRef S (instanceRef r_timers_2_value_RNO_30)) (portRef S (instanceRef r_timers_2_value_RNO_29)) )) (net (rename value_1_30 "value_1[30]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_30)) (portRef D (instanceRef r_timers_2_value_30)) )) (net (rename un1_timer0_22 "un1_timer0[22]") (joined (portRef Q (instanceRef r_timers_1_value_14)) (portRef B (instanceRef r_timers_1_value_RNIPLUG_14)) (portRef A (instanceRef r_timers_1_value_RNI2EJC_14)) )) (net (rename value_m_14 "value_m[14]") (joined (portRef Y (instanceRef r_timers_2_value_RNI1DCH_14)) (portRef value_m_14) )) (net (rename value_14 "value[14]") (joined (portRef Q (instanceRef r_timers_2_value_14)) (portRef A (instanceRef r_timers_1_value_RNIPLUG_14)) (portRef A (instanceRef r_timers_2_value_RNI1DCH_14)) )) (net (rename reload_0_14 "reload_0[14]") (joined (portRef Q (instanceRef r_timers_2_reload_14)) (portRef B (instanceRef r_timers_2_value_RNO_0_14)) (portRef A (instanceRef r_timers_2_reload_RNI0JRG_14)) )) (net N_420 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_14)) (portRef A (instanceRef r_timers_2_value_RNO_14)) )) (net (rename value_1_14 "value_1[14]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_14)) (portRef D (instanceRef r_timers_2_value_14)) )) (net N_350 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_11)) (portRef A (instanceRef r_timers_1_value_RNO_11)) )) (net I_66_0 (joined (portRef Y (instanceRef un12_res_I_66)) (portRef A (instanceRef r_timers_2_value_RNO_0_11)) (portRef A (instanceRef r_timers_1_value_RNO_0_11)) )) (net (rename reload_11 "reload[11]") (joined (portRef Q (instanceRef r_timers_1_reload_11)) (portRef B (instanceRef r_timers_1_reload_RNIS1JC_11)) (portRef B (instanceRef r_timers_1_value_RNO_0_11)) )) (net N_351 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_12)) (portRef A (instanceRef r_timers_1_value_RNO_12)) )) (net I_73_0 (joined (portRef Y (instanceRef un12_res_I_73)) (portRef A (instanceRef r_timers_2_value_RNO_0_12)) (portRef A (instanceRef r_timers_1_value_RNO_0_12)) )) (net (rename reload_12 "reload[12]") (joined (portRef Q (instanceRef r_timers_1_reload_12)) (portRef B (instanceRef r_timers_1_value_RNIU5JC_12)) (portRef B (instanceRef r_timers_1_value_RNO_0_12)) )) (net N_354 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_15)) (portRef A (instanceRef r_timers_1_value_RNO_15)) )) (net (rename reload_0_15 "reload_0[15]") (joined (portRef Q (instanceRef r_timers_1_reload_15)) (portRef B (instanceRef r_timers_1_value_RNI4IJC_15)) (portRef B (instanceRef r_timers_1_value_RNO_0_15)) )) (net N_355 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_16)) (portRef A (instanceRef r_timers_1_value_RNO_16)) )) (net (rename reload_0_16 "reload_0[16]") (joined (portRef Q (instanceRef r_timers_1_reload_16)) (portRef B (instanceRef r_timers_1_value_RNI6MJC_16)) (portRef B (instanceRef r_timers_1_value_RNO_0_16)) )) (net N_356 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_17)) (portRef A (instanceRef r_timers_1_value_RNO_17)) )) (net (rename reload_0_17 "reload_0[17]") (joined (portRef Q (instanceRef r_timers_1_reload_17)) (portRef B (instanceRef r_timers_1_value_RNIOA3E_17)) (portRef B (instanceRef r_timers_1_value_RNO_0_17)) )) (net N_357 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_18)) (portRef A (instanceRef r_timers_1_value_RNO_18)) )) (net (rename reload_0_18 "reload_0[18]") (joined (portRef Q (instanceRef r_timers_1_reload_18)) (portRef B (instanceRef r_timers_1_value_RNIQE3E_18)) (portRef B (instanceRef r_timers_1_value_RNO_0_18)) )) (net N_365 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_26)) (portRef A (instanceRef r_timers_1_value_RNO_26)) )) (net I_186_0 (joined (portRef Y (instanceRef un12_res_I_186)) (portRef A (instanceRef r_timers_2_value_RNO_0_26)) (portRef A (instanceRef r_timers_1_value_RNO_0_26)) )) (net (rename reload_26 "reload[26]") (joined (portRef Q (instanceRef r_timers_1_reload_26)) (portRef B (instanceRef r_timers_1_value_RNIBQJC_26)) (portRef B (instanceRef r_timers_1_value_RNO_0_26)) )) (net N_366 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_27)) (portRef A (instanceRef r_timers_1_value_RNO_27)) )) (net I_196_0 (joined (portRef Y (instanceRef un12_res_I_196)) (portRef A (instanceRef r_timers_2_value_RNO_0_27)) (portRef A (instanceRef r_timers_1_value_RNO_0_27)) )) (net (rename reload_27 "reload[27]") (joined (portRef Q (instanceRef r_timers_1_reload_27)) (portRef B (instanceRef r_timers_1_value_RNICUJC_27)) (portRef B (instanceRef r_timers_1_value_RNO_0_27)) )) (net N_367 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_28)) (portRef A (instanceRef r_timers_1_value_RNO_28)) )) (net I_203_0 (joined (portRef Y (instanceRef un12_res_I_203)) (portRef A (instanceRef r_timers_2_value_RNO_0_28)) (portRef A (instanceRef r_timers_1_value_RNO_0_28)) )) (net (rename reload_28 "reload[28]") (joined (portRef Q (instanceRef r_timers_1_reload_28)) (portRef B (instanceRef r_timers_1_value_RNIE2KC_28)) (portRef B (instanceRef r_timers_1_value_RNO_0_28)) )) (net (rename value_1_11 "value_1[11]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_11)) (portRef D (instanceRef r_timers_1_value_11)) )) (net (rename value_1_12 "value_1[12]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_12)) (portRef D (instanceRef r_timers_1_value_12)) )) (net (rename value_1_0_15 "value_1_0[15]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_15)) (portRef D (instanceRef r_timers_1_value_15)) )) (net (rename pwdata_15 "pwdata[15]") (joined (portRef (member pwdata 16)) (portRef D (instanceRef r_timers_1_reload_15)) (portRef D (instanceRef r_timers_2_reload_15)) (portRef B (instanceRef r_timers_1_value_RNO_15)) (portRef B (instanceRef r_timers_2_value_RNO_15)) )) (net (rename value_1_0_16 "value_1_0[16]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_16)) (portRef D (instanceRef r_timers_1_value_16)) )) (net (rename pwdata_16 "pwdata[16]") (joined (portRef (member pwdata 15)) (portRef D (instanceRef r_timers_1_reload_16)) (portRef D (instanceRef r_timers_2_reload_16)) (portRef B (instanceRef r_timers_1_value_RNO_16)) (portRef B (instanceRef r_timers_2_value_RNO_16)) )) (net (rename value_1_0_17 "value_1_0[17]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_17)) (portRef D (instanceRef r_timers_1_value_17)) )) (net (rename pwdata_17 "pwdata[17]") (joined (portRef (member pwdata 14)) (portRef D (instanceRef r_timers_1_reload_17)) (portRef D (instanceRef r_timers_2_reload_17)) (portRef B (instanceRef r_timers_1_value_RNO_17)) (portRef B (instanceRef r_timers_2_value_RNO_17)) )) (net (rename value_1_0_18 "value_1_0[18]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_18)) (portRef D (instanceRef r_timers_1_value_18)) )) (net (rename pwdata_18 "pwdata[18]") (joined (portRef (member pwdata 13)) (portRef D (instanceRef r_timers_1_reload_18)) (portRef D (instanceRef r_timers_2_reload_18)) (portRef B (instanceRef r_timers_1_value_RNO_18)) (portRef B (instanceRef r_timers_2_value_RNO_18)) )) (net (rename value_1_26 "value_1[26]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_26)) (portRef D (instanceRef r_timers_1_value_26)) )) (net (rename value_1_27 "value_1[27]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_27)) (portRef D (instanceRef r_timers_1_value_27)) )) (net (rename value_1_28 "value_1[28]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_28)) (portRef D (instanceRef r_timers_1_value_28)) )) (net N_416 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_10)) (portRef A (instanceRef r_timers_2_value_RNO_10)) )) (net I_56_0 (joined (portRef Y (instanceRef un12_res_I_56)) (portRef A (instanceRef r_timers_1_value_RNO_0_10)) (portRef A (instanceRef r_timers_2_value_RNO_0_10)) )) (net N_417 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_11)) (portRef A (instanceRef r_timers_2_value_RNO_11)) )) (net (rename reload_0_11 "reload_0[11]") (joined (portRef Q (instanceRef r_timers_2_reload_11)) (portRef A (instanceRef r_timers_2_reload_RNIT6RG_11)) (portRef B (instanceRef r_timers_2_value_RNO_0_11)) )) (net N_418 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_12)) (portRef A (instanceRef r_timers_2_value_RNO_12)) )) (net (rename reload_0_12 "reload_0[12]") (joined (portRef Q (instanceRef r_timers_2_reload_12)) (portRef A (instanceRef r_timers_2_reload_RNIUARG_12)) (portRef B (instanceRef r_timers_2_value_RNO_0_12)) )) (net N_430 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_24)) (portRef A (instanceRef r_timers_2_value_RNO_24)) )) (net I_166_0 (joined (portRef Y (instanceRef un12_res_I_166)) (portRef A (instanceRef r_timers_1_value_RNO_0_24)) (portRef A (instanceRef r_timers_2_value_RNO_0_24)) )) (net (rename reload_24 "reload[24]") (joined (portRef Q (instanceRef r_timers_2_reload_24)) (portRef A (instanceRef r_timers_2_reload_RNI1JRG_24)) (portRef B (instanceRef r_timers_2_value_RNO_0_24)) )) (net N_431 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_25)) (portRef A (instanceRef r_timers_2_value_RNO_25)) )) (net I_173_0 (joined (portRef Y (instanceRef un12_res_I_173)) (portRef A (instanceRef r_timers_1_value_RNO_0_25)) (portRef A (instanceRef r_timers_2_value_RNO_0_25)) )) (net (rename reload_25 "reload[25]") (joined (portRef Q (instanceRef r_timers_2_reload_25)) (portRef A (instanceRef r_timers_2_reload_RNI2NRG_25)) (portRef B (instanceRef r_timers_2_value_RNO_0_25)) )) (net N_432 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_26)) (portRef A (instanceRef r_timers_2_value_RNO_26)) )) (net (rename reload_0_26 "reload_0[26]") (joined (portRef Q (instanceRef r_timers_2_reload_26)) (portRef A (instanceRef r_timers_2_reload_RNI3RRG_26)) (portRef B (instanceRef r_timers_2_value_RNO_0_26)) )) (net N_433 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_27)) (portRef A (instanceRef r_timers_2_value_RNO_27)) )) (net (rename reload_0_27 "reload_0[27]") (joined (portRef Q (instanceRef r_timers_2_reload_27)) (portRef A (instanceRef r_timers_2_reload_RNI4VRG_27)) (portRef B (instanceRef r_timers_2_value_RNO_0_27)) )) (net N_434 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_28)) (portRef A (instanceRef r_timers_2_value_RNO_28)) )) (net (rename reload_0_28 "reload_0[28]") (joined (portRef Q (instanceRef r_timers_2_reload_28)) (portRef A (instanceRef r_timers_2_reload_RNI53SG_28)) (portRef B (instanceRef r_timers_2_value_RNO_0_28)) )) (net (rename value_1_10 "value_1[10]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_10)) (portRef D (instanceRef r_timers_2_value_10)) )) (net (rename value_1_0_11 "value_1_0[11]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_11)) (portRef D (instanceRef r_timers_2_value_11)) )) (net (rename pwdata_11 "pwdata[11]") (joined (portRef (member pwdata 20)) (portRef D (instanceRef r_timers_1_reload_11)) (portRef D (instanceRef r_timers_2_reload_11)) (portRef B (instanceRef r_timers_2_value_RNO_11)) (portRef B (instanceRef r_timers_1_value_RNO_11)) )) (net (rename value_1_0_12 "value_1_0[12]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_12)) (portRef D (instanceRef r_timers_2_value_12)) )) (net (rename pwdata_12 "pwdata[12]") (joined (portRef (member pwdata 19)) (portRef D (instanceRef r_timers_1_reload_12)) (portRef D (instanceRef r_timers_2_reload_12)) (portRef B (instanceRef r_timers_2_value_RNO_12)) (portRef B (instanceRef r_timers_1_value_RNO_12)) )) (net (rename value_1_24 "value_1[24]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_24)) (portRef D (instanceRef r_timers_2_value_24)) )) (net (rename value_1_25 "value_1[25]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_25)) (portRef D (instanceRef r_timers_2_value_25)) )) (net (rename value_1_0_26 "value_1_0[26]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_26)) (portRef D (instanceRef r_timers_2_value_26)) )) (net (rename pwdata_26 "pwdata[26]") (joined (portRef (member pwdata 5)) (portRef D (instanceRef r_timers_1_reload_26)) (portRef D (instanceRef r_timers_2_reload_26)) (portRef B (instanceRef r_timers_2_value_RNO_26)) (portRef B (instanceRef r_timers_1_value_RNO_26)) )) (net (rename value_1_0_27 "value_1_0[27]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_27)) (portRef D (instanceRef r_timers_2_value_27)) )) (net (rename pwdata_27 "pwdata[27]") (joined (portRef (member pwdata 4)) (portRef D (instanceRef r_timers_1_reload_27)) (portRef D (instanceRef r_timers_2_reload_27)) (portRef B (instanceRef r_timers_2_value_RNO_27)) (portRef B (instanceRef r_timers_1_value_RNO_27)) )) (net (rename value_1_0_28 "value_1_0[28]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_28)) (portRef D (instanceRef r_timers_2_value_28)) )) (net (rename pwdata_28 "pwdata[28]") (joined (portRef (member pwdata 3)) (portRef D (instanceRef r_timers_1_reload_28)) (portRef D (instanceRef r_timers_2_reload_28)) (portRef B (instanceRef r_timers_2_value_RNO_28)) (portRef B (instanceRef r_timers_1_value_RNO_28)) )) (net N_233 (joined (portRef Y (instanceRef r_timers_1_value_RNIE2KC_28)) (portRef B (instanceRef r_timers_1_value_RNI8NCL_28)) )) (net (rename un1_timer0_36 "un1_timer0[36]") (joined (portRef Q (instanceRef r_timers_1_value_28)) (portRef B (instanceRef r_timers_1_value_RNITBQI_28)) (portRef A (instanceRef r_timers_1_value_RNIE2KC_28)) )) (net (rename value_RNIBAHH_1 "value_RNIBAHH[1]") (joined (portRef Y (instanceRef r_timers_2_value_RNIBAHH_1)) (portRef (member value_rnibahh 0)) )) (net (rename value_1 "value[1]") (joined (portRef Q (instanceRef r_timers_2_value_1)) (portRef A (instanceRef r_timers_1_value_RNI534J_1)) (portRef B (instanceRef r_timers_2_value_RNIBAHH_1)) )) (net (rename reload_RNICTBF_1 "reload_RNICTBF[1]") (joined (portRef Y (instanceRef r_timers_2_reload_RNICTBF_1)) (portRef (member reload_rnictbf 0)) )) (net (rename value_28 "value[28]") (joined (portRef Q (instanceRef r_timers_2_value_28)) (portRef A (instanceRef r_timers_1_value_RNITBQI_28)) (portRef A (instanceRef r_timers_2_value_RNI8HCH_28)) )) (net N_197 (joined (portRef Y (instanceRef r_timers_1_restart_RNICSG7)) (portRef A (instanceRef r_timers_1_restart_RNIG80U)) )) (net N_208 (joined (portRef Y (instanceRef r_timers_1_reload_RNIUE7C_3)) (portRef B (instanceRef r_timers_1_irqen_RNIFFVV)) )) (net (rename un1_timer0_11 "un1_timer0[11]") (joined (portRef Q (instanceRef r_timers_1_value_3)) (portRef B (instanceRef r_timers_1_value_RNIJ59H_3)) (portRef A (instanceRef r_timers_1_reload_RNIUE7C_3)) )) (net (rename reload_1_3 "reload_1[3]") (joined (portRef Q (instanceRef r_timers_1_reload_3)) (portRef B (instanceRef r_timers_1_value_RNO_0_3)) (portRef B (instanceRef r_timers_1_reload_RNIUE7C_3)) )) (net N_344 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_5)) (portRef A (instanceRef r_timers_1_value_RNO_5)) )) (net I_24_3 (joined (portRef Y (instanceRef un12_res_I_24)) (portRef A (instanceRef r_timers_2_value_RNO_0_5)) (portRef A (instanceRef r_timers_1_value_RNO_0_5)) )) (net N_411 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_5)) (portRef A (instanceRef r_timers_2_value_RNO_5)) )) (net (rename value_1_5 "value_1[5]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_5)) (portRef D (instanceRef r_timers_2_value_5)) )) (net N_342 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_3)) (portRef A (instanceRef r_timers_1_value_RNO_3)) )) (net I_13_8 (joined (portRef Y (instanceRef un12_res_I_13)) (portRef A (instanceRef r_timers_2_value_RNO_0_3)) (portRef A (instanceRef r_timers_1_value_RNO_0_3)) )) (net value_1_sn_N_9_i_1 (joined (portRef Y (instanceRef r_timers_1_restart_RNI0E9GI)) (portRef S (instanceRef r_timers_1_value_RNO_0_7)) (portRef S (instanceRef r_timers_1_value_RNO_0_0)) (portRef S (instanceRef r_timers_1_value_RNO_0_31)) (portRef S (instanceRef r_timers_1_value_RNO_0_8)) (portRef S (instanceRef r_timers_1_value_RNO_0_9)) (portRef S (instanceRef r_timers_1_value_RNO_0_20)) (portRef S (instanceRef r_timers_1_value_RNO_0_21)) (portRef S (instanceRef r_timers_1_value_RNO_0_30)) (portRef S (instanceRef r_timers_1_value_RNO_0_10)) (portRef S (instanceRef r_timers_1_value_RNO_0_19)) (portRef S (instanceRef r_timers_1_value_RNO_0_24)) (portRef S (instanceRef r_timers_1_value_RNO_0_25)) (portRef S (instanceRef r_timers_1_value_RNO_0_4)) (portRef S (instanceRef r_timers_1_value_RNO_0_2)) (portRef S (instanceRef r_timers_1_value_RNO_0_13)) (portRef S (instanceRef r_timers_1_value_RNO_0_3)) )) (net N_410 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_4)) (portRef A (instanceRef r_timers_2_value_RNO_4)) )) (net I_20_3 (joined (portRef Y (instanceRef un12_res_I_20)) (portRef A (instanceRef r_timers_1_value_RNO_0_4)) (portRef A (instanceRef r_timers_2_value_RNO_0_4)) )) (net (rename value_1_4 "value_1[4]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_4)) (portRef D (instanceRef r_timers_2_value_4)) )) (net (rename pwdata_0_4 "pwdata_0[4]") (joined (portRef (member pwdata_0 1)) (portRef B (instanceRef r_timers_2_irqpen_RNO_0)) (portRef A (instanceRef r_scaler_RNO_2_4)) (portRef B (instanceRef r_timers_2_value_RNO_4)) (portRef B (instanceRef r_reload_RNO_0_4)) )) (net N_217 (joined (portRef Y (instanceRef r_timers_1_value_RNIU5JC_12)) (portRef B (instanceRef r_timers_1_value_RNIOQBL_12)) )) (net (rename un1_timer0_20 "un1_timer0[20]") (joined (portRef Q (instanceRef r_timers_1_value_12)) (portRef B (instanceRef r_timers_1_value_RNIB3QI_12)) (portRef A (instanceRef r_timers_1_value_RNIU5JC_12)) )) (net N_230 (joined (portRef Y (instanceRef r_timers_1_value_RNI8MJC_25)) (portRef B (instanceRef r_timers_1_value_RNI2BCL_25)) )) (net (rename un1_timer0_33 "un1_timer0[33]") (joined (portRef Q (instanceRef r_timers_1_value_25)) (portRef B (instanceRef r_timers_1_value_RNINBQI_25)) (portRef A (instanceRef r_timers_1_value_RNI8MJC_25)) )) (net (rename reload_0_25 "reload_0[25]") (joined (portRef Q (instanceRef r_timers_1_reload_25)) (portRef B (instanceRef r_timers_1_value_RNO_0_25)) (portRef B (instanceRef r_timers_1_value_RNI8MJC_25)) )) (net (rename value_12 "value[12]") (joined (portRef Q (instanceRef r_timers_2_value_12)) (portRef A (instanceRef r_timers_1_value_RNIB3QI_12)) (portRef A (instanceRef r_timers_2_value_RNIVCCH_12)) )) (net (rename value_25 "value[25]") (joined (portRef Q (instanceRef r_timers_2_value_25)) (portRef A (instanceRef r_timers_1_value_RNINBQI_25)) (portRef A (instanceRef r_timers_2_value_RNI5HCH_25)) )) (net N_322 (joined (portRef Y (instanceRef r_scaler_RNO_2_1)) (portRef B (instanceRef r_scaler_RNO_1_1)) )) (net I_5_4 (joined (portRef Y (instanceRef un6_scaler_I_5)) (portRef B (instanceRef r_scaler_RNO_2_1)) )) (net N_323 (joined (portRef Y (instanceRef r_scaler_RNO_2_2)) (portRef B (instanceRef r_scaler_RNO_1_2)) )) (net (rename pwdata_0_2 "pwdata_0[2]") (joined (portRef (member pwdata_0 3)) (portRef A (instanceRef r_scaler_RNO_2_2)) (portRef B (instanceRef r_reload_RNO_0_2)) )) (net I_9_4 (joined (portRef Y (instanceRef un6_scaler_I_9)) (portRef B (instanceRef r_scaler_RNO_2_2)) )) (net N_324 (joined (portRef Y (instanceRef r_scaler_RNO_2_3)) (portRef B (instanceRef r_scaler_RNO_1_3)) )) (net (rename pwdata_0_3 "pwdata_0[3]") (joined (portRef (member pwdata_0 2)) (portRef B (instanceRef r_timers_2_irqen_RNO_0)) (portRef B (instanceRef r_timers_2_value_RNO_3)) (portRef A (instanceRef r_scaler_RNO_2_3)) (portRef B (instanceRef r_reload_RNO_0_3)) )) (net I_13_9 (joined (portRef Y (instanceRef un6_scaler_I_13)) (portRef B (instanceRef r_scaler_RNO_2_3)) )) (net N_325 (joined (portRef Y (instanceRef r_scaler_RNO_2_4)) (portRef B (instanceRef r_scaler_RNO_1_4)) )) (net I_20_4 (joined (portRef Y (instanceRef un6_scaler_I_20)) (portRef B (instanceRef r_scaler_RNO_2_4)) )) (net N_328 (joined (portRef Y (instanceRef r_scaler_RNO_2_7)) (portRef B (instanceRef r_scaler_RNO_1_7)) )) (net I_38_3 (joined (portRef Y (instanceRef un6_scaler_I_38)) (portRef B (instanceRef r_scaler_RNO_2_7)) )) (net (rename reload_1 "reload[1]") (joined (portRef Q (instanceRef r_reload_1)) (portRef A (instanceRef r_scaler_RNO_1_1)) (portRef A (instanceRef r_reload_RNO_0_1)) (portRef reload_1) )) (net (rename reload_7 "reload[7]") (joined (portRef Q (instanceRef r_reload_7)) (portRef A (instanceRef r_reload_RNO_0_7)) (portRef A (instanceRef r_reload_RNIT39H_7)) (portRef A (instanceRef r_scaler_RNO_1_7)) )) (net (rename value_m_18 "value_m[18]") (joined (portRef Y (instanceRef r_timers_2_value_RNI5DCH_18)) (portRef value_m_18) )) (net (rename value_18 "value[18]") (joined (portRef Q (instanceRef r_timers_2_value_18)) (portRef A (instanceRef r_timers_1_value_RNI1MUG_18)) (portRef A (instanceRef r_timers_2_value_RNI5DCH_18)) )) (net (rename readdata_1_sqmuxaZ0Z_1 "readdata_1_sqmuxa_1") (joined (portRef Y (instanceRef readdata_1_sqmuxa_1)) (portRef A (instanceRef r_timers_2_reload_RNIHTBF_6)) (portRef B (instanceRef r_timers_2_reload_RNIITBF_7)) (portRef B (instanceRef r_timers_2_reload_RNIV6RG_31)) (portRef B (instanceRef r_timers_2_reload_RNIU2RG_30)) (portRef B (instanceRef r_timers_2_reload_RNI3RRG_26)) (portRef B (instanceRef v_timers_2_reload_1_sqmuxa)) (portRef B (instanceRef r_timers_2_reload_RNI1JRG_24)) (portRef B (instanceRef r_timers_2_reload_RNI2RRG_16)) (portRef B (instanceRef r_timers_2_reload_RNI67SG_29)) (portRef B (instanceRef r_timers_2_reload_RNI4VRG_27)) (portRef B (instanceRef r_timers_2_reload_RNIU6RG_21)) (portRef B (instanceRef r_timers_2_reload_RNIVERG_13)) (portRef B (instanceRef r_timers_2_reload_RNIT6RG_11)) (portRef B (instanceRef r_timers_2_reload_RNI1NRG_15)) (portRef B (instanceRef r_timers_2_reload_RNIT2RG_20)) (portRef B (instanceRef r_timers_2_reload_RNIVARG_22)) (portRef B (instanceRef r_timers_2_reload_RNI43SG_18)) )) (net (rename value_22 "value[22]") (joined (portRef Q (instanceRef r_timers_2_value_22)) (portRef A (instanceRef r_timers_1_value_RNIHBQI_22)) (portRef A (instanceRef r_timers_2_value_RNI2HCH_22)) )) (net N_225 (joined (portRef Y (instanceRef r_timers_1_reload_RNIU1JC_20)) (portRef B (instanceRef r_timers_1_reload_RNIOMBL_20)) )) (net (rename un1_timer0_28 "un1_timer0[28]") (joined (portRef Q (instanceRef r_timers_1_value_20)) (portRef B (instanceRef r_timers_1_value_RNINTUG_20)) (portRef A (instanceRef r_timers_1_reload_RNIU1JC_20)) )) (net (rename reload_0_20 "reload_0[20]") (joined (portRef Q (instanceRef r_timers_1_reload_20)) (portRef B (instanceRef r_timers_1_value_RNO_0_20)) (portRef B (instanceRef r_timers_1_reload_RNIU1JC_20)) )) (net N_229 (joined (portRef Y (instanceRef r_timers_1_value_RNI6IJC_24)) (portRef B (instanceRef r_timers_1_value_RNI07CL_24)) )) (net (rename un1_timer0_32 "un1_timer0[32]") (joined (portRef Q (instanceRef r_timers_1_value_24)) (portRef B (instanceRef r_timers_1_value_RNIVTUG_24)) (portRef A (instanceRef r_timers_1_value_RNI6IJC_24)) )) (net (rename reload_0_24 "reload_0[24]") (joined (portRef Q (instanceRef r_timers_1_reload_24)) (portRef B (instanceRef r_timers_1_value_RNO_0_24)) (portRef B (instanceRef r_timers_1_value_RNI6IJC_24)) )) (net (rename value_20 "value[20]") (joined (portRef Q (instanceRef r_timers_2_value_20)) (portRef A (instanceRef r_timers_1_value_RNINTUG_20)) (portRef A (instanceRef r_timers_2_value_RNI0HCH_20)) )) (net (rename un1_timer0_23 "un1_timer0[23]") (joined (portRef Q (instanceRef r_timers_1_value_15)) (portRef B (instanceRef r_timers_1_value_RNIH3QI_15)) (portRef A (instanceRef r_timers_1_value_RNI4IJC_15)) )) (net N_221 (joined (portRef Y (instanceRef r_timers_1_value_RNI6MJC_16)) (portRef B (instanceRef r_timers_1_value_RNI0BCL_16)) )) (net (rename un1_timer0_24 "un1_timer0[24]") (joined (portRef Q (instanceRef r_timers_1_value_16)) (portRef B (instanceRef r_timers_1_value_RNIJ3QI_16)) (portRef A (instanceRef r_timers_1_value_RNI6MJC_16)) )) (net (rename value_m_15 "value_m[15]") (joined (portRef Y (instanceRef r_timers_2_value_RNI2DCH_15)) (portRef value_m_15) )) (net (rename value_15 "value[15]") (joined (portRef Q (instanceRef r_timers_2_value_15)) (portRef A (instanceRef r_timers_1_value_RNIH3QI_15)) (portRef A (instanceRef r_timers_2_value_RNI2DCH_15)) )) (net (rename value_16 "value[16]") (joined (portRef Q (instanceRef r_timers_2_value_16)) (portRef A (instanceRef r_timers_1_value_RNIJ3QI_16)) (portRef A (instanceRef r_timers_2_value_RNI3DCH_16)) )) (net (rename value_RNI93QI_11 "value_RNI93QI[11]") (joined (portRef Y (instanceRef r_timers_1_value_RNI93QI_11)) (portRef B (instanceRef un12_res_I_66)) (portRef C (instanceRef un12_res_I_69)) )) (net (rename value_11 "value[11]") (joined (portRef Q (instanceRef r_timers_2_value_11)) (portRef A (instanceRef r_timers_2_value_RNIUCCH_11)) (portRef A (instanceRef r_timers_1_value_RNI93QI_11)) )) (net (rename un1_timer0_19 "un1_timer0[19]") (joined (portRef Q (instanceRef r_timers_1_value_11)) (portRef A (instanceRef r_timers_1_reload_RNIS1JC_11)) (portRef B (instanceRef r_timers_1_value_RNI93QI_11)) )) (net (rename value_RNIB3QI_12 "value_RNIB3QI[12]") (joined (portRef Y (instanceRef r_timers_1_value_RNIB3QI_12)) (portRef B (instanceRef un12_res_I_73)) (portRef C (instanceRef un12_res_I_76)) (portRef A (instanceRef un12_res_I_80)) (portRef A (instanceRef un12_res_I_87)) )) (net (rename value_RNIH3QI_15 "value_RNIH3QI[15]") (joined (portRef Y (instanceRef r_timers_1_value_RNIH3QI_15)) (portRef B (instanceRef un12_res_I_91)) (portRef C (instanceRef un12_res_I_97)) (portRef A (instanceRef un12_res_I_101)) (portRef A (instanceRef un12_res_I_108)) )) (net (rename value_RNIJ3QI_16 "value_RNIJ3QI[16]") (joined (portRef Y (instanceRef r_timers_1_value_RNIJ3QI_16)) (portRef B (instanceRef un12_res_I_98)) (portRef B (instanceRef un12_res_I_101)) (portRef B (instanceRef un12_res_I_108)) )) (net (rename value_RNIL3QI_17 "value_RNIL3QI[17]") (joined (portRef Y (instanceRef r_timers_1_value_RNIL3QI_17)) (portRef B (instanceRef un12_res_I_105)) (portRef C (instanceRef un12_res_I_108)) )) (net (rename un1_timer0_25 "un1_timer0[25]") (joined (portRef Q (instanceRef r_timers_1_value_17)) (portRef A (instanceRef r_timers_1_value_RNIOA3E_17)) (portRef B (instanceRef r_timers_1_value_RNIL3QI_17)) )) (net (rename value_RNIHBQI_22 "value_RNIHBQI[22]") (joined (portRef Y (instanceRef r_timers_1_value_RNIHBQI_22)) (portRef B (instanceRef un12_res_I_143)) (portRef C (instanceRef un12_res_I_146)) (portRef B (instanceRef un12_res_I_159)) )) (net (rename un1_timer0_30 "un1_timer0[30]") (joined (portRef Q (instanceRef r_timers_1_value_22)) (portRef A (instanceRef r_timers_1_reload_RNIIQ2E_22)) (portRef B (instanceRef r_timers_1_value_RNIHBQI_22)) )) (net (rename value_RNIJBQI_23 "value_RNIJBQI[23]") (joined (portRef Y (instanceRef r_timers_1_value_RNIJBQI_23)) (portRef B (instanceRef un12_res_I_156)) (portRef C (instanceRef un12_res_I_159)) )) (net (rename un1_timer0_31 "un1_timer0[31]") (joined (portRef Q (instanceRef r_timers_1_value_23)) (portRef A (instanceRef r_timers_1_value_RNIKU2E_23)) (portRef B (instanceRef r_timers_1_value_RNIJBQI_23)) )) (net (rename value_RNIRBQI_27 "value_RNIRBQI[27]") (joined (portRef Y (instanceRef r_timers_1_value_RNIRBQI_27)) (portRef B (instanceRef un12_res_I_196)) (portRef C (instanceRef un12_res_I_202)) (portRef A (instanceRef un12_res_I_206)) (portRef A (instanceRef un12_res_I_213)) )) (net (rename value_27 "value[27]") (joined (portRef Q (instanceRef r_timers_2_value_27)) (portRef A (instanceRef r_timers_2_value_RNI7HCH_27)) (portRef A (instanceRef r_timers_1_value_RNIRBQI_27)) )) (net (rename un1_timer0_35 "un1_timer0[35]") (joined (portRef Q (instanceRef r_timers_1_value_27)) (portRef A (instanceRef r_timers_1_value_RNICUJC_27)) (portRef B (instanceRef r_timers_1_value_RNIRBQI_27)) )) (net (rename value_RNITBQI_28 "value_RNITBQI[28]") (joined (portRef Y (instanceRef r_timers_1_value_RNITBQI_28)) (portRef B (instanceRef un12_res_I_203)) (portRef B (instanceRef un12_res_I_206)) (portRef B (instanceRef un12_res_I_213)) )) (net (rename value_RNIVBQI_29 "value_RNIVBQI[29]") (joined (portRef Y (instanceRef r_timers_1_value_RNIVBQI_29)) (portRef B (instanceRef un12_res_I_210)) (portRef C (instanceRef un12_res_I_213)) )) (net (rename value_29 "value[29]") (joined (portRef Q (instanceRef r_timers_2_value_29)) (portRef A (instanceRef r_timers_2_value_RNI9HCH_29)) (portRef A (instanceRef r_timers_1_value_RNIVBQI_29)) )) (net (rename un1_timer0_37 "un1_timer0[37]") (joined (portRef Q (instanceRef r_timers_1_value_29)) (portRef A (instanceRef r_timers_1_value_RNIG6KC_29)) (portRef B (instanceRef r_timers_1_value_RNIVBQI_29)) )) (net (rename un1_timer0_21 "un1_timer0[21]") (joined (portRef Q (instanceRef r_timers_1_value_13)) (portRef B (instanceRef r_timers_1_value_RNID3QI_13)) (portRef A (instanceRef r_timers_1_value_RNI0AJC_13)) )) (net (rename reload_0_13 "reload_0[13]") (joined (portRef Q (instanceRef r_timers_1_reload_13)) (portRef B (instanceRef r_timers_1_value_RNO_0_13)) (portRef B (instanceRef r_timers_1_value_RNI0AJC_13)) )) (net N_226 (joined (portRef Y (instanceRef r_timers_1_reload_RNI06JC_21)) (portRef B (instanceRef r_timers_1_reload_RNIQQBL_21)) )) (net (rename un1_timer0_29 "un1_timer0[29]") (joined (portRef Q (instanceRef r_timers_1_value_21)) (portRef B (instanceRef r_timers_1_value_RNIPTUG_21)) (portRef A (instanceRef r_timers_1_reload_RNI06JC_21)) )) (net (rename reload_0_21 "reload_0[21]") (joined (portRef Q (instanceRef r_timers_1_reload_21)) (portRef B (instanceRef r_timers_1_value_RNO_0_21)) (portRef B (instanceRef r_timers_1_reload_RNI06JC_21)) )) (net N_232 (joined (portRef Y (instanceRef r_timers_1_value_RNICUJC_27)) (portRef B (instanceRef r_timers_1_value_RNI6JCL_27)) )) (net (rename value_m_11 "value_m[11]") (joined (portRef Y (instanceRef r_timers_2_value_RNIUCCH_11)) (portRef value_m_11) )) (net (rename value_m_13 "value_m[13]") (joined (portRef Y (instanceRef r_timers_2_value_RNI0DCH_13)) (portRef value_m_13) )) (net (rename value_13 "value[13]") (joined (portRef Q (instanceRef r_timers_2_value_13)) (portRef A (instanceRef r_timers_1_value_RNID3QI_13)) (portRef A (instanceRef r_timers_2_value_RNI0DCH_13)) )) (net (rename value_21 "value[21]") (joined (portRef Q (instanceRef r_timers_2_value_21)) (portRef A (instanceRef r_timers_1_value_RNIPTUG_21)) (portRef A (instanceRef r_timers_2_value_RNI1HCH_21)) )) (net (rename value_m_29 "value_m[29]") (joined (portRef Y (instanceRef r_timers_2_value_RNI9HCH_29)) (portRef value_m_29) )) (net brate_1_sqmuxa_1_0 (joined (portRef brate_1_sqmuxa_1_0) (portRef B (instanceRef un1_readdata52)) )) (net readdata56_3 (joined (portRef Y (instanceRef comb_readdata56_3)) (portRef A (instanceRef comb_readdata56)) (portRef readdata56_3) )) (net readdata55_3_0 (joined (portRef readdata55_3_0) (portRef A (instanceRef comb_readdata55)) )) (net readdata57_2 (joined (portRef readdata57_2) (portRef A (instanceRef comb_readdata57_3)) (portRef B (instanceRef comb_readdata56_3)) )) (net readdata57_3 (joined (portRef Y (instanceRef comb_readdata57_3)) (portRef A (instanceRef comb_readdata57)) (portRef readdata57_3) )) (net (rename pirq_9 "pirq[9]") (joined (portRef Y (instanceRef r_timers_2_irq_RNIF1IB)) (portRef (member pirq 0)) )) (net (rename un1_timer0_5 "un1_timer0[5]") (joined (portRef Q (instanceRef r_timers_2_irq)) (portRef B (instanceRef r_timers_1_chain_RNITONI)) (portRef A (instanceRef r_timers_2_irq_RNIF1IB)) )) (net restart_RNI34S9 (joined (portRef Y (instanceRef r_timers_2_restart_RNI34S9)) (portRef restart_RNI34S9) )) (net enable_1_sqmuxa (joined (portRef Y (instanceRef r_timers_1_enable_RNO_2)) (portRef S (instanceRef r_timers_1_enable_RNO_0)) )) (net load_1_sqmuxa_1 (joined (portRef Y (instanceRef v_timers_1_load_1_sqmuxa_1)) (portRef E (instanceRef r_timers_1_chain)) (portRef E (instanceRef r_timers_1_restart)) (portRef A (instanceRef r_timers_1_irqpen_RNO_0)) (portRef S (instanceRef r_timers_1_irqen_RNO_0)) (portRef S (instanceRef r_timers_1_enable_RNO_1)) (portRef A (instanceRef r_timers_1_enable_RNO_2)) )) (net load_RNIMN79J (joined (portRef Y (instanceRef r_timers_1_load_RNIMN79J)) (portRef D (instanceRef r_timers_1_irq)) (portRef B (instanceRef r_timers_1_enable_RNO_2)) )) (net (rename pirq_8 "pirq[8]") (joined (portRef Y (instanceRef r_timers_1_irq_RNIBSFB)) (portRef (member pirq 1)) )) (net (rename un1_timer0_6 "un1_timer0[6]") (joined (portRef Q (instanceRef r_timers_1_irq)) (portRef B (instanceRef r_timers_2_chain_RNIQDCB)) (portRef A (instanceRef r_timers_1_irq_RNIBSFB)) )) (net enable_1 (joined (portRef Y (instanceRef r_timers_1_enable_RNO_1)) (portRef A (instanceRef r_timers_1_enable_RNO_0)) )) (net enable_RNO (joined (portRef Y (instanceRef r_timers_1_enable_RNO)) (portRef D (instanceRef r_timers_1_enable)) )) (net N_541 (joined (portRef Y (instanceRef r_timers_1_enable_RNO_0)) (portRef B (instanceRef r_timers_1_enable_RNO)) )) (net (rename value_RNID3QI_13 "value_RNID3QI[13]") (joined (portRef Y (instanceRef r_timers_1_value_RNID3QI_13)) (portRef B (instanceRef un12_res_I_77)) (portRef B (instanceRef un12_res_I_80)) (portRef B (instanceRef un12_res_I_87)) )) (net (rename un1_timer0_9 "un1_timer0[9]") (joined (portRef Q (instanceRef r_timers_1_value_1)) (portRef A (instanceRef r_timers_1_reload_RNIANMD_1)) (portRef B (instanceRef r_timers_1_value_RNI534J_1)) )) (net (rename value_24 "value[24]") (joined (portRef Q (instanceRef r_timers_2_value_24)) (portRef A (instanceRef r_timers_1_value_RNIVTUG_24)) (portRef A (instanceRef r_timers_2_value_RNI4HCH_24)) )) (net (rename value_1_3 "value_1[3]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_3)) (portRef D (instanceRef r_timers_2_value_3)) )) (net N_409 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_3)) (portRef A (instanceRef r_timers_2_value_RNO_3)) )) (net (rename value_1_0_13 "value_1_0[13]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_13)) (portRef D (instanceRef r_timers_1_value_13)) )) (net N_352 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_13)) (portRef A (instanceRef r_timers_1_value_RNO_13)) )) (net (rename pwdata_13 "pwdata[13]") (joined (portRef (member pwdata 18)) (portRef D (instanceRef r_timers_1_reload_13)) (portRef D (instanceRef r_timers_2_reload_13)) (portRef B (instanceRef r_timers_1_value_RNO_13)) (portRef B (instanceRef r_timers_2_value_RNO_13)) )) (net reload_1_sqmuxa_2 (joined (portRef Y (instanceRef v_timers_2_reload_1_sqmuxa)) (portRef E (instanceRef r_timers_2_reload_31)) (portRef E (instanceRef r_timers_2_reload_30)) (portRef E (instanceRef r_timers_2_reload_29)) (portRef E (instanceRef r_timers_2_reload_28)) (portRef E (instanceRef r_timers_2_reload_27)) (portRef E (instanceRef r_timers_2_reload_26)) (portRef E (instanceRef r_timers_2_reload_25)) (portRef E (instanceRef r_timers_2_reload_24)) (portRef E (instanceRef r_timers_2_reload_9)) (portRef E (instanceRef r_timers_2_reload_8)) (portRef E (instanceRef r_timers_2_reload_7)) (portRef E (instanceRef r_timers_2_reload_6)) (portRef E (instanceRef r_timers_2_reload_5)) (portRef E (instanceRef r_timers_2_reload_4)) (portRef E (instanceRef r_timers_2_reload_3)) (portRef E (instanceRef r_timers_2_reload_2)) )) (net (rename value_m_26 "value_m[26]") (joined (portRef Y (instanceRef r_timers_2_value_RNI6HCH_26)) (portRef value_m_26) )) (net (rename value_26 "value[26]") (joined (portRef Q (instanceRef r_timers_2_value_26)) (portRef A (instanceRef r_timers_1_value_RNIPBQI_26)) (portRef A (instanceRef r_timers_2_value_RNI6HCH_26)) )) (net (rename un1_timer0_34 "un1_timer0[34]") (joined (portRef Q (instanceRef r_timers_1_value_26)) (portRef B (instanceRef r_timers_1_value_RNIPBQI_26)) (portRef A (instanceRef r_timers_1_value_RNIBQJC_26)) )) (net load_1 (joined (portRef Y (instanceRef comb_v_timers_1_load_1)) (portRef B (instanceRef r_timers_2_load_RNO)) (portRef C (instanceRef r_timers_1_load_RNO)) )) (net load_RNO (joined (portRef Y (instanceRef r_timers_1_load_RNO)) (portRef D (instanceRef r_timers_1_load)) )) (net readdata57_1 (joined (portRef readdata57_1) (portRef A (instanceRef readdata_2_sqmuxa)) (portRef C (instanceRef v_timers_1_load_1_sqmuxa_1)) (portRef B (instanceRef r_timers_1_load_RNO)) (portRef B (instanceRef comb_readdata57_3)) )) (net tsel_1_sqmuxa (joined (portRef Y (instanceRef r_tick_RNI6TPD)) (portRef B (instanceRef r_tsel_0_0_RNIS1KL_0)) )) (net (rename un1_timer0_7 "un1_timer0[7]") (joined (portRef Q (instanceRef r_tick)) (portRef A (instanceRef r_tick_RNI6TPD)) )) (net N_540 (joined (portRef Y (instanceRef r_timers_2_enable_RNO_0)) (portRef B (instanceRef r_timers_2_enable_RNO)) )) (net enable_1_0 (joined (portRef Y (instanceRef r_timers_2_enable_RNO_1)) (portRef A (instanceRef r_timers_2_enable_RNO_0)) )) (net enable_1_sqmuxa_0 (joined (portRef Y (instanceRef r_timers_2_enable_RNO_2)) (portRef S (instanceRef r_timers_2_enable_RNO_0)) )) (net load_1_sqmuxa (joined (portRef Y (instanceRef v_timers_2_load_1_sqmuxa)) (portRef E (instanceRef r_timers_2_chain)) (portRef E (instanceRef r_timers_2_restart)) (portRef A (instanceRef r_timers_2_irqpen_RNO_0)) (portRef S (instanceRef r_timers_2_enable_RNO_1)) (portRef S (instanceRef r_timers_2_irqen_RNO_0)) (portRef C (instanceRef r_timers_2_enable_RNO_2)) )) (net irq_RNO (joined (portRef Y (instanceRef r_timers_2_irq_RNO)) (portRef D (instanceRef r_timers_2_irq)) )) (net enable_RNO_0 (joined (portRef Y (instanceRef r_timers_2_enable_RNO)) (portRef D (instanceRef r_timers_2_enable)) )) (net chain_0 (joined (portRef Q (instanceRef r_timers_2_chain)) (portRef A (instanceRef r_timers_2_chain_RNIB22D)) (portRef A (instanceRef r_timers_2_chain_RNIQDCB)) )) (net N_614 (joined (portRef Y (instanceRef r_timers_2_irqen_RNO_0)) (portRef B (instanceRef r_timers_2_irqen_RNO)) )) (net irqpen (joined (portRef Q (instanceRef r_timers_2_irqpen)) (portRef C (instanceRef r_timers_2_irqpen_RNO_1)) (portRef A (instanceRef r_timers_2_irqpen_RNI7HEH)) )) (net irqen_RNO (joined (portRef Y (instanceRef r_timers_2_irqen_RNO)) (portRef D (instanceRef r_timers_2_irqen)) )) (net irqpen_1 (joined (portRef Y (instanceRef r_timers_2_irqpen_RNO_0)) (portRef B (instanceRef r_timers_2_irqpen_RNO)) )) (net irqpen_4 (joined (portRef Y (instanceRef r_timers_2_irqpen_RNO_1)) (portRef C (instanceRef r_timers_2_irqpen_RNO_0)) )) (net irqpen_RNO (joined (portRef Y (instanceRef r_timers_2_irqpen_RNO)) (portRef D (instanceRef r_timers_2_irqpen)) )) (net load_RNO_0 (joined (portRef Y (instanceRef r_timers_2_load_RNO)) (portRef D (instanceRef r_timers_2_load)) )) (net N_200 (joined (portRef Y (instanceRef r_timers_1_irqpen_RNI7B3F)) (portRef A (instanceRef r_timers_1_reload_RNI0J341_4)) )) (net irqpen_0 (joined (portRef Q (instanceRef r_timers_1_irqpen)) (portRef C (instanceRef r_timers_1_irqpen_RNO_1)) (portRef A (instanceRef r_timers_1_irqpen_RNI7B3F)) )) (net (rename paddr_2_2 "paddr_2[2]") (joined (portRef (member paddr_2 0)) (portRef B (instanceRef r_timers_1_irqen_RNINBVA)) (portRef B (instanceRef r_timers_1_irqpen_RNI7B3F)) (portRef S (instanceRef r_timers_1_value_RNIBQJC_26)) )) (net N_199 (joined (portRef Y (instanceRef r_timers_1_irqen_RNINBVA)) (portRef A (instanceRef r_timers_1_irqen_RNIFFVV)) )) (net (rename value_3 "value[3]") (joined (portRef Q (instanceRef r_timers_2_value_3)) (portRef A (instanceRef r_timers_1_value_RNIJ59H_3)) (portRef A (instanceRef r_timers_2_value_RNIDIHH_3)) )) (net (rename value_m_30 "value_m[30]") (joined (portRef Y (instanceRef r_timers_2_value_RNI3LCH_30)) (portRef value_m_30) )) (net (rename value_30 "value[30]") (joined (portRef Q (instanceRef r_timers_2_value_30)) (portRef A (instanceRef r_timers_1_value_RNIJJQI_30)) (portRef A (instanceRef r_timers_2_value_RNI3LCH_30)) )) (net N_615 (joined (portRef Y (instanceRef r_timers_1_irqen_RNO_0)) (portRef B (instanceRef r_timers_1_irqen_RNO)) )) (net irqen_RNO_0 (joined (portRef Y (instanceRef r_timers_1_irqen_RNO)) (portRef D (instanceRef r_timers_1_irqen)) )) (net irqpen_1_0 (joined (portRef Y (instanceRef r_timers_1_irqpen_RNO_0)) (portRef B (instanceRef r_timers_1_irqpen_RNO)) )) (net irqpen_4_0 (joined (portRef Y (instanceRef r_timers_1_irqpen_RNO_1)) (portRef C (instanceRef r_timers_1_irqpen_RNO_0)) )) (net irq_2 (joined (portRef Y (instanceRef r_timers_1_load_RNITL1GI)) (portRef B (instanceRef r_timers_1_irqpen_RNO_1)) (portRef B (instanceRef r_timers_1_load_RNIMN79J)) )) (net irqpen_RNO_0 (joined (portRef Y (instanceRef r_timers_1_irqpen_RNO)) (portRef D (instanceRef r_timers_1_irqpen)) )) (net (rename value_RNIV5VG_31 "value_RNIV5VG[31]") (joined (portRef Y (instanceRef r_timers_1_value_RNIV5VG_31)) (portRef B (instanceRef un12_res_I_224)) (portRef B (instanceRef r_timers_1_value_RNI5M0FI_31)) )) (net (rename value_RNIJJQI_30 "value_RNIJJQI[30]") (joined (portRef Y (instanceRef r_timers_1_value_RNIJJQI_30)) (portRef B (instanceRef un12_res_I_217)) (portRef B (instanceRef un12_res_I_220)) )) (net (rename un1_timer0_38 "un1_timer0[38]") (joined (portRef Q (instanceRef r_timers_1_value_30)) (portRef A (instanceRef r_timers_1_reload_RNIIM2E_30)) (portRef B (instanceRef r_timers_1_value_RNIJJQI_30)) )) (net (rename value_RNIPBQI_26 "value_RNIPBQI[26]") (joined (portRef Y (instanceRef r_timers_1_value_RNIPBQI_26)) (portRef B (instanceRef un12_res_I_186)) (portRef C (instanceRef un12_res_I_189)) )) (net (rename value_RNINBQI_25 "value_RNINBQI[25]") (joined (portRef Y (instanceRef r_timers_1_value_RNINBQI_25)) (portRef B (instanceRef un12_res_I_173)) (portRef B (instanceRef un12_res_I_176)) (portRef B (instanceRef un12_res_I_189)) )) (net (rename value_RNIVTUG_24 "value_RNIVTUG[24]") (joined (portRef Y (instanceRef r_timers_1_value_RNIVTUG_24)) (portRef B (instanceRef un12_res_I_166)) (portRef C (instanceRef un12_res_I_169)) (portRef A (instanceRef un12_res_I_176)) (portRef A (instanceRef un12_res_I_189)) )) (net (rename tsel_0 "tsel[0]") (joined (portRef Q (instanceRef r_tsel_0)) (portRef S (instanceRef r_timers_1_value_RNIHT8H_2)) (portRef S (instanceRef r_timers_1_value_RNIR5AH_7)) (portRef B (instanceRef r_tsel_RNO_1)) (portRef S (instanceRef r_timers_1_value_RNIV5VG_31)) (portRef S (instanceRef r_timers_1_value_RNIDD8H_0)) (portRef S (instanceRef r_timers_1_value_RNIJ59H_3)) (portRef S (instanceRef r_timers_1_value_RNILD9H_4)) (portRef S (instanceRef r_timers_1_value_RNINL9H_5)) (portRef S (instanceRef r_timers_1_value_RNIPT9H_6)) (portRef S (instanceRef r_timers_1_value_RNITDAH_8)) (portRef S (instanceRef r_timers_1_value_RNIVLAH_9)) (portRef S (instanceRef r_timers_1_value_RNIHLUG_10)) (portRef S (instanceRef r_timers_1_value_RNIPLUG_14)) (portRef S (instanceRef r_timers_1_value_RNI1MUG_18)) (portRef S (instanceRef r_timers_1_value_RNI3MUG_19)) (portRef S (instanceRef r_timers_1_value_RNINTUG_20)) (portRef S (instanceRef r_timers_1_value_RNIPTUG_21)) (portRef S (instanceRef r_timers_1_value_RNIVTUG_24)) )) (net (rename value_RNIPTUG_21 "value_RNIPTUG[21]") (joined (portRef Y (instanceRef r_timers_1_value_RNIPTUG_21)) (portRef B (instanceRef un12_res_I_136)) (portRef B (instanceRef un12_res_I_139)) (portRef B (instanceRef un12_res_I_146)) (portRef A (instanceRef un12_res_I_159)) )) (net (rename value_RNINTUG_20 "value_RNINTUG[20]") (joined (portRef Y (instanceRef r_timers_1_value_RNINTUG_20)) (portRef B (instanceRef un12_res_I_129)) (portRef C (instanceRef un12_res_I_132)) )) (net (rename value_RNI3MUG_19 "value_RNI3MUG[19]") (joined (portRef Y (instanceRef r_timers_1_value_RNI3MUG_19)) (portRef B (instanceRef un12_res_I_122)) (portRef B (instanceRef un12_res_I_125)) (portRef B (instanceRef un12_res_I_132)) )) (net (rename value_RNI1MUG_18 "value_RNI1MUG[18]") (joined (portRef Y (instanceRef r_timers_1_value_RNI1MUG_18)) (portRef B (instanceRef un12_res_I_115)) (portRef C (instanceRef un12_res_I_121)) (portRef A (instanceRef un12_res_I_125)) (portRef A (instanceRef un12_res_I_132)) )) (net (rename un1_timer0_26 "un1_timer0[26]") (joined (portRef Q (instanceRef r_timers_1_value_18)) (portRef A (instanceRef r_timers_1_value_RNIQE3E_18)) (portRef B (instanceRef r_timers_1_value_RNI1MUG_18)) )) (net (rename value_RNIPLUG_14 "value_RNIPLUG[14]") (joined (portRef Y (instanceRef r_timers_1_value_RNIPLUG_14)) (portRef B (instanceRef un12_res_I_84)) (portRef C (instanceRef un12_res_I_87)) )) (net (rename value_RNIHLUG_10 "value_RNIHLUG[10]") (joined (portRef Y (instanceRef r_timers_1_value_RNIHLUG_10)) (portRef B (instanceRef un12_res_I_56)) (portRef C (instanceRef un12_res_I_65)) (portRef B (instanceRef un12_res_I_69)) )) (net (rename value_RNIVLAH_9 "value_RNIVLAH[9]") (joined (portRef Y (instanceRef r_timers_1_value_RNIVLAH_9)) (portRef B (instanceRef un12_res_I_52)) (portRef C (instanceRef un12_res_I_55)) (portRef B (instanceRef un12_res_I_65)) (portRef A (instanceRef un12_res_I_69)) )) (net (rename un1_timer0_17 "un1_timer0[17]") (joined (portRef Q (instanceRef r_timers_1_value_9)) (portRef A (instanceRef r_timers_1_reload_RNIQNND_9)) (portRef B (instanceRef r_timers_1_value_RNIVLAH_9)) )) (net (rename un1_timer0_16 "un1_timer0[16]") (joined (portRef Q (instanceRef r_timers_1_value_8)) (portRef A (instanceRef r_timers_1_reload_RNIOJND_8)) (portRef B (instanceRef r_timers_1_value_RNITDAH_8)) )) (net (rename value_RNIPT9H_6 "value_RNIPT9H[6]") (joined (portRef Y (instanceRef r_timers_1_value_RNIPT9H_6)) (portRef B (instanceRef un12_res_I_31)) (portRef C (instanceRef un12_res_I_37)) (portRef A (instanceRef un12_res_I_41)) (portRef A (instanceRef un12_res_I_59)) )) (net (rename un1_timer0_14 "un1_timer0[14]") (joined (portRef Q (instanceRef r_timers_1_value_6)) (portRef A (instanceRef r_timers_1_reload_RNIKBND_6)) (portRef B (instanceRef r_timers_1_value_RNIPT9H_6)) )) (net (rename value_RNINL9H_5 "value_RNINL9H[5]") (joined (portRef Y (instanceRef r_timers_1_value_RNINL9H_5)) (portRef B (instanceRef un12_res_I_24)) (portRef C (instanceRef un12_res_I_30)) (portRef C (instanceRef un12_res_I_34)) )) (net (rename value_RNILD9H_4 "value_RNILD9H[4]") (joined (portRef Y (instanceRef r_timers_1_value_RNILD9H_4)) (portRef B (instanceRef un12_res_I_20)) (portRef C (instanceRef un12_res_I_23)) (portRef B (instanceRef un12_res_I_27)) (portRef B (instanceRef un12_res_I_34)) )) (net (rename value_1_2 "value_1[2]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_2)) (portRef D (instanceRef r_timers_2_value_2)) )) (net N_408 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_2)) (portRef A (instanceRef r_timers_2_value_RNO_2)) )) (net (rename pwdata_2 "pwdata[2]") (joined (portRef (member pwdata 29)) (portRef D (instanceRef r_timers_1_reload_2)) (portRef D (instanceRef r_timers_2_reload_2)) (portRef B (instanceRef r_timers_1_value_RNO_2)) (portRef B (instanceRef r_timers_2_value_RNO_2)) (portRef B (instanceRef comb_v_timers_1_load_1)) )) (net I_9_3 (joined (portRef Y (instanceRef un12_res_I_9)) (portRef A (instanceRef r_timers_1_value_RNO_0_2)) (portRef A (instanceRef r_timers_2_value_RNO_0_2)) )) (net (rename value_1_0_3 "value_1_0[3]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_3)) (portRef D (instanceRef r_timers_1_value_3)) )) (net (rename pwdata_3 "pwdata[3]") (joined (portRef (member pwdata 28)) (portRef D (instanceRef r_timers_1_reload_3)) (portRef D (instanceRef r_timers_2_reload_3)) (portRef B (instanceRef r_timers_1_value_RNO_3)) (portRef B (instanceRef r_timers_1_irqen_RNO_0)) )) (net (rename value_1_0_2 "value_1_0[2]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_2)) (portRef D (instanceRef r_timers_1_value_2)) )) (net N_341 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_2)) (portRef A (instanceRef r_timers_1_value_RNO_2)) )) (net (rename reload_1_2 "reload_1[2]") (joined (portRef Q (instanceRef r_timers_1_reload_2)) (portRef B (instanceRef r_timers_1_reload_RNICRMD_2)) (portRef B (instanceRef r_timers_1_value_RNO_0_2)) )) (net (rename value_1_0_5 "value_1_0[5]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_5)) (portRef D (instanceRef r_timers_1_value_5)) )) (net (rename value_1_0_4 "value_1_0[4]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_4)) (portRef D (instanceRef r_timers_1_value_4)) )) (net N_343_0 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_4)) (portRef A (instanceRef r_timers_1_value_RNO_4)) )) (net (rename pwdata_4 "pwdata[4]") (joined (portRef (member pwdata 27)) (portRef D (instanceRef r_timers_1_reload_4)) (portRef D (instanceRef r_timers_2_reload_4)) (portRef B (instanceRef r_timers_1_value_RNO_4)) (portRef B (instanceRef r_timers_1_irqpen_RNO_0)) )) (net (rename value_31 "value[31]") (joined (portRef Q (instanceRef r_timers_2_value_31)) (portRef A (instanceRef r_timers_2_value_RNI4LCH_31)) (portRef A (instanceRef r_timers_1_value_RNIV5VG_31)) )) (net (rename un1_timer0_39 "un1_timer0[39]") (joined (portRef Q (instanceRef r_timers_1_value_31)) (portRef A (instanceRef r_timers_1_reload_RNIKQ2E_31)) (portRef B (instanceRef r_timers_1_value_RNIV5VG_31)) )) (net (rename value_1_0_25 "value_1_0[25]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_25)) (portRef D (instanceRef r_timers_1_value_25)) )) (net N_364 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_25)) (portRef A (instanceRef r_timers_1_value_RNO_25)) )) (net (rename pwdata_25 "pwdata[25]") (joined (portRef (member pwdata 6)) (portRef D (instanceRef r_timers_1_reload_25)) (portRef D (instanceRef r_timers_2_reload_25)) (portRef B (instanceRef r_timers_1_value_RNO_25)) (portRef B (instanceRef r_timers_2_value_RNO_25)) )) (net (rename value_1_0_24 "value_1_0[24]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_24)) (portRef D (instanceRef r_timers_1_value_24)) )) (net N_363 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_24)) (portRef A (instanceRef r_timers_1_value_RNO_24)) )) (net (rename pwdata_24 "pwdata[24]") (joined (portRef (member pwdata 7)) (portRef D (instanceRef r_timers_1_reload_24)) (portRef D (instanceRef r_timers_2_reload_24)) (portRef B (instanceRef r_timers_1_value_RNO_24)) (portRef B (instanceRef r_timers_2_value_RNO_24)) )) (net (rename value_1_0_19 "value_1_0[19]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_19)) (portRef D (instanceRef r_timers_1_value_19)) )) (net N_358 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_19)) (portRef A (instanceRef r_timers_1_value_RNO_19)) )) (net (rename pwdata_19 "pwdata[19]") (joined (portRef (member pwdata 12)) (portRef D (instanceRef r_timers_1_reload_19)) (portRef D (instanceRef r_timers_2_reload_19)) (portRef B (instanceRef r_timers_1_value_RNO_19)) (portRef B (instanceRef r_timers_2_value_RNO_19)) )) (net (rename value_1_0_10 "value_1_0[10]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_10)) (portRef D (instanceRef r_timers_1_value_10)) )) (net N_349 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_10)) (portRef A (instanceRef r_timers_1_value_RNO_10)) )) (net (rename pwdata_10 "pwdata[10]") (joined (portRef (member pwdata 21)) (portRef D (instanceRef r_timers_1_reload_10)) (portRef D (instanceRef r_timers_2_reload_10)) (portRef B (instanceRef r_timers_1_value_RNO_10)) (portRef B (instanceRef r_timers_2_value_RNO_10)) )) (net (rename value_1_0_30 "value_1_0[30]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_30)) (portRef D (instanceRef r_timers_1_value_30)) )) (net N_369 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_30)) (portRef A (instanceRef r_timers_1_value_RNO_30)) )) (net (rename pwdata_30 "pwdata[30]") (joined (portRef (member pwdata 1)) (portRef D (instanceRef r_timers_1_reload_30)) (portRef D (instanceRef r_timers_2_reload_30)) (portRef B (instanceRef r_timers_1_value_RNO_30)) (portRef B (instanceRef r_timers_2_value_RNO_30)) )) (net (rename value_1_0_21 "value_1_0[21]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_21)) (portRef D (instanceRef r_timers_1_value_21)) )) (net N_360 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_21)) (portRef A (instanceRef r_timers_1_value_RNO_21)) )) (net (rename pwdata_21 "pwdata[21]") (joined (portRef (member pwdata 10)) (portRef D (instanceRef r_timers_1_reload_21)) (portRef D (instanceRef r_timers_2_reload_21)) (portRef B (instanceRef r_timers_1_value_RNO_21)) (portRef B (instanceRef r_timers_2_value_RNO_21)) )) (net (rename value_1_0_20 "value_1_0[20]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_20)) (portRef D (instanceRef r_timers_1_value_20)) )) (net N_359 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_20)) (portRef A (instanceRef r_timers_1_value_RNO_20)) )) (net (rename pwdata_20 "pwdata[20]") (joined (portRef (member pwdata 11)) (portRef D (instanceRef r_timers_1_reload_20)) (portRef D (instanceRef r_timers_2_reload_20)) (portRef B (instanceRef r_timers_1_value_RNO_20)) (portRef B (instanceRef r_timers_2_value_RNO_20)) )) (net (rename reload_0_30 "reload_0[30]") (joined (portRef Q (instanceRef r_timers_1_reload_30)) (portRef B (instanceRef r_timers_1_reload_RNIIM2E_30)) (portRef B (instanceRef r_timers_1_value_RNO_0_30)) )) (net (rename value_1_0_9 "value_1_0[9]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_9)) (portRef D (instanceRef r_timers_1_value_9)) )) (net N_348 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_9)) (portRef A (instanceRef r_timers_1_value_RNO_9)) )) (net (rename value_1_0_8 "value_1_0[8]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_8)) (portRef D (instanceRef r_timers_1_value_8)) )) (net N_347 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_8)) (portRef A (instanceRef r_timers_1_value_RNO_8)) )) (net (rename pwdata_8 "pwdata[8]") (joined (portRef (member pwdata 23)) (portRef D (instanceRef r_timers_1_reload_8)) (portRef D (instanceRef r_timers_2_reload_8)) (portRef B (instanceRef r_timers_1_value_RNO_8)) (portRef B (instanceRef r_timers_2_value_RNO_8)) )) (net (rename reload_0_9 "reload_0[9]") (joined (portRef Q (instanceRef r_timers_1_reload_9)) (portRef B (instanceRef r_timers_1_reload_RNIQNND_9)) (portRef B (instanceRef r_timers_1_value_RNO_0_9)) )) (net (rename reload_0_8 "reload_0[8]") (joined (portRef Q (instanceRef r_timers_1_reload_8)) (portRef B (instanceRef r_timers_1_reload_RNIOJND_8)) (portRef B (instanceRef r_timers_1_value_RNO_0_8)) )) (net (rename value_1_0_6 "value_1_0[6]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_6)) (portRef D (instanceRef r_timers_1_value_6)) )) (net (rename tsel_RNO_1 "tsel_RNO[1]") (joined (portRef Y (instanceRef r_tsel_RNO_1)) (portRef D (instanceRef r_tsel_1)) )) (net (rename value_1_0_31 "value_1_0[31]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_31)) (portRef D (instanceRef r_timers_1_value_31)) )) (net N_370 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_31)) (portRef A (instanceRef r_timers_1_value_RNO_31)) )) (net (rename pwdata_31 "pwdata[31]") (joined (portRef (member pwdata 0)) (portRef D (instanceRef r_timers_1_reload_31)) (portRef D (instanceRef r_timers_2_reload_31)) (portRef B (instanceRef r_timers_1_value_RNO_31)) (portRef B (instanceRef r_timers_2_value_RNO_31)) )) (net (rename value_1_0_14 "value_1_0[14]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_14)) (portRef D (instanceRef r_timers_1_value_14)) )) (net (rename pwdata_14 "pwdata[14]") (joined (portRef (member pwdata 17)) (portRef D (instanceRef r_timers_1_reload_14)) (portRef D (instanceRef r_timers_2_reload_14)) (portRef B (instanceRef r_timers_1_value_RNO_14)) (portRef B (instanceRef r_timers_2_value_RNO_14)) )) (net (rename value_1_0_0 "value_1_0[0]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_0)) (portRef D (instanceRef r_timers_1_value_0)) )) (net N_339 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_0)) (portRef A (instanceRef r_timers_1_value_RNO_0)) )) (net (rename reload_0_31 "reload_0[31]") (joined (portRef Q (instanceRef r_timers_1_reload_31)) (portRef B (instanceRef r_timers_1_reload_RNIKQ2E_31)) (portRef B (instanceRef r_timers_1_value_RNO_0_31)) )) (net (rename reload_RNO_7 "reload_RNO[7]") (joined (portRef Y (instanceRef r_reload_RNO_7)) (portRef D (instanceRef r_reload_7)) )) (net N_627 (joined (portRef Y (instanceRef r_reload_RNO_0_7)) (portRef B (instanceRef r_reload_RNO_7)) )) (net (rename paddr_3 "paddr[3]") (joined (portRef (member paddr 3)) (portRef A (instanceRef comb_1_readdata24)) (portRef B (instanceRef comb_1_readdata_9_sn_m3)) )) (net (rename pwdata_7 "pwdata[7]") (joined (portRef (member pwdata 24)) (portRef D (instanceRef r_timers_1_reload_7)) (portRef D (instanceRef r_timers_2_reload_7)) (portRef B (instanceRef r_timers_2_value_RNO_7)) (portRef B (instanceRef r_timers_1_value_RNO_7)) (portRef B (instanceRef r_reload_RNO_0_7)) (portRef A (instanceRef r_scaler_RNO_2_7)) )) (net N_346 (joined (portRef Y (instanceRef r_timers_1_value_RNO_0_7)) (portRef A (instanceRef r_timers_1_value_RNO_7)) )) (net I_38_2 (joined (portRef Y (instanceRef un12_res_I_38)) (portRef A (instanceRef r_timers_2_value_RNO_0_7)) (portRef A (instanceRef r_timers_1_value_RNO_0_7)) )) (net (rename reload_0_7 "reload_0[7]") (joined (portRef Q (instanceRef r_timers_1_reload_7)) (portRef B (instanceRef r_timers_1_reload_RNIMFND_7)) (portRef B (instanceRef r_timers_1_value_RNO_0_7)) )) (net (rename value_1_7 "value_1[7]") (joined (portRef Y (instanceRef r_timers_1_value_RNO_7)) (portRef D (instanceRef r_timers_1_value_7)) )) (net N_413 (joined (portRef Y (instanceRef r_timers_2_value_RNO_0_7)) (portRef A (instanceRef r_timers_2_value_RNO_7)) )) (net (rename reload_1_7 "reload_1[7]") (joined (portRef Q (instanceRef r_timers_2_reload_7)) (portRef A (instanceRef r_timers_2_reload_RNIITBF_7)) (portRef B (instanceRef r_timers_2_value_RNO_0_7)) )) (net (rename value_1_0_7 "value_1_0[7]") (joined (portRef Y (instanceRef r_timers_2_value_RNO_7)) (portRef D (instanceRef r_timers_2_value_7)) )) (net (rename value_RNIR5AH_7 "value_RNIR5AH[7]") (joined (portRef Y (instanceRef r_timers_1_value_RNIR5AH_7)) (portRef B (instanceRef un12_res_I_38)) (portRef B (instanceRef un12_res_I_41)) (portRef B (instanceRef un12_res_I_59)) )) (net (rename un1_timer0_15 "un1_timer0[15]") (joined (portRef Q (instanceRef r_timers_1_value_7)) (portRef A (instanceRef r_timers_1_reload_RNIMFND_7)) (portRef B (instanceRef r_timers_1_value_RNIR5AH_7)) )) (net (rename paddr_2_p "paddr[2]") (joined (portRef (member paddr 4)) (portRef S (instanceRef r_timers_1_reload_RNIOJND_8)) (portRef S (instanceRef r_timers_1_reload_RNICRMD_2)) (portRef S (instanceRef r_timers_1_reload_RNIKBND_6)) (portRef S (instanceRef r_timers_1_value_RNIOA3E_17)) (portRef S (instanceRef r_timers_1_value_RNIKU2E_23)) (portRef S (instanceRef r_timers_1_reload_RNIQNND_9)) (portRef S (instanceRef r_timers_1_reload_RNIANMD_1)) (portRef S (instanceRef r_timers_1_value_RNIQE3E_18)) (portRef S (instanceRef r_timers_1_reload_RNIIQ2E_22)) (portRef S (instanceRef r_timers_1_reload_RNIIM2E_30)) (portRef S (instanceRef r_timers_1_reload_RNIKQ2E_31)) (portRef S (instanceRef r_timers_1_reload_RNIMFND_7)) (portRef B (instanceRef comb_1_readdata24)) )) (net N_236 (joined (portRef Y (instanceRef r_timers_1_reload_RNIKQ2E_31)) (portRef B (instanceRef r_timers_1_reload_RNIEFRM_31)) )) (net (rename value_RNIHT8H_2 "value_RNIHT8H[2]") (joined (portRef Y (instanceRef r_timers_1_value_RNIHT8H_2)) (portRef B (instanceRef un12_res_I_9)) (portRef C (instanceRef un12_res_I_12)) (portRef C (instanceRef un12_res_I_16)) (portRef C (instanceRef un12_res_I_149)) )) (net (rename value_2 "value[2]") (joined (portRef Q (instanceRef r_timers_2_value_2)) (portRef A (instanceRef r_timers_2_value_RNICEHH_2)) (portRef A (instanceRef r_timers_1_value_RNIHT8H_2)) )) (net (rename un1_timer0_10 "un1_timer0[10]") (joined (portRef Q (instanceRef r_timers_1_value_2)) (portRef A (instanceRef r_timers_1_reload_RNICRMD_2)) (portRef B (instanceRef r_timers_1_value_RNIHT8H_2)) )) (net N_227 (joined (portRef Y (instanceRef r_timers_1_reload_RNIIQ2E_22)) (portRef B (instanceRef r_timers_1_reload_RNICFRM_22)) )) (net (rename readdata_9_i_0_0 "readdata_9_i_0[1]") (joined (portRef Y (instanceRef r_timers_1_restart_RNIG80U)) (portRef readdata_9_i_0_0) )) (net N_206 (joined (portRef Y (instanceRef r_timers_1_reload_RNIANMD_1)) (portRef B (instanceRef r_timers_1_restart_RNIG80U)) )) (net N_222 (joined (portRef Y (instanceRef r_timers_1_value_RNIOA3E_17)) (portRef B (instanceRef r_timers_1_value_RNIIVRM_17)) )) (net (rename reload_RNIHTBF_6 "reload_RNIHTBF[6]") (joined (portRef Y (instanceRef r_timers_2_reload_RNIHTBF_6)) (portRef (member reload_rnihtbf 0)) )) (net (rename readdata_9_i_0_5 "readdata_9_i_0[6]") (joined (portRef Y (instanceRef r_timers_1_reload_RNIMA3V_6)) (portRef readdata_9_i_0_5) )) (net N_211 (joined (portRef Y (instanceRef r_timers_1_reload_RNIKBND_6)) (portRef B (instanceRef r_timers_1_reload_RNIMA3V_6)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_timers_1_value_31)) (portRef CLK (instanceRef r_timers_1_value_30)) (portRef CLK (instanceRef r_timers_1_value_29)) (portRef CLK (instanceRef r_timers_1_value_28)) (portRef CLK (instanceRef r_timers_1_value_27)) (portRef CLK (instanceRef r_timers_1_value_26)) (portRef CLK (instanceRef r_timers_1_value_25)) (portRef CLK (instanceRef r_timers_1_value_24)) (portRef CLK (instanceRef r_timers_1_value_23)) (portRef CLK (instanceRef r_timers_1_value_22)) (portRef CLK (instanceRef r_timers_1_value_21)) (portRef CLK (instanceRef r_timers_1_value_20)) (portRef CLK (instanceRef r_timers_1_value_19)) (portRef CLK (instanceRef r_timers_1_value_18)) (portRef CLK (instanceRef r_timers_1_value_17)) (portRef CLK (instanceRef r_timers_1_value_16)) (portRef CLK (instanceRef r_timers_1_value_15)) (portRef CLK (instanceRef r_timers_1_value_14)) (portRef CLK (instanceRef r_timers_1_value_13)) (portRef CLK (instanceRef r_timers_1_value_12)) (portRef CLK (instanceRef r_timers_1_value_11)) (portRef CLK (instanceRef r_timers_1_value_10)) (portRef CLK (instanceRef r_timers_1_value_9)) (portRef CLK (instanceRef r_timers_1_value_8)) (portRef CLK (instanceRef r_timers_1_value_7)) (portRef CLK (instanceRef r_timers_1_value_6)) (portRef CLK (instanceRef r_timers_1_value_5)) (portRef CLK (instanceRef r_timers_1_value_4)) (portRef CLK (instanceRef r_timers_1_value_3)) (portRef CLK (instanceRef r_timers_1_value_2)) (portRef CLK (instanceRef r_timers_1_value_1)) (portRef CLK (instanceRef r_timers_1_value_0)) (portRef CLK (instanceRef r_timers_2_value_31)) (portRef CLK (instanceRef r_timers_2_value_30)) (portRef CLK (instanceRef r_timers_2_value_29)) (portRef CLK (instanceRef r_timers_2_value_28)) (portRef CLK (instanceRef r_timers_2_value_27)) (portRef CLK (instanceRef r_timers_2_value_26)) (portRef CLK (instanceRef r_timers_2_value_25)) (portRef CLK (instanceRef r_timers_2_value_24)) (portRef CLK (instanceRef r_timers_2_value_23)) (portRef CLK (instanceRef r_timers_2_value_22)) (portRef CLK (instanceRef r_timers_2_value_21)) (portRef CLK (instanceRef r_timers_2_value_20)) (portRef CLK (instanceRef r_timers_2_value_19)) (portRef CLK (instanceRef r_timers_2_value_18)) (portRef CLK (instanceRef r_timers_2_value_17)) (portRef CLK (instanceRef r_timers_2_value_16)) (portRef CLK (instanceRef r_timers_2_value_15)) (portRef CLK (instanceRef r_timers_2_value_14)) (portRef CLK (instanceRef r_timers_2_value_13)) (portRef CLK (instanceRef r_timers_2_value_12)) (portRef CLK (instanceRef r_timers_2_value_11)) (portRef CLK (instanceRef r_timers_2_value_10)) (portRef CLK (instanceRef r_timers_2_value_9)) (portRef CLK (instanceRef r_timers_2_value_8)) (portRef CLK (instanceRef r_timers_2_value_7)) (portRef CLK (instanceRef r_timers_2_value_6)) (portRef CLK (instanceRef r_timers_2_value_5)) (portRef CLK (instanceRef r_timers_2_value_4)) (portRef CLK (instanceRef r_timers_2_value_3)) (portRef CLK (instanceRef r_timers_2_value_2)) (portRef CLK (instanceRef r_timers_2_value_1)) (portRef CLK (instanceRef r_timers_2_value_0)) (portRef CLK (instanceRef r_reload_7)) (portRef CLK (instanceRef r_reload_6)) (portRef CLK (instanceRef r_reload_5)) (portRef CLK (instanceRef r_reload_4)) (portRef CLK (instanceRef r_reload_3)) (portRef CLK (instanceRef r_reload_2)) (portRef CLK (instanceRef r_reload_1)) (portRef CLK (instanceRef r_reload_0)) (portRef CLK (instanceRef r_timers_1_reload_31)) (portRef CLK (instanceRef r_timers_1_reload_30)) (portRef CLK (instanceRef r_timers_1_reload_29)) (portRef CLK (instanceRef r_timers_1_reload_28)) (portRef CLK (instanceRef r_timers_1_reload_27)) (portRef CLK (instanceRef r_timers_1_reload_26)) (portRef CLK (instanceRef r_timers_1_reload_25)) (portRef CLK (instanceRef r_timers_1_reload_24)) (portRef CLK (instanceRef r_timers_1_reload_23)) (portRef CLK (instanceRef r_timers_1_reload_22)) (portRef CLK (instanceRef r_timers_1_reload_21)) (portRef CLK (instanceRef r_timers_1_reload_20)) (portRef CLK (instanceRef r_timers_1_reload_19)) (portRef CLK (instanceRef r_timers_1_reload_18)) (portRef CLK (instanceRef r_timers_1_reload_17)) (portRef CLK (instanceRef r_timers_1_reload_16)) (portRef CLK (instanceRef r_timers_1_reload_15)) (portRef CLK (instanceRef r_timers_1_reload_14)) (portRef CLK (instanceRef r_timers_1_reload_13)) (portRef CLK (instanceRef r_timers_1_reload_12)) (portRef CLK (instanceRef r_timers_1_reload_11)) (portRef CLK (instanceRef r_timers_1_reload_10)) (portRef CLK (instanceRef r_timers_1_reload_9)) (portRef CLK (instanceRef r_timers_1_reload_8)) (portRef CLK (instanceRef r_timers_1_reload_7)) (portRef CLK (instanceRef r_timers_1_reload_6)) (portRef CLK (instanceRef r_timers_1_reload_5)) (portRef CLK (instanceRef r_timers_1_reload_4)) (portRef CLK (instanceRef r_timers_1_reload_3)) (portRef CLK (instanceRef r_timers_1_reload_2)) (portRef CLK (instanceRef r_timers_1_reload_1)) (portRef CLK (instanceRef r_timers_1_reload_0)) (portRef CLK (instanceRef r_timers_2_reload_31)) (portRef CLK (instanceRef r_timers_2_reload_30)) (portRef CLK (instanceRef r_timers_2_reload_29)) (portRef CLK (instanceRef r_timers_2_reload_28)) (portRef CLK (instanceRef r_timers_2_reload_27)) (portRef CLK (instanceRef r_timers_2_reload_26)) (portRef CLK (instanceRef r_timers_2_reload_25)) (portRef CLK (instanceRef r_timers_2_reload_24)) (portRef CLK (instanceRef r_timers_2_reload_23)) (portRef CLK (instanceRef r_timers_2_reload_22)) (portRef CLK (instanceRef r_timers_2_reload_21)) (portRef CLK (instanceRef r_timers_2_reload_20)) (portRef CLK (instanceRef r_timers_2_reload_19)) (portRef CLK (instanceRef r_timers_2_reload_18)) (portRef CLK (instanceRef r_timers_2_reload_17)) (portRef CLK (instanceRef r_timers_2_reload_16)) (portRef CLK (instanceRef r_timers_2_reload_15)) (portRef CLK (instanceRef r_timers_2_reload_14)) (portRef CLK (instanceRef r_timers_2_reload_13)) (portRef CLK (instanceRef r_timers_2_reload_12)) (portRef CLK (instanceRef r_timers_2_reload_11)) (portRef CLK (instanceRef r_timers_2_reload_10)) (portRef CLK (instanceRef r_timers_2_reload_9)) (portRef CLK (instanceRef r_timers_2_reload_8)) (portRef CLK (instanceRef r_timers_2_reload_7)) (portRef CLK (instanceRef r_timers_2_reload_6)) (portRef CLK (instanceRef r_timers_2_reload_5)) (portRef CLK (instanceRef r_timers_2_reload_4)) (portRef CLK (instanceRef r_timers_2_reload_3)) (portRef CLK (instanceRef r_timers_2_reload_2)) (portRef CLK (instanceRef r_timers_2_reload_1)) (portRef CLK (instanceRef r_timers_2_reload_0)) (portRef CLK (instanceRef r_scaler_7)) (portRef CLK (instanceRef r_scaler_6)) (portRef CLK (instanceRef r_scaler_5)) (portRef CLK (instanceRef r_scaler_4)) (portRef CLK (instanceRef r_scaler_3)) (portRef CLK (instanceRef r_scaler_2)) (portRef CLK (instanceRef r_scaler_1)) (portRef CLK (instanceRef r_scaler_0)) (portRef CLK (instanceRef r_tsel_1)) (portRef CLK (instanceRef r_tsel_0)) (portRef CLK (instanceRef r_timers_1_load)) (portRef CLK (instanceRef r_timers_2_load)) (portRef CLK (instanceRef r_timers_1_irq)) (portRef CLK (instanceRef r_timers_2_irq)) (portRef CLK (instanceRef r_timers_1_irqpen)) (portRef CLK (instanceRef r_timers_2_irqpen)) (portRef CLK (instanceRef r_timers_2_enable)) (portRef CLK (instanceRef r_timers_1_enable)) (portRef CLK (instanceRef r_timers_2_irqen)) (portRef CLK (instanceRef r_timers_1_irqen)) (portRef CLK (instanceRef r_dishlt)) (portRef CLK (instanceRef r_timers_1_chain)) (portRef CLK (instanceRef r_timers_1_restart)) (portRef CLK (instanceRef r_timers_2_chain)) (portRef CLK (instanceRef r_timers_2_restart)) (portRef CLK (instanceRef r_tick)) (portRef CLK (instanceRef r_tsel_0_0_0)) )) (net (rename pwdata_5 "pwdata[5]") (joined (portRef (member pwdata 26)) (portRef D (instanceRef r_timers_1_reload_5)) (portRef D (instanceRef r_timers_2_reload_5)) (portRef D (instanceRef r_timers_1_chain)) (portRef D (instanceRef r_timers_2_chain)) (portRef B (instanceRef r_timers_1_value_RNO_5)) )) (net (rename pwdata_1 "pwdata[1]") (joined (portRef (member pwdata 30)) (portRef D (instanceRef r_timers_1_reload_1)) (portRef D (instanceRef r_timers_2_reload_1)) (portRef D (instanceRef r_timers_1_restart)) (portRef D (instanceRef r_timers_2_restart)) )) (net (rename scaler_6 "scaler[6]") (joined (portRef Q (instanceRef r_scaler_6)) (portRef B (instanceRef un6_scaler_I_31)) (portRef C (instanceRef un6_scaler_I_37)) (portRef A (instanceRef un6_scaler_I_41)) (portRef B (instanceRef r_scaler_RNO_0_6)) (portRef scaler_6) )) (net (rename value_6 "value[6]") (joined (portRef Q (instanceRef r_timers_2_value_6)) (portRef A (instanceRef r_timers_1_value_RNIPT9H_6)) (portRef value_6) )) (net N_4 (joined (portRef Y (instanceRef un12_res_I_223)) (portRef A (instanceRef un12_res_I_224)) )) (net (rename DWACT_FDEC_E_24 "DWACT_FDEC_E[24]") (joined (portRef Y (instanceRef un12_res_I_199)) (portRef A (instanceRef un12_res_I_202)) (portRef A (instanceRef un12_res_I_209)) (portRef A (instanceRef un12_res_I_216)) (portRef A (instanceRef un12_res_I_223)) )) (net (rename DWACT_FDEC_E_23 "DWACT_FDEC_E[23]") (joined (portRef Y (instanceRef un12_res_I_192)) (portRef C (instanceRef un12_res_I_195)) (portRef B (instanceRef un12_res_I_202)) (portRef B (instanceRef un12_res_I_209)) (portRef B (instanceRef un12_res_I_216)) (portRef B (instanceRef un12_res_I_223)) )) (net (rename DWACT_FDEC_E_27 "DWACT_FDEC_E[27]") (joined (portRef Y (instanceRef un12_res_I_220)) (portRef C (instanceRef un12_res_I_223)) )) (net (rename DWACT_FDEC_E_26 "DWACT_FDEC_E[26]") (joined (portRef Y (instanceRef un12_res_I_213)) (portRef C (instanceRef un12_res_I_216)) (portRef A (instanceRef un12_res_I_220)) )) (net N_9 (joined (portRef Y (instanceRef un12_res_I_216)) (portRef A (instanceRef un12_res_I_217)) )) (net N_14 (joined (portRef Y (instanceRef un12_res_I_209)) (portRef A (instanceRef un12_res_I_210)) )) (net (rename DWACT_FDEC_E_25 "DWACT_FDEC_E[25]") (joined (portRef Y (instanceRef un12_res_I_206)) (portRef C (instanceRef un12_res_I_209)) )) (net N_19 (joined (portRef Y (instanceRef un12_res_I_202)) (portRef A (instanceRef un12_res_I_203)) )) (net (rename DWACT_FDEC_E_29 "DWACT_FDEC_E[29]") (joined (portRef Y (instanceRef un12_res_I_152)) (portRef A (instanceRef un12_res_I_155)) (portRef A (instanceRef un12_res_I_165)) (portRef A (instanceRef un12_res_I_172)) (portRef A (instanceRef un12_res_I_185)) (portRef A (instanceRef un12_res_I_195)) (portRef A (instanceRef un12_res_I_199)) )) (net (rename DWACT_FDEC_E_30 "DWACT_FDEC_E[30]") (joined (portRef Y (instanceRef un12_res_I_182)) (portRef B (instanceRef un12_res_I_185)) (portRef B (instanceRef un12_res_I_195)) (portRef B (instanceRef un12_res_I_199)) )) (net N_24 (joined (portRef Y (instanceRef un12_res_I_195)) (portRef A (instanceRef un12_res_I_196)) )) (net (rename DWACT_FDEC_E_15 "DWACT_FDEC_E[15]") (joined (portRef Y (instanceRef un12_res_I_132)) (portRef C (instanceRef un12_res_I_135)) (portRef A (instanceRef un12_res_I_139)) (portRef A (instanceRef un12_res_I_146)) (portRef A (instanceRef un12_res_I_162)) (portRef A (instanceRef un12_res_I_169)) (portRef A (instanceRef un12_res_I_179)) (portRef A (instanceRef un12_res_I_192)) )) (net (rename DWACT_FDEC_E_17 "DWACT_FDEC_E[17]") (joined (portRef Y (instanceRef un12_res_I_159)) (portRef B (instanceRef un12_res_I_162)) (portRef B (instanceRef un12_res_I_169)) (portRef B (instanceRef un12_res_I_179)) (portRef B (instanceRef un12_res_I_192)) )) (net (rename DWACT_FDEC_E_22 "DWACT_FDEC_E[22]") (joined (portRef Y (instanceRef un12_res_I_189)) (portRef C (instanceRef un12_res_I_192)) )) (net N_31 (joined (portRef Y (instanceRef un12_res_I_185)) (portRef A (instanceRef un12_res_I_186)) )) (net (rename DWACT_FDEC_E_21 "DWACT_FDEC_E[21]") (joined (portRef Y (instanceRef un12_res_I_179)) (portRef C (instanceRef un12_res_I_185)) )) (net (rename DWACT_FDEC_E_9 "DWACT_FDEC_E[9]") (joined (portRef Y (instanceRef un12_res_I_87)) (portRef C (instanceRef un12_res_I_90)) (portRef B (instanceRef un12_res_I_94)) (portRef B (instanceRef un12_res_I_118)) (portRef B (instanceRef un12_res_I_182)) )) (net (rename DWACT_FDEC_E_12 "DWACT_FDEC_E[12]") (joined (portRef Y (instanceRef un12_res_I_108)) (portRef C (instanceRef un12_res_I_114)) (portRef C (instanceRef un12_res_I_118)) (portRef C (instanceRef un12_res_I_182)) )) (net (rename DWACT_FDEC_E_20 "DWACT_FDEC_E[20]") (joined (portRef Y (instanceRef un12_res_I_176)) (portRef C (instanceRef un12_res_I_179)) )) (net N_40 (joined (portRef Y (instanceRef un12_res_I_172)) (portRef A (instanceRef un12_res_I_173)) )) (net (rename DWACT_FDEC_E_13 "DWACT_FDEC_E[13]") (joined (portRef Y (instanceRef un12_res_I_118)) (portRef B (instanceRef un12_res_I_121)) (portRef B (instanceRef un12_res_I_128)) (portRef B (instanceRef un12_res_I_135)) (portRef B (instanceRef un12_res_I_142)) (portRef B (instanceRef un12_res_I_155)) (portRef B (instanceRef un12_res_I_165)) (portRef B (instanceRef un12_res_I_172)) )) (net (rename DWACT_FDEC_E_19 "DWACT_FDEC_E[19]") (joined (portRef Y (instanceRef un12_res_I_169)) (portRef C (instanceRef un12_res_I_172)) )) (net N_45 (joined (portRef Y (instanceRef un12_res_I_165)) (portRef A (instanceRef un12_res_I_166)) )) (net (rename DWACT_FDEC_E_18 "DWACT_FDEC_E[18]") (joined (portRef Y (instanceRef un12_res_I_162)) (portRef C (instanceRef un12_res_I_165)) )) (net N_52 (joined (portRef Y (instanceRef un12_res_I_155)) (portRef A (instanceRef un12_res_I_156)) )) (net (rename DWACT_FDEC_E_33 "DWACT_FDEC_E[33]") (joined (portRef Y (instanceRef un12_res_I_146)) (portRef C (instanceRef un12_res_I_155)) )) (net (rename DWACT_FDEC_E_34 "DWACT_FDEC_E[34]") (joined (portRef Y (instanceRef un12_res_I_149)) (portRef A (instanceRef un12_res_I_152)) )) (net (rename DWACT_FDEC_E_2 "DWACT_FDEC_E[2]") (joined (portRef Y (instanceRef un12_res_I_34)) (portRef B (instanceRef un12_res_I_37)) (portRef B (instanceRef un12_res_I_44)) (portRef B (instanceRef un12_res_I_48)) (portRef B (instanceRef un12_res_I_62)) (portRef B (instanceRef un12_res_I_111)) (portRef B (instanceRef un12_res_I_152)) )) (net (rename DWACT_FDEC_E_5 "DWACT_FDEC_E[5]") (joined (portRef Y (instanceRef un12_res_I_59)) (portRef C (instanceRef un12_res_I_62)) (portRef C (instanceRef un12_res_I_111)) (portRef C (instanceRef un12_res_I_152)) )) (net N_61 (joined (portRef Y (instanceRef un12_res_I_142)) (portRef A (instanceRef un12_res_I_143)) )) (net (rename DWACT_FDEC_E_28 "DWACT_FDEC_E[28]") (joined (portRef Y (instanceRef un12_res_I_111)) (portRef A (instanceRef un12_res_I_114)) (portRef A (instanceRef un12_res_I_121)) (portRef A (instanceRef un12_res_I_128)) (portRef A (instanceRef un12_res_I_135)) (portRef A (instanceRef un12_res_I_142)) )) (net (rename DWACT_FDEC_E_16 "DWACT_FDEC_E[16]") (joined (portRef Y (instanceRef un12_res_I_139)) (portRef C (instanceRef un12_res_I_142)) )) (net N_66 (joined (portRef Y (instanceRef un12_res_I_135)) (portRef A (instanceRef un12_res_I_136)) )) (net N_71 (joined (portRef Y (instanceRef un12_res_I_128)) (portRef A (instanceRef un12_res_I_129)) )) (net (rename DWACT_FDEC_E_14 "DWACT_FDEC_E[14]") (joined (portRef Y (instanceRef un12_res_I_125)) (portRef C (instanceRef un12_res_I_128)) )) (net N_76 (joined (portRef Y (instanceRef un12_res_I_121)) (portRef A (instanceRef un12_res_I_122)) )) (net N_81 (joined (portRef Y (instanceRef un12_res_I_114)) (portRef A (instanceRef un12_res_I_115)) )) (net (rename DWACT_FDEC_E_10 "DWACT_FDEC_E[10]") (joined (portRef Y (instanceRef un12_res_I_94)) (portRef B (instanceRef un12_res_I_97)) (portRef B (instanceRef un12_res_I_104)) (portRef B (instanceRef un12_res_I_114)) )) (net N_88 (joined (portRef Y (instanceRef un12_res_I_104)) (portRef A (instanceRef un12_res_I_105)) )) (net (rename DWACT_FDEC_E_11 "DWACT_FDEC_E[11]") (joined (portRef Y (instanceRef un12_res_I_101)) (portRef C (instanceRef un12_res_I_104)) )) (net N_93 (joined (portRef Y (instanceRef un12_res_I_97)) (portRef A (instanceRef un12_res_I_98)) )) (net N_98 (joined (portRef Y (instanceRef un12_res_I_90)) (portRef A (instanceRef un12_res_I_91)) )) (net N_103 (joined (portRef Y (instanceRef un12_res_I_83)) (portRef A (instanceRef un12_res_I_84)) )) (net (rename DWACT_FDEC_E_8 "DWACT_FDEC_E[8]") (joined (portRef Y (instanceRef un12_res_I_80)) (portRef C (instanceRef un12_res_I_83)) )) (net N_108 (joined (portRef Y (instanceRef un12_res_I_76)) (portRef A (instanceRef un12_res_I_77)) )) (net N_116 (joined (portRef Y (instanceRef un12_res_I_65)) (portRef A (instanceRef un12_res_I_66)) )) (net N_123 (joined (portRef Y (instanceRef un12_res_I_55)) (portRef A (instanceRef un12_res_I_56)) )) (net (rename DWACT_FDEC_E_3 "DWACT_FDEC_E[3]") (joined (portRef Y (instanceRef un12_res_I_41)) (portRef C (instanceRef un12_res_I_44)) (portRef C (instanceRef un12_res_I_48)) )) (net N_131 (joined (portRef Y (instanceRef un12_res_I_44)) (portRef A (instanceRef un12_res_I_45)) )) (net N_136 (joined (portRef Y (instanceRef un12_res_I_37)) (portRef A (instanceRef un12_res_I_38)) )) (net N_141 (joined (portRef Y (instanceRef un12_res_I_30)) (portRef A (instanceRef un12_res_I_31)) )) (net (rename DWACT_FDEC_E_1 "DWACT_FDEC_E[1]") (joined (portRef Y (instanceRef un12_res_I_27)) (portRef B (instanceRef un12_res_I_30)) )) (net N_146 (joined (portRef Y (instanceRef un12_res_I_23)) (portRef A (instanceRef un12_res_I_24)) )) (net N_154 (joined (portRef Y (instanceRef un12_res_I_12)) (portRef A (instanceRef un12_res_I_13)) )) (net (rename DWACT_FDEC_E_0_3 "DWACT_FDEC_E_0[3]") (joined (portRef Y (instanceRef un6_scaler_I_41)) (portRef A (instanceRef un6_scaler_I_44)) )) (net (rename DWACT_FDEC_E_0_2 "DWACT_FDEC_E_0[2]") (joined (portRef Y (instanceRef un6_scaler_I_34)) (portRef B (instanceRef un6_scaler_I_37)) (portRef B (instanceRef un6_scaler_I_44)) )) (net N_9_0 (joined (portRef Y (instanceRef un6_scaler_I_37)) (portRef A (instanceRef un6_scaler_I_38)) )) (net N_14_0 (joined (portRef Y (instanceRef un6_scaler_I_30)) (portRef A (instanceRef un6_scaler_I_31)) )) (net (rename DWACT_FDEC_E_0_1 "DWACT_FDEC_E_0[1]") (joined (portRef Y (instanceRef un6_scaler_I_27)) (portRef B (instanceRef un6_scaler_I_30)) )) (net N_19_0 (joined (portRef Y (instanceRef un6_scaler_I_23)) (portRef A (instanceRef un6_scaler_I_24)) )) (net N_27 (joined (portRef Y (instanceRef un6_scaler_I_12)) (portRef A (instanceRef un6_scaler_I_13)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property pindex (integer 3)) (property paddr (integer 3)) (property pmask (integer 4095)) (property pirq (integer 8)) (property sepirq (integer 1)) (property sbits (integer 8)) (property ntimers (integer 2)) (property nbits (integer 32)) (property wdog (integer 0)) (property ewdogen (integer 0)) ) ) (cell ahbuart (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename haddr "haddr[31:0]") 32) (direction OUTPUT)) (port hrdata_6 (direction INPUT)) (port hrdata_3 (direction INPUT)) (port hrdata_5 (direction INPUT)) (port hrdata_22 (direction INPUT)) (port hrdata_1 (direction INPUT)) (port hrdata_10 (direction INPUT)) (port hrdata_9 (direction INPUT)) (port hrdata_8 (direction INPUT)) (port hrdata_7 (direction INPUT)) (port hrdata_26 (direction INPUT)) (port hrdata_20 (direction INPUT)) (port hrdata_19 (direction INPUT)) (port hrdata_18 (direction INPUT)) (port hrdata_17 (direction INPUT)) (port hrdata_15 (direction INPUT)) (port hrdata_0 (direction INPUT)) (port hrdata_2 (direction INPUT)) (port dmao_i_1_31 (direction INPUT)) (port dmao_i_1_14 (direction INPUT)) (port dmao_i_1_17 (direction INPUT)) (port dmao_i_1_30 (direction INPUT)) (port dmao_i_1_29 (direction INPUT)) (port dmao_i_1_28 (direction INPUT)) (port dmao_i_1_26 (direction INPUT)) (port dmao_i_1_25 (direction INPUT)) (port dmao_i_1_24 (direction INPUT)) (port dmao_i_1_22 (direction INPUT)) (port dmao_i_1_15 (direction INPUT)) (port dmao_i_1_0 (direction INPUT)) (port (array (rename hrdatas_rnio2l41 "hrdatas_RNIO2L41[5:5]") 1) (direction INPUT)) (port (array (rename pwdata_0 "pwdata_0[5:1]") 5) (direction INPUT)) (port pwdata_15 (direction INPUT)) (port pwdata_14 (direction INPUT)) (port pwdata_6 (direction INPUT)) (port pwdata_16 (direction INPUT)) (port pwdata_17 (direction INPUT)) (port pwdata_0_d0 (direction INPUT)) (port pwdata_7 (direction INPUT)) (port pwdata_10 (direction INPUT)) (port pwdata_11 (direction INPUT)) (port pwdata_12 (direction INPUT)) (port pwdata_8 (direction INPUT)) (port pwdata_9 (direction INPUT)) (port pwdata_13 (direction INPUT)) (port pwdata_4 (direction INPUT)) (port pwdata_5 (direction INPUT)) (port pwdata_3 (direction INPUT)) (port pwdata_2 (direction INPUT)) (port un1_dcom0_10 (direction OUTPUT)) (port un1_dcom0_8 (direction OUTPUT)) (port un1_dcom0_7 (direction OUTPUT)) (port un1_dcom0_0 (direction OUTPUT)) (port un1_dcom0_5 (direction OUTPUT)) (port un1_dcom0_6 (direction OUTPUT)) (port un1_dcom0_9 (direction OUTPUT)) (port un1_dcom0_11 (direction OUTPUT)) (port un1_dcom0_12 (direction OUTPUT)) (port (array (rename paddr_0 "paddr_0[3:3]") 1) (direction INPUT)) (port (array (rename prdata "prdata[2:0]") 3) (direction OUTPUT)) (port (array (rename paddr "paddr[3:3]") 1) (direction INPUT)) (port (array (rename paddr_2 "paddr_2[2:2]") 1) (direction INPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction OUTPUT)) (port (array (rename rxf "rxf[1:0]") 2) (direction INOUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction INPUT)) (port (array (rename htrans "htrans[1:1]") 1) (direction OUTPUT)) (port (array (rename iosn "iosn[93:93]") 1) (direction INPUT)) (port (array (rename hgrant "hgrant[1:1]") 1) (direction INPUT)) (port hwrite (direction OUTPUT)) (port cfgsel_RNIFO4S1 (direction INPUT)) (port cfgsel_RNILM2N1 (direction INPUT)) (port N_327_0 (direction INPUT)) (port un1_apbi_0 (direction INPUT)) (port N_343 (direction INPUT)) (port brate_1_sqmuxa_1_0 (direction OUTPUT)) (port readdata57_1 (direction INPUT)) (port N_897 (direction INPUT)) (port N_323 (direction OUTPUT)) (port N_327 (direction OUTPUT)) (port N_328 (direction OUTPUT)) (port N_324 (direction OUTPUT)) (port N_325 (direction OUTPUT)) (port un1_rdata23 (direction OUTPUT)) (port ahbtxd_c (direction OUTPUT)) (port N_326 (direction OUTPUT)) (port rstn (direction INPUT)) (port hbusreq_i_3 (direction OUTPUT)) (port ramclk (direction INPUT)) ) (contents (instance ahbmst0 (viewRef netlist (cellRef ahbmst)) (property devid (integer 7)) (property venid (integer 1)) (property hindex (integer 1)) ) (instance dcom_uart0 (viewRef netlist (cellRef dcom_uart)) (property pmask (integer 4095)) (property paddr (integer 4)) (property pindex (integer 4)) ) (instance dcom0 (viewRef netlist (cellRef dcom)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename hgrant_1 "hgrant[1]") (joined (portRef (member hgrant 0)) (portRef (member hgrant 0) (instanceRef ahbmst0)) )) (net (rename iosn_93 "iosn[93]") (joined (portRef (member iosn 0)) (portRef (member iosn 0) (instanceRef ahbmst0)) )) (net (rename htrans_1 "htrans[1]") (joined (portRef (member htrans 0) (instanceRef ahbmst0)) (portRef (member htrans 0)) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef (member iosn_0 0)) (portRef (member iosn_0 0) (instanceRef ahbmst0)) )) (net ready (joined (portRef ready (instanceRef ahbmst0)) (portRef ready (instanceRef dcom0)) )) (net active (joined (portRef active (instanceRef ahbmst0)) (portRef active (instanceRef dcom0)) )) (net (rename rxf_0 "rxf[0]") (joined (portRef (member rxf 1)) (portRef rxf_0 (instanceRef dcom_uart0)) )) (net (rename rxf_1 "rxf[1]") (joined (portRef rxf_1 (instanceRef dcom_uart0)) (portRef (member rxf 0)) )) (net (rename data_0 "data[0]") (joined (portRef (member data 7) (instanceRef dcom_uart0)) (portRef (member data 7) (instanceRef dcom0)) )) (net (rename data_1 "data[1]") (joined (portRef (member data 6) (instanceRef dcom_uart0)) (portRef (member data 6) (instanceRef dcom0)) )) (net (rename data_2 "data[2]") (joined (portRef (member data 5) (instanceRef dcom_uart0)) (portRef (member data 5) (instanceRef dcom0)) )) (net (rename data_3 "data[3]") (joined (portRef (member data 4) (instanceRef dcom_uart0)) (portRef (member data 4) (instanceRef dcom0)) )) (net (rename data_4 "data[4]") (joined (portRef (member data 3) (instanceRef dcom_uart0)) (portRef (member data 3) (instanceRef dcom0)) )) (net (rename data_5 "data[5]") (joined (portRef (member data 2) (instanceRef dcom_uart0)) (portRef (member data 2) (instanceRef dcom0)) )) (net (rename data_6 "data[6]") (joined (portRef (member data 1) (instanceRef dcom_uart0)) (portRef (member data 1) (instanceRef dcom0)) )) (net (rename data_7 "data[7]") (joined (portRef (member data 0) (instanceRef dcom_uart0)) (portRef (member data 0) (instanceRef dcom0)) )) (net (rename paddr_2_2 "paddr_2[2]") (joined (portRef (member paddr_2 0)) (portRef (member paddr_2 0) (instanceRef dcom_uart0)) )) (net (rename paddr_3 "paddr[3]") (joined (portRef (member paddr 0)) (portRef (member paddr 0) (instanceRef dcom_uart0)) )) (net (rename prdata_0 "prdata[0]") (joined (portRef (member prdata 2) (instanceRef dcom_uart0)) (portRef (member prdata 2)) )) (net (rename prdata_1 "prdata[1]") (joined (portRef (member prdata 1) (instanceRef dcom_uart0)) (portRef (member prdata 1)) )) (net (rename prdata_2 "prdata[2]") (joined (portRef (member prdata 0) (instanceRef dcom_uart0)) (portRef (member prdata 0)) )) (net (rename paddr_0_3 "paddr_0[3]") (joined (portRef (member paddr_0 0)) (portRef (member paddr_0 0) (instanceRef dcom_uart0)) )) (net (rename state_i_5 "state_i[5]") (joined (portRef (member state_i 0) (instanceRef dcom0)) (portRef (member state_i 0) (instanceRef dcom_uart0)) )) (net (rename un1_dcom0_12 "un1_dcom0[19]") (joined (portRef un1_dcom0_17 (instanceRef dcom_uart0)) (portRef un1_dcom0_12) )) (net (rename un1_dcom0_11 "un1_dcom0[18]") (joined (portRef un1_dcom0_16 (instanceRef dcom_uart0)) (portRef un1_dcom0_11) )) (net (rename un1_dcom0_9 "un1_dcom0[16]") (joined (portRef un1_dcom0_14 (instanceRef dcom_uart0)) (portRef un1_dcom0_9) )) (net (rename un1_dcom0_6 "un1_dcom0[13]") (joined (portRef un1_dcom0_11 (instanceRef dcom_uart0)) (portRef un1_dcom0_6) )) (net (rename un1_dcom0_5 "un1_dcom0[12]") (joined (portRef un1_dcom0_10 (instanceRef dcom_uart0)) (portRef un1_dcom0_5) )) (net (rename un1_dcom0_0 "un1_dcom0[7]") (joined (portRef un1_dcom0_5 (instanceRef dcom_uart0)) (portRef un1_dcom0_0) )) (net (rename un1_dcom0_7 "un1_dcom0[14]") (joined (portRef un1_dcom0_12 (instanceRef dcom_uart0)) (portRef un1_dcom0_7) )) (net (rename un1_dcom0_8 "un1_dcom0[15]") (joined (portRef un1_dcom0_13 (instanceRef dcom_uart0)) (portRef un1_dcom0_8) )) (net (rename un1_dcom0_10 "un1_dcom0[17]") (joined (portRef un1_dcom0_15 (instanceRef dcom_uart0)) (portRef un1_dcom0_10) )) (net (rename pwdata_2 "pwdata[2]") (joined (portRef pwdata_2) (portRef pwdata_2 (instanceRef dcom_uart0)) )) (net (rename pwdata_3 "pwdata[3]") (joined (portRef pwdata_3) (portRef pwdata_3 (instanceRef dcom_uart0)) )) (net (rename pwdata_5 "pwdata[5]") (joined (portRef pwdata_5) (portRef pwdata_5 (instanceRef dcom_uart0)) )) (net (rename pwdata_4 "pwdata[4]") (joined (portRef pwdata_4) (portRef pwdata_4 (instanceRef dcom_uart0)) )) (net (rename pwdata_13 "pwdata[13]") (joined (portRef pwdata_13) (portRef pwdata_13 (instanceRef dcom_uart0)) )) (net (rename pwdata_9 "pwdata[9]") (joined (portRef pwdata_9) (portRef pwdata_9 (instanceRef dcom_uart0)) )) (net (rename pwdata_8 "pwdata[8]") (joined (portRef pwdata_8) (portRef pwdata_8 (instanceRef dcom_uart0)) )) (net (rename pwdata_12 "pwdata[12]") (joined (portRef pwdata_12) (portRef pwdata_12 (instanceRef dcom_uart0)) )) (net (rename pwdata_11 "pwdata[11]") (joined (portRef pwdata_11) (portRef pwdata_11 (instanceRef dcom_uart0)) )) (net (rename pwdata_10 "pwdata[10]") (joined (portRef pwdata_10) (portRef pwdata_10 (instanceRef dcom_uart0)) )) (net (rename pwdata_7 "pwdata[7]") (joined (portRef pwdata_7) (portRef pwdata_7 (instanceRef dcom_uart0)) )) (net (rename pwdata_0_d0 "pwdata[0]") (joined (portRef pwdata_0_d0) (portRef pwdata_0_d0 (instanceRef dcom_uart0)) )) (net (rename pwdata_17 "pwdata[17]") (joined (portRef pwdata_17) (portRef pwdata_17 (instanceRef dcom_uart0)) )) (net (rename pwdata_16 "pwdata[16]") (joined (portRef pwdata_16) (portRef pwdata_16 (instanceRef dcom_uart0)) )) (net (rename pwdata_6 "pwdata[6]") (joined (portRef pwdata_6) (portRef pwdata_6 (instanceRef dcom_uart0)) )) (net (rename pwdata_14 "pwdata[14]") (joined (portRef pwdata_14) (portRef pwdata_14 (instanceRef dcom_uart0)) )) (net (rename pwdata_15 "pwdata[15]") (joined (portRef pwdata_15) (portRef pwdata_15 (instanceRef dcom_uart0)) )) (net (rename pwdata_0_1 "pwdata_0[1]") (joined (portRef (member pwdata_0 4)) (portRef (member pwdata_0 4) (instanceRef dcom_uart0)) )) (net (rename pwdata_0_2 "pwdata_0[2]") (joined (portRef (member pwdata_0 3)) (portRef (member pwdata_0 3) (instanceRef dcom_uart0)) )) (net (rename pwdata_0_3 "pwdata_0[3]") (joined (portRef (member pwdata_0 2)) (portRef (member pwdata_0 2) (instanceRef dcom_uart0)) )) (net (rename pwdata_0_4 "pwdata_0[4]") (joined (portRef (member pwdata_0 1)) (portRef (member pwdata_0 1) (instanceRef dcom_uart0)) )) (net (rename pwdata_0_5 "pwdata_0[5]") (joined (portRef (member pwdata_0 0)) (portRef (member pwdata_0 0) (instanceRef dcom_uart0)) )) (net (rename un1_dcom0_0_36 "un1_dcom0_0[36]") (joined (portRef (member un1_dcom0_0 0) (instanceRef dcom_uart0)) (portRef (member un1_dcom0_0 0) (instanceRef dcom0)) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef dcom0)) (portRef ramclk (instanceRef dcom_uart0)) (portRef ramclk (instanceRef ahbmst0)) )) (net N_326 (joined (portRef N_326 (instanceRef dcom_uart0)) (portRef N_326) )) (net ahbtxd_c (joined (portRef ahbtxd_c (instanceRef dcom_uart0)) (portRef ahbtxd_c) )) (net un1_rdata23 (joined (portRef un1_rdata23 (instanceRef dcom_uart0)) (portRef un1_rdata23) )) (net N_325 (joined (portRef N_325 (instanceRef dcom_uart0)) (portRef N_325) )) (net write (joined (portRef write (instanceRef dcom0)) (portRef write (instanceRef dcom_uart0)) )) (net thempty (joined (portRef thempty (instanceRef dcom_uart0)) (portRef thempty (instanceRef dcom0)) )) (net N_324 (joined (portRef N_324 (instanceRef dcom_uart0)) (portRef N_324) )) (net N_328 (joined (portRef N_328 (instanceRef dcom_uart0)) (portRef N_328) )) (net N_327 (joined (portRef N_327_0 (instanceRef dcom_uart0)) (portRef N_327) )) (net N_323 (joined (portRef N_323 (instanceRef dcom_uart0)) (portRef N_323) )) (net N_897 (joined (portRef N_897) (portRef N_897 (instanceRef dcom_uart0)) )) (net N_646 (joined (portRef N_646 (instanceRef dcom0)) (portRef N_646 (instanceRef dcom_uart0)) )) (net dready (joined (portRef dready (instanceRef dcom_uart0)) (portRef dready (instanceRef dcom0)) )) (net readdata57_1 (joined (portRef readdata57_1) (portRef readdata57_1 (instanceRef dcom_uart0)) )) (net rstn (joined (portRef rstn) (portRef rstn (instanceRef dcom0)) (portRef rstn (instanceRef dcom_uart0)) (portRef rstn (instanceRef ahbmst0)) )) (net brate_1_sqmuxa_1_0 (joined (portRef brate_1_sqmuxa_1_0 (instanceRef dcom_uart0)) (portRef brate_1_sqmuxa_1_0) )) (net N_343 (joined (portRef N_343) (portRef N_343 (instanceRef dcom_uart0)) )) (net un1_apbi_0 (joined (portRef un1_apbi_0) (portRef un1_apbi_0_0 (instanceRef dcom_uart0)) )) (net N_327_0 (joined (portRef N_327_0) (portRef N_327 (instanceRef dcom_uart0)) )) (net (rename hrdatas_RNIO2L41_5 "hrdatas_RNIO2L41[5]") (joined (portRef (member hrdatas_rnio2l41 0)) (portRef (member hrdatas_rnio2l41 0) (instanceRef dcom0)) )) (net (rename dmao_i_1_0 "dmao_i_1[15]") (joined (portRef dmao_i_1_0) (portRef dmao_i_1_0 (instanceRef dcom0)) )) (net (rename dmao_i_1_15 "dmao_i_1[30]") (joined (portRef dmao_i_1_15) (portRef dmao_i_1_15 (instanceRef dcom0)) )) (net (rename dmao_i_1_22 "dmao_i_1[37]") (joined (portRef dmao_i_1_22) (portRef dmao_i_1_22 (instanceRef dcom0)) )) (net (rename dmao_i_1_24 "dmao_i_1[39]") (joined (portRef dmao_i_1_24) (portRef dmao_i_1_24 (instanceRef dcom0)) )) (net (rename dmao_i_1_25 "dmao_i_1[40]") (joined (portRef dmao_i_1_25) (portRef dmao_i_1_25 (instanceRef dcom0)) )) (net (rename dmao_i_1_26 "dmao_i_1[41]") (joined (portRef dmao_i_1_26) (portRef dmao_i_1_26 (instanceRef dcom0)) )) (net (rename dmao_i_1_28 "dmao_i_1[43]") (joined (portRef dmao_i_1_28) (portRef dmao_i_1_28 (instanceRef dcom0)) )) (net (rename dmao_i_1_29 "dmao_i_1[44]") (joined (portRef dmao_i_1_29) (portRef dmao_i_1_29 (instanceRef dcom0)) )) (net (rename dmao_i_1_30 "dmao_i_1[45]") (joined (portRef dmao_i_1_30) (portRef dmao_i_1_30 (instanceRef dcom0)) )) (net (rename dmao_i_1_17 "dmao_i_1[32]") (joined (portRef dmao_i_1_17) (portRef dmao_i_1_17 (instanceRef dcom0)) )) (net (rename dmao_i_1_14 "dmao_i_1[29]") (joined (portRef dmao_i_1_14) (portRef dmao_i_1_14 (instanceRef dcom0)) )) (net (rename dmao_i_1_31 "dmao_i_1[46]") (joined (portRef dmao_i_1_31) (portRef dmao_i_1_31 (instanceRef dcom0)) )) (net (rename hwdata_0 "hwdata[0]") (joined (portRef (member hwdata 31) (instanceRef dcom0)) (portRef (member hwdata 31)) )) (net (rename hwdata_1 "hwdata[1]") (joined (portRef (member hwdata 30) (instanceRef dcom0)) (portRef (member hwdata 30)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef (member hwdata 29) (instanceRef dcom0)) (portRef (member hwdata 29)) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef (member hwdata 28) (instanceRef dcom0)) (portRef (member hwdata 28)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef (member hwdata 27) (instanceRef dcom0)) (portRef (member hwdata 27)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef (member hwdata 26) (instanceRef dcom0)) (portRef (member hwdata 26)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef (member hwdata 25) (instanceRef dcom0)) (portRef (member hwdata 25)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef (member hwdata 24) (instanceRef dcom0)) (portRef (member hwdata 24)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef (member hwdata 23) (instanceRef dcom0)) (portRef (member hwdata 23)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef (member hwdata 22) (instanceRef dcom0)) (portRef (member hwdata 22)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef (member hwdata 21) (instanceRef dcom0)) (portRef (member hwdata 21)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef (member hwdata 20) (instanceRef dcom0)) (portRef (member hwdata 20)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef (member hwdata 19) (instanceRef dcom0)) (portRef (member hwdata 19)) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef (member hwdata 18) (instanceRef dcom0)) (portRef (member hwdata 18)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef (member hwdata 17) (instanceRef dcom0)) (portRef (member hwdata 17)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef (member hwdata 16) (instanceRef dcom0)) (portRef (member hwdata 16)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef (member hwdata 15) (instanceRef dcom0)) (portRef (member hwdata 15)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef (member hwdata 14) (instanceRef dcom0)) (portRef (member hwdata 14)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef (member hwdata 13) (instanceRef dcom0)) (portRef (member hwdata 13)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef (member hwdata 12) (instanceRef dcom0)) (portRef (member hwdata 12)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef (member hwdata 11) (instanceRef dcom0)) (portRef (member hwdata 11)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef (member hwdata 10) (instanceRef dcom0)) (portRef (member hwdata 10)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef (member hwdata 9) (instanceRef dcom0)) (portRef (member hwdata 9)) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef (member hwdata 8) (instanceRef dcom0)) (portRef (member hwdata 8)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef (member hwdata 7) (instanceRef dcom0)) (portRef (member hwdata 7) (instanceRef dcom_uart0)) (portRef (member hwdata 7)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef (member hwdata 6) (instanceRef dcom0)) (portRef (member hwdata 6) (instanceRef dcom_uart0)) (portRef (member hwdata 6)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef (member hwdata 5) (instanceRef dcom0)) (portRef (member hwdata 5) (instanceRef dcom_uart0)) (portRef (member hwdata 5)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef (member hwdata 4) (instanceRef dcom0)) (portRef (member hwdata 4) (instanceRef dcom_uart0)) (portRef (member hwdata 4)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef (member hwdata 3) (instanceRef dcom0)) (portRef (member hwdata 3) (instanceRef dcom_uart0)) (portRef (member hwdata 3)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef (member hwdata 2) (instanceRef dcom0)) (portRef (member hwdata 2) (instanceRef dcom_uart0)) (portRef (member hwdata 2)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef (member hwdata 1) (instanceRef dcom0)) (portRef (member hwdata 1) (instanceRef dcom_uart0)) (portRef (member hwdata 1)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef (member hwdata 0) (instanceRef dcom0)) (portRef (member hwdata 0) (instanceRef dcom_uart0)) (portRef (member hwdata 0)) )) (net (rename hrdata_2 "hrdata[3]") (joined (portRef hrdata_2) (portRef hrdata_2 (instanceRef dcom0)) )) (net (rename hrdata_0 "hrdata[1]") (joined (portRef hrdata_0) (portRef hrdata_0 (instanceRef dcom0)) )) (net (rename hrdata_15 "hrdata[16]") (joined (portRef hrdata_15) (portRef hrdata_15 (instanceRef dcom0)) )) (net (rename hrdata_17 "hrdata[18]") (joined (portRef hrdata_17) (portRef hrdata_17 (instanceRef dcom0)) )) (net (rename hrdata_18 "hrdata[19]") (joined (portRef hrdata_18) (portRef hrdata_18 (instanceRef dcom0)) )) (net (rename hrdata_19 "hrdata[20]") (joined (portRef hrdata_19) (portRef hrdata_19 (instanceRef dcom0)) )) (net (rename hrdata_20 "hrdata[21]") (joined (portRef hrdata_20) (portRef hrdata_20 (instanceRef dcom0)) )) (net (rename hrdata_26 "hrdata[27]") (joined (portRef hrdata_26) (portRef hrdata_26 (instanceRef dcom0)) )) (net (rename hrdata_7 "hrdata[8]") (joined (portRef hrdata_7) (portRef hrdata_7 (instanceRef dcom0)) )) (net (rename hrdata_8 "hrdata[9]") (joined (portRef hrdata_8) (portRef hrdata_8 (instanceRef dcom0)) )) (net (rename hrdata_9 "hrdata[10]") (joined (portRef hrdata_9) (portRef hrdata_9 (instanceRef dcom0)) )) (net (rename hrdata_10 "hrdata[11]") (joined (portRef hrdata_10) (portRef hrdata_10 (instanceRef dcom0)) )) (net (rename hrdata_1 "hrdata[2]") (joined (portRef hrdata_1) (portRef hrdata_1 (instanceRef dcom0)) )) (net (rename hrdata_22 "hrdata[23]") (joined (portRef hrdata_22) (portRef hrdata_22 (instanceRef dcom0)) )) (net (rename hrdata_5 "hrdata[6]") (joined (portRef hrdata_5) (portRef hrdata_5 (instanceRef dcom0)) )) (net (rename hrdata_3 "hrdata[4]") (joined (portRef hrdata_3) (portRef hrdata_3 (instanceRef dcom0)) )) (net (rename hrdata_6 "hrdata[7]") (joined (portRef hrdata_6) (portRef hrdata_6 (instanceRef dcom0)) )) (net (rename haddr_0 "haddr[0]") (joined (portRef (member haddr 31) (instanceRef dcom0)) (portRef (member haddr 31)) )) (net (rename haddr_1 "haddr[1]") (joined (portRef (member haddr 30) (instanceRef dcom0)) (portRef (member haddr 30)) )) (net (rename haddr_2 "haddr[2]") (joined (portRef (member haddr 29) (instanceRef dcom0)) (portRef (member haddr 29)) )) (net (rename haddr_3 "haddr[3]") (joined (portRef (member haddr 28) (instanceRef dcom0)) (portRef (member haddr 28)) )) (net (rename haddr_4 "haddr[4]") (joined (portRef (member haddr 27) (instanceRef dcom0)) (portRef (member haddr 27)) )) (net (rename haddr_5 "haddr[5]") (joined (portRef (member haddr 26) (instanceRef dcom0)) (portRef (member haddr 26)) )) (net (rename haddr_6 "haddr[6]") (joined (portRef (member haddr 25) (instanceRef dcom0)) (portRef (member haddr 25)) )) (net (rename haddr_7 "haddr[7]") (joined (portRef (member haddr 24) (instanceRef dcom0)) (portRef (member haddr 24)) )) (net (rename haddr_8 "haddr[8]") (joined (portRef (member haddr 23) (instanceRef dcom0)) (portRef (member haddr 23)) )) (net (rename haddr_9 "haddr[9]") (joined (portRef (member haddr 22) (instanceRef dcom0)) (portRef (member haddr 22)) )) (net (rename haddr_10 "haddr[10]") (joined (portRef (member haddr 21) (instanceRef dcom0)) (portRef (member haddr 21)) )) (net (rename haddr_11 "haddr[11]") (joined (portRef (member haddr 20) (instanceRef dcom0)) (portRef (member haddr 20)) )) (net (rename haddr_12 "haddr[12]") (joined (portRef (member haddr 19) (instanceRef dcom0)) (portRef (member haddr 19)) )) (net (rename haddr_13 "haddr[13]") (joined (portRef (member haddr 18) (instanceRef dcom0)) (portRef (member haddr 18)) )) (net (rename haddr_14 "haddr[14]") (joined (portRef (member haddr 17) (instanceRef dcom0)) (portRef (member haddr 17)) )) (net (rename haddr_15 "haddr[15]") (joined (portRef (member haddr 16) (instanceRef dcom0)) (portRef (member haddr 16)) )) (net (rename haddr_16 "haddr[16]") (joined (portRef (member haddr 15) (instanceRef dcom0)) (portRef (member haddr 15)) )) (net (rename haddr_17 "haddr[17]") (joined (portRef (member haddr 14) (instanceRef dcom0)) (portRef (member haddr 14)) )) (net (rename haddr_18 "haddr[18]") (joined (portRef (member haddr 13) (instanceRef dcom0)) (portRef (member haddr 13)) )) (net (rename haddr_19 "haddr[19]") (joined (portRef (member haddr 12) (instanceRef dcom0)) (portRef (member haddr 12)) )) (net (rename haddr_20 "haddr[20]") (joined (portRef (member haddr 11) (instanceRef dcom0)) (portRef (member haddr 11)) )) (net (rename haddr_21 "haddr[21]") (joined (portRef (member haddr 10) (instanceRef dcom0)) (portRef (member haddr 10)) )) (net (rename haddr_22 "haddr[22]") (joined (portRef (member haddr 9) (instanceRef dcom0)) (portRef (member haddr 9)) )) (net (rename haddr_23 "haddr[23]") (joined (portRef (member haddr 8) (instanceRef dcom0)) (portRef (member haddr 8)) )) (net (rename haddr_24 "haddr[24]") (joined (portRef (member haddr 7) (instanceRef dcom0)) (portRef (member haddr 7)) )) (net (rename haddr_25 "haddr[25]") (joined (portRef (member haddr 6) (instanceRef dcom0)) (portRef (member haddr 6)) )) (net (rename haddr_26 "haddr[26]") (joined (portRef (member haddr 5) (instanceRef dcom0)) (portRef (member haddr 5)) )) (net (rename haddr_27 "haddr[27]") (joined (portRef (member haddr 4) (instanceRef dcom0)) (portRef (member haddr 4)) )) (net (rename haddr_28 "haddr[28]") (joined (portRef (member haddr 3) (instanceRef dcom0)) (portRef (member haddr 3)) )) (net (rename haddr_29 "haddr[29]") (joined (portRef (member haddr 2) (instanceRef dcom0)) (portRef (member haddr 2)) )) (net (rename haddr_30 "haddr[30]") (joined (portRef (member haddr 1) (instanceRef dcom0)) (portRef (member haddr 1)) )) (net (rename haddr_31 "haddr[31]") (joined (portRef (member haddr 0) (instanceRef dcom0)) (portRef (member haddr 0)) )) (net cfgsel_RNILM2N1 (joined (portRef cfgsel_RNILM2N1) (portRef cfgsel_RNILM2N1 (instanceRef dcom0)) )) (net cfgsel_RNIFO4S1 (joined (portRef cfgsel_RNIFO4S1) (portRef cfgsel_RNIFO4S1 (instanceRef dcom0)) )) (net hbusreq_i_3 (joined (portRef hbusreq_i_3 (instanceRef dcom0)) (portRef hbusreq_i_3 (instanceRef ahbmst0)) (portRef hbusreq_i_3) )) (net hwrite (joined (portRef hwrite (instanceRef dcom0)) (portRef hwrite) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property hindex (integer 1)) (property pindex (integer 4)) (property paddr (integer 4)) (property pmask (integer 4095)) ) ) (cell irqmp (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename irl_2 "irl_2[2:0]") 3) (direction OUTPUT)) (port ipend_m_0 (direction OUTPUT)) (port (array (rename irl_0 "irl_0[3:0]") 4) (direction INOUT)) (port prdata_0_iv_2_12 (direction OUTPUT)) (port prdata_3 (direction OUTPUT)) (port prdata_0 (direction OUTPUT)) (port paddr_1 (direction INPUT)) (port paddr_0_d0 (direction INPUT)) (port paddr_3 (direction INPUT)) (port paddr_4 (direction INPUT)) (port paddr_7 (direction INPUT)) (port paddr_5 (direction INPUT)) (port paddr_6 (direction INPUT)) (port (array (rename paddr_0 "paddr_0[3:2]") 2) (direction INPUT)) (port pirq_7 (direction INPUT)) (port pirq_0 (direction INPUT)) (port pirq_6 (direction INPUT)) (port (array (rename pwdata_0 "pwdata_0[5:1]") 5) (direction INPUT)) (port irl_3 (direction INPUT)) (port pwdata_4 (direction INPUT)) (port pwdata_1 (direction INPUT)) (port pwdata_0_d0 (direction INPUT)) (port pwdata_18 (direction INPUT)) (port pwdata_2 (direction INPUT)) (port pwdata_3 (direction INPUT)) (port pwdata_21 (direction INPUT)) (port pwdata_23 (direction INPUT)) (port pwdata_28 (direction INPUT)) (port pwdata_26 (direction INPUT)) (port pwdata_25 (direction INPUT)) (port pwdata_24 (direction INPUT)) (port pwdata_19 (direction INPUT)) (port pwdata_30 (direction INPUT)) (port pwdata_16 (direction INPUT)) (port pwdata_14 (direction INPUT)) (port pwdata_22 (direction INPUT)) (port pwdata_6 (direction INPUT)) (port pwdata_5 (direction INPUT)) (port pwdata_17 (direction INPUT)) (port pwdata_7 (direction INPUT)) (port pwdata_8 (direction INPUT)) (port pwdata_11 (direction INPUT)) (port pwdata_13 (direction INPUT)) (port pwdata_29 (direction INPUT)) (port pwdata_20 (direction INPUT)) (port pwdata_27 (direction INPUT)) (port pwdata_12 (direction INPUT)) (port pwdata_10 (direction INPUT)) (port pwdata_9 (direction INPUT)) (port prdata_0_iv_0_1_1 (direction OUTPUT)) (port prdata_0_iv_0_1_4 (direction OUTPUT)) (port prdata_0_iv_0_1_7 (direction OUTPUT)) (port prdata_0_iv_0_1_9 (direction OUTPUT)) (port prdata_0_iv_0_1_10 (direction OUTPUT)) (port prdata_0_iv_0_1_11 (direction OUTPUT)) (port prdata_0_iv_0_1_12 (direction OUTPUT)) (port prdata_0_iv_0_1_13 (direction OUTPUT)) (port prdata_0_iv_0_1_3 (direction OUTPUT)) (port prdata_0_iv_0_1_6 (direction OUTPUT)) (port prdata_0_iv_0_1_0 (direction OUTPUT)) (port prdata_0_iv_1_12 (direction OUTPUT)) (port prdata_0_iv_1_0 (direction OUTPUT)) (port ramclk (direction INPUT)) (port N_99 (direction OUTPUT)) (port N_103 (direction OUTPUT)) (port N_107 (direction OUTPUT)) (port N_111 (direction OUTPUT)) (port N_115 (direction OUTPUT)) (port N_119 (direction OUTPUT)) (port N_127 (direction OUTPUT)) (port N_135 (direction OUTPUT)) (port N_123 (direction OUTPUT)) (port N_131 (direction OUTPUT)) (port readdata55_3 (direction INPUT)) (port N_139 (direction OUTPUT)) (port N_897 (direction INPUT)) (port N_343 (direction INPUT)) (port rmw_1_sqmuxa_2 (direction INPUT)) (port N_35 (direction OUTPUT)) (port penable (direction INPUT)) (port pwrite (direction INPUT)) (port intack (direction INPUT)) (port rstn (direction INPUT)) (port readdata55_3_0 (direction INPUT)) ) (contents (instance (rename v_ipend_1_sqmuxa_i_o2_0_o2_0 "v.ipend_1_sqmuxa_i_o2_0_o2_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_v_iforce_0_6_sn_m2_0_0 "comb.v.iforce_0_6_sn_m2_0_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename prdata_0_iv_0_a2_0_1 "prdata_0_iv_0_a2_0[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename prdata_0_iv_2_3 "prdata_0_iv_2[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename prdata_0_iv_2_RNIGCFT_3 "prdata_0_iv_2_RNIGCFT[3]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNI3NCS_3 "r.ilevel_RNI3NCS[3]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename prdata_0_iv_0_a2_0_RNI0B7D_1 "prdata_0_iv_0_a2_0_RNI0B7D[1]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename prdata_0_iv_0_a2_0_RNIU1BT_1 "prdata_0_iv_0_a2_0_RNIU1BT[1]") (viewRef prim (cellRef AND2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_10 "r.ipend_RNO_0[10]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_10 "r.ipend_RNO_3[10]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_11 "r.ipend_RNO_0[11]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_11 "r.ipend_RNO_3[11]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_10 "r.iforce_0_RNO_0[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_11 "r.iforce_0_RNO_0[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_8 "r.iforce_0_RNO_0[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_9 "r.iforce_0_RNO_0[9]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_13 "r.ipend_RNO_0[13]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_13 "r.ipend_RNO_3[13]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_13 "r.iforce_0_RNO_1[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_12 "r.iforce_0_RNO_2[12]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_12 "r.iforce_0_RNO_1[12]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_5 "r.iforce_0_RNO_2[5]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_5 "r.iforce_0_RNO_0[5]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_14 "r.iforce_0_RNO_2[14]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_14 "r.iforce_0_RNO_1[14]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_2 "r.iforce_0_RNO_0[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_2 "r.iforce_0_RNO_1[2]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_8 "r.ipend_RNO_0[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_4 "r.iforce_0_RNO_1[4]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_6_8 "r.ipend_RNO_6[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_14 "r.ipend_RNO_1[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_14 "r.ipend_RNO_3[14]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_5 "r.ipend_RNO_1[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_5 "r.ipend_RNO_3[5]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_4 "r.ipend_RNO_1[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_4 "r.ipend_RNO_3[4]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_12 "r.ipend_RNO_1[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_12 "r.ipend_RNO_3[12]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_2 "r.ipend_RNO_0[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_4_2 "r.iforce_0_RNO_4[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ipend_RNO_4_3 "r.ipend_RNO_4[3]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_5 "r.ipend_RNO_0[5]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_14 "r.ipend_RNO_0[14]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_4 "r.ipend_RNO_0[4]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_12 "r.ipend_RNO_0[12]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_6_2 "r.ipend_RNO_6[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_9 "r.ipend_RNO_3[9]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_ipend_RNI49581_7 "r.ipend_RNI49581[7]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNIRS481_4 "r.ipend_RNIRS481[4]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_imask_0_RNICFB81_14 "r.imask_0_RNICFB81[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_imask_0_RNI97B81_13 "r.imask_0_RNI97B81[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_imask_0_RNI6VA81_12 "r.imask_0_RNI6VA81[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_imask_0_RNI3NA81_11 "r.imask_0_RNI3NA81[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_imask_0_RNI0FA81_10 "r.imask_0_RNI0FA81[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNI7D581_8 "r.ipend_RNI7D581[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNIU0581_5 "r.ipend_RNIU0581[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNILK481_2 "r.ipend_RNILK481[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_7_8 "r.ipend_RNO_7[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNIC6HC1_6 "r.ilevel_RNIC6HC1[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNIOODN1_9 "r.ilevel_RNIOODN1[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNI8IIJE_3 "r.ilevel_RNI8IIJE[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIOBIL7_11 "r.ilevel_RNIOBIL7[11]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename v_ipend_2_sqmuxa_i_o2_0 "v.ipend_2_sqmuxa_i_o2_0") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNIUOC41_15 "r.iforce_0_RNIUOC41[15]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename comb_un1_apbi_1_2 "comb.un1_apbi_1_2") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename comb_un1_apbi_1_1 "comb.un1_apbi_1_1") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_imask_0_RNID2B7_6 "r.imask_0_RNID2B7[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_2_0 "r.irl_0_RNO_2[0]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_6_0 "r.irl_0_RNO_6[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI22PP3_10 "r.ilevel_RNI22PP3[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNIOU831_14 "r.ilevel_RNIOU831[14]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_2_2 "r.irl_0_RNO_2[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_3_1 "r.irl_0_RNO_3[1]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNICKF62_10 "r.ilevel_RNICKF62[10]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNIKSGN_14 "r.ilevel_RNIKSGN[14]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNII59J1_15 "r.ilevel_RNII59J1[15]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNI6JG71_9 "r.ilevel_RNI6JG71[9]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI0KFN_11 "r.ilevel_RNI0KFN[11]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_1_3 "r.irl_0_RNO_1[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_5_2 "r.irl_0_RNO_5[2]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_1_1 "r.irl_0_RNO_1[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ilevel_RNICIHN1_8 "r.ilevel_RNICIHN1[8]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_5_0 "r.irl_0_RNO_5[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNIEK0G1_5 "r.ilevel_RNIEK0G1[5]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_ilevel_RNISHGN1_11 "r.ilevel_RNISHGN1[11]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_3_2 "r.irl_0_RNO_3[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_3_0 "r.irl_0_RNO_3[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNICTVV_4 "r.ilevel_RNICTVV[4]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance prdata_0_sqmuxa_0 (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_11_0 "r.irl_0_RNO_11[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNI6UUF_1 "r.ilevel_RNI6UUF[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIO4UV3_3 "r.ilevel_RNIO4UV3[3]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_1_0 "r.irl_0_RNO_1[0]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_ipend_RNILKNB2_6 "r.ipend_RNILKNB2[6]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_1 "r.ipend_RNO_1[1]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance prdata_0_sqmuxa (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename v_ipend_2_sqmuxa_i_o2 "v.ipend_2_sqmuxa_i_o2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2 "r.iforce_0_RNO[2]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2 "r.ipend_RNO[2]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_2 "r.ipend_RNO_2[2]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_14 "r.iforce_0_RNO[14]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_13 "r.iforce_0_RNO[13]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_11 "r.iforce_0_RNO[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_10 "r.iforce_0_RNO[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_9 "r.iforce_0_RNO[9]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_4 "r.iforce_0_RNO[4]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_13 "r.ipend_RNO[13]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_11 "r.ipend_RNO[11]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_10 "r.ipend_RNO[10]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNIE4032_9 "r.ipend_RNIE4032[9]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_12 "r.ipend_RNO[12]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_4 "r.ipend_RNO[4]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_5 "r.ipend_RNO[5]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_4_2 "r.ipend_RNO_4[2]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_2 "r.ipend_RNO_3[2]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_2 "r.ipend_RNO_1[2]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_15 "r.iforce_0_RNO[15]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_8 "r.iforce_0_RNO[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_7 "r.iforce_0_RNO[7]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_6 "r.iforce_0_RNO[6]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_5 "r.iforce_0_RNO[5]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3 "r.iforce_0_RNO[3]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1 "r.iforce_0_RNO[1]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_15 "r.ipend_RNO[15]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_7 "r.ipend_RNO[7]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_6 "r.ipend_RNO[6]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_3 "r.iforce_0_RNO_1[3]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_1 "r.iforce_0_RNO_1[1]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_4 "r.ipend_RNO_2[4]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_14 "r.ipend_RNO[14]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ilevel_RNIG4UV_1 "r.ilevel_RNIG4UV[1]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNI3N3G_6 "r.imask_0_RNI3N3G[6]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_un1_apbi_1 "comb.un1_apbi_1") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_9 "r.ipend_RNO[9]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_8 "r.ipend_RNO_1[8]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_8 "r.ipend_RNO_3[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_4_8 "r.ipend_RNO_4[8]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_8 "r.ipend_RNO_2[8]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_8 "r.ipend_RNO[8]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_3 "r.ipend_RNO_1[3]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3 "r.ipend_RNO[3]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIG60U6_3 "r.ilevel_RNIG60U6[3]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_7_0 "r.irl_0_RNO_7[0]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_9_0 "r.irl_0_RNO_9[0]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNI6D8J1_10 "r.ilevel_RNI6D8J1[10]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_12 "r.iforce_0_RNO[12]") (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNISBVC9_3 "r.ilevel_RNISBVC9[3]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_ilevel_RNIKAH63_15 "r.ilevel_RNIKAH63[15]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNI4UH0O_3 "r.ilevel_RNI4UH0O[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_0_2 "r.irl_0_RNO_0[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_0_3 "r.irl_0_RNO_0[3]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_0_0 "r.irl_0_RNO_0[0]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_0_1 "r.irl_0_RNO_0[1]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_1_2 "r.irl_0_RNO_1[2]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename r_ilevel_RNI2HOR_11 "r.ilevel_RNI2HOR[11]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNINBSL_15 "r.iforce_0_RNINBSL[15]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_imask_0_RNI9HVQ_9 "r.imask_0_RNI9HVQ[9]") (viewRef prim (cellRef AO1B (libraryRef PA3))) ) (instance (rename prdata_0_iv_2_RNO_3 "prdata_0_iv_2_RNO[3]") (viewRef prim (cellRef AOI1B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI3QRA_9 "r.iforce_0_RNI3QRA[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename prdata_0_iv_2_RNO_0_3 "prdata_0_iv_2_RNO_0[3]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI47D6_15 "r.iforce_0_RNI47D6[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNIG2B7_9 "r.imask_0_RNIG2B7[9]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNITFM6_15 "r.imask_0_RNITFM6[15]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_10_0 "r.irl_0_RNO_10[0]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_1 "r.imask_0_RNO_0[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_2 "r.imask_0_RNO_0[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_5 "r.imask_0_RNO_0[5]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_6 "r.imask_0_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_7 "r.imask_0_RNO_0[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_10 "r.imask_0_RNO_0[10]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_11 "r.imask_0_RNO_0[11]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_12 "r.imask_0_RNO_0[12]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_13 "r.imask_0_RNO_0[13]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_14 "r.imask_0_RNO_0[14]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_7 "r.ipend_RNO_2[7]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_7 "r.iforce_0_RNO_2[7]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_7 "r.ipend_RNO_3[7]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_7 "r.ipend_RNO_0[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNIUCUF_6 "r.iforce_0_RNIUCUF[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_7 "r.iforce_0_RNO_1[7]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIOKUV_3 "r.ilevel_RNIOKUV[3]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_ilevel_RNIA6VF_0_2 "r.ilevel_RNIA6VF_0[2]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNIO9NB_0_10 "r.ilevel_RNIO9NB_0[10]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNI42OB_0_13 "r.ilevel_RNI42OB_0[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI8LVV_4 "r.ilevel_RNI8LVV[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNIRRD6_10 "r.ipend_RNIRRD6[10]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ipend_RNITVD6_11 "r.ipend_RNITVD6[11]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ipend_RNI3CE6_14 "r.ipend_RNI3CE6[14]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ipend_RNI1DGB_2 "r.ipend_RNI1DGB[2]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_ipend_RNIAPGB_5 "r.ipend_RNIAPGB[5]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_imask_0_RNI2DN8_10 "r.imask_0_RNI2DN8[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_imask_0_RNIB5O8_13 "r.imask_0_RNIB5O8[13]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_1 "r.ipend_RNO_3[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_6 "r.ipend_RNO_2[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_15 "r.ipend_RNO_2[15]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_imask_0_RNIU8GB_1 "r.imask_0_RNIU8GB[1]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_ipend_RNI7LGB_4 "r.ipend_RNI7LGB[4]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_1 "r.iforce_0_RNO_3[1]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_15 "r.iforce_0_RNO_2[15]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_ilevel_RNIIMVF_4 "r.ilevel_RNIIMVF[4]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIMUVF_5 "r.ilevel_RNIMUVF[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNI8AOB_14 "r.ilevel_RNI8AOB[14]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_ilevel_RNIIMVF_0_4 "r.ilevel_RNIIMVF_0[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNIMUVF_0_5 "r.ilevel_RNIMUVF_0[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI8AOB_0_14 "r.ilevel_RNI8AOB_0[14]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_4_1 "r.ipend_RNO_4[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_6 "r.ipend_RNO_3[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_15 "r.ipend_RNO_3[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_1 "r.ipend_RNO_2[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_6 "r.ipend_RNO_0[6]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_15 "r.ipend_RNO_0[15]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_ipend_RNI3TSD_15 "r.ipend_RNI3TSD[15]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_15 "r.iforce_0_RNO_1[15]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIOL001_0_7 "r.ilevel_RNIOL001_0[7]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNI8LVV_0_4 "r.ilevel_RNI8LVV_0[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIUITF1_3 "r.ilevel_RNIUITF1[3]") (viewRef prim (cellRef AOI1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_1 "r.ipend_RNO_0[1]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1 "r.ipend_RNO[1]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance prdata_1_sqmuxa (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_4_5 "r.ipend_RNO_4[5]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_5 "r.ipend_RNO_2[5]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI0O2T_1 "r.iforce_0_RNI0O2T[1]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNI6EEC_1 "r.ilevel_RNI6EEC[1]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_imask_0_RNIUM3G_1 "r.imask_0_RNIUM3G[1]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename v_imask_0_1_sqmuxa_i "v.imask_0_1_sqmuxa_i") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename v_ilevel_0_sqmuxa_i "v.ilevel_0_sqmuxa_i") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename v_iforce_0_0_sqmuxa_i_o2 "v.iforce_0_0_sqmuxa_i_o2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNI8TN8_12 "r.imask_0_RNI8TN8[12]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_imask_0_RNIG1HB_7 "r.imask_0_RNIG1HB[7]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_imask_0_RNIDTGB_6 "r.imask_0_RNIDTGB[6]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ilevel_RNIA6VF_2 "r.ilevel_RNIA6VF[2]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIO9NB_10 "r.ilevel_RNIO9NB[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI42OB_13 "r.ilevel_RNI42OB[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ipend_RNO_4_4 "r.ipend_RNO_4[4]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_4_12 "r.ipend_RNO_4[12]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI1PUF_9 "r.iforce_0_RNI1PUF[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_prdata35_0_a2 "comb.prdata35_0_a2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_prdata34_0_a2 "comb.prdata34_0_a2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance prdata_2_sqmuxa_0_a2 (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename v_ipend_1_sqmuxa_i_o2_0_o2 "v.ipend_1_sqmuxa_i_o2_0_o2") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_prdata33_0_o2 "comb.prdata33_0_o2") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance prdata_1_sqmuxa_2_0_o2 (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_4 "r.iforce_0_RNO_3[4]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_9 "r.iforce_0_RNO_2[9]") (viewRef prim (cellRef MX2B (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_6 "r.ipend_RNO_1[6]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_7 "r.ipend_RNO_1[7]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_10 "r.ipend_RNO_1[10]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_10 "r.ipend_RNO_2[10]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_11 "r.ipend_RNO_1[11]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_11 "r.ipend_RNO_2[11]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_13 "r.ipend_RNO_1[13]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_13 "r.ipend_RNO_2[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_15 "r.ipend_RNO_1[15]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_4 "r.iforce_0_RNO_0[4]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_4 "r.iforce_0_RNO_2[4]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_5 "r.iforce_0_RNO_1[5]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_8 "r.iforce_0_RNO_2[8]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_9 "r.iforce_0_RNO_1[9]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_10 "r.iforce_0_RNO_2[10]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_10 "r.iforce_0_RNO_3[10]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_10 "r.iforce_0_RNO_1[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_11 "r.iforce_0_RNO_2[11]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_11 "r.iforce_0_RNO_3[11]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_11 "r.iforce_0_RNO_1[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_4_12 "r.iforce_0_RNO_4[12]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_13 "r.iforce_0_RNO_2[13]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_13 "r.iforce_0_RNO_3[13]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_13 "r.iforce_0_RNO_0[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_4_14 "r.iforce_0_RNO_4[14]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_14 "r.iforce_0_RNO_0[14]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_0_i_a2_0_1 "r.iforce_0_0_i_a2_0[1]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_iforce_0_0_i_a2_15 "r.iforce_0_0_i_a2[15]") (viewRef prim (cellRef OR3 (libraryRef PA3))) ) (instance (rename r_iforce_0_0_i_a2_2_13 "r.iforce_0_0_i_a2_2[13]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_iforce_0_0_i_a2_7 "r.iforce_0_0_i_a2[7]") (viewRef prim (cellRef NOR3 (libraryRef PA3))) ) (instance (rename r_iforce_0_0_i_a2_1_9 "r.iforce_0_0_i_a2_1[9]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_0_i_a2_6 "r.iforce_0_0_i_a2[6]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_0_i_a2_2_11 "r.iforce_0_0_i_a2_2[11]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_0_i_a2_2_10 "r.iforce_0_0_i_a2_2[10]") (viewRef prim (cellRef OR3B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_1 "r.imask_0_RNO[1]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_2 "r.imask_0_RNO[2]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_5 "r.imask_0_RNO[5]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_6 "r.imask_0_RNO[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_7 "r.imask_0_RNO[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_10 "r.imask_0_RNO[10]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_11 "r.imask_0_RNO[11]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_12 "r.imask_0_RNO[12]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_13 "r.imask_0_RNO[13]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_14 "r.imask_0_RNO[14]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_12 "r.iforce_0_RNO_3[12]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_14 "r.iforce_0_RNO_3[14]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_6 "r.iforce_0_RNO_0[6]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_7 "r.iforce_0_RNO_0[7]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_15 "r.iforce_0_RNO_0[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_1 "r.iforce_0_RNO_2[1]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_1 "r.iforce_0_RNO_0[1]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_8 "r.iforce_0_RNO_3[8]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_8 "r.iforce_0_RNO_1[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_4_8 "r.iforce_0_RNO_4[8]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_2 "r.iforce_0_RNO_2[2]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_2 "r.iforce_0_RNO_3[2]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_6 "r.iforce_0_RNO_2[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_4_5 "r.iforce_0_RNO_4[5]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename comb_v_iforce_0_6_i_a2_0_8 "comb.v.iforce_0_6_i_a2_0[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_1_6 "r.iforce_0_RNO_1[6]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_ipend_RNO_5_2 "r.ipend_RNO_5[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNIM2KJ_6 "r.iforce_0_RNIM2KJ[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_5 "r.iforce_0_RNO_3[5]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_14 "r.ipend_RNO_2[14]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_15 "r.imask_0_RNO[15]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNISHNB_11 "r.ilevel_RNISHNB[11]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI26GB_15 "r.iforce_0_RNI26GB[15]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIPLVA_15 "r.ilevel_RNIPLVA[15]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI0QNB_0_12 "r.ilevel_RNI0QNB_0[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI84GN_12 "r.ilevel_RNI84GN[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNI258J1_8 "r.ilevel_RNI258J1[8]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_8_0 "r.irl_0_RNO_8[0]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNISHNB_0_11 "r.ilevel_RNISHNB_0[11]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI4SFN_12 "r.ilevel_RNI4SFN[12]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_15 "r.imask_0_RNO_0[15]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_9 "r.imask_0_RNO[9]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNI6V0G_9 "r.ilevel_RNI6V0G[9]") (viewRef prim (cellRef NOR3B (libraryRef PA3))) ) (instance (rename r_imask_0_RNIHLO8_15 "r.imask_0_RNIHLO8[15]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_ipend_RNINHI8_9 "r.ipend_RNINHI8[9]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_4_2 "r.irl_0_RNO_4[2]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNI6V0G_0_9 "r.ilevel_RNI6V0G_0[9]") (viewRef prim (cellRef NOR3A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI0QNB_12 "r.ilevel_RNI0QNB[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_9 "r.imask_0_RNO_0[9]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_9 "r.ipend_RNO_2[9]") (viewRef prim (cellRef OA1C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_9 "r.ipend_RNO_0[9]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_ipend_RNO_1_9 "r.ipend_RNO_1[9]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_5_8 "r.ipend_RNO_5[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_8 "r.imask_0_RNO[8]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_8 "r.imask_0_RNO_0[8]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_4 "r.imask_0_RNO[4]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNI1N3G_4 "r.imask_0_RNI1N3G[4]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNI9QEC_4 "r.ilevel_RNI9QEC[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNIHBIB_4 "r.ipend_RNIHBIB[4]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI343T_4 "r.iforce_0_RNI343T[4]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_4 "r.imask_0_RNO_0[4]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_3 "r.imask_0_RNO[3]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_v_iforce_0_6_sn_m2_0 "comb.v.iforce_0_6_sn_m2_0") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_3 "r.iforce_0_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename comb_v_iforce_0_6_sn_m2_0_a2 "comb.v.iforce_0_6_sn_m2_0_a2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_2_3 "r.iforce_0_RNO_2[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNIR0UF_3 "r.iforce_0_RNIR0UF[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNIGBIB_3 "r.ipend_RNIGBIB[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI8MEC_3 "r.ilevel_RNI8MEC[3]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_0_3 "r.ipend_RNO_0[3]") (viewRef prim (cellRef MX2C (libraryRef PA3))) ) (instance (rename r_ipend_RNO_3_3 "r.ipend_RNO_3[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_3_3 "r.iforce_0_RNO_3[3]") (viewRef prim (cellRef AO1D (libraryRef PA3))) ) (instance (rename r_imask_0_RNI4HGB_3 "r.imask_0_RNI4HGB[3]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_3 "r.ipend_RNO_2[3]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_imask_0_RNO_0_3 "r.imask_0_RNO_0[3]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNI4SFN_0_12 "r.ilevel_RNI4SFN_0[12]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNIQ0OR_0_8 "r.ilevel_RNIQ0OR_0[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNI84GN_0_12 "r.ilevel_RNI84GN_0[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNIONUE1_10 "r.ilevel_RNIONUE1[10]") (viewRef prim (cellRef AO1C (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_2_1 "r.irl_0_RNO_2[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI411F4_11 "r.ilevel_RNI411F4[11]") (viewRef prim (cellRef OA1B (libraryRef PA3))) ) (instance (rename r_ilevel_RNIQ0OR_8 "r.ilevel_RNIQ0OR[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNI4M731_11 "r.ilevel_RNI4M731[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI258J1_0_8 "r.ilevel_RNI258J1_0[8]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_5_1 "r.irl_0_RNO_5[1]") (viewRef prim (cellRef OAI1 (libraryRef PA3))) ) (instance (rename r_ipend_RNO_2_12 "r.ipend_RNO_2[12]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNO_0_12 "r.iforce_0_RNO_0[12]") (viewRef prim (cellRef OR3A (libraryRef PA3))) ) (instance (rename r_ilevel_RNICIOB_15 "r.ilevel_RNICIOB[15]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI2N0G_8 "r.ilevel_RNI2N0G[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_4_0 "r.irl_0_RNO_4[0]") (viewRef prim (cellRef OA1A (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_6_2 "r.irl_0_RNO_6[2]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNI2N0G_0_8 "r.ilevel_RNI2N0G_0[8]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_3 "r.irl_0_RNO[3]") (viewRef prim (cellRef MX2A (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_2 "r.irl_0_RNO[2]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_1 "r.irl_0_RNO[1]") (viewRef prim (cellRef MX2 (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_0 "r.irl_0_RNO[0]") (viewRef prim (cellRef AO1 (libraryRef PA3))) ) (instance (rename r_irl_0_RNO_4_1 "r.irl_0_RNO_4[1]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIOL001_7 "r.ilevel_RNIOL001[7]") (viewRef prim (cellRef OR2 (libraryRef PA3))) ) (instance (rename r_ilevel_RNIOVUV2_3 "r.ilevel_RNIOVUV2[3]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename r_ilevel_RNI0B002_4 "r.ilevel_RNI0B002[4]") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI6G3T_7 "r.iforce_0_RNI6G3T[7]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ipend_RNIKBIB_7 "r.ipend_RNIKBIB[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIC6FC_7 "r.ilevel_RNIC6FC[7]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_imask_0_RNI4N3G_7 "r.imask_0_RNI4N3G[7]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNIUE0G_0_7 "r.ilevel_RNIUE0G_0[7]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNIJ5HB_8 "r.ipend_RNIJ5HB[8]") (viewRef prim (cellRef OA1 (libraryRef PA3))) ) (instance (rename r_ilevel_RNIUE0G_7 "r.ilevel_RNIUE0G[7]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename comb_0_decode_un8_res_4_0_0_a2_0_a2_1_0_a2 "comb.0.decode.un8_res_4_0_0_a2_0_a2_1_0_a2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_0_decode_un8_res_1_0_2_a2_0_a2_0_a2 "comb.0.decode.un8_res_1_0_2_a2_0_a2_0_a2") (viewRef prim (cellRef NOR2 (libraryRef PA3))) ) (instance (rename comb_0_decode_un8_res_10_1_0_a2_0_a2_0_a2 "comb.0.decode.un8_res_10_1_0_a2_0_a2_0_a2") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename comb_0_decode_un8_res_4_0_0_a2_0_a2_0 "comb.0.decode.un8_res_4_0_0_a2_0_a2_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename comb_0_decode_un8_res_1_0_0_a2_0 "comb.0.decode.un8_res_1_0_0_a2_0") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNO_4_14 "r.ipend_RNO_4[14]") (viewRef prim (cellRef AO1A (libraryRef PA3))) ) (instance (rename comb_0_decode_un8_res_11_1_i_o2 "comb.0.decode.un8_res_11_1_i_o2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename comb_0_decode_un8_res_12_2_i_o2 "comb.0.decode.un8_res_12_2_i_o2") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNIVM3G_2 "r.imask_0_RNIVM3G[2]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNI7IEC_2 "r.ilevel_RNI7IEC[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNIFBIB_2 "r.ipend_RNIFBIB[2]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI1S2T_2 "r.iforce_0_RNI1S2T[2]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNI2N3G_5 "r.imask_0_RNI2N3G[5]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNIAUEC_5 "r.ilevel_RNIAUEC[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNIIBIB_5 "r.ipend_RNIIBIB[5]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI483T_5 "r.iforce_0_RNI483T[5]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNI5N3G_8 "r.imask_0_RNI5N3G[8]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNIDAFC_8 "r.ilevel_RNIDAFC[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNILBIB_8 "r.ipend_RNILBIB[8]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI7K3T_8 "r.iforce_0_RNI7K3T[8]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNIEGEF_10 "r.imask_0_RNIEGEF[10]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNIKLVA_10 "r.ilevel_RNIKLVA[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNIU8SD_10 "r.ipend_RNIU8SD[10]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI45LO_10 "r.iforce_0_RNI45LO[10]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNIFKEF_11 "r.imask_0_RNIFKEF[11]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNILLVA_11 "r.ilevel_RNILLVA[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNIVCSD_11 "r.ipend_RNIVCSD[11]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI55LO_11 "r.iforce_0_RNI55LO[11]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNIGOEF_12 "r.imask_0_RNIGOEF[12]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNIMLVA_12 "r.ilevel_RNIMLVA[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNI0HSD_12 "r.ipend_RNI0HSD[12]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI65LO_12 "r.iforce_0_RNI65LO[12]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNIHSEF_13 "r.imask_0_RNIHSEF[13]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNINLVA_13 "r.ilevel_RNINLVA[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNI1LSD_13 "r.ipend_RNI1LSD[13]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI75LO_13 "r.iforce_0_RNI75LO[13]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_imask_0_RNII0FF_14 "r.imask_0_RNII0FF[14]") (viewRef prim (cellRef OR3C (libraryRef PA3))) ) (instance (rename r_ilevel_RNIOLVA_14 "r.ilevel_RNIOLVA[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNI2PSD_14 "r.ipend_RNI2PSD[14]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_iforce_0_RNI85LO_14 "r.iforce_0_RNI85LO[14]") (viewRef prim (cellRef OR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNIQ60G_6 "r.ilevel_RNIQ60G[6]") (viewRef prim (cellRef NOR2B (libraryRef PA3))) ) (instance (rename r_ilevel_RNIEEFC_9 "r.ilevel_RNIEEFC[9]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIQ60G_0_6 "r.ilevel_RNIQ60G_0[6]") (viewRef prim (cellRef NOR2A (libraryRef PA3))) ) (instance (rename r_ipend_RNIJBIB_6 "r.ipend_RNIJBIB[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_RNIB2FC_6 "r.ilevel_RNIB2FC[6]") (viewRef prim (cellRef OR2A (libraryRef PA3))) ) (instance (rename r_ilevel_1 "r.ilevel[1]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_2 "r.ilevel[2]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_3 "r.ilevel[3]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_4 "r.ilevel[4]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_5 "r.ilevel[5]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_6 "r.ilevel[6]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_7 "r.ilevel[7]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_8 "r.ilevel[8]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_9 "r.ilevel[9]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_10 "r.ilevel[10]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_11 "r.ilevel[11]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_12 "r.ilevel[12]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_13 "r.ilevel[13]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_14 "r.ilevel[14]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_ilevel_15 "r.ilevel[15]") (viewRef prim (cellRef DFN1E0 (libraryRef PA3))) ) (instance (rename r_imask_0_1 "r.imask_0[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_2 "r.imask_0[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_3 "r.imask_0[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_4 "r.imask_0[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_5 "r.imask_0[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_6 "r.imask_0[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_7 "r.imask_0[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_8 "r.imask_0[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_9 "r.imask_0[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_10 "r.imask_0[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_11 "r.imask_0[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_12 "r.imask_0[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_13 "r.imask_0[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_14 "r.imask_0[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_imask_0_15 "r.imask_0[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_1 "r.ipend[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_2 "r.ipend[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_3 "r.ipend[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_4 "r.ipend[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_5 "r.ipend[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_6 "r.ipend[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_7 "r.ipend[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_8 "r.ipend[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_9 "r.ipend[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_10 "r.ipend[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_11 "r.ipend[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_12 "r.ipend[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_13 "r.ipend[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_14 "r.ipend[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_ipend_15 "r.ipend[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_1 "r.iforce_0[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_2 "r.iforce_0[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_3 "r.iforce_0[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_4 "r.iforce_0[4]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_5 "r.iforce_0[5]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_6 "r.iforce_0[6]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_7 "r.iforce_0[7]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_8 "r.iforce_0[8]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_9 "r.iforce_0[9]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_10 "r.iforce_0[10]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_11 "r.iforce_0[11]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_12 "r.iforce_0[12]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_13 "r.iforce_0[13]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_14 "r.iforce_0[14]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_iforce_0_15 "r.iforce_0[15]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_irl_0_0 "r.irl_0[0]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_irl_0_1 "r.irl_0[1]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_irl_0_2 "r.irl_0[2]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename r_irl_0_3 "r.irl_0[3]") (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net N_56_0 (joined (portRef Y (instanceRef v_ipend_1_sqmuxa_i_o2_0_o2_0)) (portRef A (instanceRef r_ipend_RNO_2_5)) (portRef A (instanceRef r_ipend_RNO_4_5)) (portRef S (instanceRef r_ipend_RNO_3_15)) (portRef S (instanceRef r_ipend_RNO_3_6)) (portRef S (instanceRef r_ipend_RNO_4_1)) (portRef S (instanceRef r_ipend_RNO_3_7)) (portRef C (instanceRef r_ipend_RNO_4_8)) (portRef C (instanceRef r_ipend_RNO_2_4)) (portRef C (instanceRef r_ipend_RNO_4_2)) (portRef A (instanceRef r_ipend_RNO_3_9)) (portRef B (instanceRef r_ipend_RNO_0_13)) (portRef B (instanceRef r_ipend_RNO_0_11)) (portRef B (instanceRef r_ipend_RNO_0_10)) )) (net un1_apbi_1 (joined (portRef Y (instanceRef comb_un1_apbi_1)) (portRef B (instanceRef comb_v_iforce_0_6_sn_m2_0)) (portRef A (instanceRef v_ipend_1_sqmuxa_i_o2_0_o2)) (portRef A (instanceRef v_ilevel_0_sqmuxa_i)) (portRef C (instanceRef v_imask_0_1_sqmuxa_i)) (portRef B (instanceRef v_ipend_2_sqmuxa_i_o2)) (portRef B (instanceRef comb_v_iforce_0_6_sn_m2_0_0)) (portRef A (instanceRef v_ipend_1_sqmuxa_i_o2_0_o2_0)) )) (net (rename prdata_1_sqmuxaZ0 "prdata_1_sqmuxa") (joined (portRef Y (instanceRef prdata_1_sqmuxa)) (portRef B (instanceRef r_ipend_RNIJBIB_6)) (portRef B (instanceRef r_ipend_RNI2PSD_14)) (portRef B (instanceRef r_ipend_RNI1LSD_13)) (portRef B (instanceRef r_ipend_RNI0HSD_12)) (portRef B (instanceRef r_ipend_RNIVCSD_11)) (portRef B (instanceRef r_ipend_RNIU8SD_10)) (portRef B (instanceRef r_ipend_RNILBIB_8)) (portRef B (instanceRef r_ipend_RNIIBIB_5)) (portRef B (instanceRef r_ipend_RNIFBIB_2)) (portRef B (instanceRef r_ipend_RNIKBIB_7)) (portRef B (instanceRef r_ipend_RNIGBIB_3)) (portRef B (instanceRef r_ipend_RNIHBIB_4)) (portRef B (instanceRef v_ipend_1_sqmuxa_i_o2_0_o2)) (portRef B (instanceRef r_ipend_RNI3TSD_15)) (portRef A (instanceRef r_ipend_RNIE4032_9)) (portRef B (instanceRef prdata_0_iv_0_a2_0_1)) (portRef B (instanceRef v_ipend_1_sqmuxa_i_o2_0_o2_0)) )) (net N_340_0 (joined (portRef Y (instanceRef comb_v_iforce_0_6_sn_m2_0_0)) (portRef B (instanceRef r_iforce_0_RNO_3_12)) (portRef B (instanceRef r_iforce_0_RNO_4_14)) (portRef B (instanceRef r_iforce_0_RNO_3_13)) (portRef A (instanceRef r_iforce_0_RNO_2_13)) (portRef B (instanceRef r_iforce_0_RNO_4_12)) (portRef B (instanceRef r_iforce_0_RNO_3_11)) (portRef A (instanceRef r_iforce_0_RNO_2_11)) (portRef B (instanceRef r_iforce_0_RNO_3_10)) (portRef A (instanceRef r_iforce_0_RNO_2_10)) (portRef A (instanceRef r_iforce_0_RNO_1_9)) (portRef B (instanceRef r_iforce_0_RNO_0_4)) (portRef A (instanceRef r_iforce_0_RNO_1_4)) (portRef B (instanceRef r_iforce_0_RNO_0_9)) )) (net iforce_0_6_sn_N_6_i_0 (joined (portRef Y (instanceRef comb_v_iforce_0_6_sn_m2_0_a2)) (portRef B (instanceRef r_iforce_0_RNI85LO_14)) (portRef B (instanceRef r_iforce_0_RNI75LO_13)) (portRef B (instanceRef r_iforce_0_RNI65LO_12)) (portRef B (instanceRef r_iforce_0_RNI55LO_11)) (portRef B (instanceRef r_iforce_0_RNI45LO_10)) (portRef B (instanceRef r_iforce_0_RNI7K3T_8)) (portRef B (instanceRef r_iforce_0_RNI483T_5)) (portRef B (instanceRef r_iforce_0_RNI1S2T_2)) (portRef B (instanceRef r_iforce_0_RNI6G3T_7)) (portRef A (instanceRef comb_v_iforce_0_6_sn_m2_0)) (portRef B (instanceRef r_iforce_0_RNI343T_4)) (portRef B (instanceRef r_iforce_0_RNI0O2T_1)) (portRef A (instanceRef comb_v_iforce_0_6_sn_m2_0_0)) )) (net N_140 (joined (portRef Y (instanceRef prdata_0_iv_0_a2_0_1)) (portRef A (instanceRef prdata_0_iv_0_a2_0_RNI0B7D_1)) )) (net (rename ipend_1 "ipend[1]") (joined (portRef Q (instanceRef r_ipend_1)) (portRef B (instanceRef r_ipend_RNO_4_1)) (portRef B (instanceRef r_imask_0_RNIU8GB_1)) (portRef A (instanceRef r_ipend_RNO_3_1)) (portRef A (instanceRef prdata_0_iv_0_a2_0_1)) )) (net (rename prdata_0_iv_2Z0Z_3 "prdata_0_iv_2[3]") (joined (portRef Y (instanceRef prdata_0_iv_2_3)) (portRef A (instanceRef prdata_0_iv_2_RNIGCFT_3)) )) (net (rename prdata_0_iv_2_tz_3 "prdata_0_iv_2_tz[3]") (joined (portRef Y (instanceRef prdata_0_iv_2_RNO_3)) (portRef B (instanceRef prdata_0_iv_2_3)) )) (net (rename prdata_0_iv_1_0 "prdata_0_iv_1[3]") (joined (portRef Y (instanceRef prdata_0_iv_2_RNIGCFT_3)) (portRef prdata_0_iv_1_0) )) (net (rename prdata_0_iv_0_3 "prdata_0_iv_0[3]") (joined (portRef Y (instanceRef r_ilevel_RNI3NCS_3)) (portRef B (instanceRef prdata_0_iv_2_RNIGCFT_3)) )) (net (rename ilevel_m_3 "ilevel_m[3]") (joined (portRef Y (instanceRef r_ilevel_RNI8MEC_3)) (portRef A (instanceRef r_ilevel_RNI3NCS_3)) )) (net (rename iforce_0_m_3 "iforce_0_m[3]") (joined (portRef Y (instanceRef r_iforce_0_RNIR0UF_3)) (portRef B (instanceRef r_ilevel_RNI3NCS_3)) )) (net (rename prdata_0_iv_0_0_1 "prdata_0_iv_0_0[1]") (joined (portRef Y (instanceRef prdata_0_iv_0_a2_0_RNI0B7D_1)) (portRef B (instanceRef prdata_0_iv_0_a2_0_RNIU1BT_1)) )) (net N_141 (joined (portRef Y (instanceRef r_ilevel_RNI6EEC_1)) (portRef B (instanceRef prdata_0_iv_0_a2_0_RNI0B7D_1)) )) (net (rename prdata_0_iv_0_1_0 "prdata_0_iv_0_1[1]") (joined (portRef Y (instanceRef prdata_0_iv_0_a2_0_RNIU1BT_1)) (portRef prdata_0_iv_0_1_0) )) (net N_142 (joined (portRef Y (instanceRef r_imask_0_RNIUM3G_1)) (portRef A (instanceRef prdata_0_iv_0_a2_0_RNIU1BT_1)) )) (net (rename ipend_0_i_1_10 "ipend_0_i_1[10]") (joined (portRef Y (instanceRef r_ipend_RNO_0_10)) (portRef A (instanceRef r_ipend_RNO_10)) )) (net (rename ipend_0_i_0_10 "ipend_0_i_0[10]") (joined (portRef Y (instanceRef r_ipend_RNO_3_10)) (portRef C (instanceRef r_ipend_RNO_0_10)) )) (net (rename pwdata_9 "pwdata[10]") (joined (portRef pwdata_9) (portRef D (instanceRef r_ilevel_10)) (portRef C (instanceRef r_iforce_0_RNO_3_10)) (portRef B (instanceRef r_iforce_0_RNO_2_10)) (portRef B (instanceRef r_ipend_RNO_1_10)) (portRef A (instanceRef r_imask_0_RNO_0_10)) (portRef A (instanceRef r_ipend_RNO_3_10)) (portRef A (instanceRef r_ipend_RNO_0_10)) )) (net N_58 (joined (portRef Y (instanceRef v_ipend_2_sqmuxa_i_o2)) (portRef S (instanceRef r_ipend_RNO_0_3)) (portRef S (instanceRef r_ipend_RNO_0_15)) (portRef S (instanceRef r_ipend_RNO_0_6)) (portRef S (instanceRef r_ipend_RNO_2_1)) (portRef S (instanceRef r_ipend_RNO_0_7)) (portRef B (instanceRef r_ipend_RNO_3_8)) (portRef A (instanceRef r_ipend_RNO_2_4)) (portRef C (instanceRef r_ipend_RNO_3_2)) (portRef B (instanceRef r_ipend_RNO_3_9)) (portRef B (instanceRef r_ipend_RNO_3_12)) (portRef B (instanceRef r_ipend_RNO_3_4)) (portRef B (instanceRef r_ipend_RNO_3_5)) (portRef B (instanceRef r_ipend_RNO_3_14)) (portRef B (instanceRef r_ipend_RNO_3_13)) (portRef B (instanceRef r_ipend_RNO_3_11)) (portRef B (instanceRef r_ipend_RNO_3_10)) )) (net (rename ipend_0_i_1_11 "ipend_0_i_1[11]") (joined (portRef Y (instanceRef r_ipend_RNO_0_11)) (portRef A (instanceRef r_ipend_RNO_11)) )) (net (rename ipend_0_i_0_11 "ipend_0_i_0[11]") (joined (portRef Y (instanceRef r_ipend_RNO_3_11)) (portRef C (instanceRef r_ipend_RNO_0_11)) )) (net (rename pwdata_10 "pwdata[11]") (joined (portRef pwdata_10) (portRef D (instanceRef r_ilevel_11)) (portRef C (instanceRef r_iforce_0_RNO_3_11)) (portRef B (instanceRef r_iforce_0_RNO_2_11)) (portRef B (instanceRef r_ipend_RNO_1_11)) (portRef A (instanceRef r_imask_0_RNO_0_11)) (portRef A (instanceRef r_ipend_RNO_3_11)) (portRef A (instanceRef r_ipend_RNO_0_11)) )) (net rstn (joined (portRef rstn) (portRef A (instanceRef r_imask_0_RNO_3)) (portRef A (instanceRef r_imask_0_RNO_4)) (portRef A (instanceRef r_imask_0_RNO_8)) (portRef A (instanceRef r_imask_0_RNO_9)) (portRef A (instanceRef r_imask_0_RNO_15)) (portRef A (instanceRef r_imask_0_RNO_14)) (portRef A (instanceRef r_imask_0_RNO_13)) (portRef A (instanceRef r_imask_0_RNO_12)) (portRef A (instanceRef r_imask_0_RNO_11)) (portRef A (instanceRef r_imask_0_RNO_10)) (portRef A (instanceRef r_imask_0_RNO_7)) (portRef A (instanceRef r_imask_0_RNO_6)) (portRef A (instanceRef r_imask_0_RNO_5)) (portRef A (instanceRef r_imask_0_RNO_2)) (portRef A (instanceRef r_imask_0_RNO_1)) (portRef A (instanceRef r_ipend_RNO_1)) (portRef A (instanceRef r_ipend_RNO_3)) (portRef A (instanceRef r_ipend_RNO_9)) (portRef A (instanceRef r_ipend_RNO_6)) (portRef A (instanceRef r_ipend_RNO_7)) (portRef A (instanceRef r_ipend_RNO_15)) (portRef A (instanceRef r_iforce_0_RNO_1)) (portRef A (instanceRef r_iforce_0_RNO_3)) (portRef B (instanceRef r_iforce_0_RNO_6)) (portRef A (instanceRef r_iforce_0_RNO_7)) (portRef B (instanceRef r_iforce_0_RNO_15)) (portRef B (instanceRef r_ipend_RNO_0_2)) (portRef C (instanceRef r_ipend_RNO_3_12)) (portRef C (instanceRef r_ipend_RNO_3_4)) (portRef C (instanceRef r_ipend_RNO_3_5)) (portRef C (instanceRef r_ipend_RNO_3_14)) (portRef C (instanceRef r_iforce_0_RNO_1_4)) (portRef B (instanceRef r_ipend_RNO_0_8)) (portRef C (instanceRef r_iforce_0_RNO_1_2)) (portRef C (instanceRef r_iforce_0_RNO_1_14)) (portRef C (instanceRef r_iforce_0_RNO_0_5)) (portRef C (instanceRef r_iforce_0_RNO_1_12)) (portRef B (instanceRef r_iforce_0_RNO_1_13)) (portRef C (instanceRef r_ipend_RNO_3_13)) (portRef C (instanceRef r_iforce_0_RNO_0_9)) (portRef B (instanceRef r_iforce_0_RNO_0_8)) (portRef B (instanceRef r_iforce_0_RNO_0_11)) (portRef B (instanceRef r_iforce_0_RNO_0_10)) (portRef C (instanceRef r_ipend_RNO_3_11)) (portRef C (instanceRef r_ipend_RNO_3_10)) )) (net (rename iforce_0_0_i_1_10 "iforce_0_0_i_1[10]") (joined (portRef Y (instanceRef r_iforce_0_RNO_0_10)) (portRef A (instanceRef r_iforce_0_RNO_10)) )) (net (rename iforce_0_RNO_2_10 "iforce_0_RNO_2[10]") (joined (portRef Y (instanceRef r_iforce_0_RNO_2_10)) (portRef A (instanceRef r_iforce_0_RNO_0_10)) )) (net N_203 (joined (portRef Y (instanceRef r_iforce_0_RNO_3_10)) (portRef C (instanceRef r_iforce_0_RNO_0_10)) )) (net (rename iforce_0_0_i_1_11 "iforce_0_0_i_1[11]") (joined (portRef Y (instanceRef r_iforce_0_RNO_0_11)) (portRef A (instanceRef r_iforce_0_RNO_11)) )) (net (rename iforce_0_RNO_2_11 "iforce_0_RNO_2[11]") (joined (portRef Y (instanceRef r_iforce_0_RNO_2_11)) (portRef A (instanceRef r_iforce_0_RNO_0_11)) )) (net N_206 (joined (portRef Y (instanceRef r_iforce_0_RNO_3_11)) (portRef C (instanceRef r_iforce_0_RNO_0_11)) )) (net (rename iforce_0_0_i_1_8 "iforce_0_0_i_1[8]") (joined (portRef Y (instanceRef r_iforce_0_RNO_0_8)) (portRef A (instanceRef r_iforce_0_RNO_8)) )) (net (rename iforce_0_RNO_3_8 "iforce_0_RNO_3[8]") (joined (portRef Y (instanceRef r_iforce_0_RNO_3_8)) (portRef A (instanceRef r_iforce_0_RNO_0_8)) )) (net N_670 (joined (portRef Y (instanceRef r_iforce_0_RNO_4_8)) (portRef C (instanceRef r_iforce_0_RNO_0_8)) )) (net (rename iforce_0_0_i_0_9 "iforce_0_0_i_0[9]") (joined (portRef Y (instanceRef r_iforce_0_RNO_0_9)) (portRef A (instanceRef r_iforce_0_RNO_9)) )) (net N_59 (joined (portRef Y (instanceRef r_iforce_0_RNO_2_9)) (portRef A (instanceRef r_iforce_0_RNO_0_9)) )) (net (rename ipend_0_i_1_13 "ipend_0_i_1[13]") (joined (portRef Y (instanceRef r_ipend_RNO_0_13)) (portRef A (instanceRef r_ipend_RNO_13)) )) (net (rename ipend_0_i_0_13 "ipend_0_i_0[13]") (joined (portRef Y (instanceRef r_ipend_RNO_3_13)) (portRef C (instanceRef r_ipend_RNO_0_13)) )) (net (rename pwdata_12 "pwdata[13]") (joined (portRef pwdata_12) (portRef D (instanceRef r_ilevel_13)) (portRef C (instanceRef r_iforce_0_RNO_3_13)) (portRef B (instanceRef r_iforce_0_RNO_2_13)) (portRef B (instanceRef r_ipend_RNO_1_13)) (portRef A (instanceRef r_imask_0_RNO_0_13)) (portRef A (instanceRef r_ipend_RNO_3_13)) (portRef A (instanceRef r_ipend_RNO_0_13)) )) (net (rename iforce_0_0_i_1_13 "iforce_0_0_i_1[13]") (joined (portRef Y (instanceRef r_iforce_0_RNO_1_13)) (portRef B (instanceRef r_iforce_0_RNO_13)) )) (net (rename iforce_0_RNO_2_13 "iforce_0_RNO_2[13]") (joined (portRef Y (instanceRef r_iforce_0_RNO_2_13)) (portRef A (instanceRef r_iforce_0_RNO_1_13)) )) (net N_213 (joined (portRef Y (instanceRef r_iforce_0_RNO_3_13)) (portRef C (instanceRef r_iforce_0_RNO_1_13)) )) (net (rename iforce_0_0_i_2_12 "iforce_0_0_i_2[12]") (joined (portRef Y (instanceRef r_iforce_0_RNO_2_12)) (portRef C (instanceRef r_iforce_0_RNO_12)) )) (net N_68 (joined (portRef Y (instanceRef comb_v_iforce_0_6_i_a2_0_8)) (portRef A (instanceRef r_iforce_0_RNO_1_8)) (portRef A (instanceRef r_iforce_0_RNO_0_13)) (portRef A (instanceRef r_iforce_0_RNO_1_11)) (portRef A (instanceRef r_iforce_0_RNO_1_10)) (portRef A (instanceRef r_iforce_0_RNO_2)) (portRef A (instanceRef r_iforce_0_RNO_2_14)) (portRef A (instanceRef r_iforce_0_RNO_2_5)) (portRef A (instanceRef r_iforce_0_RNO_2_12)) )) (net (rename pwdata_27 "pwdata[28]") (joined (portRef pwdata_27) (portRef B (instanceRef r_iforce_0_RNO_2_12)) )) (net N_209 (joined (portRef Y (instanceRef r_iforce_0_RNO_4_12)) (portRef C (instanceRef r_iforce_0_RNO_2_12)) )) (net (rename iforce_0_0_i_0_12 "iforce_0_0_i_0[12]") (joined (portRef Y (instanceRef r_iforce_0_RNO_1_12)) (portRef B (instanceRef r_iforce_0_RNO_12)) )) (net (rename iforce_0_RNO_3_12 "iforce_0_RNO_3[12]") (joined (portRef Y (instanceRef r_iforce_0_RNO_3_12)) (portRef A (instanceRef r_iforce_0_RNO_1_12)) )) (net (rename iforce_0_12 "iforce_0[12]") (joined (portRef Q (instanceRef r_iforce_0_12)) (portRef A (instanceRef r_iforce_0_RNI65LO_12)) (portRef A (instanceRef r_imask_0_RNI8TN8_12)) (portRef C (instanceRef r_ipend_RNO_0_12)) (portRef B (instanceRef r_iforce_0_RNO_1_12)) )) (net (rename iforce_0_0_i_2_5 "iforce_0_0_i_2[5]") (joined (portRef Y (instanceRef r_iforce_0_RNO_2_5)) (portRef C (instanceRef r_iforce_0_RNO_5)) )) (net (rename pwdata_20 "pwdata[21]") (joined (portRef pwdata_20) (portRef B (instanceRef r_iforce_0_RNO_2_5)) )) (net N_691 (joined (portRef Y (instanceRef r_iforce_0_RNO_4_5)) (portRef C (instanceRef r_iforce_0_RNO_2_5)) )) (net (rename iforce_0_0_i_0_5 "iforce_0_0_i_0[5]") (joined (portRef Y (instanceRef r_iforce_0_RNO_0_5)) (portRef A (instanceRef r_iforce_0_RNO_5)) )) (net (rename iforce_0_RNO_3_5 "iforce_0_RNO_3[5]") (joined (portRef Y (instanceRef r_iforce_0_RNO_3_5)) (portRef A (instanceRef r_iforce_0_RNO_0_5)) )) (net (rename iforce_0_5 "iforce_0[5]") (joined (portRef Q (instanceRef r_iforce_0_5)) (portRef A (instanceRef r_iforce_0_RNI483T_5)) (portRef A (instanceRef r_ipend_RNIAPGB_5)) (portRef B (instanceRef r_ipend_RNO_0_5)) (portRef B (instanceRef r_iforce_0_RNO_0_5)) )) (net (rename iforce_0_0_i_2_14 "iforce_0_0_i_2[14]") (joined (portRef Y (instanceRef r_iforce_0_RNO_2_14)) (portRef C (instanceRef r_iforce_0_RNO_14)) )) (net (rename pwdata_29 "pwdata[30]") (joined (portRef pwdata_29) (portRef B (instanceRef r_iforce_0_RNO_2_14)) )) (net N_216 (joined (portRef Y (instanceRef r_iforce_0_RNO_4_14)) (portRef C (instanceRef r_iforce_0_RNO_2_14)) )) (net (rename iforce_0_0_i_0_14 "iforce_0_0_i_0[14]") (joined (portRef Y (instanceRef r_iforce_0_RNO_1_14)) (portRef B (instanceRef r_iforce_0_RNO_14)) )) (net (rename iforce_0_RNO_3_14 "iforce_0_RNO_3[14]") (joined (portRef Y (instanceRef r_iforce_0_RNO_3_14)) (portRef A (instanceRef r_iforce_0_RNO_1_14)) )) (net (rename iforce_0_14 "iforce_0[14]") (joined (portRef Q (instanceRef r_iforce_0_14)) (portRef A (instanceRef r_iforce_0_RNI85LO_14)) (portRef B (instanceRef r_ipend_RNI3CE6_14)) (portRef C (instanceRef r_ipend_RNO_0_14)) (portRef B (instanceRef r_iforce_0_RNO_1_14)) )) (net (rename iforce_0_0_i_2_2 "iforce_0_0_i_2[2]") (joined (portRef Y (instanceRef r_iforce_0_RNO_0_2)) (portRef C (instanceRef r_iforce_0_RNO_2)) )) (net (rename iforce_0_0_i_0_2 "iforce_0_0_i_0[2]") (joined (portRef Y (instanceRef r_iforce_0_RNO_1_2)) (portRef A (instanceRef r_iforce_0_RNO_0_2)) )) (net (rename iforce_0_RNO_2_2 "iforce_0_RNO_2[2]") (joined (portRef Y (instanceRef r_iforce_0_RNO_2_2)) (portRef B (instanceRef r_iforce_0_RNO_0_2)) )) (net N_52 (joined (portRef Y (instanceRef r_iforce_0_RNO_3_2)) (portRef C (instanceRef r_iforce_0_RNO_0_2)) )) (net (rename iforce_0_0_i_a4_2_0_2 "iforce_0_0_i_a4_2_0[2]") (joined (portRef Y (instanceRef r_iforce_0_RNO_4_2)) (portRef A (instanceRef r_iforce_0_RNO_1_2)) )) (net (rename ipend_0_i_1_8 "ipend_0_i_1[8]") (joined (portRef Y (instanceRef r_ipend_RNO_0_8)) (portRef A (instanceRef r_ipend_RNO_8)) )) (net N_677 (joined (portRef Y (instanceRef r_ipend_RNO_3_8)) (portRef A (instanceRef r_ipend_RNO_0_8)) )) (net N_678 (joined (portRef Y (instanceRef r_ipend_RNO_4_8)) (portRef C (instanceRef r_ipend_RNO_0_8)) )) (net (rename iforce_0_0_i_0_4 "iforce_0_0_i_0[4]") (joined (portRef Y (instanceRef r_iforce_0_RNO_1_4)) (portRef B (instanceRef r_iforce_0_RNO_4)) )) (net N_597 (joined (portRef Y (instanceRef r_iforce_0_RNO_3_4)) (portRef B (instanceRef r_iforce_0_RNO_1_4)) )) (net (rename ipend_0_i_a4_2_0_8 "ipend_0_i_a4_2_0[8]") (joined (portRef Y (instanceRef r_ipend_RNO_6_8)) (portRef B (instanceRef r_ipend_RNO_2_8)) )) (net (rename iforce_0_8 "iforce_0[8]") (joined (portRef Q (instanceRef r_iforce_0_8)) (portRef A (instanceRef r_iforce_0_RNI7K3T_8)) (portRef A (instanceRef r_ipend_RNIJ5HB_8)) (portRef C (instanceRef r_iforce_0_RNO_3_8)) (portRef B (instanceRef r_ipend_RNO_6_8)) )) (net (rename ipend_0_i_2_14 "ipend_0_i_2[14]") (joined (portRef Y (instanceRef r_ipend_RNO_1_14)) (portRef C (instanceRef r_ipend_RNO_14)) )) (net N_176 (joined (portRef Y (instanceRef r_ipend_RNO_2_14)) (portRef A (instanceRef r_ipend_RNO_1_14)) )) (net (rename ipend_0_i_0_14 "ipend_0_i_0[14]") (joined (portRef Y (instanceRef r_ipend_RNO_3_14)) (portRef B (instanceRef r_ipend_RNO_1_14)) )) (net (rename ipend_RNO_4_14 "ipend_RNO_4[14]") (joined (portRef Y (instanceRef r_ipend_RNO_4_14)) (portRef C (instanceRef r_ipend_RNO_1_14)) )) (net (rename ipend_0_i_2_5 "ipend_0_i_2[5]") (joined (portRef Y (instanceRef r_ipend_RNO_1_5)) (portRef C (instanceRef r_ipend_RNO_5)) )) (net N_96 (joined (portRef Y (instanceRef r_ipend_RNO_2_5)) (portRef A (instanceRef r_ipend_RNO_1_5)) )) (net (rename ipend_0_i_0_5 "ipend_0_i_0[5]") (joined (portRef Y (instanceRef r_ipend_RNO_3_5)) (portRef B (instanceRef r_ipend_RNO_1_5)) )) (net (rename ipend_RNO_4_5 "ipend_RNO_4[5]") (joined (portRef Y (instanceRef r_ipend_RNO_4_5)) (portRef C (instanceRef r_ipend_RNO_1_5)) )) (net (rename ipend_0_i_2_4 "ipend_0_i_2[4]") (joined (portRef Y (instanceRef r_ipend_RNO_1_4)) (portRef C (instanceRef r_ipend_RNO_4)) )) (net N_609 (joined (portRef Y (instanceRef r_ipend_RNO_2_4)) (portRef A (instanceRef r_ipend_RNO_1_4)) )) (net (rename ipend_0_i_0_4 "ipend_0_i_0[4]") (joined (portRef Y (instanceRef r_ipend_RNO_3_4)) (portRef B (instanceRef r_ipend_RNO_1_4)) )) (net (rename ipend_RNO_4_4 "ipend_RNO_4[4]") (joined (portRef Y (instanceRef r_ipend_RNO_4_4)) (portRef C (instanceRef r_ipend_RNO_1_4)) )) (net (rename ipend_0_i_2_12 "ipend_0_i_2[12]") (joined (portRef Y (instanceRef r_ipend_RNO_1_12)) (portRef C (instanceRef r_ipend_RNO_12)) )) (net N_73_1 (joined (portRef Y (instanceRef r_ipend_RNO_2_12)) (portRef A (instanceRef r_ipend_RNO_1_12)) )) (net (rename ipend_0_i_0_12 "ipend_0_i_0[12]") (joined (portRef Y (instanceRef r_ipend_RNO_3_12)) (portRef B (instanceRef r_ipend_RNO_1_12)) )) (net (rename ipend_RNO_4_12 "ipend_RNO_4[12]") (joined (portRef Y (instanceRef r_ipend_RNO_4_12)) (portRef C (instanceRef r_ipend_RNO_1_12)) )) (net (rename ipend_0_i_1_2 "ipend_0_i_1[2]") (joined (portRef Y (instanceRef r_ipend_RNO_0_2)) (portRef A (instanceRef r_ipend_RNO_2)) )) (net N_673 (joined (portRef Y (instanceRef r_ipend_RNO_3_2)) (portRef A (instanceRef r_ipend_RNO_0_2)) )) (net N_674 (joined (portRef Y (instanceRef r_ipend_RNO_4_2)) (portRef C (instanceRef r_ipend_RNO_0_2)) )) (net (rename un8_res_2_3 "un8_res_2[3]") (joined (portRef Y (instanceRef comb_0_decode_un8_res_1_0_2_a2_0_a2_0_a2)) (portRef A (instanceRef r_ipend_RNO_1_3)) (portRef A (instanceRef r_ipend_RNO_1_1)) (portRef A (instanceRef r_ipend_RNO_6_2)) (portRef A (instanceRef r_iforce_0_RNO_4_2)) )) (net (rename un8_res_1_6 "un8_res_1[6]") (joined (portRef Y (instanceRef comb_0_decode_un8_res_10_1_0_a2_0_a2_0_a2)) (portRef B (instanceRef r_iforce_0_0_i_a2_2_10)) (portRef A (instanceRef r_iforce_0_0_i_a2_6)) (portRef A (instanceRef r_iforce_0_RNO_0_14)) (portRef A (instanceRef r_ipend_RNO_2_2)) (portRef A (instanceRef r_ipend_RNO_0_14)) (portRef B (instanceRef r_iforce_0_RNO_4_2)) )) (net (rename ipend_0_i_a2_0_3 "ipend_0_i_a2_0[3]") (joined (portRef Y (instanceRef r_ipend_RNO_4_3)) (portRef B (instanceRef r_ipend_RNO_1_3)) )) (net (rename iforce_0_3 "iforce_0[3]") (joined (portRef Q (instanceRef r_iforce_0_3)) (portRef A (instanceRef r_imask_0_RNI4HGB_3)) (portRef A (instanceRef r_iforce_0_RNO_3_3)) (portRef A (instanceRef r_iforce_0_RNIR0UF_3)) (portRef B (instanceRef r_iforce_0_RNO_0_3)) (portRef A (instanceRef prdata_0_iv_2_RNO_3)) (portRef A (instanceRef r_ipend_RNO_4_3)) )) (net N_53 (joined (portRef Y (instanceRef comb_0_decode_un8_res_11_1_i_o2)) (portRef C (instanceRef r_iforce_0_0_i_a2_2_11)) (portRef C (instanceRef r_iforce_0_0_i_a2_7)) (portRef C (instanceRef r_iforce_0_0_i_a2_15)) (portRef A (instanceRef r_iforce_0_RNO_1_3)) (portRef B (instanceRef r_ipend_RNO_4_3)) )) (net (rename ipend_0_i_a2_2_1_5 "ipend_0_i_a2_2_1[5]") (joined (portRef Y (instanceRef r_ipend_RNO_0_5)) (portRef B (instanceRef r_ipend_RNO_5)) )) (net N_220 (joined (portRef Y (instanceRef comb_0_decode_un8_res_4_0_0_a2_0_a2_0)) (portRef B (instanceRef r_iforce_0_0_i_a2_6)) (portRef A (instanceRef r_iforce_0_0_i_a2_7)) (portRef A (instanceRef r_iforce_0_RNO_1_5)) (portRef B (instanceRef r_iforce_0_RNO_2_4)) (portRef B (instanceRef r_ipend_RNO_0_4)) (portRef A (instanceRef r_ipend_RNO_0_5)) )) (net N_219 (joined (portRef Y (instanceRef comb_0_decode_un8_res_1_0_0_a2_0)) (portRef C (instanceRef r_iforce_0_0_i_a2_1_9)) (portRef C (instanceRef r_iforce_0_0_i_a2_2_13)) (portRef C (instanceRef r_iforce_0_RNO_1_5)) (portRef A (instanceRef r_iforce_0_RNO_1_1)) (portRef B (instanceRef r_ipend_RNO_1_1)) (portRef C (instanceRef r_ipend_RNO_0_5)) )) (net (rename ipend_0_i_a2_1_14 "ipend_0_i_a2_1[14]") (joined (portRef Y (instanceRef r_ipend_RNO_0_14)) (portRef B (instanceRef r_ipend_RNO_14)) )) (net N_71 (joined (portRef Y (instanceRef comb_0_decode_un8_res_12_2_i_o2)) (portRef B (instanceRef r_iforce_0_RNO_0_12)) (portRef A (instanceRef r_iforce_0_0_i_a2_2_13)) (portRef A (instanceRef r_iforce_0_0_i_a2_15)) (portRef B (instanceRef r_iforce_0_RNO_0_14)) (portRef B (instanceRef r_ipend_RNO_0_12)) (portRef B (instanceRef r_ipend_RNO_0_14)) )) (net (rename ipend_0_i_a2_2_1_4 "ipend_0_i_a2_2_1[4]") (joined (portRef Y (instanceRef r_ipend_RNO_0_4)) (portRef B (instanceRef r_ipend_RNO_4)) )) (net N_224 (joined (portRef Y (instanceRef comb_0_decode_un8_res_4_0_0_a2_0_a2_1_0_a2)) (portRef A (instanceRef r_iforce_0_RNO_0_12)) (portRef B (instanceRef r_iforce_0_RNO_2_8)) (portRef A (instanceRef r_iforce_0_RNO_2_4)) (portRef A (instanceRef r_ipend_RNO_2_8)) (portRef A (instanceRef r_ipend_RNO_0_12)) (portRef A (instanceRef r_ipend_RNO_0_4)) )) (net (rename iforce_0_4 "iforce_0[4]") (joined (portRef Q (instanceRef r_iforce_0_4)) (portRef A (instanceRef r_iforce_0_RNI343T_4)) (portRef C (instanceRef r_iforce_0_RNO_0_4)) (portRef A (instanceRef r_ipend_RNI7LGB_4)) (portRef C (instanceRef r_ipend_RNO_0_4)) )) (net (rename ipend_0_i_a2_2_1_12 "ipend_0_i_a2_2_1[12]") (joined (portRef Y (instanceRef r_ipend_RNO_0_12)) (portRef B (instanceRef r_ipend_RNO_12)) )) (net (rename ipend_0_i_a4_2_0_2 "ipend_0_i_a4_2_0[2]") (joined (portRef Y (instanceRef r_ipend_RNO_6_2)) (portRef B (instanceRef r_ipend_RNO_2_2)) )) (net (rename iforce_0_2 "iforce_0[2]") (joined (portRef Q (instanceRef r_iforce_0_2)) (portRef A (instanceRef r_iforce_0_RNI1S2T_2)) (portRef C (instanceRef r_iforce_0_RNO_2_2)) (portRef A (instanceRef r_ipend_RNI1DGB_2)) (portRef B (instanceRef r_ipend_RNO_6_2)) )) (net (rename ipend_6_i_0_9 "ipend_6_i_0[9]") (joined (portRef Y (instanceRef r_ipend_RNO_3_9)) (portRef B (instanceRef r_ipend_RNO_0_9)) )) (net (rename prdata_0_iv_0_1_6 "prdata_0_iv_0_1[7]") (joined (portRef Y (instanceRef r_ipend_RNI49581_7)) (portRef prdata_0_iv_0_1_6) )) (net N_124 (joined (portRef Y (instanceRef r_ipend_RNIKBIB_7)) (portRef A (instanceRef r_ipend_RNI49581_7)) )) (net N_125 (joined (portRef Y (instanceRef r_ilevel_RNIC6FC_7)) (portRef B (instanceRef r_ipend_RNI49581_7)) )) (net N_126 (joined (portRef Y (instanceRef r_imask_0_RNI4N3G_7)) (portRef C (instanceRef r_ipend_RNI49581_7)) )) (net (rename prdata_0_iv_0_1_3 "prdata_0_iv_0_1[4]") (joined (portRef Y (instanceRef r_ipend_RNIRS481_4)) (portRef prdata_0_iv_0_1_3) )) (net N_132 (joined (portRef Y (instanceRef r_ipend_RNIHBIB_4)) (portRef A (instanceRef r_ipend_RNIRS481_4)) )) (net N_133 (joined (portRef Y (instanceRef r_ilevel_RNI9QEC_4)) (portRef B (instanceRef r_ipend_RNIRS481_4)) )) (net N_134 (joined (portRef Y (instanceRef r_imask_0_RNI1N3G_4)) (portRef C (instanceRef r_ipend_RNIRS481_4)) )) (net (rename prdata_0_iv_0_1_13 "prdata_0_iv_0_1[14]") (joined (portRef Y (instanceRef r_imask_0_RNICFB81_14)) (portRef prdata_0_iv_0_1_13) )) (net N_100 (joined (portRef Y (instanceRef r_ipend_RNI2PSD_14)) (portRef A (instanceRef r_imask_0_RNICFB81_14)) )) (net N_101 (joined (portRef Y (instanceRef r_ilevel_RNIOLVA_14)) (portRef B (instanceRef r_imask_0_RNICFB81_14)) )) (net N_102 (joined (portRef Y (instanceRef r_imask_0_RNII0FF_14)) (portRef C (instanceRef r_imask_0_RNICFB81_14)) )) (net (rename prdata_0_iv_0_1_12 "prdata_0_iv_0_1[13]") (joined (portRef Y (instanceRef r_imask_0_RNI97B81_13)) (portRef prdata_0_iv_0_1_12) )) (net N_104 (joined (portRef Y (instanceRef r_ipend_RNI1LSD_13)) (portRef A (instanceRef r_imask_0_RNI97B81_13)) )) (net N_105 (joined (portRef Y (instanceRef r_ilevel_RNINLVA_13)) (portRef B (instanceRef r_imask_0_RNI97B81_13)) )) (net N_106 (joined (portRef Y (instanceRef r_imask_0_RNIHSEF_13)) (portRef C (instanceRef r_imask_0_RNI97B81_13)) )) (net (rename prdata_0_iv_0_1_11 "prdata_0_iv_0_1[12]") (joined (portRef Y (instanceRef r_imask_0_RNI6VA81_12)) (portRef prdata_0_iv_0_1_11) )) (net N_108 (joined (portRef Y (instanceRef r_ipend_RNI0HSD_12)) (portRef A (instanceRef r_imask_0_RNI6VA81_12)) )) (net N_109 (joined (portRef Y (instanceRef r_ilevel_RNIMLVA_12)) (portRef B (instanceRef r_imask_0_RNI6VA81_12)) )) (net N_110 (joined (portRef Y (instanceRef r_imask_0_RNIGOEF_12)) (portRef C (instanceRef r_imask_0_RNI6VA81_12)) )) (net (rename prdata_0_iv_0_1_10 "prdata_0_iv_0_1[11]") (joined (portRef Y (instanceRef r_imask_0_RNI3NA81_11)) (portRef prdata_0_iv_0_1_10) )) (net N_112 (joined (portRef Y (instanceRef r_ipend_RNIVCSD_11)) (portRef A (instanceRef r_imask_0_RNI3NA81_11)) )) (net N_113 (joined (portRef Y (instanceRef r_ilevel_RNILLVA_11)) (portRef B (instanceRef r_imask_0_RNI3NA81_11)) )) (net N_114 (joined (portRef Y (instanceRef r_imask_0_RNIFKEF_11)) (portRef C (instanceRef r_imask_0_RNI3NA81_11)) )) (net (rename prdata_0_iv_0_1_9 "prdata_0_iv_0_1[10]") (joined (portRef Y (instanceRef r_imask_0_RNI0FA81_10)) (portRef prdata_0_iv_0_1_9) )) (net N_116 (joined (portRef Y (instanceRef r_ipend_RNIU8SD_10)) (portRef A (instanceRef r_imask_0_RNI0FA81_10)) )) (net N_117 (joined (portRef Y (instanceRef r_ilevel_RNIKLVA_10)) (portRef B (instanceRef r_imask_0_RNI0FA81_10)) )) (net N_118 (joined (portRef Y (instanceRef r_imask_0_RNIEGEF_10)) (portRef C (instanceRef r_imask_0_RNI0FA81_10)) )) (net (rename prdata_0_iv_0_1_7 "prdata_0_iv_0_1[8]") (joined (portRef Y (instanceRef r_ipend_RNI7D581_8)) (portRef prdata_0_iv_0_1_7) )) (net N_120 (joined (portRef Y (instanceRef r_ipend_RNILBIB_8)) (portRef A (instanceRef r_ipend_RNI7D581_8)) )) (net N_121 (joined (portRef Y (instanceRef r_ilevel_RNIDAFC_8)) (portRef B (instanceRef r_ipend_RNI7D581_8)) )) (net N_122 (joined (portRef Y (instanceRef r_imask_0_RNI5N3G_8)) (portRef C (instanceRef r_ipend_RNI7D581_8)) )) (net (rename prdata_0_iv_0_1_4 "prdata_0_iv_0_1[5]") (joined (portRef Y (instanceRef r_ipend_RNIU0581_5)) (portRef prdata_0_iv_0_1_4) )) (net N_128 (joined (portRef Y (instanceRef r_ipend_RNIIBIB_5)) (portRef A (instanceRef r_ipend_RNIU0581_5)) )) (net N_129 (joined (portRef Y (instanceRef r_ilevel_RNIAUEC_5)) (portRef B (instanceRef r_ipend_RNIU0581_5)) )) (net N_130 (joined (portRef Y (instanceRef r_imask_0_RNI2N3G_5)) (portRef C (instanceRef r_ipend_RNIU0581_5)) )) (net (rename prdata_0_iv_0_1_1 "prdata_0_iv_0_1[2]") (joined (portRef Y (instanceRef r_ipend_RNILK481_2)) (portRef prdata_0_iv_0_1_1) )) (net N_136 (joined (portRef Y (instanceRef r_ipend_RNIFBIB_2)) (portRef A (instanceRef r_ipend_RNILK481_2)) )) (net N_137 (joined (portRef Y (instanceRef r_ilevel_RNI7IEC_2)) (portRef B (instanceRef r_ipend_RNILK481_2)) )) (net N_138 (joined (portRef Y (instanceRef r_imask_0_RNIVM3G_2)) (portRef C (instanceRef r_ipend_RNILK481_2)) )) (net (rename ipend_0_i_a4_0_0_8 "ipend_0_i_a4_0_0[8]") (joined (portRef Y (instanceRef r_ipend_RNO_7_8)) (portRef A (instanceRef r_ipend_RNO_3_8)) )) (net (rename prdata_0_iv_1_6 "prdata_0_iv_1[6]") (joined (portRef Y (instanceRef r_ilevel_RNIC6HC1_6)) (portRef B (instanceRef r_ipend_RNILKNB2_6)) )) (net (rename ilevel_m_6 "ilevel_m[6]") (joined (portRef Y (instanceRef r_ilevel_RNIB2FC_6)) (portRef A (instanceRef r_ilevel_RNIC6HC1_6)) )) (net (rename iforce_0_m_6 "iforce_0_m[6]") (joined (portRef Y (instanceRef r_iforce_0_RNIUCUF_6)) (portRef B (instanceRef r_ilevel_RNIC6HC1_6)) )) (net (rename prdata_11_m_6 "prdata_11_m[6]") (joined (portRef Y (instanceRef r_imask_0_RNI3N3G_6)) (portRef C (instanceRef r_ilevel_RNIC6HC1_6)) )) (net (rename prdata_0_iv_0_1Z0Z_9 "prdata_0_iv_0_1[9]") (joined (portRef Y (instanceRef r_ilevel_RNIOODN1_9)) (portRef C (instanceRef r_ipend_RNIE4032_9)) )) (net (rename ilevel_m_9 "ilevel_m[9]") (joined (portRef Y (instanceRef r_ilevel_RNIEEFC_9)) (portRef A (instanceRef r_ilevel_RNIOODN1_9)) )) (net N_616 (joined (portRef Y (instanceRef r_iforce_0_RNI1PUF_9)) (portRef B (instanceRef r_ilevel_RNIOODN1_9)) )) (net (rename prdata_0_iv_0_2_9 "prdata_0_iv_0_2[9]") (joined (portRef Y (instanceRef r_imask_0_RNI9HVQ_9)) (portRef C (instanceRef r_ilevel_RNIOODN1_9)) )) (net irl_02_1 (joined (portRef Y (instanceRef r_ilevel_RNI8IIJE_3)) (portRef B (instanceRef r_irl_0_RNO_0)) (portRef A (instanceRef r_ilevel_RNI4UH0O_3)) )) (net irl_02_0 (joined (portRef Y (instanceRef r_ilevel_RNIOBIL7_11)) (portRef A (instanceRef r_ilevel_RNI8IIJE_3)) )) (net (rename irl_1 "irl[1]") (joined (portRef Y (instanceRef r_ilevel_RNIG60U6_3)) (portRef A (instanceRef r_irl_0_RNO_1)) (portRef B (instanceRef r_ilevel_RNI8IIJE_3)) )) (net N_385 (joined (portRef Y (instanceRef r_ilevel_RNIKAH63_15)) (portRef A (instanceRef r_irl_0_RNO_3)) (portRef A (instanceRef r_ilevel_RNIOBIL7_11)) )) (net (rename irl_1_0_2 "irl_1_0[2]") (joined (portRef Y (instanceRef r_ilevel_RNI411F4_11)) (portRef B (instanceRef r_irl_0_RNO_0_2)) (portRef B (instanceRef r_ilevel_RNIOBIL7_11)) )) (net ipend_2_sqmuxa_i_o2_0 (joined (portRef Y (instanceRef v_ipend_2_sqmuxa_i_o2_0)) (portRef A (instanceRef v_ipend_2_sqmuxa_i_o2)) )) (net (rename paddr_0_3 "paddr_0[3]") (joined (portRef (member paddr_0 0)) (portRef A (instanceRef v_ipend_2_sqmuxa_i_o2_0)) )) (net N_593 (joined (portRef Y (instanceRef prdata_1_sqmuxa_2_0_o2)) (portRef B (instanceRef prdata_2_sqmuxa_0_a2)) (portRef B (instanceRef prdata_1_sqmuxa)) (portRef C (instanceRef v_ipend_2_sqmuxa_i_o2_0)) )) (net (rename prdata_0_iv_1_12 "prdata_0_iv_1[15]") (joined (portRef Y (instanceRef r_iforce_0_RNIUOC41_15)) (portRef prdata_0_iv_1_12) )) (net (rename iforce_0_m_15 "iforce_0_m[15]") (joined (portRef Y (instanceRef r_iforce_0_RNI26GB_15)) (portRef A (instanceRef r_iforce_0_RNIUOC41_15)) )) (net (rename ilevel_m_15 "ilevel_m[15]") (joined (portRef Y (instanceRef r_ilevel_RNIPLVA_15)) (portRef B (instanceRef r_iforce_0_RNIUOC41_15)) )) (net (rename ipend_m_15 "ipend_m[15]") (joined (portRef Y (instanceRef r_ipend_RNI3TSD_15)) (portRef C (instanceRef r_iforce_0_RNIUOC41_15)) )) (net un1_apbi_1_2 (joined (portRef Y (instanceRef comb_un1_apbi_1_2)) (portRef A (instanceRef comb_un1_apbi_1)) )) (net pwrite (joined (portRef pwrite) (portRef A (instanceRef comb_un1_apbi_1_2)) )) (net penable (joined (portRef penable) (portRef B (instanceRef comb_un1_apbi_1_2)) )) (net un1_apbi_1_1 (joined (portRef Y (instanceRef comb_un1_apbi_1_1)) (portRef C (instanceRef comb_un1_apbi_1_2)) )) (net (rename paddr_6 "paddr[9]") (joined (portRef paddr_6) (portRef A (instanceRef comb_un1_apbi_1_1)) )) (net (rename paddr_5 "paddr[8]") (joined (portRef paddr_5) (portRef B (instanceRef comb_un1_apbi_1_1)) )) (net (rename prdata_11_m_0_6 "prdata_11_m_0[6]") (joined (portRef Y (instanceRef r_imask_0_RNID2B7_6)) (portRef A (instanceRef r_imask_0_RNI3N3G_6)) )) (net (rename imask_0_6 "imask_0[6]") (joined (portRef Q (instanceRef r_imask_0_6)) (portRef C (instanceRef r_imask_0_RNIDTGB_6)) (portRef B (instanceRef r_imask_0_RNO_0_6)) (portRef A (instanceRef r_imask_0_RNID2B7_6)) )) (net prdata34 (joined (portRef Y (instanceRef comb_prdata34_0_a2)) (portRef B (instanceRef r_imask_0_RNII0FF_14)) (portRef B (instanceRef r_imask_0_RNIHSEF_13)) (portRef B (instanceRef r_imask_0_RNIGOEF_12)) (portRef B (instanceRef r_imask_0_RNIFKEF_11)) (portRef B (instanceRef r_imask_0_RNIEGEF_10)) (portRef B (instanceRef r_imask_0_RNI5N3G_8)) (portRef B (instanceRef r_imask_0_RNI2N3G_5)) (portRef B (instanceRef r_imask_0_RNIVM3G_2)) (portRef B (instanceRef r_imask_0_RNI4N3G_7)) (portRef B (instanceRef r_imask_0_RNI1N3G_4)) (portRef B (instanceRef v_imask_0_1_sqmuxa_i)) (portRef B (instanceRef r_imask_0_RNIUM3G_1)) (portRef B (instanceRef r_imask_0_RNITFM6_15)) (portRef B (instanceRef r_imask_0_RNIG2B7_9)) (portRef B (instanceRef prdata_0_iv_2_RNO_0_3)) (portRef B (instanceRef r_imask_0_RNID2B7_6)) )) (net (rename irl_1_0_2_0 "irl_1_0_2[0]") (joined (portRef Y (instanceRef r_irl_0_RNO_2_0)) (portRef C (instanceRef r_irl_0_RNO_0_0)) )) (net (rename irl_1_0_0_0 "irl_1_0_0[0]") (joined (portRef Y (instanceRef r_irl_0_RNO_6_0)) (portRef A (instanceRef r_irl_0_RNO_2_0)) )) (net N_412_i (joined (portRef Y (instanceRef r_irl_0_RNO_7_0)) (portRef B (instanceRef r_irl_0_RNO_2_0)) )) (net N_411 (joined (portRef Y (instanceRef r_irl_0_RNO_8_0)) (portRef C (instanceRef r_irl_0_RNO_2_0)) )) (net (rename a_1_14 "a_1[14]") (joined (portRef Y (instanceRef r_ilevel_RNI8AOB_0_14)) (portRef A (instanceRef r_ilevel_RNI84GN_12)) (portRef A (instanceRef r_irl_0_RNO_3_1)) (portRef A (instanceRef r_irl_0_RNO_6_0)) )) (net (rename a_1_13 "a_1[13]") (joined (portRef Y (instanceRef r_ilevel_RNI42OB_0_13)) (portRef A (instanceRef r_ilevel_RNI4SFN_12)) (portRef B (instanceRef r_irl_0_RNO_5_2)) (portRef B (instanceRef r_irl_0_RNO_1_3)) (portRef B (instanceRef r_irl_0_RNO_6_0)) )) (net (rename temp_0_1_15 "temp_0_1[15]") (joined (portRef Y (instanceRef r_imask_0_RNIHLO8_15)) (portRef B (instanceRef r_irl_0_RNO_6_2)) (portRef B (instanceRef r_ilevel_RNICIOB_15)) (portRef A (instanceRef r_irl_0_RNO_1_3)) (portRef B (instanceRef r_irl_0_RNO_3_1)) (portRef C (instanceRef r_irl_0_RNO_6_0)) )) (net (rename irl_0_2_0 "irl_0_2[0]") (joined (portRef Y (instanceRef r_ilevel_RNI22PP3_10)) (portRef C (instanceRef r_ilevel_RNISBVC9_3)) )) (net N_379 (joined (portRef Y (instanceRef r_ilevel_RNI6D8J1_10)) (portRef A (instanceRef r_ilevel_RNI22PP3_10)) )) (net N_378 (joined (portRef Y (instanceRef r_ilevel_RNI4M731_11)) (portRef B (instanceRef r_ilevel_RNI22PP3_10)) )) (net (rename irl_0_0_0 "irl_0_0[0]") (joined (portRef Y (instanceRef r_ilevel_RNIOU831_14)) (portRef C (instanceRef r_ilevel_RNI22PP3_10)) )) (net (rename a_14 "a[14]") (joined (portRef Y (instanceRef r_ilevel_RNI8AOB_14)) (portRef A (instanceRef r_ilevel_RNI84GN_0_12)) (portRef A (instanceRef r_ilevel_RNIKSGN_14)) (portRef A (instanceRef r_ilevel_RNIOU831_14)) )) (net (rename a_13 "a[13]") (joined (portRef Y (instanceRef r_ilevel_RNI42OB_13)) (portRef A (instanceRef r_ilevel_RNI4SFN_0_12)) (portRef A (instanceRef r_ilevel_RNI0KFN_11)) (portRef A (instanceRef r_irl_0_RNO_2_2)) (portRef B (instanceRef r_ilevel_RNIOU831_14)) )) (net (rename a_i_0_15 "a_i_0[15]") (joined (portRef Y (instanceRef r_ilevel_RNICIOB_15)) (portRef A (instanceRef r_ilevel_RNII59J1_15)) (portRef B (instanceRef r_ilevel_RNIKSGN_14)) (portRef B (instanceRef r_irl_0_RNO_2_2)) (portRef C (instanceRef r_ilevel_RNIOU831_14)) )) (net (rename irl_0_0_2 "irl_0_0[2]") (joined (portRef Y (instanceRef r_irl_0_RNO_2_2)) (portRef A (instanceRef r_irl_0_RNO_0_2)) )) (net (rename irl_1_0_1_1 "irl_1_0_1[1]") (joined (portRef Y (instanceRef r_irl_0_RNO_3_1)) (portRef C (instanceRef r_irl_0_RNO_0_1)) )) (net N_415 (joined (portRef Y (instanceRef r_irl_0_RNO_5_1)) (portRef C (instanceRef r_irl_0_RNO_3_1)) )) (net (rename irl_0_1_1 "irl_0_1[1]") (joined (portRef Y (instanceRef r_ilevel_RNICKF62_10)) (portRef C (instanceRef r_ilevel_RNIG60U6_3)) )) (net N_382 (joined (portRef Y (instanceRef r_ilevel_RNIONUE1_10)) (portRef A (instanceRef r_ilevel_RNICKF62_10)) )) (net (rename irl_0_0_1 "irl_0_0[1]") (joined (portRef Y (instanceRef r_ilevel_RNIKSGN_14)) (portRef B (instanceRef r_ilevel_RNICKF62_10)) )) (net (rename irl_i_a2_2_3 "irl_i_a2_2[3]") (joined (portRef Y (instanceRef r_ilevel_RNII59J1_15)) (portRef A (instanceRef r_ilevel_RNIKAH63_15)) )) (net (rename irl_i_a2_1_3 "irl_i_a2_1[3]") (joined (portRef Y (instanceRef r_ilevel_RNI6JG71_9)) (portRef B (instanceRef r_ilevel_RNII59J1_15)) )) (net (rename irl_i_a2_0_3 "irl_i_a2_0[3]") (joined (portRef Y (instanceRef r_ilevel_RNI0KFN_11)) (portRef A (instanceRef r_ilevel_RNI6JG71_9)) )) (net (rename a_9 "a[9]") (joined (portRef Y (instanceRef r_ilevel_RNI6V0G_9)) (portRef A (instanceRef r_ilevel_RNI6D8J1_10)) (portRef A (instanceRef r_ilevel_RNISHGN1_11)) (portRef C (instanceRef r_ilevel_RNICIHN1_8)) (portRef B (instanceRef r_ilevel_RNI6JG71_9)) )) (net (rename a_11 "a[11]") (joined (portRef Y (instanceRef r_ilevel_RNISHNB_11)) (portRef A (instanceRef r_ilevel_RNI4M731_11)) (portRef A (instanceRef r_ilevel_RNIONUE1_10)) (portRef B (instanceRef r_ilevel_RNISHGN1_11)) (portRef B (instanceRef r_ilevel_RNI0KFN_11)) )) (net (rename irl_1_i_a2_0_3 "irl_1_i_a2_0[3]") (joined (portRef Y (instanceRef r_irl_0_RNO_1_3)) (portRef A (instanceRef r_irl_0_RNO_0_3)) )) (net (rename irl_1_0_1_2 "irl_1_0_1[2]") (joined (portRef Y (instanceRef r_irl_0_RNO_5_2)) (portRef C (instanceRef r_irl_0_RNO_1_2)) )) (net (rename a_1_15 "a_1[15]") (joined (portRef Y (instanceRef r_irl_0_RNO_6_2)) (portRef A (instanceRef r_irl_0_RNO_5_2)) )) (net N_402 (joined (portRef Y (instanceRef r_ilevel_RNI84GN_12)) (portRef B (instanceRef r_irl_0_RNO_8_0)) (portRef B (instanceRef r_ilevel_RNI258J1_8)) (portRef C (instanceRef r_irl_0_RNO_7_0)) (portRef C (instanceRef r_irl_0_RNO_5_2)) )) (net (rename irl_1_0_a2_0_1_1 "irl_1_0_a2_0_1[1]") (joined (portRef Y (instanceRef r_irl_0_RNO_1_1)) (portRef A (instanceRef r_irl_0_RNO_0_1)) )) (net N_415_1 (joined (portRef Y (instanceRef r_ilevel_RNI4SFN_12)) (portRef C (instanceRef r_irl_0_RNO_5_1)) (portRef A (instanceRef r_irl_0_RNO_1_1)) )) (net (rename a_1_9 "a_1[9]") (joined (portRef Y (instanceRef r_ilevel_RNI6V0G_0_9)) (portRef B (instanceRef r_ilevel_RNI2HOR_11)) (portRef A (instanceRef r_irl_0_RNO_7_0)) (portRef B (instanceRef r_irl_0_RNO_1_1)) )) (net (rename temp_0_1_8 "temp_0_1[8]") (joined (portRef Y (instanceRef r_ipend_RNIJ5HB_8)) (portRef A (instanceRef r_ilevel_RNI2N0G_0_8)) (portRef B (instanceRef r_ilevel_RNI2N0G_8)) (portRef C (instanceRef r_irl_0_RNO_1_1)) )) (net (rename irl_0_a2_0_1_1 "irl_0_a2_0_1[1]") (joined (portRef Y (instanceRef r_ilevel_RNICIHN1_8)) (portRef A (instanceRef r_ilevel_RNIG60U6_3)) )) (net (rename a_8 "a[8]") (joined (portRef Y (instanceRef r_ilevel_RNI2N0G_8)) (portRef B (instanceRef r_ilevel_RNIQ0OR_0_8)) (portRef A (instanceRef r_ilevel_RNICIHN1_8)) )) (net N_383_2 (joined (portRef Y (instanceRef r_ilevel_RNI4SFN_0_12)) (portRef C (instanceRef r_ilevel_RNIONUE1_10)) (portRef B (instanceRef r_ilevel_RNICIHN1_8)) )) (net (rename irl_1_0_3_tz_0_0 "irl_1_0_3_tz_0[0]") (joined (portRef Y (instanceRef r_irl_0_RNO_5_0)) (portRef C (instanceRef r_irl_0_RNO_1_0)) )) (net (rename a_1_7 "a_1[7]") (joined (portRef Y (instanceRef r_ilevel_RNIUE0G_0_7)) (portRef A (instanceRef r_ilevel_RNIOL001_7)) (portRef A (instanceRef r_irl_0_RNO_5_0)) )) (net (rename irl_1_0_a2_4_0_0 "irl_1_0_a2_4_0[0]") (joined (portRef Y (instanceRef r_irl_0_RNO_10_0)) (portRef B (instanceRef r_irl_0_RNO_5_0)) )) (net (rename irl_0_3_tz_0_0 "irl_0_3_tz_0[0]") (joined (portRef Y (instanceRef r_ilevel_RNIEK0G1_5)) (portRef C (instanceRef r_ilevel_RNIO4UV3_3)) )) (net (rename a_6 "a[6]") (joined (portRef Y (instanceRef r_ilevel_RNIQ60G_6)) (portRef B (instanceRef r_ilevel_RNIOL001_0_7)) (portRef B (instanceRef r_ilevel_RNICTVV_4)) (portRef A (instanceRef r_ilevel_RNIEK0G1_5)) )) (net (rename a_5 "a[5]") (joined (portRef Y (instanceRef r_ilevel_RNIMUVF_5)) (portRef A (instanceRef r_ilevel_RNI8LVV_0_4)) (portRef B (instanceRef r_ilevel_RNIEK0G1_5)) )) (net (rename a_7 "a[7]") (joined (portRef Y (instanceRef r_ilevel_RNIUE0G_7)) (portRef A (instanceRef r_ilevel_RNIOL001_0_7)) (portRef C (instanceRef r_ilevel_RNIEK0G1_5)) )) (net (rename irl_0_a2_1_2 "irl_0_a2_1[2]") (joined (portRef Y (instanceRef r_ilevel_RNISHGN1_11)) (portRef B (instanceRef r_ilevel_RNI411F4_11)) )) (net N_386 (joined (portRef Y (instanceRef r_ilevel_RNIQ0OR_0_8)) (portRef A (instanceRef r_ilevel_RNI258J1_0_8)) (portRef C (instanceRef r_ilevel_RNISHGN1_11)) )) (net (rename irl_1_0_a2_0_0_2 "irl_1_0_a2_0_0[2]") (joined (portRef Y (instanceRef r_irl_0_RNO_3_2)) (portRef A (instanceRef r_irl_0_RNO_1_2)) )) (net N_419 (joined (portRef Y (instanceRef r_ilevel_RNIQ0OR_8)) (portRef A (instanceRef r_ilevel_RNI258J1_8)) (portRef A (instanceRef r_irl_0_RNO_3_2)) )) (net (rename irl_1_0_a2_0_2 "irl_1_0_a2_0[2]") (joined (portRef Y (instanceRef r_ilevel_RNI2HOR_11)) (portRef B (instanceRef r_irl_0_RNO_0_3)) (portRef B (instanceRef r_irl_0_RNO_3_2)) )) (net (rename irl_1_0_a2_1_0_0_0 "irl_1_0_a2_1_0_0[0]") (joined (portRef Y (instanceRef r_irl_0_RNO_3_0)) (portRef A (instanceRef r_irl_0_RNO_1_0)) )) (net (rename a_1_4 "a_1[4]") (joined (portRef Y (instanceRef r_ilevel_RNIIMVF_0_4)) (portRef B (instanceRef r_ilevel_RNI8LVV_4)) (portRef A (instanceRef r_irl_0_RNO_3_0)) )) (net (rename a_1_6 "a_1[6]") (joined (portRef Y (instanceRef r_ilevel_RNIQ60G_0_6)) (portRef B (instanceRef r_ilevel_RNIOL001_7)) (portRef A (instanceRef r_irl_0_RNO_10_0)) (portRef B (instanceRef r_irl_0_RNO_3_0)) )) (net (rename irl_0_a2_1_0_0_0 "irl_0_a2_1_0_0[0]") (joined (portRef Y (instanceRef r_ilevel_RNICTVV_4)) (portRef A (instanceRef r_ilevel_RNIO4UV3_3)) )) (net (rename a_4 "a[4]") (joined (portRef Y (instanceRef r_ilevel_RNIIMVF_4)) (portRef B (instanceRef r_ilevel_RNI8LVV_0_4)) (portRef A (instanceRef r_ilevel_RNICTVV_4)) )) (net (rename prdata_0_sqmuxaZ0Z_0 "prdata_0_sqmuxa_0") (joined (portRef Y (instanceRef prdata_0_sqmuxa_0)) (portRef A (instanceRef prdata_0_sqmuxa)) )) (net (rename paddr_0_2 "paddr_0[2]") (joined (portRef (member paddr_0 1)) (portRef C (instanceRef prdata_2_sqmuxa_0_a2)) (portRef B (instanceRef prdata_0_sqmuxa_0)) (portRef B (instanceRef v_ipend_2_sqmuxa_i_o2_0)) )) (net (rename irl_1_0_a2_5_0_0 "irl_1_0_a2_5_0[0]") (joined (portRef Y (instanceRef r_irl_0_RNO_11_0)) (portRef A (instanceRef r_irl_0_RNO_9_0)) )) (net (rename ilevel_1 "ilevel[1]") (joined (portRef Q (instanceRef r_ilevel_1)) (portRef A (instanceRef r_ilevel_RNI6EEC_1)) (portRef A (instanceRef r_ilevel_RNI6UUF_1)) (portRef A (instanceRef r_irl_0_RNO_11_0)) )) (net (rename temp_0_1_1 "temp_0_1[1]") (joined (portRef Y (instanceRef r_imask_0_RNIU8GB_1)) (portRef B (instanceRef r_ilevel_RNI6UUF_1)) (portRef B (instanceRef r_irl_0_RNO_11_0)) )) (net (rename irl_0_a2_5_0_0 "irl_0_a2_5_0[0]") (joined (portRef Y (instanceRef r_ilevel_RNI6UUF_1)) (portRef A (instanceRef r_ilevel_RNIG4UV_1)) )) (net (rename irl_0_3_tz_0 "irl_0_3_tz[0]") (joined (portRef Y (instanceRef r_ilevel_RNIO4UV3_3)) (portRef A (instanceRef r_ilevel_RNISBVC9_3)) )) (net N_372_i (joined (portRef Y (instanceRef r_ilevel_RNIUITF1_3)) (portRef B (instanceRef r_ilevel_RNIO4UV3_3)) )) (net (rename irl_1_0_3_tz_0 "irl_1_0_3_tz[0]") (joined (portRef Y (instanceRef r_irl_0_RNO_1_0)) (portRef A (instanceRef r_irl_0_RNO_0_0)) )) (net N_405_i (joined (portRef Y (instanceRef r_irl_0_RNO_4_0)) (portRef B (instanceRef r_irl_0_RNO_1_0)) )) (net (rename prdata_0 "prdata[6]") (joined (portRef Y (instanceRef r_ipend_RNILKNB2_6)) (portRef prdata_0) )) (net (rename ipend_m_6 "ipend_m[6]") (joined (portRef Y (instanceRef r_ipend_RNIJBIB_6)) (portRef A (instanceRef r_ipend_RNILKNB2_6)) )) (net N_666 (joined (portRef Y (instanceRef r_iforce_0_RNIM2KJ_6)) (portRef B (instanceRef r_iforce_0_RNO_1_6)) (portRef C (instanceRef r_ipend_RNILKNB2_6)) )) (net (rename iforce_0_1 "iforce_0[1]") (joined (portRef Y (instanceRef r_ipend_RNO_1_1)) (portRef A (instanceRef r_ipend_RNO_0_1)) )) (net (rename iforce_0_0_1 "iforce_0_0[1]") (joined (portRef Q (instanceRef r_iforce_0_1)) (portRef B (instanceRef r_iforce_0_RNO_0_1)) (portRef A (instanceRef r_iforce_0_RNI0O2T_1)) (portRef A (instanceRef r_iforce_0_RNO_3_1)) (portRef A (instanceRef r_imask_0_RNIU8GB_1)) (portRef C (instanceRef r_ipend_RNO_1_1)) )) (net (rename prdata_0_sqmuxaZ0 "prdata_0_sqmuxa") (joined (portRef Y (instanceRef prdata_0_sqmuxa)) (portRef B (instanceRef r_ilevel_RNIB2FC_6)) (portRef B (instanceRef r_ilevel_RNIEEFC_9)) (portRef B (instanceRef r_ilevel_RNIOLVA_14)) (portRef B (instanceRef r_ilevel_RNINLVA_13)) (portRef B (instanceRef r_ilevel_RNIMLVA_12)) (portRef B (instanceRef r_ilevel_RNILLVA_11)) (portRef B (instanceRef r_ilevel_RNIKLVA_10)) (portRef B (instanceRef r_ilevel_RNIDAFC_8)) (portRef B (instanceRef r_ilevel_RNIAUEC_5)) (portRef B (instanceRef r_ilevel_RNI7IEC_2)) (portRef B (instanceRef r_ilevel_RNIC6FC_7)) (portRef B (instanceRef r_ilevel_RNI8MEC_3)) (portRef B (instanceRef r_ilevel_RNI9QEC_4)) (portRef B (instanceRef r_ilevel_RNIPLVA_15)) (portRef B (instanceRef v_ilevel_0_sqmuxa_i)) (portRef B (instanceRef r_ilevel_RNI6EEC_1)) )) (net rmw_1_sqmuxa_2 (joined (portRef rmw_1_sqmuxa_2) (portRef B (instanceRef prdata_0_sqmuxa)) )) (net N_657_i_0 (joined (portRef Y (instanceRef r_iforce_0_RNO_2)) (portRef D (instanceRef r_iforce_0_2)) )) (net (rename pwdata_17 "pwdata[18]") (joined (portRef pwdata_17) (portRef B (instanceRef r_iforce_0_RNO_2)) )) (net N_10_i_0 (joined (portRef Y (instanceRef r_ipend_RNO_2)) (portRef D (instanceRef r_ipend_2)) )) (net N_671 (joined (portRef Y (instanceRef r_ipend_RNO_1_2)) (portRef B (instanceRef r_ipend_RNO_2)) )) (net (rename ipend_RNO_2_2 "ipend_RNO_2[2]") (joined (portRef Y (instanceRef r_ipend_RNO_2_2)) (portRef C (instanceRef r_ipend_RNO_2)) )) (net intack (joined (portRef intack) (portRef C (instanceRef r_iforce_0_RNO_0_12)) (portRef C (instanceRef r_iforce_0_0_i_a2_6)) (portRef B (instanceRef r_iforce_0_0_i_a2_7)) (portRef B (instanceRef r_iforce_0_0_i_a2_2_13)) (portRef B (instanceRef r_iforce_0_0_i_a2_15)) (portRef B (instanceRef r_iforce_0_0_i_a2_0_1)) (portRef C (instanceRef r_iforce_0_RNO_0_14)) (portRef B (instanceRef r_iforce_0_RNO_1_5)) (portRef C (instanceRef r_iforce_0_RNO_2_4)) (portRef B (instanceRef r_ipend_RNO_0_1)) (portRef C (instanceRef r_ipend_RNO_1_3)) (portRef A (instanceRef r_ipend_RNO_14)) (portRef A (instanceRef r_ipend_RNO_5)) (portRef A (instanceRef r_ipend_RNO_4)) (portRef A (instanceRef r_ipend_RNO_12)) (portRef C (instanceRef r_ipend_RNO_2_2)) (portRef B (instanceRef r_iforce_0_RNO_1_2)) )) (net N_646_i_0 (joined (portRef Y (instanceRef r_iforce_0_RNO_14)) (portRef D (instanceRef r_iforce_0_14)) )) (net N_218 (joined (portRef Y (instanceRef r_iforce_0_RNO_0_14)) (portRef A (instanceRef r_iforce_0_RNO_14)) )) (net N_645_i_0 (joined (portRef Y (instanceRef r_iforce_0_RNO_13)) (portRef D (instanceRef r_iforce_0_13)) )) (net N_214 (joined (portRef Y (instanceRef r_iforce_0_RNO_0_13)) (portRef A (instanceRef r_iforce_0_RNO_13)) )) (net N_232 (joined (portRef Y (instanceRef r_iforce_0_0_i_a2_2_13)) (portRef A (instanceRef r_ipend_RNO_2_13)) (portRef C (instanceRef r_iforce_0_RNO_13)) )) (net N_643_i_0 (joined (portRef Y (instanceRef r_iforce_0_RNO_11)) (portRef D (instanceRef r_iforce_0_11)) )) (net N_207 (joined (portRef Y (instanceRef r_iforce_0_RNO_1_11)) (portRef B (instanceRef r_iforce_0_RNO_11)) )) (net N_246 (joined (portRef Y (instanceRef r_iforce_0_0_i_a2_2_11)) (portRef B (instanceRef r_ipend_RNO_2_11)) (portRef C (instanceRef r_iforce_0_RNO_11)) )) (net N_642_i_0 (joined (portRef Y (instanceRef r_iforce_0_RNO_10)) (portRef D (instanceRef r_iforce_0_10)) )) (net N_204 (joined (portRef Y (instanceRef r_iforce_0_RNO_1_10)) (portRef B (instanceRef r_iforce_0_RNO_10)) )) (net N_247 (joined (portRef Y (instanceRef r_iforce_0_0_i_a2_2_10)) (portRef B (instanceRef r_ipend_RNO_2_10)) (portRef C (instanceRef r_iforce_0_RNO_10)) )) (net (rename iforce_0_RNO_9 "iforce_0_RNO[9]") (joined (portRef Y (instanceRef r_iforce_0_RNO_9)) (portRef D (instanceRef r_iforce_0_9)) )) (net N_200 (joined (portRef Y (instanceRef r_iforce_0_RNO_1_9)) (portRef B (instanceRef r_iforce_0_RNO_9)) )) (net N_244 (joined (portRef Y (instanceRef r_iforce_0_0_i_a2_1_9)) (portRef B (instanceRef r_ipend_RNO_1_9)) (portRef C (instanceRef r_iforce_0_RNO_9)) )) (net (rename iforce_0_RNO_4 "iforce_0_RNO[4]") (joined (portRef Y (instanceRef r_iforce_0_RNO_4)) (portRef D (instanceRef r_iforce_0_4)) )) (net N_195 (joined (portRef Y (instanceRef r_iforce_0_RNO_0_4)) (portRef A (instanceRef r_iforce_0_RNO_4)) )) (net N_197 (joined (portRef Y (instanceRef r_iforce_0_RNO_2_4)) (portRef C (instanceRef r_iforce_0_RNO_4)) )) (net N_634_i_0 (joined (portRef Y (instanceRef r_ipend_RNO_13)) (portRef D (instanceRef r_ipend_13)) )) (net (rename ipend_RNO_1_13 "ipend_RNO_1[13]") (joined (portRef Y (instanceRef r_ipend_RNO_1_13)) (portRef B (instanceRef r_ipend_RNO_13)) )) (net N_191 (joined (portRef Y (instanceRef r_ipend_RNO_2_13)) (portRef C (instanceRef r_ipend_RNO_13)) )) (net N_633_i_0 (joined (portRef Y (instanceRef r_ipend_RNO_11)) (portRef D (instanceRef r_ipend_11)) )) (net (rename ipend_RNO_1_11 "ipend_RNO_1[11]") (joined (portRef Y (instanceRef r_ipend_RNO_1_11)) (portRef B (instanceRef r_ipend_RNO_11)) )) (net (rename ipend_RNO_2_11 "ipend_RNO_2[11]") (joined (portRef Y (instanceRef r_ipend_RNO_2_11)) (portRef C (instanceRef r_ipend_RNO_11)) )) (net N_66_i_0 (joined (portRef Y (instanceRef r_ipend_RNO_10)) (portRef D (instanceRef r_ipend_10)) )) (net (rename ipend_RNO_1_10 "ipend_RNO_1[10]") (joined (portRef Y (instanceRef r_ipend_RNO_1_10)) (portRef B (instanceRef r_ipend_RNO_10)) )) (net (rename ipend_RNO_2_10 "ipend_RNO_2[10]") (joined (portRef Y (instanceRef r_ipend_RNO_2_10)) (portRef C (instanceRef r_ipend_RNO_10)) )) (net (rename prdata_3 "prdata[9]") (joined (portRef Y (instanceRef r_ipend_RNIE4032_9)) (portRef prdata_3) )) (net (rename ipend_9 "ipend[9]") (joined (portRef Q (instanceRef r_ipend_9)) (portRef C (instanceRef r_ipend_RNO_2_9)) (portRef A (instanceRef r_ipend_RNINHI8_9)) (portRef B (instanceRef r_ipend_RNIE4032_9)) )) (net N_590_i_0 (joined (portRef Y (instanceRef r_ipend_RNO_12)) (portRef D (instanceRef r_ipend_12)) )) (net N_16_i_0 (joined (portRef Y (instanceRef r_ipend_RNO_4)) (portRef D (instanceRef r_ipend_4)) )) (net N_588_i_0 (joined (portRef Y (instanceRef r_ipend_RNO_5)) (portRef D (instanceRef r_ipend_5)) )) (net (rename pwdata_0_2 "pwdata_0[2]") (joined (portRef (member pwdata_0 3)) (portRef A (instanceRef r_ipend_RNO_5_2)) (portRef C (instanceRef r_iforce_0_RNO_3_2)) (portRef B (instanceRef r_iforce_0_RNO_2_2)) (portRef A (instanceRef r_imask_0_RNO_0_2)) (portRef A (instanceRef r_ipend_RNO_3_2)) (portRef A (instanceRef r_ipend_RNO_4_2)) )) (net (rename pirq_0 "pirq[2]") (joined (portRef pirq_0) (portRef B (instanceRef r_ipend_RNO_1_2)) (portRef B (instanceRef r_ipend_RNO_3_2)) (portRef B (instanceRef r_ipend_RNO_4_2)) )) (net N_661 (joined (portRef Y (instanceRef r_ipend_RNO_5_2)) (portRef A (instanceRef r_ipend_RNO_1_2)) )) (net (rename ipend_2 "ipend[2]") (joined (portRef Q (instanceRef r_ipend_2)) (portRef A (instanceRef r_ipend_RNIFBIB_2)) (portRef B (instanceRef r_ipend_RNI1DGB_2)) (portRef C (instanceRef r_ipend_RNO_1_2)) )) (net (rename iforce_0_RNO_15 "iforce_0_RNO[15]") (joined (portRef Y (instanceRef r_iforce_0_RNO_15)) (portRef D (instanceRef r_iforce_0_15)) )) (net N_159 (joined (portRef Y (instanceRef r_iforce_0_RNO_0_15)) (portRef A (instanceRef r_iforce_0_RNO_15)) )) (net N_231 (joined (portRef Y (instanceRef r_iforce_0_0_i_a2_15)) (portRef B (instanceRef r_ipend_RNO_1_15)) (portRef C (instanceRef r_iforce_0_RNO_15)) )) (net N_640_i_0 (joined (portRef Y (instanceRef r_iforce_0_RNO_8)) (portRef D (instanceRef r_iforce_0_8)) )) (net N_669 (joined (portRef Y (instanceRef r_iforce_0_RNO_1_8)) (portRef B (instanceRef r_iforce_0_RNO_8)) )) (net N_199 (joined (portRef Y (instanceRef r_iforce_0_RNO_2_8)) (portRef C (instanceRef r_iforce_0_RNO_8)) )) (net (rename iforce_0_RNO_7 "iforce_0_RNO[7]") (joined (portRef Y (instanceRef r_iforce_0_RNO_7)) (portRef D (instanceRef r_iforce_0_7)) )) (net N_158 (joined (portRef Y (instanceRef r_iforce_0_RNO_0_7)) (portRef B (instanceRef r_iforce_0_RNO_7)) )) (net N_243 (joined (portRef Y (instanceRef r_iforce_0_0_i_a2_7)) (portRef A (instanceRef r_ipend_RNO_1_7)) (portRef C (instanceRef r_iforce_0_RNO_7)) )) (net (rename iforce_0_RNO_6 "iforce_0_RNO[6]") (joined (portRef Y (instanceRef r_iforce_0_RNO_6)) (portRef D (instanceRef r_iforce_0_6)) )) (net N_157 (joined (portRef Y (instanceRef r_iforce_0_RNO_0_6)) (portRef A (instanceRef r_iforce_0_RNO_6)) )) (net N_245 (joined (portRef Y (instanceRef r_iforce_0_0_i_a2_6)) (portRef B (instanceRef r_ipend_RNO_1_6)) (portRef C (instanceRef r_iforce_0_RNO_6)) )) (net N_637_i_0 (joined (portRef Y (instanceRef r_iforce_0_RNO_5)) (portRef D (instanceRef r_iforce_0_5)) )) (net N_198 (joined (portRef Y (instanceRef r_iforce_0_RNO_1_5)) (portRef B (instanceRef r_iforce_0_RNO_5)) )) (net (rename iforce_0_RNO_3 "iforce_0_RNO[3]") (joined (portRef Y (instanceRef r_iforce_0_RNO_3)) (portRef D (instanceRef r_iforce_0_3)) )) (net (rename iforce_0_6_3 "iforce_0_6[3]") (joined (portRef Y (instanceRef r_iforce_0_RNO_0_3)) (portRef B (instanceRef r_iforce_0_RNO_3)) )) (net N_194 (joined (portRef Y (instanceRef r_iforce_0_RNO_1_3)) (portRef C (instanceRef r_iforce_0_RNO_3)) )) (net N_84 (joined (portRef Y (instanceRef r_iforce_0_RNO_1)) (portRef D (instanceRef r_iforce_0_1)) )) (net N_161 (joined (portRef Y (instanceRef r_iforce_0_RNO_0_1)) (portRef B (instanceRef r_iforce_0_RNO_1)) )) (net N_193 (joined (portRef Y (instanceRef r_iforce_0_RNO_1_1)) (portRef C (instanceRef r_iforce_0_RNO_1)) )) (net N_82 (joined (portRef Y (instanceRef r_ipend_RNO_15)) (portRef D (instanceRef r_ipend_15)) )) (net (rename ipend_6_15 "ipend_6[15]") (joined (portRef Y (instanceRef r_ipend_RNO_0_15)) (portRef B (instanceRef r_ipend_RNO_15)) )) (net N_192 (joined (portRef Y (instanceRef r_ipend_RNO_1_15)) (portRef C (instanceRef r_ipend_RNO_15)) )) (net (rename ipend_RNO_7 "ipend_RNO[7]") (joined (portRef Y (instanceRef r_ipend_RNO_7)) (portRef D (instanceRef r_ipend_7)) )) (net (rename ipend_6_7 "ipend_6[7]") (joined (portRef Y (instanceRef r_ipend_RNO_0_7)) (portRef B (instanceRef r_ipend_RNO_7)) )) (net N_178 (joined (portRef Y (instanceRef r_ipend_RNO_1_7)) (portRef C (instanceRef r_ipend_RNO_7)) )) (net (rename ipend_RNO_6 "ipend_RNO[6]") (joined (portRef Y (instanceRef r_ipend_RNO_6)) (portRef D (instanceRef r_ipend_6)) )) (net (rename ipend_6_6 "ipend_6[6]") (joined (portRef Y (instanceRef r_ipend_RNO_0_6)) (portRef B (instanceRef r_ipend_RNO_6)) )) (net N_177 (joined (portRef Y (instanceRef r_ipend_RNO_1_6)) (portRef C (instanceRef r_ipend_RNO_6)) )) (net (rename irl_3 "irl[3]") (joined (portRef irl_3) (portRef A (instanceRef comb_0_decode_un8_res_12_2_i_o2)) (portRef B (instanceRef comb_0_decode_un8_res_4_0_0_a2_0_a2_0)) (portRef A (instanceRef comb_0_decode_un8_res_1_0_2_a2_0_a2_0_a2)) (portRef A (instanceRef r_iforce_0_0_i_a2_2_10)) (portRef A (instanceRef r_iforce_0_0_i_a2_2_11)) (portRef A (instanceRef r_iforce_0_0_i_a2_1_9)) (portRef A (instanceRef r_iforce_0_RNO_2_8)) (portRef B (instanceRef r_iforce_0_RNO_1_1)) (portRef B (instanceRef r_iforce_0_RNO_1_3)) (portRef A (instanceRef r_ipend_RNO_6_8)) )) (net N_222 (joined (portRef Y (instanceRef r_iforce_0_0_i_a2_0_1)) (portRef C (instanceRef r_iforce_0_0_i_a2_2_10)) (portRef B (instanceRef r_iforce_0_0_i_a2_2_11)) (portRef B (instanceRef r_iforce_0_0_i_a2_1_9)) (portRef C (instanceRef r_iforce_0_RNO_2_8)) (portRef C (instanceRef r_ipend_RNO_2_8)) (portRef C (instanceRef r_iforce_0_RNO_1_1)) (portRef C (instanceRef r_iforce_0_RNO_1_3)) )) (net (rename pwdata_0_4 "pwdata_0[4]") (joined (portRef (member pwdata_0 1)) (portRef A (instanceRef r_iforce_0_RNO_0_4)) (portRef B (instanceRef r_iforce_0_RNO_3_4)) (portRef B (instanceRef r_ipend_RNO_4_4)) (portRef B (instanceRef r_ipend_RNO_2_4)) (portRef A (instanceRef r_ipend_RNO_3_4)) )) (net N_589_i_0 (joined (portRef Y (instanceRef r_ipend_RNO_14)) (portRef D (instanceRef r_ipend_14)) )) (net N_387 (joined (portRef Y (instanceRef r_ilevel_RNIG4UV_1)) (portRef C (instanceRef r_ilevel_RNIUITF1_3)) )) (net (rename a_2 "a[2]") (joined (portRef Y (instanceRef r_ilevel_RNIA6VF_2)) (portRef C (instanceRef r_ilevel_RNIOKUV_3)) (portRef B (instanceRef r_ilevel_RNIG4UV_1)) )) (net readdata55_3_0 (joined (portRef readdata55_3_0) (portRef B (instanceRef v_iforce_0_0_sqmuxa_i_o2)) (portRef A (instanceRef v_imask_0_1_sqmuxa_i)) (portRef A (instanceRef r_imask_0_RNIUM3G_1)) (portRef C (instanceRef r_imask_0_RNI9HVQ_9)) (portRef C (instanceRef r_iforce_0_RNINBSL_15)) (portRef B (instanceRef r_imask_0_RNI3N3G_6)) (portRef A (instanceRef prdata_0_iv_2_3)) )) (net N_343 (joined (portRef N_343) (portRef B (instanceRef comb_un1_apbi_1)) )) (net (rename paddr_7 "paddr[10]") (joined (portRef paddr_7) (portRef C (instanceRef comb_un1_apbi_1)) )) (net N_61 (joined (portRef Y (instanceRef r_ipend_RNO_9)) (portRef D (instanceRef r_ipend_9)) )) (net N_682 (joined (portRef Y (instanceRef r_ipend_RNO_0_9)) (portRef B (instanceRef r_ipend_RNO_9)) )) (net N_179 (joined (portRef Y (instanceRef r_ipend_RNO_1_9)) (portRef C (instanceRef r_ipend_RNO_9)) )) (net N_676 (joined (portRef Y (instanceRef r_ipend_RNO_1_8)) (portRef B (instanceRef r_ipend_RNO_8)) )) (net (rename pirq_6 "pirq[8]") (joined (portRef pirq_6) (portRef A (instanceRef r_ipend_RNO_4_8)) (portRef A (instanceRef r_ipend_RNO_1_8)) (portRef B (instanceRef r_ipend_RNO_7_8)) )) (net N_662 (joined (portRef Y (instanceRef r_ipend_RNO_5_8)) (portRef B (instanceRef r_ipend_RNO_1_8)) )) (net (rename ipend_8 "ipend[8]") (joined (portRef Q (instanceRef r_ipend_8)) (portRef A (instanceRef r_ipend_RNILBIB_8)) (portRef B (instanceRef r_ipend_RNIJ5HB_8)) (portRef C (instanceRef r_ipend_RNO_1_8)) )) (net (rename pwdata_7 "pwdata[8]") (joined (portRef pwdata_7) (portRef D (instanceRef r_ilevel_8)) (portRef A (instanceRef r_imask_0_RNO_0_8)) (portRef A (instanceRef r_ipend_RNO_5_8)) (portRef C (instanceRef r_iforce_0_RNO_4_8)) (portRef B (instanceRef r_iforce_0_RNO_3_8)) (portRef B (instanceRef r_ipend_RNO_4_8)) (portRef A (instanceRef r_ipend_RNO_7_8)) )) (net N_49_i (joined (portRef Y (instanceRef r_ipend_RNO_2_8)) (portRef C (instanceRef r_ipend_RNO_8)) )) (net N_656_i_0 (joined (portRef Y (instanceRef r_ipend_RNO_8)) (portRef D (instanceRef r_ipend_8)) )) (net N_605 (joined (portRef Y (instanceRef r_ipend_RNO_1_3)) (portRef C (instanceRef r_ipend_RNO_3)) )) (net N_14 (joined (portRef Y (instanceRef r_ipend_RNO_3)) (portRef D (instanceRef r_ipend_3)) )) (net (rename ipend_6_3 "ipend_6[3]") (joined (portRef Y (instanceRef r_ipend_RNO_0_3)) (portRef B (instanceRef r_ipend_RNO_3)) )) (net N_373 (joined (portRef Y (instanceRef r_ilevel_RNIOVUV2_3)) (portRef B (instanceRef r_ilevel_RNIG60U6_3)) )) (net (rename a_1_10 "a_1[10]") (joined (portRef Y (instanceRef r_ilevel_RNIO9NB_0_10)) (portRef A (instanceRef r_irl_0_RNO_5_1)) (portRef A (instanceRef r_ilevel_RNIQ0OR_8)) (portRef B (instanceRef r_irl_0_RNO_7_0)) )) (net N_420_i (joined (portRef Y (instanceRef r_irl_0_RNO_9_0)) (portRef C (instanceRef r_irl_0_RNO_4_0)) )) (net (rename a_1_2 "a_1[2]") (joined (portRef Y (instanceRef r_ilevel_RNIA6VF_0_2)) (portRef C (instanceRef r_irl_0_RNO_4_1)) (portRef B (instanceRef r_irl_0_RNO_9_0)) )) (net (rename a_10 "a[10]") (joined (portRef Y (instanceRef r_ilevel_RNIO9NB_10)) (portRef B (instanceRef r_ilevel_RNIONUE1_10)) (portRef A (instanceRef r_ilevel_RNIQ0OR_0_8)) (portRef B (instanceRef r_ilevel_RNI6D8J1_10)) )) (net N_369 (joined (portRef Y (instanceRef r_ilevel_RNI84GN_0_12)) (portRef B (instanceRef r_ilevel_RNI258J1_0_8)) (portRef B (instanceRef r_ilevel_RNI4M731_11)) (portRef C (instanceRef r_ilevel_RNI411F4_11)) (portRef C (instanceRef r_ilevel_RNI6D8J1_10)) )) (net N_644_i_0 (joined (portRef Y (instanceRef r_iforce_0_RNO_12)) (portRef D (instanceRef r_iforce_0_12)) )) (net N_211 (joined (portRef Y (instanceRef r_iforce_0_RNO_0_12)) (portRef A (instanceRef r_iforce_0_RNO_12)) )) (net (rename irlZ0Z_0 "irl[0]") (joined (portRef Y (instanceRef r_ilevel_RNISBVC9_3)) (portRef C (instanceRef r_irl_0_RNO_0)) (portRef B (instanceRef r_ilevel_RNI4UH0O_3)) )) (net N_389 (joined (portRef Y (instanceRef r_ilevel_RNI258J1_0_8)) (portRef B (instanceRef r_ilevel_RNIKAH63_15)) (portRef B (instanceRef r_ilevel_RNISBVC9_3)) )) (net irl_02 (joined (portRef Y (instanceRef r_ilevel_RNI4UH0O_3)) (portRef S (instanceRef r_irl_0_RNO_1)) (portRef S (instanceRef r_irl_0_RNO_2)) (portRef S (instanceRef r_irl_0_RNO_3)) )) (net (rename irlZ0Z_2 "irl[2]") (joined (portRef Y (instanceRef r_irl_0_RNO_0_2)) (portRef A (instanceRef r_irl_0_RNO_2)) )) (net N_418 (joined (portRef Y (instanceRef r_irl_0_RNO_0_3)) (portRef B (instanceRef r_irl_0_RNO_3)) )) (net N_422 (joined (portRef Y (instanceRef r_ilevel_RNI258J1_8)) (portRef B (instanceRef r_irl_0_RNO_0_0)) (portRef C (instanceRef r_irl_0_RNO_0_3)) )) (net (rename irl_1_0 "irl_1[0]") (joined (portRef Y (instanceRef r_irl_0_RNO_0_0)) (portRef A (instanceRef r_irl_0_RNO_0)) )) (net (rename irl_1_1 "irl_1[1]") (joined (portRef Y (instanceRef r_irl_0_RNO_0_1)) (portRef B (instanceRef r_irl_0_RNO_1)) )) (net N_406 (joined (portRef Y (instanceRef r_irl_0_RNO_2_1)) (portRef B (instanceRef r_irl_0_RNO_0_1)) )) (net (rename irl_1_2 "irl_1[2]") (joined (portRef Y (instanceRef r_irl_0_RNO_1_2)) (portRef B (instanceRef r_irl_0_RNO_2)) )) (net N_404 (joined (portRef Y (instanceRef r_irl_0_RNO_4_2)) (portRef B (instanceRef r_irl_0_RNO_1_2)) )) (net (rename a_1_11 "a_1[11]") (joined (portRef Y (instanceRef r_ilevel_RNISHNB_0_11)) (portRef B (instanceRef r_irl_0_RNO_5_1)) (portRef A (instanceRef r_irl_0_RNO_8_0)) (portRef A (instanceRef r_ilevel_RNI2HOR_11)) )) (net (rename prdata_0_iv_2_12 "prdata_0_iv_2[15]") (joined (portRef Y (instanceRef r_iforce_0_RNINBSL_15)) (portRef prdata_0_iv_2_12) )) (net (rename prdata_13_m_0_15 "prdata_13_m_0[15]") (joined (portRef Y (instanceRef r_iforce_0_RNI47D6_15)) (portRef A (instanceRef r_iforce_0_RNINBSL_15)) )) (net (rename prdata_11_m_0_15 "prdata_11_m_0[15]") (joined (portRef Y (instanceRef r_imask_0_RNITFM6_15)) (portRef B (instanceRef r_iforce_0_RNINBSL_15)) )) (net (rename prdata_0_iv_0_a2_1_0_9 "prdata_0_iv_0_a2_1_0[9]") (joined (portRef Y (instanceRef r_iforce_0_RNI3QRA_9)) (portRef A (instanceRef r_imask_0_RNI9HVQ_9)) )) (net (rename prdata_0_iv_0_a2_0_0_9 "prdata_0_iv_0_a2_0_0[9]") (joined (portRef Y (instanceRef r_imask_0_RNIG2B7_9)) (portRef B (instanceRef r_imask_0_RNI9HVQ_9)) )) (net prdata35 (joined (portRef Y (instanceRef comb_prdata35_0_a2)) (portRef A (instanceRef v_iforce_0_0_sqmuxa_i_o2)) (portRef B (instanceRef r_iforce_0_RNI47D6_15)) (portRef B (instanceRef r_iforce_0_RNI3QRA_9)) (portRef B (instanceRef prdata_0_iv_2_RNO_3)) )) (net (rename prdata_11_m_0_3 "prdata_11_m_0[3]") (joined (portRef Y (instanceRef prdata_0_iv_2_RNO_0_3)) (portRef C (instanceRef prdata_0_iv_2_RNO_3)) )) (net (rename iforce_0_9 "iforce_0[9]") (joined (portRef Q (instanceRef r_iforce_0_9)) (portRef A (instanceRef r_ipend_RNO_1_9)) (portRef B (instanceRef r_ipend_RNINHI8_9)) (portRef C (instanceRef r_iforce_0_RNO_1_9)) (portRef A (instanceRef r_iforce_0_RNI1PUF_9)) (portRef A (instanceRef r_iforce_0_RNI3QRA_9)) )) (net (rename imask_0_3 "imask_0[3]") (joined (portRef Q (instanceRef r_imask_0_3)) (portRef B (instanceRef r_imask_0_RNO_0_3)) (portRef C (instanceRef r_imask_0_RNI4HGB_3)) (portRef A (instanceRef prdata_0_iv_2_RNO_0_3)) )) (net (rename iforce_0_15 "iforce_0[15]") (joined (portRef Q (instanceRef r_iforce_0_15)) (portRef A (instanceRef r_imask_0_RNIHLO8_15)) (portRef A (instanceRef r_iforce_0_RNI26GB_15)) (portRef B (instanceRef r_iforce_0_RNO_0_15)) (portRef A (instanceRef r_ipend_RNO_1_15)) (portRef A (instanceRef r_iforce_0_RNO_2_15)) (portRef A (instanceRef r_iforce_0_RNI47D6_15)) )) (net (rename imask_0_9 "imask_0[9]") (joined (portRef Q (instanceRef r_imask_0_9)) (portRef B (instanceRef r_imask_0_RNO_0_9)) (portRef A (instanceRef r_ilevel_RNI6V0G_0_9)) (portRef A (instanceRef r_ilevel_RNI6V0G_9)) (portRef A (instanceRef r_imask_0_RNIG2B7_9)) )) (net (rename imask_0_15 "imask_0[15]") (joined (portRef Q (instanceRef r_imask_0_15)) (portRef C (instanceRef r_imask_0_RNIHLO8_15)) (portRef B (instanceRef r_imask_0_RNO_0_15)) (portRef A (instanceRef r_imask_0_RNITFM6_15)) )) (net (rename a_1_5 "a_1[5]") (joined (portRef Y (instanceRef r_ilevel_RNIMUVF_0_5)) (portRef A (instanceRef r_ilevel_RNI8LVV_4)) (portRef B (instanceRef r_irl_0_RNO_10_0)) )) (net N_508 (joined (portRef Y (instanceRef r_imask_0_RNO_0_1)) (portRef B (instanceRef r_imask_0_RNO_1)) )) (net (rename imask_0_1 "imask_0[1]") (joined (portRef Q (instanceRef r_imask_0_1)) (portRef C (instanceRef r_imask_0_RNIUM3G_1)) (portRef C (instanceRef r_imask_0_RNIU8GB_1)) (portRef B (instanceRef r_imask_0_RNO_0_1)) )) (net N_4 (joined (portRef Y (instanceRef v_imask_0_1_sqmuxa_i)) (portRef S (instanceRef r_imask_0_RNO_0_3)) (portRef S (instanceRef r_imask_0_RNO_0_4)) (portRef S (instanceRef r_imask_0_RNO_0_8)) (portRef S (instanceRef r_imask_0_RNO_0_9)) (portRef S (instanceRef r_imask_0_RNO_0_15)) (portRef S (instanceRef r_imask_0_RNO_0_14)) (portRef S (instanceRef r_imask_0_RNO_0_13)) (portRef S (instanceRef r_imask_0_RNO_0_12)) (portRef S (instanceRef r_imask_0_RNO_0_11)) (portRef S (instanceRef r_imask_0_RNO_0_10)) (portRef S (instanceRef r_imask_0_RNO_0_7)) (portRef S (instanceRef r_imask_0_RNO_0_6)) (portRef S (instanceRef r_imask_0_RNO_0_5)) (portRef S (instanceRef r_imask_0_RNO_0_2)) (portRef S (instanceRef r_imask_0_RNO_0_1)) )) (net N_509 (joined (portRef Y (instanceRef r_imask_0_RNO_0_2)) (portRef B (instanceRef r_imask_0_RNO_2)) )) (net (rename imask_0_2 "imask_0[2]") (joined (portRef Q (instanceRef r_imask_0_2)) (portRef C (instanceRef r_imask_0_RNIVM3G_2)) (portRef C (instanceRef r_ipend_RNI1DGB_2)) (portRef B (instanceRef r_imask_0_RNO_0_2)) )) (net N_512 (joined (portRef Y (instanceRef r_imask_0_RNO_0_5)) (portRef B (instanceRef r_imask_0_RNO_5)) )) (net (rename pwdata_0_5 "pwdata_0[5]") (joined (portRef (member pwdata_0 0)) (portRef A (instanceRef r_iforce_0_RNO_3_5)) (portRef C (instanceRef r_iforce_0_RNO_4_5)) (portRef B (instanceRef r_ipend_RNO_2_5)) (portRef B (instanceRef r_ipend_RNO_4_5)) (portRef A (instanceRef r_imask_0_RNO_0_5)) (portRef A (instanceRef r_ipend_RNO_3_5)) )) (net (rename imask_0_5 "imask_0[5]") (joined (portRef Q (instanceRef r_imask_0_5)) (portRef C (instanceRef r_imask_0_RNI2N3G_5)) (portRef C (instanceRef r_ipend_RNIAPGB_5)) (portRef B (instanceRef r_imask_0_RNO_0_5)) )) (net N_513 (joined (portRef Y (instanceRef r_imask_0_RNO_0_6)) (portRef B (instanceRef r_imask_0_RNO_6)) )) (net N_514 (joined (portRef Y (instanceRef r_imask_0_RNO_0_7)) (portRef B (instanceRef r_imask_0_RNO_7)) )) (net (rename imask_0_7 "imask_0[7]") (joined (portRef Q (instanceRef r_imask_0_7)) (portRef C (instanceRef r_imask_0_RNI4N3G_7)) (portRef C (instanceRef r_imask_0_RNIG1HB_7)) (portRef B (instanceRef r_imask_0_RNO_0_7)) )) (net N_517 (joined (portRef Y (instanceRef r_imask_0_RNO_0_10)) (portRef B (instanceRef r_imask_0_RNO_10)) )) (net (rename imask_0_10 "imask_0[10]") (joined (portRef Q (instanceRef r_imask_0_10)) (portRef C (instanceRef r_imask_0_RNIEGEF_10)) (portRef A (instanceRef r_imask_0_RNI2DN8_10)) (portRef B (instanceRef r_imask_0_RNO_0_10)) )) (net N_518 (joined (portRef Y (instanceRef r_imask_0_RNO_0_11)) (portRef B (instanceRef r_imask_0_RNO_11)) )) (net (rename imask_0_11 "imask_0[11]") (joined (portRef Q (instanceRef r_imask_0_11)) (portRef C (instanceRef r_imask_0_RNIFKEF_11)) (portRef A (instanceRef r_ilevel_RNISHNB_0_11)) (portRef A (instanceRef r_ilevel_RNISHNB_11)) (portRef B (instanceRef r_imask_0_RNO_0_11)) )) (net N_519 (joined (portRef Y (instanceRef r_imask_0_RNO_0_12)) (portRef B (instanceRef r_imask_0_RNO_12)) )) (net (rename pwdata_11 "pwdata[12]") (joined (portRef pwdata_11) (portRef D (instanceRef r_ilevel_12)) (portRef B (instanceRef r_ipend_RNO_2_12)) (portRef A (instanceRef r_iforce_0_RNO_3_12)) (portRef C (instanceRef r_iforce_0_RNO_4_12)) (portRef B (instanceRef r_ipend_RNO_4_12)) (portRef A (instanceRef r_imask_0_RNO_0_12)) (portRef A (instanceRef r_ipend_RNO_3_12)) )) (net (rename imask_0_12 "imask_0[12]") (joined (portRef Q (instanceRef r_imask_0_12)) (portRef C (instanceRef r_imask_0_RNIGOEF_12)) (portRef C (instanceRef r_imask_0_RNI8TN8_12)) (portRef B (instanceRef r_imask_0_RNO_0_12)) )) (net N_520 (joined (portRef Y (instanceRef r_imask_0_RNO_0_13)) (portRef B (instanceRef r_imask_0_RNO_13)) )) (net (rename imask_0_13 "imask_0[13]") (joined (portRef Q (instanceRef r_imask_0_13)) (portRef C (instanceRef r_imask_0_RNIHSEF_13)) (portRef C (instanceRef r_imask_0_RNIB5O8_13)) (portRef B (instanceRef r_imask_0_RNO_0_13)) )) (net N_521 (joined (portRef Y (instanceRef r_imask_0_RNO_0_14)) (portRef B (instanceRef r_imask_0_RNO_14)) )) (net (rename pwdata_13 "pwdata[14]") (joined (portRef pwdata_13) (portRef D (instanceRef r_ilevel_14)) (portRef B (instanceRef r_ipend_RNO_4_14)) (portRef B (instanceRef r_ipend_RNO_2_14)) (portRef A (instanceRef r_iforce_0_RNO_3_14)) (portRef C (instanceRef r_iforce_0_RNO_4_14)) (portRef A (instanceRef r_imask_0_RNO_0_14)) (portRef A (instanceRef r_ipend_RNO_3_14)) )) (net (rename imask_0_14 "imask_0[14]") (joined (portRef Q (instanceRef r_imask_0_14)) (portRef C (instanceRef r_imask_0_RNII0FF_14)) (portRef A (instanceRef r_ilevel_RNI8AOB_0_14)) (portRef A (instanceRef r_ilevel_RNI8AOB_14)) (portRef B (instanceRef r_imask_0_RNO_0_14)) )) (net (rename ipend_3_7 "ipend_3[7]") (joined (portRef Y (instanceRef r_ipend_RNO_2_7)) (portRef A (instanceRef r_ipend_RNO_0_7)) )) (net (rename ipend_7 "ipend[7]") (joined (portRef Q (instanceRef r_ipend_7)) (portRef A (instanceRef r_ipend_RNIKBIB_7)) (portRef B (instanceRef r_imask_0_RNIG1HB_7)) (portRef B (instanceRef r_ipend_RNO_3_7)) (portRef A (instanceRef r_ipend_RNO_2_7)) )) (net (rename pwdata_6 "pwdata[7]") (joined (portRef pwdata_6) (portRef D (instanceRef r_ilevel_7)) (portRef B (instanceRef r_iforce_0_RNO_1_7)) (portRef A (instanceRef r_ipend_RNO_3_7)) (portRef B (instanceRef r_iforce_0_RNO_2_7)) (portRef B (instanceRef r_ipend_RNO_2_7)) (portRef A (instanceRef r_imask_0_RNO_0_7)) )) (net (rename iforce_0_3_7 "iforce_0_3[7]") (joined (portRef Y (instanceRef r_iforce_0_RNO_2_7)) (portRef A (instanceRef r_iforce_0_RNO_1_7)) )) (net (rename iforce_0_7 "iforce_0[7]") (joined (portRef Q (instanceRef r_iforce_0_7)) (portRef A (instanceRef r_iforce_0_RNI6G3T_7)) (portRef B (instanceRef r_iforce_0_RNO_0_7)) (portRef B (instanceRef r_ipend_RNO_1_7)) (portRef A (instanceRef r_imask_0_RNIG1HB_7)) (portRef A (instanceRef r_iforce_0_RNO_2_7)) )) (net (rename pwdata_22 "pwdata[23]") (joined (portRef pwdata_22) (portRef C (instanceRef r_iforce_0_RNO_2_7)) )) (net N_282 (joined (portRef Y (instanceRef r_ipend_RNO_3_7)) (portRef B (instanceRef r_ipend_RNO_0_7)) )) (net (rename iforce_0_6 "iforce_0[6]") (joined (portRef Q (instanceRef r_iforce_0_6)) (portRef A (instanceRef r_iforce_0_RNIM2KJ_6)) (portRef B (instanceRef r_iforce_0_RNO_0_6)) (portRef A (instanceRef r_ipend_RNO_1_6)) (portRef A (instanceRef r_imask_0_RNIDTGB_6)) (portRef A (instanceRef r_iforce_0_RNIUCUF_6)) )) (net prdata_2_sqmuxa (joined (portRef Y (instanceRef prdata_2_sqmuxa_0_a2)) (portRef B (instanceRef r_iforce_0_RNIR0UF_3)) (portRef A (instanceRef comb_v_iforce_0_6_sn_m2_0_a2)) (portRef B (instanceRef r_iforce_0_RNI26GB_15)) (portRef B (instanceRef r_iforce_0_RNI1PUF_9)) (portRef B (instanceRef r_iforce_0_RNIUCUF_6)) )) (net N_331 (joined (portRef Y (instanceRef r_iforce_0_RNO_1_7)) (portRef A (instanceRef r_iforce_0_RNO_0_7)) )) (net N_55 (joined (portRef Y (instanceRef v_iforce_0_0_sqmuxa_i_o2)) (portRef S (instanceRef r_iforce_0_RNO_2_3)) (portRef B (instanceRef comb_v_iforce_0_6_sn_m2_0_a2)) (portRef B (instanceRef r_iforce_0_RNIM2KJ_6)) (portRef B (instanceRef comb_v_iforce_0_6_i_a2_0_8)) (portRef A (instanceRef r_iforce_0_RNO_4_5)) (portRef B (instanceRef r_iforce_0_RNO_2_6)) (portRef A (instanceRef r_iforce_0_RNO_3_2)) (portRef A (instanceRef r_iforce_0_RNO_4_8)) (portRef S (instanceRef r_iforce_0_RNO_2_1)) (portRef A (instanceRef r_iforce_0_RNO_4_14)) (portRef A (instanceRef r_iforce_0_RNO_3_13)) (portRef A (instanceRef r_iforce_0_RNO_4_12)) (portRef A (instanceRef r_iforce_0_RNO_3_11)) (portRef A (instanceRef r_iforce_0_RNO_3_10)) (portRef S (instanceRef r_iforce_0_RNO_2_9)) (portRef S (instanceRef r_iforce_0_RNO_3_4)) (portRef S (instanceRef r_iforce_0_RNO_1_15)) (portRef S (instanceRef r_iforce_0_RNO_1_7)) )) (net N_367 (joined (portRef Y (instanceRef r_ilevel_RNIOKUV_3)) (portRef B (instanceRef r_ilevel_RNIOVUV2_3)) )) (net (rename ilevel_3 "ilevel[3]") (joined (portRef Q (instanceRef r_ilevel_3)) (portRef A (instanceRef r_irl_0_RNO_4_1)) (portRef B (instanceRef r_irl_0_RNO_4_0)) (portRef A (instanceRef r_ilevel_RNI8MEC_3)) (portRef A (instanceRef r_ilevel_RNIUITF1_3)) (portRef A (instanceRef r_ilevel_RNIOKUV_3)) )) (net (rename temp_0_1_3 "temp_0_1[3]") (joined (portRef Y (instanceRef r_imask_0_RNI4HGB_3)) (portRef B (instanceRef r_irl_0_RNO_4_1)) (portRef A (instanceRef r_irl_0_RNO_4_0)) (portRef B (instanceRef r_ilevel_RNIUITF1_3)) (portRef B (instanceRef r_ilevel_RNIOKUV_3)) )) (net (rename ilevel_2 "ilevel[2]") (joined (portRef Q (instanceRef r_ilevel_2)) (portRef A (instanceRef r_ilevel_RNI7IEC_2)) (portRef A (instanceRef r_ilevel_RNIA6VF_2)) (portRef A (instanceRef r_ilevel_RNIA6VF_0_2)) )) (net (rename temp_0_1_2 "temp_0_1[2]") (joined (portRef Y (instanceRef r_ipend_RNI1DGB_2)) (portRef B (instanceRef r_ilevel_RNIA6VF_2)) (portRef B (instanceRef r_ilevel_RNIA6VF_0_2)) )) (net (rename ilevel_10 "ilevel[10]") (joined (portRef Q (instanceRef r_ilevel_10)) (portRef A (instanceRef r_ilevel_RNIKLVA_10)) (portRef A (instanceRef r_ilevel_RNIO9NB_10)) (portRef A (instanceRef r_ilevel_RNIO9NB_0_10)) )) (net (rename temp_0_1_10 "temp_0_1[10]") (joined (portRef Y (instanceRef r_imask_0_RNI2DN8_10)) (portRef B (instanceRef r_ilevel_RNIO9NB_10)) (portRef B (instanceRef r_ilevel_RNIO9NB_0_10)) )) (net (rename temp_0_1_13 "temp_0_1[13]") (joined (portRef Y (instanceRef r_imask_0_RNIB5O8_13)) (portRef B (instanceRef r_ilevel_RNI42OB_13)) (portRef A (instanceRef r_ilevel_RNI42OB_0_13)) )) (net (rename ilevel_13 "ilevel[13]") (joined (portRef Q (instanceRef r_ilevel_13)) (portRef A (instanceRef r_ilevel_RNINLVA_13)) (portRef A (instanceRef r_ilevel_RNI42OB_13)) (portRef B (instanceRef r_ilevel_RNI42OB_0_13)) )) (net N_403 (joined (portRef Y (instanceRef r_ilevel_RNI8LVV_4)) (portRef A (instanceRef r_irl_0_RNO_2_1)) (portRef A (instanceRef r_irl_0_RNO_4_2)) )) (net (rename un1_temp_10 "un1_temp[10]") (joined (portRef Y (instanceRef r_ipend_RNIRRD6_10)) (portRef B (instanceRef r_imask_0_RNI2DN8_10)) )) (net (rename ipend_10 "ipend[10]") (joined (portRef Q (instanceRef r_ipend_10)) (portRef A (instanceRef r_ipend_RNIU8SD_10)) (portRef C (instanceRef r_ipend_RNO_1_10)) (portRef A (instanceRef r_ipend_RNIRRD6_10)) )) (net (rename iforce_0_10 "iforce_0[10]") (joined (portRef Q (instanceRef r_iforce_0_10)) (portRef A (instanceRef r_iforce_0_RNI45LO_10)) (portRef C (instanceRef r_iforce_0_RNO_2_10)) (portRef A (instanceRef r_ipend_RNO_2_10)) (portRef B (instanceRef r_ipend_RNIRRD6_10)) )) (net (rename ipend_RNITVD6_11 "ipend_RNITVD6[11]") (joined (portRef Y (instanceRef r_ipend_RNITVD6_11)) (portRef B (instanceRef r_ilevel_RNISHNB_0_11)) (portRef C (instanceRef r_ilevel_RNISHNB_11)) )) (net (rename ipend_11 "ipend[11]") (joined (portRef Q (instanceRef r_ipend_11)) (portRef A (instanceRef r_ipend_RNIVCSD_11)) (portRef C (instanceRef r_ipend_RNO_1_11)) (portRef A (instanceRef r_ipend_RNITVD6_11)) )) (net (rename iforce_0_11 "iforce_0[11]") (joined (portRef Q (instanceRef r_iforce_0_11)) (portRef A (instanceRef r_iforce_0_RNI55LO_11)) (portRef C (instanceRef r_iforce_0_RNO_2_11)) (portRef A (instanceRef r_ipend_RNO_2_11)) (portRef B (instanceRef r_ipend_RNITVD6_11)) )) (net (rename un1_temp_14 "un1_temp[14]") (joined (portRef Y (instanceRef r_ipend_RNI3CE6_14)) (portRef B (instanceRef r_ilevel_RNI8AOB_0_14)) (portRef C (instanceRef r_ilevel_RNI8AOB_14)) )) (net (rename ipend_14 "ipend[14]") (joined (portRef Q (instanceRef r_ipend_14)) (portRef A (instanceRef r_ipend_RNI2PSD_14)) (portRef C (instanceRef r_ipend_RNO_4_14)) (portRef A (instanceRef r_ipend_RNI3CE6_14)) )) (net (rename temp_0_1_5 "temp_0_1[5]") (joined (portRef Y (instanceRef r_ipend_RNIAPGB_5)) (portRef A (instanceRef r_ilevel_RNIMUVF_0_5)) (portRef B (instanceRef r_ilevel_RNIMUVF_5)) )) (net (rename ipend_5 "ipend[5]") (joined (portRef Q (instanceRef r_ipend_5)) (portRef A (instanceRef r_ipend_RNIIBIB_5)) (portRef C (instanceRef r_ipend_RNO_4_5)) (portRef B (instanceRef r_ipend_RNIAPGB_5)) )) (net (rename iforce_0_13 "iforce_0[13]") (joined (portRef Q (instanceRef r_iforce_0_13)) (portRef A (instanceRef r_iforce_0_RNI75LO_13)) (portRef C (instanceRef r_iforce_0_RNO_2_13)) (portRef B (instanceRef r_ipend_RNO_2_13)) (portRef A (instanceRef r_imask_0_RNIB5O8_13)) )) (net (rename ipend_13 "ipend[13]") (joined (portRef Q (instanceRef r_ipend_13)) (portRef A (instanceRef r_ipend_RNI1LSD_13)) (portRef C (instanceRef r_ipend_RNO_1_13)) (portRef B (instanceRef r_imask_0_RNIB5O8_13)) )) (net (rename ipend_3_1 "ipend_3[1]") (joined (portRef Y (instanceRef r_ipend_RNO_3_1)) (portRef A (instanceRef r_ipend_RNO_2_1)) )) (net (rename pwdata_0_1 "pwdata_0[1]") (joined (portRef (member pwdata_0 4)) (portRef B (instanceRef r_iforce_0_RNO_2_1)) (portRef A (instanceRef r_ipend_RNO_4_1)) (portRef B (instanceRef r_iforce_0_RNO_3_1)) (portRef B (instanceRef r_ipend_RNO_3_1)) (portRef A (instanceRef r_imask_0_RNO_0_1)) )) (net (rename ipend_3_6 "ipend_3[6]") (joined (portRef Y (instanceRef r_ipend_RNO_2_6)) (portRef A (instanceRef r_ipend_RNO_0_6)) )) (net (rename ipend_6 "ipend[6]") (joined (portRef Q (instanceRef r_ipend_6)) (portRef A (instanceRef r_ipend_RNIJBIB_6)) (portRef B (instanceRef r_imask_0_RNIDTGB_6)) (portRef B (instanceRef r_ipend_RNO_3_6)) (portRef A (instanceRef r_ipend_RNO_2_6)) )) (net (rename pwdata_5 "pwdata[6]") (joined (portRef pwdata_5) (portRef D (instanceRef r_ilevel_6)) (portRef A (instanceRef r_iforce_0_RNO_1_6)) (portRef A (instanceRef r_ipend_RNO_3_6)) (portRef B (instanceRef r_ipend_RNO_2_6)) (portRef A (instanceRef r_imask_0_RNO_0_6)) )) (net (rename ipend_3_15 "ipend_3[15]") (joined (portRef Y (instanceRef r_ipend_RNO_2_15)) (portRef A (instanceRef r_ipend_RNO_0_15)) )) (net (rename ipend_15 "ipend[15]") (joined (portRef Q (instanceRef r_ipend_15)) (portRef B (instanceRef r_imask_0_RNIHLO8_15)) (portRef A (instanceRef r_ipend_RNI3TSD_15)) (portRef B (instanceRef r_ipend_RNO_3_15)) (portRef A (instanceRef r_ipend_RNO_2_15)) )) (net (rename temp_0_1_4 "temp_0_1[4]") (joined (portRef Y (instanceRef r_ipend_RNI7LGB_4)) (portRef B (instanceRef r_ilevel_RNIIMVF_0_4)) (portRef B (instanceRef r_ilevel_RNIIMVF_4)) )) (net (rename ipend_4 "ipend[4]") (joined (portRef Q (instanceRef r_ipend_4)) (portRef A (instanceRef r_ipend_RNIHBIB_4)) (portRef C (instanceRef r_ipend_RNO_4_4)) (portRef B (instanceRef r_ipend_RNI7LGB_4)) )) (net (rename imask_0_4 "imask_0[4]") (joined (portRef Q (instanceRef r_imask_0_4)) (portRef B (instanceRef r_imask_0_RNO_0_4)) (portRef C (instanceRef r_imask_0_RNI1N3G_4)) (portRef C (instanceRef r_ipend_RNI7LGB_4)) )) (net (rename iforce_0_3_1 "iforce_0_3[1]") (joined (portRef Y (instanceRef r_iforce_0_RNO_3_1)) (portRef A (instanceRef r_iforce_0_RNO_2_1)) )) (net (rename pwdata_16 "pwdata[17]") (joined (portRef pwdata_16) (portRef C (instanceRef r_iforce_0_RNO_3_1)) )) (net (rename iforce_0_3_15 "iforce_0_3[15]") (joined (portRef Y (instanceRef r_iforce_0_RNO_2_15)) (portRef A (instanceRef r_iforce_0_RNO_1_15)) )) (net (rename pwdata_14 "pwdata[15]") (joined (portRef pwdata_14) (portRef D (instanceRef r_ilevel_15)) (portRef A (instanceRef r_imask_0_RNO_0_15)) (portRef B (instanceRef r_iforce_0_RNO_1_15)) (portRef A (instanceRef r_ipend_RNO_3_15)) (portRef B (instanceRef r_iforce_0_RNO_2_15)) (portRef B (instanceRef r_ipend_RNO_2_15)) )) (net (rename pwdata_30 "pwdata[31]") (joined (portRef pwdata_30) (portRef C (instanceRef r_iforce_0_RNO_2_15)) )) (net (rename ilevel_4 "ilevel[4]") (joined (portRef Q (instanceRef r_ilevel_4)) (portRef A (instanceRef r_ilevel_RNI9QEC_4)) (portRef A (instanceRef r_ilevel_RNIIMVF_0_4)) (portRef A (instanceRef r_ilevel_RNIIMVF_4)) )) (net (rename ilevel_5 "ilevel[5]") (joined (portRef Q (instanceRef r_ilevel_5)) (portRef A (instanceRef r_ilevel_RNIAUEC_5)) (portRef B (instanceRef r_ilevel_RNIMUVF_0_5)) (portRef A (instanceRef r_ilevel_RNIMUVF_5)) )) (net (rename ilevel_14 "ilevel[14]") (joined (portRef Q (instanceRef r_ilevel_14)) (portRef A (instanceRef r_ilevel_RNIOLVA_14)) (portRef C (instanceRef r_ilevel_RNI8AOB_0_14)) (portRef B (instanceRef r_ilevel_RNI8AOB_14)) )) (net N_276 (joined (portRef Y (instanceRef r_ipend_RNO_4_1)) (portRef B (instanceRef r_ipend_RNO_2_1)) )) (net N_281 (joined (portRef Y (instanceRef r_ipend_RNO_3_6)) (portRef B (instanceRef r_ipend_RNO_0_6)) )) (net N_290 (joined (portRef Y (instanceRef r_ipend_RNO_3_15)) (portRef B (instanceRef r_ipend_RNO_0_15)) )) (net (rename ipend_6_1 "ipend_6[1]") (joined (portRef Y (instanceRef r_ipend_RNO_2_1)) (portRef C (instanceRef r_ipend_RNO_0_1)) )) (net N_339 (joined (portRef Y (instanceRef r_iforce_0_RNO_1_15)) (portRef A (instanceRef r_iforce_0_RNO_0_15)) )) (net N_366 (joined (portRef Y (instanceRef r_ilevel_RNIOL001_0_7)) (portRef B (instanceRef r_ilevel_RNI0B002_4)) (portRef C (instanceRef r_ilevel_RNIOVUV2_3)) )) (net N_370 (joined (portRef Y (instanceRef r_ilevel_RNI8LVV_0_4)) (portRef A (instanceRef r_ilevel_RNI0B002_4)) (portRef A (instanceRef r_ilevel_RNIOVUV2_3)) )) (net (rename ipend_1_1 "ipend_1[1]") (joined (portRef Y (instanceRef r_ipend_RNO_0_1)) (portRef B (instanceRef r_ipend_RNO_1)) )) (net (rename ipend_RNO_1 "ipend_RNO[1]") (joined (portRef Y (instanceRef r_ipend_RNO_1)) (portRef D (instanceRef r_ipend_1)) )) (net N_897 (joined (portRef N_897) (portRef A (instanceRef prdata_1_sqmuxa)) )) (net N_139 (joined (portRef Y (instanceRef r_iforce_0_RNI0O2T_1)) (portRef N_139) )) (net N_6 (joined (portRef Y (instanceRef v_ilevel_0_sqmuxa_i)) (portRef E (instanceRef r_ilevel_15)) (portRef E (instanceRef r_ilevel_14)) (portRef E (instanceRef r_ilevel_13)) (portRef E (instanceRef r_ilevel_12)) (portRef E (instanceRef r_ilevel_11)) (portRef E (instanceRef r_ilevel_10)) (portRef E (instanceRef r_ilevel_9)) (portRef E (instanceRef r_ilevel_8)) (portRef E (instanceRef r_ilevel_7)) (portRef E (instanceRef r_ilevel_6)) (portRef E (instanceRef r_ilevel_5)) (portRef E (instanceRef r_ilevel_4)) (portRef E (instanceRef r_ilevel_3)) (portRef E (instanceRef r_ilevel_2)) (portRef E (instanceRef r_ilevel_1)) )) (net N_62 (joined (portRef Y (instanceRef r_imask_0_RNI8TN8_12)) (portRef B (instanceRef r_ilevel_RNI0QNB_12)) (portRef A (instanceRef r_ilevel_RNI0QNB_0_12)) )) (net (rename ipend_12 "ipend[12]") (joined (portRef Q (instanceRef r_ipend_12)) (portRef A (instanceRef r_ipend_RNI0HSD_12)) (portRef C (instanceRef r_ipend_RNO_4_12)) (portRef B (instanceRef r_imask_0_RNI8TN8_12)) )) (net (rename temp_0_1_7 "temp_0_1[7]") (joined (portRef Y (instanceRef r_imask_0_RNIG1HB_7)) (portRef B (instanceRef r_ilevel_RNIUE0G_7)) (portRef A (instanceRef r_ilevel_RNIUE0G_0_7)) )) (net (rename temp_0_1_6 "temp_0_1[6]") (joined (portRef Y (instanceRef r_imask_0_RNIDTGB_6)) (portRef A (instanceRef r_ilevel_RNIQ60G_0_6)) (portRef B (instanceRef r_ilevel_RNIQ60G_6)) )) (net N_56 (joined (portRef Y (instanceRef v_ipend_1_sqmuxa_i_o2_0_o2)) (portRef A (instanceRef r_ipend_RNO_4_14)) (portRef A (instanceRef r_ipend_RNO_2_12)) (portRef S (instanceRef r_ipend_RNO_3_3)) (portRef B (instanceRef r_ipend_RNO_5_8)) (portRef B (instanceRef r_ipend_RNO_2_9)) (portRef A (instanceRef r_ipend_RNO_2_14)) (portRef B (instanceRef r_ipend_RNO_5_2)) (portRef A (instanceRef r_ipend_RNO_1_13)) (portRef A (instanceRef r_ipend_RNO_1_11)) (portRef A (instanceRef r_ipend_RNO_1_10)) (portRef A (instanceRef r_ipend_RNO_4_12)) (portRef A (instanceRef r_ipend_RNO_4_4)) )) (net (rename paddr_3 "paddr[6]") (joined (portRef paddr_3) (portRef B (instanceRef comb_prdata33_0_o2)) (portRef A (instanceRef comb_prdata34_0_a2)) (portRef B (instanceRef comb_prdata35_0_a2)) )) (net (rename paddr_4 "paddr[7]") (joined (portRef paddr_4) (portRef A (instanceRef comb_prdata33_0_o2)) (portRef B (instanceRef comb_prdata34_0_a2)) (portRef A (instanceRef comb_prdata35_0_a2)) )) (net (rename paddr_0_d0 "paddr[3]") (joined (portRef paddr_0_d0) (portRef A (instanceRef prdata_2_sqmuxa_0_a2)) )) (net N_35 (joined (portRef Y (instanceRef comb_prdata33_0_o2)) (portRef A (instanceRef prdata_1_sqmuxa_2_0_o2)) (portRef A (instanceRef prdata_0_sqmuxa_0)) (portRef N_35) )) (net (rename paddr_1 "paddr[4]") (joined (portRef paddr_1) (portRef B (instanceRef prdata_1_sqmuxa_2_0_o2)) )) (net (rename pwdata_19 "pwdata[20]") (joined (portRef pwdata_19) (portRef A (instanceRef r_iforce_0_RNO_3_4)) )) (net (rename pwdata_24 "pwdata[25]") (joined (portRef pwdata_24) (portRef A (instanceRef r_iforce_0_RNO_2_9)) )) (net (rename pwdata_8 "pwdata[9]") (joined (portRef pwdata_8) (portRef D (instanceRef r_ilevel_9)) (portRef A (instanceRef r_ipend_RNO_2_9)) (portRef A (instanceRef r_imask_0_RNO_0_9)) (portRef B (instanceRef r_iforce_0_RNO_1_9)) (portRef B (instanceRef r_iforce_0_RNO_2_9)) (portRef S (instanceRef r_ipend_RNO_3_9)) )) (net (rename pwdata_25 "pwdata[26]") (joined (portRef pwdata_25) (portRef B (instanceRef r_iforce_0_RNO_1_10)) )) (net (rename pwdata_26 "pwdata[27]") (joined (portRef pwdata_26) (portRef B (instanceRef r_iforce_0_RNO_1_11)) )) (net (rename pwdata_28 "pwdata[29]") (joined (portRef pwdata_28) (portRef B (instanceRef r_iforce_0_RNO_0_13)) )) (net N_21 (joined (portRef Y (instanceRef r_imask_0_RNO_1)) (portRef D (instanceRef r_imask_0_1)) )) (net N_23 (joined (portRef Y (instanceRef r_imask_0_RNO_2)) (portRef D (instanceRef r_imask_0_2)) )) (net (rename imask_0_RNO_5 "imask_0_RNO[5]") (joined (portRef Y (instanceRef r_imask_0_RNO_5)) (portRef D (instanceRef r_imask_0_5)) )) (net (rename imask_0_RNO_6 "imask_0_RNO[6]") (joined (portRef Y (instanceRef r_imask_0_RNO_6)) (portRef D (instanceRef r_imask_0_6)) )) (net (rename imask_0_RNO_7 "imask_0_RNO[7]") (joined (portRef Y (instanceRef r_imask_0_RNO_7)) (portRef D (instanceRef r_imask_0_7)) )) (net (rename imask_0_RNO_10 "imask_0_RNO[10]") (joined (portRef Y (instanceRef r_imask_0_RNO_10)) (portRef D (instanceRef r_imask_0_10)) )) (net (rename imask_0_RNO_11 "imask_0_RNO[11]") (joined (portRef Y (instanceRef r_imask_0_RNO_11)) (portRef D (instanceRef r_imask_0_11)) )) (net (rename imask_0_RNO_12 "imask_0_RNO[12]") (joined (portRef Y (instanceRef r_imask_0_RNO_12)) (portRef D (instanceRef r_imask_0_12)) )) (net (rename imask_0_RNO_13 "imask_0_RNO[13]") (joined (portRef Y (instanceRef r_imask_0_RNO_13)) (portRef D (instanceRef r_imask_0_13)) )) (net (rename imask_0_RNO_14 "imask_0_RNO[14]") (joined (portRef Y (instanceRef r_imask_0_RNO_14)) (portRef D (instanceRef r_imask_0_14)) )) (net N_340 (joined (portRef Y (instanceRef comb_v_iforce_0_6_sn_m2_0)) (portRef S (instanceRef r_iforce_0_RNO_0_3)) (portRef B (instanceRef r_iforce_0_RNO_3_5)) (portRef A (instanceRef comb_v_iforce_0_6_i_a2_0_8)) (portRef B (instanceRef r_iforce_0_RNO_4_5)) (portRef B (instanceRef r_iforce_0_RNO_3_2)) (portRef A (instanceRef r_iforce_0_RNO_2_2)) (portRef B (instanceRef r_iforce_0_RNO_4_8)) (portRef A (instanceRef r_iforce_0_RNO_3_8)) (portRef S (instanceRef r_iforce_0_RNO_0_1)) (portRef S (instanceRef r_iforce_0_RNO_0_15)) (portRef S (instanceRef r_iforce_0_RNO_0_7)) (portRef S (instanceRef r_iforce_0_RNO_0_6)) (portRef B (instanceRef r_iforce_0_RNO_3_14)) )) (net N_659 (joined (portRef Y (instanceRef r_iforce_0_RNO_1_6)) (portRef A (instanceRef r_iforce_0_RNO_0_6)) )) (net N_160 (joined (portRef Y (instanceRef r_iforce_0_RNO_2_1)) (portRef A (instanceRef r_iforce_0_RNO_0_1)) )) (net (rename pwdata_23 "pwdata[24]") (joined (portRef pwdata_23) (portRef B (instanceRef r_iforce_0_RNO_1_8)) )) (net N_688 (joined (portRef Y (instanceRef r_iforce_0_RNO_2_6)) (portRef C (instanceRef r_iforce_0_RNO_1_6)) )) (net (rename pwdata_21 "pwdata[22]") (joined (portRef pwdata_21) (portRef A (instanceRef r_iforce_0_RNO_2_6)) )) (net N_51 (joined (portRef Y (instanceRef r_imask_0_RNO_15)) (portRef D (instanceRef r_imask_0_15)) )) (net N_522 (joined (portRef Y (instanceRef r_imask_0_RNO_0_15)) (portRef B (instanceRef r_imask_0_RNO_15)) )) (net (rename ilevel_11 "ilevel[11]") (joined (portRef Q (instanceRef r_ilevel_11)) (portRef A (instanceRef r_ilevel_RNILLVA_11)) (portRef C (instanceRef r_ilevel_RNISHNB_0_11)) (portRef B (instanceRef r_ilevel_RNISHNB_11)) )) (net (rename ilevel_15 "ilevel[15]") (joined (portRef Q (instanceRef r_ilevel_15)) (portRef A (instanceRef r_irl_0_RNO_6_2)) (portRef A (instanceRef r_ilevel_RNICIOB_15)) (portRef A (instanceRef r_ilevel_RNIPLVA_15)) )) (net N_12 (joined (portRef Y (instanceRef r_ilevel_RNI0QNB_0_12)) (portRef B (instanceRef r_ilevel_RNI4SFN_12)) (portRef B (instanceRef r_ilevel_RNI84GN_12)) )) (net (rename ilevel_12 "ilevel[12]") (joined (portRef Q (instanceRef r_ilevel_12)) (portRef A (instanceRef r_ilevel_RNIMLVA_12)) (portRef A (instanceRef r_ilevel_RNI0QNB_12)) (portRef B (instanceRef r_ilevel_RNI0QNB_0_12)) )) (net (rename imask_0_RNO_9 "imask_0_RNO[9]") (joined (portRef Y (instanceRef r_imask_0_RNO_9)) (portRef D (instanceRef r_imask_0_9)) )) (net N_516 (joined (portRef Y (instanceRef r_imask_0_RNO_0_9)) (portRef B (instanceRef r_imask_0_RNO_9)) )) (net (rename ilevel_9 "ilevel[9]") (joined (portRef Q (instanceRef r_ilevel_9)) (portRef A (instanceRef r_ilevel_RNIEEFC_9)) (portRef C (instanceRef r_ilevel_RNI6V0G_0_9)) (portRef B (instanceRef r_ilevel_RNI6V0G_9)) )) (net (rename ipend_RNINHI8_9 "ipend_RNINHI8[9]") (joined (portRef Y (instanceRef r_ipend_RNINHI8_9)) (portRef B (instanceRef r_ilevel_RNI6V0G_0_9)) (portRef C (instanceRef r_ilevel_RNI6V0G_9)) )) (net N_399 (joined (portRef Y (instanceRef r_ilevel_RNIOL001_7)) (portRef C (instanceRef r_irl_0_RNO_2_1)) (portRef B (instanceRef r_irl_0_RNO_4_2)) )) (net (rename a_12 "a[12]") (joined (portRef Y (instanceRef r_ilevel_RNI0QNB_12)) (portRef B (instanceRef r_ilevel_RNI84GN_0_12)) (portRef B (instanceRef r_ilevel_RNI4SFN_0_12)) )) (net N_683 (joined (portRef Y (instanceRef r_ipend_RNO_2_9)) (portRef A (instanceRef r_ipend_RNO_0_9)) )) (net (rename pirq_7 "pirq[9]") (joined (portRef pirq_7) (portRef C (instanceRef r_ipend_RNO_0_9)) )) (net (rename imask_0_RNO_8 "imask_0_RNO[8]") (joined (portRef Y (instanceRef r_imask_0_RNO_8)) (portRef D (instanceRef r_imask_0_8)) )) (net N_515 (joined (portRef Y (instanceRef r_imask_0_RNO_0_8)) (portRef B (instanceRef r_imask_0_RNO_8)) )) (net (rename imask_0_8 "imask_0[8]") (joined (portRef Q (instanceRef r_imask_0_8)) (portRef C (instanceRef r_imask_0_RNI5N3G_8)) (portRef C (instanceRef r_ipend_RNIJ5HB_8)) (portRef B (instanceRef r_imask_0_RNO_0_8)) )) (net (rename imask_0_RNO_4 "imask_0_RNO[4]") (joined (portRef Y (instanceRef r_imask_0_RNO_4)) (portRef D (instanceRef r_imask_0_4)) )) (net N_511 (joined (portRef Y (instanceRef r_imask_0_RNO_0_4)) (portRef B (instanceRef r_imask_0_RNO_4)) )) (net N_131 (joined (portRef Y (instanceRef r_iforce_0_RNI343T_4)) (portRef N_131) )) (net (rename imask_0_RNO_3 "imask_0_RNO[3]") (joined (portRef Y (instanceRef r_imask_0_RNO_3)) (portRef D (instanceRef r_imask_0_3)) )) (net N_510 (joined (portRef Y (instanceRef r_imask_0_RNO_0_3)) (portRef B (instanceRef r_imask_0_RNO_3)) )) (net N_327 (joined (portRef Y (instanceRef r_iforce_0_RNO_2_3)) (portRef A (instanceRef r_iforce_0_RNO_0_3)) )) (net (rename iforce_0_3_3 "iforce_0_3[3]") (joined (portRef Y (instanceRef r_iforce_0_RNO_3_3)) (portRef A (instanceRef r_iforce_0_RNO_2_3)) )) (net (rename ipend_m_0 "ipend_m[3]") (joined (portRef Y (instanceRef r_ipend_RNIGBIB_3)) (portRef ipend_m_0) )) (net (rename ipend_3 "ipend[3]") (joined (portRef Q (instanceRef r_ipend_3)) (portRef A (instanceRef r_ipend_RNO_2_3)) (portRef B (instanceRef r_imask_0_RNI4HGB_3)) (portRef B (instanceRef r_ipend_RNO_3_3)) (portRef A (instanceRef r_ipend_RNIGBIB_3)) )) (net (rename ipend_3_3 "ipend_3[3]") (joined (portRef Y (instanceRef r_ipend_RNO_2_3)) (portRef A (instanceRef r_ipend_RNO_0_3)) )) (net N_278 (joined (portRef Y (instanceRef r_ipend_RNO_3_3)) (portRef B (instanceRef r_ipend_RNO_0_3)) )) (net (rename pwdata_0_3 "pwdata_0[3]") (joined (portRef (member pwdata_0 2)) (portRef A (instanceRef r_ipend_RNO_3_3)) (portRef B (instanceRef r_iforce_0_RNO_2_3)) )) (net (rename pwdata_18 "pwdata[19]") (joined (portRef pwdata_18) (portRef C (instanceRef r_iforce_0_RNO_3_3)) )) (net (rename pwdata_2 "pwdata[3]") (joined (portRef pwdata_2) (portRef D (instanceRef r_ilevel_3)) (portRef A (instanceRef r_imask_0_RNO_0_3)) (portRef B (instanceRef r_ipend_RNO_2_3)) (portRef B (instanceRef r_iforce_0_RNO_3_3)) )) (net N_400 (joined (portRef Y (instanceRef r_irl_0_RNO_4_1)) (portRef B (instanceRef r_irl_0_RNO_2_1)) )) (net N_371_i (joined (portRef Y (instanceRef r_ilevel_RNI0B002_4)) (portRef A (instanceRef r_ilevel_RNI411F4_11)) )) (net (rename a_1_8 "a_1[8]") (joined (portRef Y (instanceRef r_ilevel_RNI2N0G_0_8)) (portRef B (instanceRef r_ilevel_RNIQ0OR_8)) )) (net (rename ilevel_8 "ilevel[8]") (joined (portRef Q (instanceRef r_ilevel_8)) (portRef A (instanceRef r_ilevel_RNIDAFC_8)) (portRef B (instanceRef r_ilevel_RNI2N0G_0_8)) (portRef A (instanceRef r_ilevel_RNI2N0G_8)) )) (net (rename irl_0_1_3 "irl_0_1[3]") (joined (portRef Y (instanceRef r_irl_0_RNO_3)) (portRef D (instanceRef r_irl_0_3)) )) (net (rename irl_0_1_2 "irl_0_1[2]") (joined (portRef Y (instanceRef r_irl_0_RNO_2)) (portRef D (instanceRef r_irl_0_2)) )) (net (rename irl_0_1_0_1 "irl_0_1_0[1]") (joined (portRef Y (instanceRef r_irl_0_RNO_1)) (portRef D (instanceRef r_irl_0_1)) )) (net (rename irl_0_1_0 "irl_0_1[0]") (joined (portRef Y (instanceRef r_irl_0_RNO_0)) (portRef D (instanceRef r_irl_0_0)) )) (net N_123 (joined (portRef Y (instanceRef r_iforce_0_RNI6G3T_7)) (portRef N_123) )) (net (rename ilevel_7 "ilevel[7]") (joined (portRef Q (instanceRef r_ilevel_7)) (portRef A (instanceRef r_ilevel_RNIUE0G_7)) (portRef B (instanceRef r_ilevel_RNIUE0G_0_7)) (portRef A (instanceRef r_ilevel_RNIC6FC_7)) )) (net readdata55_3 (joined (portRef readdata55_3) (portRef A (instanceRef r_imask_0_RNII0FF_14)) (portRef A (instanceRef r_imask_0_RNIHSEF_13)) (portRef A (instanceRef r_imask_0_RNIGOEF_12)) (portRef A (instanceRef r_imask_0_RNIFKEF_11)) (portRef A (instanceRef r_imask_0_RNIEGEF_10)) (portRef A (instanceRef r_imask_0_RNI5N3G_8)) (portRef A (instanceRef r_imask_0_RNI2N3G_5)) (portRef A (instanceRef r_imask_0_RNIVM3G_2)) (portRef A (instanceRef r_imask_0_RNI4N3G_7)) (portRef A (instanceRef r_imask_0_RNI1N3G_4)) )) (net (rename irl_0_2 "irl_0[2]") (joined (portRef (member irl_0 1)) (portRef B (instanceRef comb_0_decode_un8_res_12_2_i_o2)) (portRef A (instanceRef comb_0_decode_un8_res_4_0_0_a2_0_a2_0)) (portRef B (instanceRef comb_0_decode_un8_res_1_0_2_a2_0_a2_0_a2)) (portRef A (instanceRef r_iforce_0_0_i_a2_0_1)) )) (net (rename irl_0_1 "irl_0[1]") (joined (portRef (member irl_0 2)) (portRef A (instanceRef comb_0_decode_un8_res_11_1_i_o2)) (portRef B (instanceRef comb_0_decode_un8_res_1_0_0_a2_0)) (portRef A (instanceRef comb_0_decode_un8_res_10_1_0_a2_0_a2_0_a2)) (portRef A (instanceRef comb_0_decode_un8_res_4_0_0_a2_0_a2_1_0_a2)) )) (net (rename irl_0_0 "irl_0[0]") (joined (portRef (member irl_0 3)) (portRef B (instanceRef comb_0_decode_un8_res_11_1_i_o2)) (portRef A (instanceRef comb_0_decode_un8_res_1_0_0_a2_0)) (portRef B (instanceRef comb_0_decode_un8_res_10_1_0_a2_0_a2_0_a2)) (portRef B (instanceRef comb_0_decode_un8_res_4_0_0_a2_0_a2_1_0_a2)) )) (net N_135 (joined (portRef Y (instanceRef r_iforce_0_RNI1S2T_2)) (portRef N_135) )) (net N_127 (joined (portRef Y (instanceRef r_iforce_0_RNI483T_5)) (portRef N_127) )) (net N_119 (joined (portRef Y (instanceRef r_iforce_0_RNI7K3T_8)) (portRef N_119) )) (net N_115 (joined (portRef Y (instanceRef r_iforce_0_RNI45LO_10)) (portRef N_115) )) (net N_111 (joined (portRef Y (instanceRef r_iforce_0_RNI55LO_11)) (portRef N_111) )) (net N_107 (joined (portRef Y (instanceRef r_iforce_0_RNI65LO_12)) (portRef N_107) )) (net N_103 (joined (portRef Y (instanceRef r_iforce_0_RNI75LO_13)) (portRef N_103) )) (net N_99 (joined (portRef Y (instanceRef r_iforce_0_RNI85LO_14)) (portRef N_99) )) (net (rename ilevel_6 "ilevel[6]") (joined (portRef Q (instanceRef r_ilevel_6)) (portRef A (instanceRef r_ilevel_RNIB2FC_6)) (portRef B (instanceRef r_ilevel_RNIQ60G_0_6)) (portRef A (instanceRef r_ilevel_RNIQ60G_6)) )) (net (rename pwdata_0_d0 "pwdata[1]") (joined (portRef pwdata_0_d0) (portRef D (instanceRef r_ilevel_1)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_irl_0_3)) (portRef CLK (instanceRef r_irl_0_2)) (portRef CLK (instanceRef r_irl_0_1)) (portRef CLK (instanceRef r_irl_0_0)) (portRef CLK (instanceRef r_iforce_0_15)) (portRef CLK (instanceRef r_iforce_0_14)) (portRef CLK (instanceRef r_iforce_0_13)) (portRef CLK (instanceRef r_iforce_0_12)) (portRef CLK (instanceRef r_iforce_0_11)) (portRef CLK (instanceRef r_iforce_0_10)) (portRef CLK (instanceRef r_iforce_0_9)) (portRef CLK (instanceRef r_iforce_0_8)) (portRef CLK (instanceRef r_iforce_0_7)) (portRef CLK (instanceRef r_iforce_0_6)) (portRef CLK (instanceRef r_iforce_0_5)) (portRef CLK (instanceRef r_iforce_0_4)) (portRef CLK (instanceRef r_iforce_0_3)) (portRef CLK (instanceRef r_iforce_0_2)) (portRef CLK (instanceRef r_iforce_0_1)) (portRef CLK (instanceRef r_ipend_15)) (portRef CLK (instanceRef r_ipend_14)) (portRef CLK (instanceRef r_ipend_13)) (portRef CLK (instanceRef r_ipend_12)) (portRef CLK (instanceRef r_ipend_11)) (portRef CLK (instanceRef r_ipend_10)) (portRef CLK (instanceRef r_ipend_9)) (portRef CLK (instanceRef r_ipend_8)) (portRef CLK (instanceRef r_ipend_7)) (portRef CLK (instanceRef r_ipend_6)) (portRef CLK (instanceRef r_ipend_5)) (portRef CLK (instanceRef r_ipend_4)) (portRef CLK (instanceRef r_ipend_3)) (portRef CLK (instanceRef r_ipend_2)) (portRef CLK (instanceRef r_ipend_1)) (portRef CLK (instanceRef r_imask_0_15)) (portRef CLK (instanceRef r_imask_0_14)) (portRef CLK (instanceRef r_imask_0_13)) (portRef CLK (instanceRef r_imask_0_12)) (portRef CLK (instanceRef r_imask_0_11)) (portRef CLK (instanceRef r_imask_0_10)) (portRef CLK (instanceRef r_imask_0_9)) (portRef CLK (instanceRef r_imask_0_8)) (portRef CLK (instanceRef r_imask_0_7)) (portRef CLK (instanceRef r_imask_0_6)) (portRef CLK (instanceRef r_imask_0_5)) (portRef CLK (instanceRef r_imask_0_4)) (portRef CLK (instanceRef r_imask_0_3)) (portRef CLK (instanceRef r_imask_0_2)) (portRef CLK (instanceRef r_imask_0_1)) (portRef CLK (instanceRef r_ilevel_15)) (portRef CLK (instanceRef r_ilevel_14)) (portRef CLK (instanceRef r_ilevel_13)) (portRef CLK (instanceRef r_ilevel_12)) (portRef CLK (instanceRef r_ilevel_11)) (portRef CLK (instanceRef r_ilevel_10)) (portRef CLK (instanceRef r_ilevel_9)) (portRef CLK (instanceRef r_ilevel_8)) (portRef CLK (instanceRef r_ilevel_7)) (portRef CLK (instanceRef r_ilevel_6)) (portRef CLK (instanceRef r_ilevel_5)) (portRef CLK (instanceRef r_ilevel_4)) (portRef CLK (instanceRef r_ilevel_3)) (portRef CLK (instanceRef r_ilevel_2)) (portRef CLK (instanceRef r_ilevel_1)) )) (net (rename pwdata_1 "pwdata[2]") (joined (portRef pwdata_1) (portRef D (instanceRef r_ilevel_2)) )) (net (rename pwdata_3 "pwdata[4]") (joined (portRef pwdata_3) (portRef D (instanceRef r_ilevel_4)) (portRef A (instanceRef r_imask_0_RNO_0_4)) )) (net (rename pwdata_4 "pwdata[5]") (joined (portRef pwdata_4) (portRef D (instanceRef r_ilevel_5)) )) (net (rename irl_2_0 "irl_2[0]") (joined (portRef Q (instanceRef r_irl_0_0)) (portRef (member irl_2 2)) )) (net (rename irl_2_1 "irl_2[1]") (joined (portRef Q (instanceRef r_irl_0_1)) (portRef (member irl_2 1)) )) (net (rename irl_2_2 "irl_2[2]") (joined (portRef Q (instanceRef r_irl_0_2)) (portRef (member irl_2 0)) )) (net (rename irl_0_3 "irl_0[3]") (joined (portRef Q (instanceRef r_irl_0_3)) (portRef (member irl_0 0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property pindex (integer 2)) (property paddr (integer 2)) (property pmask (integer 4095)) (property ncpu (integer 1)) (property eirq (integer 0)) ) ) (cell dsu3 (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port (array (rename daddr "daddr[23:2]") 22) (direction OUTPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction INPUT)) (port (array (rename htrans "htrans[1:1]") 1) (direction INPUT)) (port (array (rename rstate_li_0 "rstate_li_0[0:0]") 1) (direction INPUT)) (port (array (rename dbgi_i_2 "dbgi_i_2[23:23]") 1) (direction INPUT)) (port data_0 (direction INPUT)) (port data_4 (direction INPUT)) (port data_3 (direction INPUT)) (port data_2 (direction INPUT)) (port data_5 (direction INPUT)) (port data_1 (direction INPUT)) (port data_7 (direction INPUT)) (port data_9 (direction INPUT)) (port data_13 (direction INPUT)) (port data_15 (direction INPUT)) (port data_16 (direction INPUT)) (port data_17 (direction INPUT)) (port data_18 (direction INPUT)) (port data_20 (direction INPUT)) (port data_21 (direction INPUT)) (port data_22 (direction INPUT)) (port data_24 (direction INPUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction INPUT)) (port data_0_iv_3_4 (direction INPUT)) (port data_0_iv_3_11 (direction INPUT)) (port data_0_iv_3_15 (direction INPUT)) (port data_0_iv_3_17 (direction INPUT)) (port data_0_iv_3_18 (direction INPUT)) (port data_0_iv_3_6 (direction INPUT)) (port data_0_iv_3_2 (direction INPUT)) (port data_0_iv_3_0 (direction INPUT)) (port data_0_iv_2_4 (direction INPUT)) (port data_0_iv_2_6 (direction INPUT)) (port data_0_iv_2_2 (direction INPUT)) (port data_0_iv_2_0 (direction INPUT)) (port data_0_iv_4_0 (direction INPUT)) (port data_0_iv_4_4 (direction INPUT)) (port data_0_iv_4_6 (direction INPUT)) (port data_0_iv_4_7 (direction INPUT)) (port data_i_2 (direction INPUT)) (port data_i_3 (direction INPUT)) (port data_i_4 (direction INPUT)) (port data_i_16 (direction INPUT)) (port data_i_0 (direction INPUT)) (port data_i_1 (direction INPUT)) (port data_i_11 (direction INPUT)) (port (array (rename ddata "ddata[31:0]") 32) (direction OUTPUT)) (port (array (rename haddr "haddr[24:2]") 23) (direction INPUT)) (port (array (rename hrdata "hrdata[31:0]") 32) (direction OUTPUT)) (port dwrite (direction OUTPUT)) (port te9_2 (direction OUTPUT)) (port tstop (direction INPUT)) (port rstn (direction INPUT)) (port halt (direction OUTPUT)) (port btrape (direction OUTPUT)) (port dbreak (direction OUTPUT)) (port crdy (direction INPUT)) (port N_148_2 (direction OUTPUT)) (port N_161 (direction INPUT)) (port un95_ioen_NE (direction INPUT)) (port step (direction OUTPUT)) (port bsoft (direction OUTPUT)) (port bwatch (direction OUTPUT)) (port btrapa (direction OUTPUT)) (port te8_1 (direction OUTPUT)) (port hready (direction OUTPUT)) (port denable (direction OUTPUT)) (port berror (direction OUTPUT)) (port pwd (direction INPUT)) (port ramclk (direction INPUT)) (port reset (direction OUTPUT)) (port hwrite (direction INPUT)) (port dsuact_c (direction OUTPUT)) (port dsubre_c (direction INPUT)) ) (contents (instance x0 (viewRef netlist (cellRef dsu3x)) (property testen (integer 0)) (property clk2x (integer 0)) (property kbytes (integer 0)) (property irq (integer 0)) (property tech (integer 10)) (property tbits (integer 30)) (property ncpu (integer 1)) (property hmask (integer 3840)) (property haddr (integer 2304)) (property hindex (integer 2)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename hrdata_0 "hrdata[0]") (joined (portRef (member hrdata 31) (instanceRef x0)) (portRef (member hrdata 31)) )) (net (rename hrdata_1 "hrdata[1]") (joined (portRef (member hrdata 30) (instanceRef x0)) (portRef (member hrdata 30)) )) (net (rename hrdata_2 "hrdata[2]") (joined (portRef (member hrdata 29) (instanceRef x0)) (portRef (member hrdata 29)) )) (net (rename hrdata_3 "hrdata[3]") (joined (portRef (member hrdata 28) (instanceRef x0)) (portRef (member hrdata 28)) )) (net (rename hrdata_4 "hrdata[4]") (joined (portRef (member hrdata 27) (instanceRef x0)) (portRef (member hrdata 27)) )) (net (rename hrdata_5 "hrdata[5]") (joined (portRef (member hrdata 26) (instanceRef x0)) (portRef (member hrdata 26)) )) (net (rename hrdata_6 "hrdata[6]") (joined (portRef (member hrdata 25) (instanceRef x0)) (portRef (member hrdata 25)) )) (net (rename hrdata_7 "hrdata[7]") (joined (portRef (member hrdata 24) (instanceRef x0)) (portRef (member hrdata 24)) )) (net (rename hrdata_8 "hrdata[8]") (joined (portRef (member hrdata 23) (instanceRef x0)) (portRef (member hrdata 23)) )) (net (rename hrdata_9 "hrdata[9]") (joined (portRef (member hrdata 22) (instanceRef x0)) (portRef (member hrdata 22)) )) (net (rename hrdata_10 "hrdata[10]") (joined (portRef (member hrdata 21) (instanceRef x0)) (portRef (member hrdata 21)) )) (net (rename hrdata_11 "hrdata[11]") (joined (portRef (member hrdata 20) (instanceRef x0)) (portRef (member hrdata 20)) )) (net (rename hrdata_12 "hrdata[12]") (joined (portRef (member hrdata 19) (instanceRef x0)) (portRef (member hrdata 19)) )) (net (rename hrdata_13 "hrdata[13]") (joined (portRef (member hrdata 18) (instanceRef x0)) (portRef (member hrdata 18)) )) (net (rename hrdata_14 "hrdata[14]") (joined (portRef (member hrdata 17) (instanceRef x0)) (portRef (member hrdata 17)) )) (net (rename hrdata_15 "hrdata[15]") (joined (portRef (member hrdata 16) (instanceRef x0)) (portRef (member hrdata 16)) )) (net (rename hrdata_16 "hrdata[16]") (joined (portRef (member hrdata 15) (instanceRef x0)) (portRef (member hrdata 15)) )) (net (rename hrdata_17 "hrdata[17]") (joined (portRef (member hrdata 14) (instanceRef x0)) (portRef (member hrdata 14)) )) (net (rename hrdata_18 "hrdata[18]") (joined (portRef (member hrdata 13) (instanceRef x0)) (portRef (member hrdata 13)) )) (net (rename hrdata_19 "hrdata[19]") (joined (portRef (member hrdata 12) (instanceRef x0)) (portRef (member hrdata 12)) )) (net (rename hrdata_20 "hrdata[20]") (joined (portRef (member hrdata 11) (instanceRef x0)) (portRef (member hrdata 11)) )) (net (rename hrdata_21 "hrdata[21]") (joined (portRef (member hrdata 10) (instanceRef x0)) (portRef (member hrdata 10)) )) (net (rename hrdata_22 "hrdata[22]") (joined (portRef (member hrdata 9) (instanceRef x0)) (portRef (member hrdata 9)) )) (net (rename hrdata_23 "hrdata[23]") (joined (portRef (member hrdata 8) (instanceRef x0)) (portRef (member hrdata 8)) )) (net (rename hrdata_24 "hrdata[24]") (joined (portRef (member hrdata 7) (instanceRef x0)) (portRef (member hrdata 7)) )) (net (rename hrdata_25 "hrdata[25]") (joined (portRef (member hrdata 6) (instanceRef x0)) (portRef (member hrdata 6)) )) (net (rename hrdata_26 "hrdata[26]") (joined (portRef (member hrdata 5) (instanceRef x0)) (portRef (member hrdata 5)) )) (net (rename hrdata_27 "hrdata[27]") (joined (portRef (member hrdata 4) (instanceRef x0)) (portRef (member hrdata 4)) )) (net (rename hrdata_28 "hrdata[28]") (joined (portRef (member hrdata 3) (instanceRef x0)) (portRef (member hrdata 3)) )) (net (rename hrdata_29 "hrdata[29]") (joined (portRef (member hrdata 2) (instanceRef x0)) (portRef (member hrdata 2)) )) (net (rename hrdata_30 "hrdata[30]") (joined (portRef (member hrdata 1) (instanceRef x0)) (portRef (member hrdata 1)) )) (net (rename hrdata_31 "hrdata[31]") (joined (portRef (member hrdata 0) (instanceRef x0)) (portRef (member hrdata 0)) )) (net (rename haddr_24 "haddr[24]") (joined (portRef (member haddr 0)) (portRef (member haddr_0 0) (instanceRef x0)) )) (net (rename haddr_23 "haddr[23]") (joined (portRef (member haddr 1)) (portRef haddr_21 (instanceRef x0)) )) (net (rename haddr_22 "haddr[22]") (joined (portRef (member haddr 2)) (portRef haddr_20 (instanceRef x0)) )) (net (rename haddr_21 "haddr[21]") (joined (portRef (member haddr 3)) (portRef haddr_19 (instanceRef x0)) )) (net (rename haddr_20 "haddr[20]") (joined (portRef (member haddr 4)) (portRef haddr_18 (instanceRef x0)) )) (net (rename haddr_19 "haddr[19]") (joined (portRef (member haddr 5)) (portRef haddr_17 (instanceRef x0)) )) (net (rename haddr_18 "haddr[18]") (joined (portRef (member haddr 6)) (portRef haddr_16 (instanceRef x0)) )) (net (rename haddr_17 "haddr[17]") (joined (portRef (member haddr 7)) (portRef haddr_15 (instanceRef x0)) )) (net (rename haddr_16 "haddr[16]") (joined (portRef (member haddr 8)) (portRef haddr_14 (instanceRef x0)) )) (net (rename haddr_15 "haddr[15]") (joined (portRef (member haddr 9)) (portRef haddr_13 (instanceRef x0)) )) (net (rename haddr_14 "haddr[14]") (joined (portRef (member haddr 10)) (portRef haddr_12 (instanceRef x0)) )) (net (rename haddr_13 "haddr[13]") (joined (portRef (member haddr 11)) (portRef haddr_11 (instanceRef x0)) )) (net (rename haddr_12 "haddr[12]") (joined (portRef (member haddr 12)) (portRef haddr_10 (instanceRef x0)) )) (net (rename haddr_11 "haddr[11]") (joined (portRef (member haddr 13)) (portRef haddr_9 (instanceRef x0)) )) (net (rename haddr_10 "haddr[10]") (joined (portRef (member haddr 14)) (portRef haddr_8 (instanceRef x0)) )) (net (rename haddr_9 "haddr[9]") (joined (portRef (member haddr 15)) (portRef haddr_7 (instanceRef x0)) )) (net (rename haddr_8 "haddr[8]") (joined (portRef (member haddr 16)) (portRef haddr_6 (instanceRef x0)) )) (net (rename haddr_7 "haddr[7]") (joined (portRef (member haddr 17)) (portRef haddr_5 (instanceRef x0)) )) (net (rename haddr_6 "haddr[6]") (joined (portRef (member haddr 18)) (portRef haddr_4 (instanceRef x0)) )) (net (rename haddr_5 "haddr[5]") (joined (portRef (member haddr 19)) (portRef haddr_3 (instanceRef x0)) )) (net (rename haddr_4 "haddr[4]") (joined (portRef (member haddr 20)) (portRef haddr_2 (instanceRef x0)) )) (net (rename haddr_3 "haddr[3]") (joined (portRef (member haddr 21)) (portRef haddr_1 (instanceRef x0)) )) (net (rename haddr_2 "haddr[2]") (joined (portRef (member haddr 22)) (portRef haddr_0_d0 (instanceRef x0)) )) (net (rename ddata_0 "ddata[0]") (joined (portRef (member ddata 31) (instanceRef x0)) (portRef (member ddata 31)) )) (net (rename ddata_1 "ddata[1]") (joined (portRef (member ddata 30) (instanceRef x0)) (portRef (member ddata 30)) )) (net (rename ddata_2 "ddata[2]") (joined (portRef (member ddata 29) (instanceRef x0)) (portRef (member ddata 29)) )) (net (rename ddata_3 "ddata[3]") (joined (portRef (member ddata 28) (instanceRef x0)) (portRef (member ddata 28)) )) (net (rename ddata_4 "ddata[4]") (joined (portRef (member ddata 27) (instanceRef x0)) (portRef (member ddata 27)) )) (net (rename ddata_5 "ddata[5]") (joined (portRef (member ddata 26) (instanceRef x0)) (portRef (member ddata 26)) )) (net (rename ddata_6 "ddata[6]") (joined (portRef (member ddata 25) (instanceRef x0)) (portRef (member ddata 25)) )) (net (rename ddata_7 "ddata[7]") (joined (portRef (member ddata 24) (instanceRef x0)) (portRef (member ddata 24)) )) (net (rename ddata_8 "ddata[8]") (joined (portRef (member ddata 23) (instanceRef x0)) (portRef (member ddata 23)) )) (net (rename ddata_9 "ddata[9]") (joined (portRef (member ddata 22) (instanceRef x0)) (portRef (member ddata 22)) )) (net (rename ddata_10 "ddata[10]") (joined (portRef (member ddata 21) (instanceRef x0)) (portRef (member ddata 21)) )) (net (rename ddata_11 "ddata[11]") (joined (portRef (member ddata 20) (instanceRef x0)) (portRef (member ddata 20)) )) (net (rename ddata_12 "ddata[12]") (joined (portRef (member ddata 19) (instanceRef x0)) (portRef (member ddata 19)) )) (net (rename ddata_13 "ddata[13]") (joined (portRef (member ddata 18) (instanceRef x0)) (portRef (member ddata 18)) )) (net (rename ddata_14 "ddata[14]") (joined (portRef (member ddata 17) (instanceRef x0)) (portRef (member ddata 17)) )) (net (rename ddata_15 "ddata[15]") (joined (portRef (member ddata 16) (instanceRef x0)) (portRef (member ddata 16)) )) (net (rename ddata_16 "ddata[16]") (joined (portRef (member ddata 15) (instanceRef x0)) (portRef (member ddata 15)) )) (net (rename ddata_17 "ddata[17]") (joined (portRef (member ddata 14) (instanceRef x0)) (portRef (member ddata 14)) )) (net (rename ddata_18 "ddata[18]") (joined (portRef (member ddata 13) (instanceRef x0)) (portRef (member ddata 13)) )) (net (rename ddata_19 "ddata[19]") (joined (portRef (member ddata 12) (instanceRef x0)) (portRef (member ddata 12)) )) (net (rename ddata_20 "ddata[20]") (joined (portRef (member ddata 11) (instanceRef x0)) (portRef (member ddata 11)) )) (net (rename ddata_21 "ddata[21]") (joined (portRef (member ddata 10) (instanceRef x0)) (portRef (member ddata 10)) )) (net (rename ddata_22 "ddata[22]") (joined (portRef (member ddata 9) (instanceRef x0)) (portRef (member ddata 9)) )) (net (rename ddata_23 "ddata[23]") (joined (portRef (member ddata 8) (instanceRef x0)) (portRef (member ddata 8)) )) (net (rename ddata_24 "ddata[24]") (joined (portRef (member ddata 7) (instanceRef x0)) (portRef (member ddata 7)) )) (net (rename ddata_25 "ddata[25]") (joined (portRef (member ddata 6) (instanceRef x0)) (portRef (member ddata 6)) )) (net (rename ddata_26 "ddata[26]") (joined (portRef (member ddata 5) (instanceRef x0)) (portRef (member ddata 5)) )) (net (rename ddata_27 "ddata[27]") (joined (portRef (member ddata 4) (instanceRef x0)) (portRef (member ddata 4)) )) (net (rename ddata_28 "ddata[28]") (joined (portRef (member ddata 3) (instanceRef x0)) (portRef (member ddata 3)) )) (net (rename ddata_29 "ddata[29]") (joined (portRef (member ddata 2) (instanceRef x0)) (portRef (member ddata 2)) )) (net (rename ddata_30 "ddata[30]") (joined (portRef (member ddata 1) (instanceRef x0)) (portRef (member ddata 1)) )) (net (rename ddata_31 "ddata[31]") (joined (portRef (member ddata 0) (instanceRef x0)) (portRef (member ddata 0)) )) (net (rename data_i_11 "data_i[11]") (joined (portRef data_i_11) (portRef data_i_11 (instanceRef x0)) )) (net (rename data_i_1 "data_i[1]") (joined (portRef data_i_1) (portRef data_i_1 (instanceRef x0)) )) (net (rename data_i_0 "data_i[0]") (joined (portRef data_i_0) (portRef data_i_0 (instanceRef x0)) )) (net (rename data_i_16 "data_i[16]") (joined (portRef data_i_16) (portRef data_i_16 (instanceRef x0)) )) (net (rename data_i_4 "data_i[4]") (joined (portRef data_i_4) (portRef data_i_4 (instanceRef x0)) )) (net (rename data_i_3 "data_i[3]") (joined (portRef data_i_3) (portRef data_i_3 (instanceRef x0)) )) (net (rename data_i_2 "data_i[2]") (joined (portRef data_i_2) (portRef data_i_2 (instanceRef x0)) )) (net (rename data_0_iv_4_7 "data_0_iv_4[31]") (joined (portRef data_0_iv_4_7) (portRef data_0_iv_4_7 (instanceRef x0)) )) (net (rename data_0_iv_4_6 "data_0_iv_4[30]") (joined (portRef data_0_iv_4_6) (portRef data_0_iv_4_6 (instanceRef x0)) )) (net (rename data_0_iv_4_4 "data_0_iv_4[28]") (joined (portRef data_0_iv_4_4) (portRef data_0_iv_4_4 (instanceRef x0)) )) (net (rename data_0_iv_4_0 "data_0_iv_4[24]") (joined (portRef data_0_iv_4_0) (portRef data_0_iv_4_0 (instanceRef x0)) )) (net (rename data_0_iv_2_0 "data_0_iv_2[13]") (joined (portRef data_0_iv_2_0) (portRef data_0_iv_2_0 (instanceRef x0)) )) (net (rename data_0_iv_2_2 "data_0_iv_2[15]") (joined (portRef data_0_iv_2_2) (portRef data_0_iv_2_2 (instanceRef x0)) )) (net (rename data_0_iv_2_6 "data_0_iv_2[19]") (joined (portRef data_0_iv_2_6) (portRef data_0_iv_2_6 (instanceRef x0)) )) (net (rename data_0_iv_2_4 "data_0_iv_2[17]") (joined (portRef data_0_iv_2_4) (portRef data_0_iv_2_4 (instanceRef x0)) )) (net (rename data_0_iv_3_0 "data_0_iv_3[13]") (joined (portRef data_0_iv_3_0) (portRef data_0_iv_3_0 (instanceRef x0)) )) (net (rename data_0_iv_3_2 "data_0_iv_3[15]") (joined (portRef data_0_iv_3_2) (portRef data_0_iv_3_2 (instanceRef x0)) )) (net (rename data_0_iv_3_6 "data_0_iv_3[19]") (joined (portRef data_0_iv_3_6) (portRef data_0_iv_3_6 (instanceRef x0)) )) (net (rename data_0_iv_3_18 "data_0_iv_3[31]") (joined (portRef data_0_iv_3_18) (portRef data_0_iv_3_18 (instanceRef x0)) )) (net (rename data_0_iv_3_17 "data_0_iv_3[30]") (joined (portRef data_0_iv_3_17) (portRef data_0_iv_3_17 (instanceRef x0)) )) (net (rename data_0_iv_3_15 "data_0_iv_3[28]") (joined (portRef data_0_iv_3_15) (portRef data_0_iv_3_15 (instanceRef x0)) )) (net (rename data_0_iv_3_11 "data_0_iv_3[24]") (joined (portRef data_0_iv_3_11) (portRef data_0_iv_3_11 (instanceRef x0)) )) (net (rename data_0_iv_3_4 "data_0_iv_3[17]") (joined (portRef data_0_iv_3_4) (portRef data_0_iv_3_4 (instanceRef x0)) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef (member iosn_0 0)) (portRef (member iosn_0 0) (instanceRef x0)) )) (net (rename data_24 "data[29]") (joined (portRef data_24) (portRef data_24 (instanceRef x0)) )) (net (rename data_22 "data[27]") (joined (portRef data_22) (portRef data_22 (instanceRef x0)) )) (net (rename data_21 "data[26]") (joined (portRef data_21) (portRef data_21 (instanceRef x0)) )) (net (rename data_20 "data[25]") (joined (portRef data_20) (portRef data_20 (instanceRef x0)) )) (net (rename data_18 "data[23]") (joined (portRef data_18) (portRef data_18 (instanceRef x0)) )) (net (rename data_17 "data[22]") (joined (portRef data_17) (portRef data_17 (instanceRef x0)) )) (net (rename data_16 "data[21]") (joined (portRef data_16) (portRef data_16 (instanceRef x0)) )) (net (rename data_15 "data[20]") (joined (portRef data_15) (portRef data_15 (instanceRef x0)) )) (net (rename data_13 "data[18]") (joined (portRef data_13) (portRef data_13 (instanceRef x0)) )) (net (rename data_9 "data[14]") (joined (portRef data_9) (portRef data_9 (instanceRef x0)) )) (net (rename data_7 "data[12]") (joined (portRef data_7) (portRef data_7 (instanceRef x0)) )) (net (rename data_1 "data[6]") (joined (portRef data_1) (portRef data_1 (instanceRef x0)) )) (net (rename data_5 "data[10]") (joined (portRef data_5) (portRef data_5 (instanceRef x0)) )) (net (rename data_2 "data[7]") (joined (portRef data_2) (portRef data_2 (instanceRef x0)) )) (net (rename data_3 "data[8]") (joined (portRef data_3) (portRef data_3 (instanceRef x0)) )) (net (rename data_4 "data[9]") (joined (portRef data_4) (portRef data_4 (instanceRef x0)) )) (net (rename data_0 "data[5]") (joined (portRef data_0) (portRef data_0 (instanceRef x0)) )) (net (rename dbgi_i_2_23 "dbgi_i_2[23]") (joined (portRef (member dbgi_i_2 0)) (portRef (member dbgi_i_2 0) (instanceRef x0)) )) (net (rename rstate_li_0_0 "rstate_li_0[0]") (joined (portRef (member rstate_li_0 0)) (portRef (member rstate_li_0 0) (instanceRef x0)) )) (net (rename htrans_1 "htrans[1]") (joined (portRef (member htrans 0)) (portRef (member htrans 0) (instanceRef x0)) )) (net (rename hwdata_0 "hwdata[0]") (joined (portRef (member hwdata 31)) (portRef (member hwdata 31) (instanceRef x0)) )) (net (rename hwdata_1 "hwdata[1]") (joined (portRef (member hwdata 30)) (portRef (member hwdata 30) (instanceRef x0)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef (member hwdata 29)) (portRef (member hwdata 29) (instanceRef x0)) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef (member hwdata 28)) (portRef (member hwdata 28) (instanceRef x0)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef (member hwdata 27)) (portRef (member hwdata 27) (instanceRef x0)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef (member hwdata 26)) (portRef (member hwdata 26) (instanceRef x0)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef (member hwdata 25)) (portRef (member hwdata 25) (instanceRef x0)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef (member hwdata 24)) (portRef (member hwdata 24) (instanceRef x0)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef (member hwdata 23)) (portRef (member hwdata 23) (instanceRef x0)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef (member hwdata 22)) (portRef (member hwdata 22) (instanceRef x0)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef (member hwdata 21)) (portRef (member hwdata 21) (instanceRef x0)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef (member hwdata 20)) (portRef (member hwdata 20) (instanceRef x0)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef (member hwdata 19)) (portRef (member hwdata 19) (instanceRef x0)) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef (member hwdata 18)) (portRef (member hwdata 18) (instanceRef x0)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef (member hwdata 17)) (portRef (member hwdata 17) (instanceRef x0)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef (member hwdata 16)) (portRef (member hwdata 16) (instanceRef x0)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef (member hwdata 15)) (portRef (member hwdata 15) (instanceRef x0)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef (member hwdata 14)) (portRef (member hwdata 14) (instanceRef x0)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef (member hwdata 13)) (portRef (member hwdata 13) (instanceRef x0)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef (member hwdata 12)) (portRef (member hwdata 12) (instanceRef x0)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef (member hwdata 11)) (portRef (member hwdata 11) (instanceRef x0)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef (member hwdata 10)) (portRef (member hwdata 10) (instanceRef x0)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef (member hwdata 9)) (portRef (member hwdata 9) (instanceRef x0)) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef (member hwdata 8)) (portRef (member hwdata 8) (instanceRef x0)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef (member hwdata 7)) (portRef (member hwdata 7) (instanceRef x0)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef (member hwdata 6)) (portRef (member hwdata 6) (instanceRef x0)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef (member hwdata 5)) (portRef (member hwdata 5) (instanceRef x0)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef (member hwdata 4)) (portRef (member hwdata 4) (instanceRef x0)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef (member hwdata 3)) (portRef (member hwdata 3) (instanceRef x0)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef (member hwdata 2)) (portRef (member hwdata 2) (instanceRef x0)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef (member hwdata 1)) (portRef (member hwdata 1) (instanceRef x0)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef (member hwdata 0)) (portRef (member hwdata 0) (instanceRef x0)) )) (net (rename daddr_2 "daddr[2]") (joined (portRef (member daddr 21) (instanceRef x0)) (portRef (member daddr 21)) )) (net (rename daddr_3 "daddr[3]") (joined (portRef (member daddr 20) (instanceRef x0)) (portRef (member daddr 20)) )) (net (rename daddr_4 "daddr[4]") (joined (portRef (member daddr 19) (instanceRef x0)) (portRef (member daddr 19)) )) (net (rename daddr_5 "daddr[5]") (joined (portRef (member daddr 18) (instanceRef x0)) (portRef (member daddr 18)) )) (net (rename daddr_6 "daddr[6]") (joined (portRef (member daddr 17) (instanceRef x0)) (portRef (member daddr 17)) )) (net (rename daddr_7 "daddr[7]") (joined (portRef (member daddr 16) (instanceRef x0)) (portRef (member daddr 16)) )) (net (rename daddr_8 "daddr[8]") (joined (portRef (member daddr 15) (instanceRef x0)) (portRef (member daddr 15)) )) (net (rename daddr_9 "daddr[9]") (joined (portRef (member daddr 14) (instanceRef x0)) (portRef (member daddr 14)) )) (net (rename daddr_10 "daddr[10]") (joined (portRef (member daddr 13) (instanceRef x0)) (portRef (member daddr 13)) )) (net (rename daddr_11 "daddr[11]") (joined (portRef (member daddr 12) (instanceRef x0)) (portRef (member daddr 12)) )) (net (rename daddr_12 "daddr[12]") (joined (portRef (member daddr 11) (instanceRef x0)) (portRef (member daddr 11)) )) (net (rename daddr_13 "daddr[13]") (joined (portRef (member daddr 10) (instanceRef x0)) (portRef (member daddr 10)) )) (net (rename daddr_14 "daddr[14]") (joined (portRef (member daddr 9) (instanceRef x0)) (portRef (member daddr 9)) )) (net (rename daddr_15 "daddr[15]") (joined (portRef (member daddr 8) (instanceRef x0)) (portRef (member daddr 8)) )) (net (rename daddr_16 "daddr[16]") (joined (portRef (member daddr 7) (instanceRef x0)) (portRef (member daddr 7)) )) (net (rename daddr_17 "daddr[17]") (joined (portRef (member daddr 6) (instanceRef x0)) (portRef (member daddr 6)) )) (net (rename daddr_18 "daddr[18]") (joined (portRef (member daddr 5) (instanceRef x0)) (portRef (member daddr 5)) )) (net (rename daddr_19 "daddr[19]") (joined (portRef (member daddr 4) (instanceRef x0)) (portRef (member daddr 4)) )) (net (rename daddr_20 "daddr[20]") (joined (portRef (member daddr 3) (instanceRef x0)) (portRef (member daddr 3)) )) (net (rename daddr_21 "daddr[21]") (joined (portRef (member daddr 2) (instanceRef x0)) (portRef (member daddr 2)) )) (net (rename daddr_22 "daddr[22]") (joined (portRef (member daddr 1) (instanceRef x0)) (portRef (member daddr 1)) )) (net (rename daddr_23 "daddr[23]") (joined (portRef (member daddr 0) (instanceRef x0)) (portRef (member daddr 0)) )) (net dsubre_c (joined (portRef dsubre_c) (portRef dsubre_c (instanceRef x0)) )) (net dsuact_c (joined (portRef dsuact_c (instanceRef x0)) (portRef dsuact_c) )) (net hwrite (joined (portRef hwrite) (portRef hwrite (instanceRef x0)) )) (net reset (joined (portRef reset (instanceRef x0)) (portRef reset) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef x0)) )) (net pwd (joined (portRef pwd) (portRef pwd (instanceRef x0)) )) (net berror (joined (portRef berror (instanceRef x0)) (portRef berror) )) (net denable (joined (portRef denable (instanceRef x0)) (portRef denable) )) (net hready (joined (portRef hready (instanceRef x0)) (portRef hready) )) (net te8_1 (joined (portRef te8_1 (instanceRef x0)) (portRef te8_1) )) (net btrapa (joined (portRef btrapa (instanceRef x0)) (portRef btrapa) )) (net bwatch (joined (portRef bwatch (instanceRef x0)) (portRef bwatch) )) (net bsoft (joined (portRef bsoft (instanceRef x0)) (portRef bsoft) )) (net step (joined (portRef step (instanceRef x0)) (portRef step) )) (net un95_ioen_NE (joined (portRef un95_ioen_NE) (portRef un95_ioen_NE (instanceRef x0)) )) (net N_161 (joined (portRef N_161) (portRef N_161 (instanceRef x0)) )) (net N_148_2 (joined (portRef N_148_2 (instanceRef x0)) (portRef N_148_2) )) (net crdy (joined (portRef crdy) (portRef crdy (instanceRef x0)) )) (net dbreak (joined (portRef dbreak (instanceRef x0)) (portRef dbreak) )) (net btrape (joined (portRef btrape (instanceRef x0)) (portRef btrape) )) (net halt (joined (portRef halt (instanceRef x0)) (portRef halt) )) (net rstn (joined (portRef rstn) (portRef rstn (instanceRef x0)) )) (net tstop (joined (portRef tstop) (portRef tstop (instanceRef x0)) )) (net te9_2 (joined (portRef te9_2 (instanceRef x0)) (portRef te9_2) )) (net dwrite (joined (portRef dwrite (instanceRef x0)) (portRef dwrite) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property hindex (integer 2)) (property haddr (integer 2304)) (property hmask (integer 3840)) (property ncpu (integer 1)) (property tbits (integer 30)) (property tech (integer 10)) (property irq (integer 0)) (property kbytes (integer 0)) (property testen (integer 0)) ) ) (cell leon3s (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port data_i_1 (direction OUTPUT)) (port data_i_11 (direction OUTPUT)) (port data_i_0 (direction OUTPUT)) (port data_i_4 (direction OUTPUT)) (port data_i_16 (direction OUTPUT)) (port data_i_2 (direction OUTPUT)) (port data_i_3 (direction OUTPUT)) (port data_1 (direction OUTPUT)) (port data_24 (direction OUTPUT)) (port data_5 (direction OUTPUT)) (port data_2 (direction OUTPUT)) (port data_9 (direction OUTPUT)) (port data_16 (direction OUTPUT)) (port data_4 (direction OUTPUT)) (port data_0 (direction OUTPUT)) (port data_20 (direction OUTPUT)) (port data_7 (direction OUTPUT)) (port data_22 (direction OUTPUT)) (port data_18 (direction OUTPUT)) (port data_15 (direction OUTPUT)) (port data_21 (direction OUTPUT)) (port data_17 (direction OUTPUT)) (port data_13 (direction OUTPUT)) (port data_3 (direction OUTPUT)) (port (array (rename irl_0 "irl_0[3:0]") 4) (direction INOUT)) (port (array (rename irl "irl[3:0]") 4) (direction INOUT)) (port data_0_iv_4_7 (direction OUTPUT)) (port data_0_iv_4_4 (direction OUTPUT)) (port data_0_iv_4_0 (direction OUTPUT)) (port data_0_iv_4_6 (direction OUTPUT)) (port data_0_iv_2_4 (direction OUTPUT)) (port data_0_iv_2_6 (direction OUTPUT)) (port data_0_iv_2_0 (direction OUTPUT)) (port data_0_iv_2_2 (direction OUTPUT)) (port data_0_iv_3_18 (direction OUTPUT)) (port data_0_iv_3_15 (direction OUTPUT)) (port data_0_iv_3_11 (direction OUTPUT)) (port data_0_iv_3_4 (direction OUTPUT)) (port data_0_iv_3_17 (direction OUTPUT)) (port data_0_iv_3_6 (direction OUTPUT)) (port data_0_iv_3_0 (direction OUTPUT)) (port data_0_iv_3_2 (direction OUTPUT)) (port (array (rename ddata "ddata[31:0]") 32) (direction INPUT)) (port (array (rename dbgi_i_2 "dbgi_i_2[23:23]") 1) (direction OUTPUT)) (port (array (rename dbgi_i_2_i "dbgi_i_2_i[23:23]") 1) (direction OUTPUT)) (port (array (rename un34_hready_i_m "un34_hready_i_m[0:0]") 1) (direction INPUT)) (port (array (rename rstate_li_0 "rstate_li_0[0:0]") 1) (direction OUTPUT)) (port (array (rename daddr "daddr[23:2]") 22) (direction INPUT)) (port (array (rename htrans "htrans[1:1]") 1) (direction OUTPUT)) (port (array (rename iosn_0 "iosn_0[93:93]") 1) (direction INPUT)) (port (array (rename hgrant "hgrant[1:1]") 1) (direction INPUT)) (port haddr_31 (direction OUTPUT)) (port haddr_1 (direction OUTPUT)) (port haddr_0 (direction OUTPUT)) (port haddr_30 (direction OUTPUT)) (port haddr_2 (direction OUTPUT)) (port haddr_6 (direction OUTPUT)) (port haddr_28 (direction OUTPUT)) (port haddr_24 (direction OUTPUT)) (port haddr_23 (direction OUTPUT)) (port haddr_22 (direction OUTPUT)) (port haddr_21 (direction OUTPUT)) (port haddr_20 (direction OUTPUT)) (port haddr_19 (direction OUTPUT)) (port haddr_18 (direction OUTPUT)) (port haddr_17 (direction OUTPUT)) (port haddr_16 (direction OUTPUT)) (port haddr_15 (direction OUTPUT)) (port haddr_14 (direction OUTPUT)) (port haddr_13 (direction OUTPUT)) (port haddr_12 (direction OUTPUT)) (port haddr_11 (direction OUTPUT)) (port haddr_10 (direction OUTPUT)) (port haddr_9 (direction OUTPUT)) (port haddr_8 (direction OUTPUT)) (port haddr_7 (direction OUTPUT)) (port haddr_5 (direction OUTPUT)) (port haddr_4 (direction OUTPUT)) (port haddr_3 (direction OUTPUT)) (port (array (rename hwdata "hwdata[31:0]") 32) (direction OUTPUT)) (port (array (rename hresp "hresp[0:0]") 1) (direction INPUT)) (port (array (rename iosn "iosn[93:93]") 1) (direction INPUT)) (port (array (rename hsize_5 "hsize_5[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rni5fe75 "bo_RNI5FE75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rni17e75 "bo_RNI17E75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rniv2e75 "bo_RNIV2E75[1:1]") 1) (direction OUTPUT)) (port (array (rename bo_rnitud75 "bo_RNITUD75[1:1]") 1) (direction OUTPUT)) (port (array (rename size "size[0:0]") 1) (direction OUTPUT)) (port hrdata_20 (direction INPUT)) (port hrdata_7 (direction INPUT)) (port hrdata_9 (direction INPUT)) (port hrdata_5 (direction INPUT)) (port hrdata_26 (direction INPUT)) (port hrdata_22 (direction INPUT)) (port hrdata_1 (direction INPUT)) (port hrdata_19 (direction INPUT)) (port hrdata_15 (direction INPUT)) (port hrdata_10 (direction INPUT)) (port hrdata_8 (direction INPUT)) (port hrdata_6 (direction INPUT)) (port hrdata_3 (direction INPUT)) (port hrdata_2 (direction INPUT)) (port hrdata_0 (direction INPUT)) (port hrdata_18 (direction INPUT)) (port hrdata_17 (direction INPUT)) (port dmao_i_1_22 (direction INPUT)) (port dmao_i_1_14 (direction INPUT)) (port dmao_i_1_17 (direction INPUT)) (port dmao_i_1_29 (direction INPUT)) (port dmao_i_1_30 (direction INPUT)) (port dmao_i_1_26 (direction INPUT)) (port dmao_i_1_24 (direction INPUT)) (port dmao_i_1_31 (direction INPUT)) (port dmao_i_1_28 (direction INPUT)) (port dmao_i_1_15 (direction INPUT)) (port dmao_i_1_0 (direction INPUT)) (port dmao_i_1_25 (direction INPUT)) (port (array (rename hrdatas_rnio2l41 "hrdatas_RNIO2L41[5:5]") 1) (direction INPUT)) (port leon3s_VCC (direction INPUT)) (port leon3s_GND (direction INPUT)) (port crdy (direction OUTPUT)) (port intack (direction OUTPUT)) (port step (direction INPUT)) (port reset (direction INPUT)) (port N_161 (direction OUTPUT)) (port te8_1 (direction INPUT)) (port te9_2 (direction INPUT)) (port dwrite (direction INPUT)) (port hready_RNICLR2 (direction INPUT)) (port halt (direction INPUT)) (port tstop (direction OUTPUT)) (port pwd (direction OUTPUT)) (port bsoft (direction INPUT)) (port btrape (direction INPUT)) (port denable (direction INPUT)) (port btrapa (direction INPUT)) (port berror (direction INPUT)) (port bwatch (direction INPUT)) (port dbreak (direction INPUT)) (port N_148_2 (direction INPUT)) (port hbusreq_i_0 (direction OUTPUT)) (port N_5515 (direction OUTPUT)) (port un58_nbo (direction OUTPUT)) (port lb_0_sqmuxa_1 (direction OUTPUT)) (port ba_RNIIJKI5_0 (direction OUTPUT)) (port hlock (direction OUTPUT)) (port hwrite (direction OUTPUT)) (port un86_nbo (direction OUTPUT)) (port N_2802 (direction INPUT)) (port hready_1_sqmuxa (direction INPUT)) (port N_2307 (direction INPUT)) (port cfgsel_RNIFO4S1 (direction INPUT)) (port cfgsel_RNILM2N1 (direction INPUT)) (port rstn (direction INPUT)) (port ramclk (direction INPUT)) ) (contents (instance rst_RNI55L3 (viewRef prim (cellRef CLKINT (libraryRef PA3))) ) (instance rst (viewRef prim (cellRef DFN1 (libraryRef PA3))) (property sync_set_reset (string "true")) ) (instance p0 (viewRef netlist (cellRef proc3)) (property bp (integer 1)) (property mmupgsz (integer 0)) (property scantest (integer 0)) (property clk2x (integer 0)) (property cached (integer 0)) (property smp (integer 0)) (property rstaddr (integer 0)) (property svt (integer 0)) (property pwd (integer 2)) (property tbuf (integer 0)) (property disas (integer 0)) (property lddel (integer 1)) (property tlb_rep (integer 1)) (property tlb_type (integer 1)) (property dtlbnum (integer 2)) (property itlbnum (integer 2)) (property mmuen (integer 0)) (property dlramstart (integer 143)) (property dlramsize (integer 1)) (property dlram (integer 0)) (property ilramstart (integer 142)) (property ilramsize (integer 1)) (property ilram (integer 0)) (property dsnoop (integer 0)) (property dsetlock (integer 0)) (property dsetsize (integer 4)) (property dlinesize (integer 4)) (property dsets (integer 1)) (property drepl (integer 0)) (property dcen (integer 1)) (property isetlock (integer 0)) (property isetsize (integer 4)) (property ilinesize (integer 4)) (property isets (integer 1)) (property irepl (integer 0)) (property icen (integer 1)) (property nwp (integer 0)) (property notag (integer 0)) (property pclow (integer 2)) (property mac (integer 0)) (property cp (integer 0)) (property v8 (integer 0)) (property fpu (integer 0)) (property dsu (integer 1)) (property nwindows (integer 7)) (property memtech (integer 10)) (property fabtech (integer 10)) (property hindex (integer 0)) ) (instance rf0 (viewRef netlist (cellRef regfile_3p (libraryRef techmap))) (property numregs (integer 120)) (property wrfst (integer 1)) (property dbits (integer 32)) (property abits (integer 7)) (property tech (integer 10)) ) (instance cmem0 (viewRef netlist (cellRef cachemem)) (property mmuen (integer 0)) (property dlramsize (integer 1)) (property dlram (integer 0)) (property ilramsize (integer 1)) (property ilram (integer 0)) (property dsnoop (integer 0)) (property dsetlock (integer 0)) (property dsetsize (integer 4)) (property dlinesize (integer 4)) (property dsets (integer 1)) (property drepl (integer 0)) (property dcen (integer 1)) (property isetlock (integer 0)) (property isetsize (integer 4)) (property ilinesize (integer 4)) (property isets (integer 1)) (property irepl (integer 0)) (property icen (integer 1)) (property tech (integer 10)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net (rename rstZ0 "rst") (joined (portRef Y (instanceRef rst_RNI55L3)) (portRef rst (instanceRef p0)) )) (net rst_0 (joined (portRef Q (instanceRef rst)) (portRef A (instanceRef rst_RNI55L3)) )) (net rstn (joined (portRef rstn) (portRef D (instanceRef rst)) )) (net (rename vaddress_RNIE2GP4S1_14 "vaddress_RNIE2GP4S1[14]") (joined (portRef (member vaddress_rnie2gp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnie2gp4s1 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIM2GP4S1_18 "vaddress_RNIM2GP4S1[18]") (joined (portRef (member vaddress_rnim2gp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnim2gp4s1 0) (instanceRef cmem0)) )) (net (rename istate_RNI1PDN1_1 "istate_RNI1PDN1[1]") (joined (portRef (member istate_rni1pdn1 0) (instanceRef p0)) (portRef (member istate_rni1pdn1 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIA2GP4S1_12 "vaddress_RNIA2GP4S1[12]") (joined (portRef (member vaddress_rnia2gp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnia2gp4s1 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIO2GP4S1_19 "vaddress_RNIO2GP4S1[19]") (joined (portRef (member vaddress_rnio2gp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnio2gp4s1 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIIAGP4S1_23 "vaddress_RNIIAGP4S1[23]") (joined (portRef (member vaddress_rniiagp4s1 0) (instanceRef p0)) (portRef (member vaddress_rniiagp4s1 0) (instanceRef cmem0)) )) (net (rename istate_RNIBNM52_1 "istate_RNIBNM52[1]") (joined (portRef (member istate_rnibnm52 0) (instanceRef p0)) (portRef (member istate_rnibnm52 0) (instanceRef cmem0)) )) (net (rename istate_RNIJ9EP1_1 "istate_RNIJ9EP1[1]") (joined (portRef (member istate_rnij9ep1 0) (instanceRef p0)) (portRef (member istate_rnij9ep1 0) (instanceRef cmem0)) )) (net (rename istate_RNI6SLV1_1 "istate_RNI6SLV1[1]") (joined (portRef (member istate_rni6slv1 0) (instanceRef p0)) (portRef (member istate_rni6slv1 0) (instanceRef cmem0)) )) (net (rename istate_RNIPTLI1_1 "istate_RNIPTLI1[1]") (joined (portRef (member istate_rniptli1 0) (instanceRef p0)) (portRef (member istate_rniptli1 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIC2GP4S1_13 "vaddress_RNIC2GP4S1[13]") (joined (portRef (member vaddress_rnic2gp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnic2gp4s1 0) (instanceRef cmem0)) )) (net (rename istate_RNI6POA2_1 "istate_RNI6POA2[1]") (joined (portRef (member istate_rni6poa2 0) (instanceRef p0)) (portRef (member istate_rni6poa2 0) (instanceRef cmem0)) )) (net (rename istate_RNIU0OP1_1 "istate_RNIU0OP1[1]") (joined (portRef (member istate_rniu0op1 0) (instanceRef p0)) (portRef (member istate_rniu0op1 0) (instanceRef cmem0)) )) (net (rename faddr_RNITU19LC_0 "faddr_RNITU19LC[0]") (joined (portRef (member faddr_rnitu19lc 0) (instanceRef p0)) (portRef (member faddr_rnitu19lc 0) (instanceRef cmem0)) )) (net (rename faddr_RNIUAG1TC_2 "faddr_RNIUAG1TC[2]") (joined (portRef (member faddr_rniuag1tc 0) (instanceRef p0)) (portRef (member faddr_rniuag1tc 0) (instanceRef cmem0)) )) (net (rename faddr_RNIOM2UBD_5 "faddr_RNIOM2UBD[5]") (joined (portRef (member faddr_rniom2ubd 0) (instanceRef p0)) (portRef (member faddr_rniom2ubd 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIF4TSCC_3 "vaddress_RNIF4TSCC[3]") (joined (portRef (member vaddress_rnif4tscc 0) (instanceRef p0)) (portRef (member vaddress_rnif4tscc 0) (instanceRef cmem0)) )) (net (rename istate_RNILK561_1 "istate_RNILK561[1]") (joined (portRef (member istate_rnilk561 0) (instanceRef p0)) (portRef (member istate_rnilk561 0) (instanceRef cmem0)) )) (net (rename istate_RNIEAOE1_1 "istate_RNIEAOE1[1]") (joined (portRef (member istate_rnieaoe1 0) (instanceRef p0)) (portRef (member istate_rnieaoe1 0) (instanceRef cmem0)) )) (net (rename istate_RNI4J0A1_1 "istate_RNI4J0A1[1]") (joined (portRef (member istate_rni4j0a1 0) (instanceRef p0)) (portRef (member istate_rni4j0a1 0) (instanceRef cmem0)) )) (net (rename istate_RNIR8HU1_1 "istate_RNIR8HU1[1]") (joined (portRef (member istate_rnir8hu1 0) (instanceRef p0)) (portRef (member istate_rnir8hu1 0) (instanceRef cmem0)) )) (net (rename hrdatas_RNIO2L41_5 "hrdatas_RNIO2L41[5]") (joined (portRef (member hrdatas_rnio2l41 0)) (portRef (member hrdatas_rnio2l41 0) (instanceRef p0)) )) (net (rename istate_RNIKGRL1_1 "istate_RNIKGRL1[1]") (joined (portRef (member istate_rnikgrl1 0) (instanceRef p0)) (portRef (member istate_rnikgrl1 0) (instanceRef cmem0)) )) (net (rename istate_RNIM32A1_1 "istate_RNIM32A1[1]") (joined (portRef (member istate_rnim32a1 0) (instanceRef p0)) (portRef (member istate_rnim32a1 0) (instanceRef cmem0)) )) (net (rename istate_RNIQF2A1_1 "istate_RNIQF2A1[1]") (joined (portRef (member istate_rniqf2a1 0) (instanceRef p0)) (portRef (member istate_rniqf2a1 0) (instanceRef cmem0)) )) (net (rename istate_RNICVQE1_1 "istate_RNICVQE1[1]") (joined (portRef (member istate_rnicvqe1 0) (instanceRef p0)) (portRef (member istate_rnicvqe1 0) (instanceRef cmem0)) )) (net (rename istate_RNIABMH1_1 "istate_RNIABMH1[1]") (joined (portRef (member istate_rniabmh1 0) (instanceRef p0)) (portRef (member istate_rniabmh1 0) (instanceRef cmem0)) )) (net (rename istate_RNIHLE12_1 "istate_RNIHLE12[1]") (joined (portRef (member istate_rnihle12 0) (instanceRef p0)) (portRef (member istate_rnihle12 0) (instanceRef cmem0)) )) (net (rename istate_RNI6U762_1 "istate_RNI6U762[1]") (joined (portRef (member istate_rni6u762 0) (instanceRef p0)) (portRef (member istate_rni6u762 0) (instanceRef cmem0)) )) (net (rename istate_RNIQ3PK1_1 "istate_RNIQ3PK1[1]") (joined (portRef (member istate_rniq3pk1 0) (instanceRef p0)) (portRef (member istate_rniq3pk1 0) (instanceRef cmem0)) )) (net (rename istate_RNIFNT02_1 "istate_RNIFNT02[1]") (joined (portRef (member istate_rnifnt02 0) (instanceRef p0)) (portRef (member istate_rnifnt02 0) (instanceRef cmem0)) )) (net (rename istate_RNINAS02_1 "istate_RNINAS02[1]") (joined (portRef (member istate_rninas02 0) (instanceRef p0)) (portRef (member istate_rninas02 0) (instanceRef cmem0)) )) (net (rename vaddress_RNII2GP4S1_16 "vaddress_RNII2GP4S1[16]") (joined (portRef (member vaddress_rnii2gp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnii2gp4s1 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIK2GP4S1_17 "vaddress_RNIK2GP4S1[17]") (joined (portRef (member vaddress_rnik2gp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnik2gp4s1 0) (instanceRef cmem0)) )) (net (rename vaddress_RNICAGP4S1_20 "vaddress_RNICAGP4S1[20]") (joined (portRef (member vaddress_rnicagp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnicagp4s1 0) (instanceRef cmem0)) )) (net (rename istate_RNIT9TF1_1 "istate_RNIT9TF1[1]") (joined (portRef (member istate_rnit9tf1 0) (instanceRef p0)) (portRef (member istate_rnit9tf1 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIGAGP4S1_22 "vaddress_RNIGAGP4S1[22]") (joined (portRef (member vaddress_rnigagp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnigagp4s1 0) (instanceRef cmem0)) )) (net (rename istate_RNIJMMR1_1 "istate_RNIJMMR1[1]") (joined (portRef (member istate_rnijmmr1 0) (instanceRef p0)) (portRef (member istate_rnijmmr1 0) (instanceRef cmem0)) )) (net (rename istate_RNI57T02_1 "istate_RNI57T02[1]") (joined (portRef (member istate_rni57t02 0) (instanceRef p0)) (portRef (member istate_rni57t02 0) (instanceRef cmem0)) )) (net (rename istate_RNIFUPH2_1 "istate_RNIFUPH2[1]") (joined (portRef (member istate_rnifuph2 0) (instanceRef p0)) (portRef (member istate_rnifuph2 0) (instanceRef cmem0)) )) (net (rename istate_RNIL1742_1 "istate_RNIL1742[1]") (joined (portRef (member istate_rnil1742 0) (instanceRef p0)) (portRef (member istate_rnil1742 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIERQU9C_2 "vaddress_RNIERQU9C[2]") (joined (portRef (member vaddress_rnierqu9c 0) (instanceRef p0)) (portRef (member vaddress_rnierqu9c 0) (instanceRef cmem0)) )) (net (rename istate_RNINGDN1_1 "istate_RNINGDN1[1]") (joined (portRef (member istate_rningdn1 0) (instanceRef p0)) (portRef (member istate_rningdn1 0) (instanceRef cmem0)) )) (net (rename istate_RNILTPP1_1 "istate_RNILTPP1[1]") (joined (portRef (member istate_rniltpp1 0) (instanceRef p0)) (portRef (member istate_rniltpp1 0) (instanceRef cmem0)) )) (net (rename istate_RNIHPJ22_1 "istate_RNIHPJ22[1]") (joined (portRef (member istate_rnihpj22 0) (instanceRef p0)) (portRef (member istate_rnihpj22 0) (instanceRef cmem0)) )) (net (rename istate_RNIB7T42_1 "istate_RNIB7T42[1]") (joined (portRef (member istate_rnib7t42 0) (instanceRef p0)) (portRef (member istate_rnib7t42 0) (instanceRef cmem0)) )) (net (rename dmao_i_1_25 "dmao_i_1[40]") (joined (portRef dmao_i_1_25) (portRef dmao_i_1_25 (instanceRef p0)) )) (net (rename dmao_i_1_0 "dmao_i_1[15]") (joined (portRef dmao_i_1_0) (portRef dmao_i_1_0 (instanceRef p0)) )) (net (rename dmao_i_1_15 "dmao_i_1[30]") (joined (portRef dmao_i_1_15) (portRef dmao_i_1_15 (instanceRef p0)) )) (net (rename dmao_i_1_28 "dmao_i_1[43]") (joined (portRef dmao_i_1_28) (portRef dmao_i_1_28 (instanceRef p0)) )) (net (rename dmao_i_1_31 "dmao_i_1[46]") (joined (portRef dmao_i_1_31) (portRef dmao_i_1_31 (instanceRef p0)) )) (net (rename dmao_i_1_24 "dmao_i_1[39]") (joined (portRef dmao_i_1_24) (portRef dmao_i_1_24 (instanceRef p0)) )) (net (rename dmao_i_1_26 "dmao_i_1[41]") (joined (portRef dmao_i_1_26) (portRef dmao_i_1_26 (instanceRef p0)) )) (net (rename dmao_i_1_30 "dmao_i_1[45]") (joined (portRef dmao_i_1_30) (portRef dmao_i_1_30 (instanceRef p0)) )) (net (rename dmao_i_1_29 "dmao_i_1[44]") (joined (portRef dmao_i_1_29) (portRef dmao_i_1_29 (instanceRef p0)) )) (net (rename dmao_i_1_17 "dmao_i_1[32]") (joined (portRef dmao_i_1_17) (portRef dmao_i_1_17 (instanceRef p0)) )) (net (rename dmao_i_1_14 "dmao_i_1[29]") (joined (portRef dmao_i_1_14) (portRef dmao_i_1_14 (instanceRef p0)) )) (net (rename dmao_i_1_22 "dmao_i_1[37]") (joined (portRef dmao_i_1_22) (portRef dmao_i_1_22 (instanceRef p0)) )) (net (rename istate_RNIJLLK1_1 "istate_RNIJLLK1[1]") (joined (portRef (member istate_rnijllk1 0) (instanceRef p0)) (portRef (member istate_rnijllk1 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIG2GP4S1_15 "vaddress_RNIG2GP4S1[15]") (joined (portRef (member vaddress_rnig2gp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnig2gp4s1 0) (instanceRef cmem0)) )) (net (rename istate_RNIQL7E6_1 "istate_RNIQL7E6[1]") (joined (portRef (member istate_rniql7e6 0) (instanceRef p0)) (portRef (member istate_rniql7e6 0) (instanceRef cmem0)) )) (net (rename istate_RNITKDP1_1 "istate_RNITKDP1[1]") (joined (portRef (member istate_rnitkdp1 0) (instanceRef p0)) (portRef (member istate_rnitkdp1 0) (instanceRef cmem0)) )) (net (rename hrdata_17 "hrdata[18]") (joined (portRef hrdata_17) (portRef hrdata_17 (instanceRef p0)) )) (net (rename hrdata_18 "hrdata[19]") (joined (portRef hrdata_18) (portRef hrdata_18 (instanceRef p0)) )) (net (rename hrdata_0 "hrdata[1]") (joined (portRef hrdata_0) (portRef hrdata_0 (instanceRef p0)) )) (net (rename hrdata_2 "hrdata[3]") (joined (portRef hrdata_2) (portRef hrdata_2 (instanceRef p0)) )) (net (rename hrdata_3 "hrdata[4]") (joined (portRef hrdata_3) (portRef hrdata_3 (instanceRef p0)) )) (net (rename hrdata_6 "hrdata[7]") (joined (portRef hrdata_6) (portRef hrdata_6 (instanceRef p0)) )) (net (rename hrdata_8 "hrdata[9]") (joined (portRef hrdata_8) (portRef hrdata_8 (instanceRef p0)) )) (net (rename hrdata_10 "hrdata[11]") (joined (portRef hrdata_10) (portRef hrdata_10 (instanceRef p0)) )) (net (rename hrdata_15 "hrdata[16]") (joined (portRef hrdata_15) (portRef hrdata_15 (instanceRef p0)) )) (net (rename hrdata_19 "hrdata[20]") (joined (portRef hrdata_19) (portRef hrdata_19 (instanceRef p0)) )) (net (rename hrdata_1 "hrdata[2]") (joined (portRef hrdata_1) (portRef hrdata_1 (instanceRef p0)) )) (net (rename hrdata_22 "hrdata[23]") (joined (portRef hrdata_22) (portRef hrdata_22 (instanceRef p0)) )) (net (rename hrdata_26 "hrdata[27]") (joined (portRef hrdata_26) (portRef hrdata_26 (instanceRef p0)) )) (net (rename hrdata_5 "hrdata[6]") (joined (portRef hrdata_5) (portRef hrdata_5 (instanceRef p0)) )) (net (rename hrdata_9 "hrdata[10]") (joined (portRef hrdata_9) (portRef hrdata_9 (instanceRef p0)) )) (net (rename hrdata_7 "hrdata[8]") (joined (portRef hrdata_7) (portRef hrdata_7 (instanceRef p0)) )) (net (rename hrdata_20 "hrdata[21]") (joined (portRef hrdata_20) (portRef hrdata_20 (instanceRef p0)) )) (net (rename vaddress_RNIEAGP4S1_21 "vaddress_RNIEAGP4S1[21]") (joined (portRef (member vaddress_rnieagp4s1 0) (instanceRef p0)) (portRef (member vaddress_rnieagp4s1 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIH15UOC_5 "vaddress_RNIH15UOC[5]") (joined (portRef (member vaddress_rnih15uoc 0) (instanceRef p0)) (portRef (member vaddress_rnih15uoc 0) (instanceRef cmem0)) )) (net (rename faddr_RNIR9J23D_3 "faddr_RNIR9J23D[3]") (joined (portRef (member faddr_rnir9j23d 0) (instanceRef p0)) (portRef (member faddr_rnir9j23d 0) (instanceRef cmem0)) )) (net (rename faddr_RNIC5GRID_6 "faddr_RNIC5GRID[6]") (joined (portRef (member faddr_rnic5grid 0) (instanceRef p0)) (portRef (member faddr_rnic5grid 0) (instanceRef cmem0)) )) (net (rename vaddress_RNIFUHR1D_8 "vaddress_RNIFUHR1D[8]") (joined (portRef (member vaddress_rnifuhr1d 0) (instanceRef p0)) (portRef (member vaddress_rnifuhr1d 0) (instanceRef cmem0)) )) (net (rename faddr_RNIFA34UD_7 "faddr_RNIFA34UD[7]") (joined (portRef (member faddr_rnifa34ud 0) (instanceRef p0)) (portRef (member faddr_rnifa34ud 0) (instanceRef cmem0)) )) (net (rename vitdatain_0_1_a0_3_23 "vitdatain_0_1_a0_3[23]") (joined (portRef (member vitdatain_0_1_a0_3 0) (instanceRef cmem0)) (portRef (member vitdatain_0_1_a0_3 0) (instanceRef p0)) )) (net (rename un1_p0_2_0_148 "un1_p0_2_0[148]") (joined (portRef un1_p0_2_0_0 (instanceRef p0)) (portRef un1_p0_2_0_0 (instanceRef cmem0)) )) (net (rename un1_p0_2_0_498 "un1_p0_2_0[498]") (joined (portRef un1_p0_2_0_350 (instanceRef p0)) (portRef un1_p0_2_0_350 (instanceRef cmem0)) )) (net (rename size_0 "size[0]") (joined (portRef (member size_0 0) (instanceRef p0)) (portRef (member size 0)) )) (net (rename xaddress_RNI8HC9C_3 "xaddress_RNI8HC9C[3]") (joined (portRef (member xaddress_rni8hc9c 0) (instanceRef p0)) (portRef (member xaddress_rni8hc9c 0) (instanceRef cmem0)) )) (net (rename faddr_RNI1ENTG_2 "faddr_RNI1ENTG[2]") (joined (portRef (member faddr_rni1entg 0) (instanceRef p0)) (portRef (member faddr_rni1entg 0) (instanceRef cmem0)) )) (net (rename faddr_RNI49A0Q_6 "faddr_RNI49A0Q[6]") (joined (portRef (member faddr_rni49a0q 0) (instanceRef p0)) (portRef (member faddr_rni49a0q 0) (instanceRef cmem0)) )) (net (rename faddr_RNIMVM8U_7 "faddr_RNIMVM8U[7]") (joined (portRef (member faddr_rnimvm8u 0) (instanceRef p0)) (portRef (member faddr_rnimvm8u 0) (instanceRef cmem0)) )) (net (rename faddr_RNIK42HD_0 "faddr_RNIK42HD[0]") (joined (portRef (member faddr_rnik42hd 0) (instanceRef p0)) (portRef (member faddr_rnik42hd 0) (instanceRef cmem0)) )) (net (rename faddr_RNIIN4OM_5 "faddr_RNIIN4OM[5]") (joined (portRef (member faddr_rniin4om 0) (instanceRef p0)) (portRef (member faddr_rniin4om 0) (instanceRef cmem0)) )) (net (rename faddr_RNIG8PKF_1 "faddr_RNIG8PKF[1]") (joined (portRef (member faddr_rnig8pkf 0) (instanceRef p0)) (portRef (member faddr_rnig8pkf 0) (instanceRef cmem0)) )) (net (rename faddr_RNI6USBI_4 "faddr_RNI6USBI[4]") (joined (portRef (member faddr_rni6usbi 0) (instanceRef p0)) (portRef (member faddr_rni6usbi 0) (instanceRef cmem0)) )) (net (rename xaddress_RNI9P28C_2 "xaddress_RNI9P28C[2]") (joined (portRef (member xaddress_rni9p28c 0) (instanceRef p0)) (portRef (member xaddress_rni9p28c 0) (instanceRef cmem0)) )) (net (rename xaddress_RNIFNVH4R3_14 "xaddress_RNIFNVH4R3[14]") (joined (portRef (member xaddress_rnifnvh4r3 0) (instanceRef p0)) (portRef (member xaddress_rnifnvh4r3 0) (instanceRef cmem0)) )) (net (rename faddr_RNIVNQNH_3 "faddr_RNIVNQNH[3]") (joined (portRef (member faddr_rnivnqnh 0) (instanceRef p0)) (portRef (member faddr_rnivnqnh 0) (instanceRef cmem0)) )) (net (rename xaddress_RNILQ8H4R3_19 "xaddress_RNILQ8H4R3[19]") (joined (portRef (member xaddress_rnilq8h4r3 0) (instanceRef p0)) (portRef (member xaddress_rnilq8h4r3 0) (instanceRef cmem0)) )) (net (rename un1_p0_2_368 "un1_p0_2[368]") (joined (portRef un1_p0_2_6 (instanceRef p0)) (portRef un1_p0_2_6 (instanceRef cmem0)) )) (net (rename un1_p0_2_362 "un1_p0_2[362]") (joined (portRef un1_p0_2_0_d0 (instanceRef p0)) (portRef un1_p0_2_0_d0 (instanceRef cmem0)) )) (net (rename xaddress_RNI1Q8H4R3_15 "xaddress_RNI1Q8H4R3[15]") (joined (portRef (member xaddress_rni1q8h4r3 0) (instanceRef p0)) (portRef (member xaddress_rni1q8h4r3 0) (instanceRef cmem0)) )) (net (rename xaddress_RNIVQEG4R3_12 "xaddress_RNIVQEG4R3[12]") (joined (portRef (member xaddress_rnivqeg4r3 0) (instanceRef p0)) (portRef (member xaddress_rnivqeg4r3 0) (instanceRef cmem0)) )) (net (rename xaddress_RNI4P6H4R3_13 "xaddress_RNI4P6H4R3[13]") (joined (portRef (member xaddress_rni4p6h4r3 0) (instanceRef p0)) (portRef (member xaddress_rni4p6h4r3 0) (instanceRef cmem0)) )) (net (rename dstate_RNI8BDUG1_1 "dstate_RNI8BDUG1[1]") (joined (portRef (member dstate_rni8bdug1 0) (instanceRef p0)) (portRef (member dstate_rni8bdug1 0) (instanceRef cmem0)) )) (net (rename dstate_RNIAK9OF1_1 "dstate_RNIAK9OF1[1]") (joined (portRef (member dstate_rniak9of1 0) (instanceRef p0)) (portRef (member dstate_rniak9of1 0) (instanceRef cmem0)) )) (net (rename dstate_RNITLPG91_1 "dstate_RNITLPG91[1]") (joined (portRef (member dstate_rnitlpg91 0) (instanceRef p0)) (portRef (member dstate_rnitlpg91 0) (instanceRef cmem0)) )) (net (rename dstate_RNIPKCMD1_1 "dstate_RNIPKCMD1[1]") (joined (portRef (member dstate_rnipkcmd1 0) (instanceRef p0)) (portRef (member dstate_rnipkcmd1 0) (instanceRef cmem0)) )) (net (rename dstate_RNIR5PQD1_1 "dstate_RNIR5PQD1[1]") (joined (portRef (member dstate_rnir5pqd1 0) (instanceRef p0)) (portRef (member dstate_rnir5pqd1 0) (instanceRef cmem0)) )) (net (rename dstate_RNIBJ5KD1_1 "dstate_RNIBJ5KD1[1]") (joined (portRef (member dstate_rnibj5kd1 0) (instanceRef p0)) (portRef (member dstate_rnibj5kd1 0) (instanceRef cmem0)) )) (net (rename dstate_RNIGBKHB1_1 "dstate_RNIGBKHB1[1]") (joined (portRef (member dstate_rnigbkhb1 0) (instanceRef p0)) (portRef (member dstate_rnigbkhb1 0) (instanceRef cmem0)) )) (net (rename dstate_RNIVAPCB1_1 "dstate_RNIVAPCB1[1]") (joined (portRef (member dstate_rnivapcb1 0) (instanceRef p0)) (portRef (member dstate_rnivapcb1 0) (instanceRef cmem0)) )) (net (rename size_RNIQ6O4U_1 "size_RNIQ6O4U[1]") (joined (portRef (member size_rniq6o4u 0) (instanceRef p0)) (portRef (member size_rniq6o4u 0) (instanceRef cmem0)) )) (net (rename size_RNIQAFGU_1 "size_RNIQAFGU[1]") (joined (portRef (member size_rniqafgu 0) (instanceRef p0)) (portRef (member size_rniqafgu 0) (instanceRef cmem0)) )) (net (rename size_RNIIU3PU_1 "size_RNIIU3PU[1]") (joined (portRef (member size_rniiu3pu 0) (instanceRef p0)) (portRef (member size_rniiu3pu 0) (instanceRef cmem0)) )) (net (rename size_RNI1G16U_1 "size_RNI1G16U[1]") (joined (portRef (member size_rni1g16u 0) (instanceRef p0)) (portRef (member size_rni1g16u 0) (instanceRef cmem0)) )) (net (rename size_RNIS2OAU_1 "size_RNIS2OAU[1]") (joined (portRef (member size_rnis2oau 0) (instanceRef p0)) (portRef (member size_rnis2oau 0) (instanceRef cmem0)) )) (net (rename size_RNITKMLU_1 "size_RNITKMLU[1]") (joined (portRef (member size_rnitkmlu 0) (instanceRef p0)) (portRef (member size_rnitkmlu 0) (instanceRef cmem0)) )) (net (rename dstate_RNI5432U_1 "dstate_RNI5432U[1]") (joined (portRef (member dstate_rni5432u 0) (instanceRef p0)) (portRef (member dstate_rni5432u 0) (instanceRef cmem0)) )) (net (rename dstate_RNISTGFH1_1 "dstate_RNISTGFH1[1]") (joined (portRef (member dstate_rnistgfh1 0) (instanceRef p0)) (portRef (member dstate_rnistgfh1 0) (instanceRef cmem0)) )) (net (rename dstate_RNI5C6E91_1 "dstate_RNI5C6E91[1]") (joined (portRef (member dstate_rni5c6e91 0) (instanceRef p0)) (portRef (member dstate_rni5c6e91 0) (instanceRef cmem0)) )) (net (rename dstate_RNI08ULU_1 "dstate_RNI08ULU[1]") (joined (portRef (member dstate_rni08ulu 0) (instanceRef p0)) (portRef (member dstate_rni08ulu 0) (instanceRef cmem0)) )) (net (rename dstate_RNIILTR91_1 "dstate_RNIILTR91[1]") (joined (portRef (member dstate_rniiltr91 0) (instanceRef p0)) (portRef (member dstate_rniiltr91 0) (instanceRef cmem0)) )) (net (rename dstate_RNISU72D1_1 "dstate_RNISU72D1[1]") (joined (portRef (member dstate_rnisu72d1 0) (instanceRef p0)) (portRef (member dstate_rnisu72d1 0) (instanceRef cmem0)) )) (net (rename xaddress_RNID0H8VM1_21 "xaddress_RNID0H8VM1[21]") (joined (portRef (member xaddress_rnid0h8vm1 0) (instanceRef p0)) (portRef (member xaddress_rnid0h8vm1 0) (instanceRef cmem0)) )) (net (rename dstate_RNIUUCH91_1 "dstate_RNIUUCH91[1]") (joined (portRef (member dstate_rniuuch91 0) (instanceRef p0)) (portRef (member dstate_rniuuch91 0) (instanceRef cmem0)) )) (net (rename dstate_RNIOSSTG1_1 "dstate_RNIOSSTG1[1]") (joined (portRef (member dstate_rniosstg1 0) (instanceRef p0)) (portRef (member dstate_rniosstg1 0) (instanceRef cmem0)) )) (net (rename xaddress_RNI1HH8VM1_17 "xaddress_RNI1HH8VM1[17]") (joined (portRef (member xaddress_rni1hh8vm1 0) (instanceRef p0)) (portRef (member xaddress_rni1hh8vm1 0) (instanceRef cmem0)) )) (net (rename xaddress_RNIFBR7VM1_23 "xaddress_RNIFBR7VM1[23]") (joined (portRef (member xaddress_rnifbr7vm1 0) (instanceRef p0)) (portRef (member xaddress_rnifbr7vm1 0) (instanceRef cmem0)) )) (net (rename dstate_RNIJ6PE91_1 "dstate_RNIJ6PE91[1]") (joined (portRef (member dstate_rnij6pe91 0) (instanceRef p0)) (portRef (member dstate_rnij6pe91 0) (instanceRef cmem0)) )) (net (rename dstate_RNIOPHJD1_1 "dstate_RNIOPHJD1[1]") (joined (portRef (member dstate_rniophjd1 0) (instanceRef p0)) (portRef (member dstate_rniophjd1 0) (instanceRef cmem0)) )) (net (rename dstate_RNI0V0E91_1 "dstate_RNI0V0E91[1]") (joined (portRef (member dstate_rni0v0e91 0) (instanceRef p0)) (portRef (member dstate_rni0v0e91 0) (instanceRef cmem0)) )) (net (rename dstate_RNIA7SI91_1 "dstate_RNIA7SI91[1]") (joined (portRef (member dstate_rnia7si91 0) (instanceRef p0)) (portRef (member dstate_rnia7si91 0) (instanceRef cmem0)) )) (net (rename dstate_RNI3JQ791_1 "dstate_RNI3JQ791[1]") (joined (portRef (member dstate_rni3jq791 0) (instanceRef p0)) (portRef (member dstate_rni3jq791 0) (instanceRef cmem0)) )) (net (rename dstate_RNIR83TF1_1 "dstate_RNIR83TF1[1]") (joined (portRef (member dstate_rnir83tf1 0) (instanceRef p0)) (portRef (member dstate_rnir83tf1 0) (instanceRef cmem0)) )) (net (rename dstate_RNI8CBSG1_1 "dstate_RNI8CBSG1[1]") (joined (portRef (member dstate_rni8cbsg1 0) (instanceRef p0)) (portRef (member dstate_rni8cbsg1 0) (instanceRef cmem0)) )) (net (rename xaddress_RNIQOH8VM1_22 "xaddress_RNIQOH8VM1[22]") (joined (portRef (member xaddress_rniqoh8vm1 0) (instanceRef p0)) (portRef (member xaddress_rniqoh8vm1 0) (instanceRef cmem0)) )) (net (rename xaddress_RNIH8G8VM1_16 "xaddress_RNIH8G8VM1[16]") (joined (portRef (member xaddress_rnih8g8vm1 0) (instanceRef p0)) (portRef (member xaddress_rnih8g8vm1 0) (instanceRef cmem0)) )) (net (rename xaddress_RNIE9I8VM1_18 "xaddress_RNIE9I8VM1[18]") (joined (portRef (member xaddress_rnie9i8vm1 0) (instanceRef p0)) (portRef (member xaddress_rnie9i8vm1 0) (instanceRef cmem0)) )) (net (rename dstate_RNIDU8KDR3_1 "dstate_RNIDU8KDR3[1]") (joined (portRef (member dstate_rnidu8kdr3 0) (instanceRef p0)) (portRef (member dstate_rnidu8kdr3 0) (instanceRef cmem0)) )) (net (rename addr_28 "addr[28]") (joined (portRef addr_0 (instanceRef p0)) (portRef addr_0 (instanceRef cmem0)) )) (net (rename addr_31 "addr[31]") (joined (portRef addr_3 (instanceRef p0)) (portRef addr_3 (instanceRef cmem0)) )) (net (rename newptag_2_1_25 "newptag_2_1[25]") (joined (portRef (member newptag_2_1 0) (instanceRef p0)) (portRef (member newptag_2_1 0) (instanceRef cmem0)) )) (net (rename newptag_2_0_26 "newptag_2_0[26]") (joined (portRef (member newptag_2_0 1) (instanceRef p0)) (portRef (member newptag_2_0 1) (instanceRef cmem0)) )) (net (rename newptag_2_0_27 "newptag_2_0[27]") (joined (portRef (member newptag_2_0 0) (instanceRef p0)) (portRef (member newptag_2_0 0) (instanceRef cmem0)) )) (net (rename newptag_2_20 "newptag_2[20]") (joined (portRef (member newptag_2 0) (instanceRef p0)) (portRef (member newptag_2 0) (instanceRef cmem0)) )) (net (rename bo_RNITUD75_1 "bo_RNITUD75[1]") (joined (portRef (member bo_rnitud75 0) (instanceRef p0)) (portRef (member bo_rnitud75 0)) )) (net (rename bo_RNIV2E75_1 "bo_RNIV2E75[1]") (joined (portRef (member bo_rniv2e75 0) (instanceRef p0)) (portRef (member bo_rniv2e75 0)) )) (net (rename bo_RNI17E75_1 "bo_RNI17E75[1]") (joined (portRef (member bo_rni17e75 0) (instanceRef p0)) (portRef (member bo_rni17e75 0)) )) (net (rename bo_RNI5FE75_1 "bo_RNI5FE75[1]") (joined (portRef (member bo_rni5fe75 0) (instanceRef p0)) (portRef (member bo_rni5fe75 0)) )) (net (rename hsize_5_1 "hsize_5[1]") (joined (portRef (member hsize_5 0) (instanceRef p0)) (portRef (member hsize_5 0)) )) (net (rename iosn_93 "iosn[93]") (joined (portRef (member iosn 0)) (portRef (member iosn 0) (instanceRef p0)) )) (net (rename hresp_0 "hresp[0]") (joined (portRef (member hresp 0)) (portRef (member hresp 0) (instanceRef p0)) )) (net (rename hwdata_0 "hwdata[0]") (joined (portRef (member hwdata 31) (instanceRef p0)) (portRef (member hwdata 31)) )) (net (rename hwdata_1 "hwdata[1]") (joined (portRef (member hwdata 30) (instanceRef p0)) (portRef (member hwdata 30)) )) (net (rename hwdata_2 "hwdata[2]") (joined (portRef (member hwdata 29) (instanceRef p0)) (portRef (member hwdata 29)) )) (net (rename hwdata_3 "hwdata[3]") (joined (portRef (member hwdata 28) (instanceRef p0)) (portRef (member hwdata 28)) )) (net (rename hwdata_4 "hwdata[4]") (joined (portRef (member hwdata 27) (instanceRef p0)) (portRef (member hwdata 27)) )) (net (rename hwdata_5 "hwdata[5]") (joined (portRef (member hwdata 26) (instanceRef p0)) (portRef (member hwdata 26)) )) (net (rename hwdata_6 "hwdata[6]") (joined (portRef (member hwdata 25) (instanceRef p0)) (portRef (member hwdata 25)) )) (net (rename hwdata_7 "hwdata[7]") (joined (portRef (member hwdata 24) (instanceRef p0)) (portRef (member hwdata 24)) )) (net (rename hwdata_8 "hwdata[8]") (joined (portRef (member hwdata 23) (instanceRef p0)) (portRef (member hwdata 23)) )) (net (rename hwdata_9 "hwdata[9]") (joined (portRef (member hwdata 22) (instanceRef p0)) (portRef (member hwdata 22)) )) (net (rename hwdata_10 "hwdata[10]") (joined (portRef (member hwdata 21) (instanceRef p0)) (portRef (member hwdata 21)) )) (net (rename hwdata_11 "hwdata[11]") (joined (portRef (member hwdata 20) (instanceRef p0)) (portRef (member hwdata 20)) )) (net (rename hwdata_12 "hwdata[12]") (joined (portRef (member hwdata 19) (instanceRef p0)) (portRef (member hwdata 19)) )) (net (rename hwdata_13 "hwdata[13]") (joined (portRef (member hwdata 18) (instanceRef p0)) (portRef (member hwdata 18)) )) (net (rename hwdata_14 "hwdata[14]") (joined (portRef (member hwdata 17) (instanceRef p0)) (portRef (member hwdata 17)) )) (net (rename hwdata_15 "hwdata[15]") (joined (portRef (member hwdata 16) (instanceRef p0)) (portRef (member hwdata 16)) )) (net (rename hwdata_16 "hwdata[16]") (joined (portRef (member hwdata 15) (instanceRef p0)) (portRef (member hwdata 15)) )) (net (rename hwdata_17 "hwdata[17]") (joined (portRef (member hwdata 14) (instanceRef p0)) (portRef (member hwdata 14)) )) (net (rename hwdata_18 "hwdata[18]") (joined (portRef (member hwdata 13) (instanceRef p0)) (portRef (member hwdata 13)) )) (net (rename hwdata_19 "hwdata[19]") (joined (portRef (member hwdata 12) (instanceRef p0)) (portRef (member hwdata 12)) )) (net (rename hwdata_20 "hwdata[20]") (joined (portRef (member hwdata 11) (instanceRef p0)) (portRef (member hwdata 11)) )) (net (rename hwdata_21 "hwdata[21]") (joined (portRef (member hwdata 10) (instanceRef p0)) (portRef (member hwdata 10)) )) (net (rename hwdata_22 "hwdata[22]") (joined (portRef (member hwdata 9) (instanceRef p0)) (portRef (member hwdata 9)) )) (net (rename hwdata_23 "hwdata[23]") (joined (portRef (member hwdata 8) (instanceRef p0)) (portRef (member hwdata 8)) )) (net (rename hwdata_24 "hwdata[24]") (joined (portRef (member hwdata 7) (instanceRef p0)) (portRef (member hwdata 7)) )) (net (rename hwdata_25 "hwdata[25]") (joined (portRef (member hwdata 6) (instanceRef p0)) (portRef (member hwdata 6)) )) (net (rename hwdata_26 "hwdata[26]") (joined (portRef (member hwdata 5) (instanceRef p0)) (portRef (member hwdata 5)) )) (net (rename hwdata_27 "hwdata[27]") (joined (portRef (member hwdata 4) (instanceRef p0)) (portRef (member hwdata 4)) )) (net (rename hwdata_28 "hwdata[28]") (joined (portRef (member hwdata 3) (instanceRef p0)) (portRef (member hwdata 3)) )) (net (rename hwdata_29 "hwdata[29]") (joined (portRef (member hwdata 2) (instanceRef p0)) (portRef (member hwdata 2)) )) (net (rename hwdata_30 "hwdata[30]") (joined (portRef (member hwdata 1) (instanceRef p0)) (portRef (member hwdata 1)) )) (net (rename hwdata_31 "hwdata[31]") (joined (portRef (member hwdata 0) (instanceRef p0)) (portRef (member hwdata 0)) )) (net (rename haddr_3 "haddr[3]") (joined (portRef haddr_3 (instanceRef p0)) (portRef haddr_3) )) (net (rename haddr_4 "haddr[4]") (joined (portRef haddr_4 (instanceRef p0)) (portRef haddr_4) )) (net (rename haddr_5 "haddr[5]") (joined (portRef haddr_5 (instanceRef p0)) (portRef haddr_5) )) (net (rename haddr_7 "haddr[7]") (joined (portRef haddr_7 (instanceRef p0)) (portRef haddr_7) )) (net (rename haddr_8 "haddr[8]") (joined (portRef haddr_8 (instanceRef p0)) (portRef haddr_8) )) (net (rename haddr_9 "haddr[9]") (joined (portRef haddr_9 (instanceRef p0)) (portRef haddr_9) )) (net (rename haddr_10 "haddr[10]") (joined (portRef haddr_10 (instanceRef p0)) (portRef haddr_10) )) (net (rename haddr_11 "haddr[11]") (joined (portRef haddr_11 (instanceRef p0)) (portRef haddr_11) )) (net (rename haddr_12 "haddr[12]") (joined (portRef haddr_12 (instanceRef p0)) (portRef haddr_12) )) (net (rename haddr_13 "haddr[13]") (joined (portRef haddr_13 (instanceRef p0)) (portRef haddr_13) )) (net (rename haddr_14 "haddr[14]") (joined (portRef haddr_14 (instanceRef p0)) (portRef haddr_14) )) (net (rename haddr_15 "haddr[15]") (joined (portRef haddr_15 (instanceRef p0)) (portRef haddr_15) )) (net (rename haddr_16 "haddr[16]") (joined (portRef haddr_16 (instanceRef p0)) (portRef haddr_16) )) (net (rename haddr_17 "haddr[17]") (joined (portRef haddr_17 (instanceRef p0)) (portRef haddr_17) )) (net (rename haddr_18 "haddr[18]") (joined (portRef haddr_18 (instanceRef p0)) (portRef haddr_18) )) (net (rename haddr_19 "haddr[19]") (joined (portRef haddr_19 (instanceRef p0)) (portRef haddr_19) )) (net (rename haddr_20 "haddr[20]") (joined (portRef haddr_20 (instanceRef p0)) (portRef haddr_20) )) (net (rename haddr_21 "haddr[21]") (joined (portRef haddr_21 (instanceRef p0)) (portRef haddr_21) )) (net (rename haddr_22 "haddr[22]") (joined (portRef haddr_22 (instanceRef p0)) (portRef haddr_22) )) (net (rename haddr_23 "haddr[23]") (joined (portRef haddr_23 (instanceRef p0)) (portRef haddr_23) )) (net (rename haddr_24 "haddr[24]") (joined (portRef haddr_24 (instanceRef p0)) (portRef haddr_24) )) (net (rename haddr_28 "haddr[28]") (joined (portRef haddr_28 (instanceRef p0)) (portRef haddr_28) )) (net (rename haddr_6 "haddr[6]") (joined (portRef haddr_6 (instanceRef p0)) (portRef haddr_6) )) (net (rename haddr_2 "haddr[2]") (joined (portRef haddr_2 (instanceRef p0)) (portRef haddr_2) )) (net (rename haddr_30 "haddr[30]") (joined (portRef haddr_30 (instanceRef p0)) (portRef haddr_30) )) (net (rename haddr_0 "haddr[0]") (joined (portRef haddr_0 (instanceRef p0)) (portRef haddr_0) )) (net (rename haddr_1 "haddr[1]") (joined (portRef haddr_1 (instanceRef p0)) (portRef haddr_1) )) (net (rename haddr_31 "haddr[31]") (joined (portRef haddr_31 (instanceRef p0)) (portRef haddr_31) )) (net (rename hgrant_1 "hgrant[1]") (joined (portRef (member hgrant 0)) (portRef (member hgrant 0) (instanceRef p0)) )) (net (rename iosn_0_93 "iosn_0[93]") (joined (portRef (member iosn_0 0)) (portRef (member iosn_0 0) (instanceRef p0)) )) (net (rename htrans_1 "htrans[1]") (joined (portRef (member htrans 0) (instanceRef p0)) (portRef (member htrans 0)) )) (net (rename daddr_2 "daddr[2]") (joined (portRef (member daddr 21)) (portRef (member daddr 21) (instanceRef p0)) )) (net (rename daddr_3 "daddr[3]") (joined (portRef (member daddr 20)) (portRef (member daddr 20) (instanceRef p0)) )) (net (rename daddr_4 "daddr[4]") (joined (portRef (member daddr 19)) (portRef (member daddr 19) (instanceRef p0)) )) (net (rename daddr_5 "daddr[5]") (joined (portRef (member daddr 18)) (portRef (member daddr 18) (instanceRef p0)) )) (net (rename daddr_6 "daddr[6]") (joined (portRef (member daddr 17)) (portRef (member daddr 17) (instanceRef p0)) )) (net (rename daddr_7 "daddr[7]") (joined (portRef (member daddr 16)) (portRef (member daddr 16) (instanceRef p0)) )) (net (rename daddr_8 "daddr[8]") (joined (portRef (member daddr 15)) (portRef (member daddr 15) (instanceRef p0)) )) (net (rename daddr_9 "daddr[9]") (joined (portRef (member daddr 14)) (portRef (member daddr 14) (instanceRef p0)) )) (net (rename daddr_10 "daddr[10]") (joined (portRef (member daddr 13)) (portRef (member daddr 13) (instanceRef p0)) )) (net (rename daddr_11 "daddr[11]") (joined (portRef (member daddr 12)) (portRef (member daddr 12) (instanceRef p0)) )) (net (rename daddr_12 "daddr[12]") (joined (portRef (member daddr 11)) (portRef (member daddr 11) (instanceRef p0)) )) (net (rename daddr_13 "daddr[13]") (joined (portRef (member daddr 10)) (portRef (member daddr 10) (instanceRef p0)) )) (net (rename daddr_14 "daddr[14]") (joined (portRef (member daddr 9)) (portRef (member daddr 9) (instanceRef p0)) )) (net (rename daddr_15 "daddr[15]") (joined (portRef (member daddr 8)) (portRef (member daddr 8) (instanceRef p0)) )) (net (rename daddr_16 "daddr[16]") (joined (portRef (member daddr 7)) (portRef (member daddr 7) (instanceRef p0)) )) (net (rename daddr_17 "daddr[17]") (joined (portRef (member daddr 6)) (portRef (member daddr 6) (instanceRef p0)) )) (net (rename daddr_18 "daddr[18]") (joined (portRef (member daddr 5)) (portRef (member daddr 5) (instanceRef p0)) )) (net (rename daddr_19 "daddr[19]") (joined (portRef (member daddr 4)) (portRef (member daddr 4) (instanceRef p0)) )) (net (rename daddr_20 "daddr[20]") (joined (portRef (member daddr 3)) (portRef (member daddr 3) (instanceRef p0)) )) (net (rename daddr_21 "daddr[21]") (joined (portRef (member daddr 2)) (portRef (member daddr 2) (instanceRef p0)) )) (net (rename daddr_22 "daddr[22]") (joined (portRef (member daddr 1)) (portRef (member daddr 1) (instanceRef p0)) )) (net (rename daddr_23 "daddr[23]") (joined (portRef (member daddr 0)) (portRef (member daddr 0) (instanceRef p0)) )) (net (rename rstate_li_0_0 "rstate_li_0[0]") (joined (portRef (member rstate_li_0 0) (instanceRef p0)) (portRef (member rstate_li_0 0)) )) (net (rename un34_hready_i_m_0 "un34_hready_i_m[0]") (joined (portRef (member un34_hready_i_m 0)) (portRef (member un34_hready_i_m 0) (instanceRef p0)) )) (net (rename dbgi_i_2_i_23 "dbgi_i_2_i[23]") (joined (portRef (member dbgi_i_2_i 0) (instanceRef p0)) (portRef (member dbgi_i_2_i 0)) )) (net (rename dbgi_i_2_23 "dbgi_i_2[23]") (joined (portRef (member dbgi_i_2 0) (instanceRef p0)) (portRef (member dbgi_i_2 0)) )) (net (rename eaddress_31 "eaddress[31]") (joined (portRef eaddress_29 (instanceRef p0)) (portRef (member eaddress 0) (instanceRef cmem0)) )) (net (rename ddata_0 "ddata[0]") (joined (portRef (member ddata 31)) (portRef (member ddata 31) (instanceRef p0)) )) (net (rename ddata_1 "ddata[1]") (joined (portRef (member ddata 30)) (portRef (member ddata 30) (instanceRef p0)) )) (net (rename ddata_2 "ddata[2]") (joined (portRef (member ddata 29)) (portRef (member ddata 29) (instanceRef p0)) )) (net (rename ddata_3 "ddata[3]") (joined (portRef (member ddata 28)) (portRef (member ddata 28) (instanceRef p0)) )) (net (rename ddata_4 "ddata[4]") (joined (portRef (member ddata 27)) (portRef (member ddata 27) (instanceRef p0)) )) (net (rename ddata_5 "ddata[5]") (joined (portRef (member ddata 26)) (portRef (member ddata 26) (instanceRef p0)) )) (net (rename ddata_6 "ddata[6]") (joined (portRef (member ddata 25)) (portRef (member ddata 25) (instanceRef p0)) )) (net (rename ddata_7 "ddata[7]") (joined (portRef (member ddata 24)) (portRef (member ddata 24) (instanceRef p0)) )) (net (rename ddata_8 "ddata[8]") (joined (portRef (member ddata 23)) (portRef (member ddata 23) (instanceRef p0)) )) (net (rename ddata_9 "ddata[9]") (joined (portRef (member ddata 22)) (portRef (member ddata 22) (instanceRef p0)) )) (net (rename ddata_10 "ddata[10]") (joined (portRef (member ddata 21)) (portRef (member ddata 21) (instanceRef p0)) )) (net (rename ddata_11 "ddata[11]") (joined (portRef (member ddata 20)) (portRef (member ddata 20) (instanceRef p0)) )) (net (rename ddata_12 "ddata[12]") (joined (portRef (member ddata 19)) (portRef (member ddata 19) (instanceRef p0)) )) (net (rename ddata_13 "ddata[13]") (joined (portRef (member ddata 18)) (portRef (member ddata 18) (instanceRef p0)) )) (net (rename ddata_14 "ddata[14]") (joined (portRef (member ddata 17)) (portRef (member ddata 17) (instanceRef p0)) )) (net (rename ddata_15 "ddata[15]") (joined (portRef (member ddata 16)) (portRef (member ddata 16) (instanceRef p0)) )) (net (rename ddata_16 "ddata[16]") (joined (portRef (member ddata 15)) (portRef (member ddata 15) (instanceRef p0)) )) (net (rename ddata_17 "ddata[17]") (joined (portRef (member ddata 14)) (portRef (member ddata 14) (instanceRef p0)) )) (net (rename ddata_18 "ddata[18]") (joined (portRef (member ddata 13)) (portRef (member ddata 13) (instanceRef p0)) )) (net (rename ddata_19 "ddata[19]") (joined (portRef (member ddata 12)) (portRef (member ddata 12) (instanceRef p0)) )) (net (rename ddata_20 "ddata[20]") (joined (portRef (member ddata 11)) (portRef (member ddata 11) (instanceRef p0)) )) (net (rename ddata_21 "ddata[21]") (joined (portRef (member ddata 10)) (portRef (member ddata 10) (instanceRef p0)) )) (net (rename ddata_22 "ddata[22]") (joined (portRef (member ddata 9)) (portRef (member ddata 9) (instanceRef p0)) )) (net (rename ddata_23 "ddata[23]") (joined (portRef (member ddata 8)) (portRef (member ddata 8) (instanceRef p0)) )) (net (rename ddata_24 "ddata[24]") (joined (portRef (member ddata 7)) (portRef (member ddata 7) (instanceRef p0)) )) (net (rename ddata_25 "ddata[25]") (joined (portRef (member ddata 6)) (portRef (member ddata 6) (instanceRef p0)) )) (net (rename ddata_26 "ddata[26]") (joined (portRef (member ddata 5)) (portRef (member ddata 5) (instanceRef p0)) )) (net (rename ddata_27 "ddata[27]") (joined (portRef (member ddata 4)) (portRef (member ddata 4) (instanceRef p0)) )) (net (rename ddata_28 "ddata[28]") (joined (portRef (member ddata 3)) (portRef (member ddata 3) (instanceRef p0)) )) (net (rename ddata_29 "ddata[29]") (joined (portRef (member ddata 2)) (portRef (member ddata 2) (instanceRef p0)) )) (net (rename ddata_30 "ddata[30]") (joined (portRef (member ddata 1)) (portRef (member ddata 1) (instanceRef p0)) )) (net (rename ddata_31 "ddata[31]") (joined (portRef (member ddata 0)) (portRef (member ddata 0) (instanceRef p0)) )) (net (rename data1_0 "data1[0]") (joined (portRef (member data1 31) (instanceRef rf0)) (portRef (member data1 31) (instanceRef p0)) )) (net (rename data1_1 "data1[1]") (joined (portRef (member data1 30) (instanceRef rf0)) (portRef (member data1 30) (instanceRef p0)) )) (net (rename data1_2 "data1[2]") (joined (portRef (member data1 29) (instanceRef rf0)) (portRef (member data1 29) (instanceRef p0)) )) (net (rename data1_3 "data1[3]") (joined (portRef (member data1 28) (instanceRef rf0)) (portRef (member data1 28) (instanceRef p0)) )) (net (rename data1_4 "data1[4]") (joined (portRef (member data1 27) (instanceRef rf0)) (portRef (member data1 27) (instanceRef p0)) )) (net (rename data1_5 "data1[5]") (joined (portRef (member data1 26) (instanceRef rf0)) (portRef (member data1 26) (instanceRef p0)) )) (net (rename data1_6 "data1[6]") (joined (portRef (member data1 25) (instanceRef rf0)) (portRef (member data1 25) (instanceRef p0)) )) (net (rename data1_7 "data1[7]") (joined (portRef (member data1 24) (instanceRef rf0)) (portRef (member data1 24) (instanceRef p0)) )) (net (rename data1_8 "data1[8]") (joined (portRef (member data1 23) (instanceRef rf0)) (portRef (member data1 23) (instanceRef p0)) )) (net (rename data1_9 "data1[9]") (joined (portRef (member data1 22) (instanceRef rf0)) (portRef (member data1 22) (instanceRef p0)) )) (net (rename data1_10 "data1[10]") (joined (portRef (member data1 21) (instanceRef rf0)) (portRef (member data1 21) (instanceRef p0)) )) (net (rename data1_11 "data1[11]") (joined (portRef (member data1 20) (instanceRef rf0)) (portRef (member data1 20) (instanceRef p0)) )) (net (rename data1_12 "data1[12]") (joined (portRef (member data1 19) (instanceRef rf0)) (portRef (member data1 19) (instanceRef p0)) )) (net (rename data1_13 "data1[13]") (joined (portRef (member data1 18) (instanceRef rf0)) (portRef (member data1 18) (instanceRef p0)) )) (net (rename data1_14 "data1[14]") (joined (portRef (member data1 17) (instanceRef rf0)) (portRef (member data1 17) (instanceRef p0)) )) (net (rename data1_15 "data1[15]") (joined (portRef (member data1 16) (instanceRef rf0)) (portRef (member data1 16) (instanceRef p0)) )) (net (rename data1_16 "data1[16]") (joined (portRef (member data1 15) (instanceRef rf0)) (portRef (member data1 15) (instanceRef p0)) )) (net (rename data1_17 "data1[17]") (joined (portRef (member data1 14) (instanceRef rf0)) (portRef (member data1 14) (instanceRef p0)) )) (net (rename data1_18 "data1[18]") (joined (portRef (member data1 13) (instanceRef rf0)) (portRef (member data1 13) (instanceRef p0)) )) (net (rename data1_19 "data1[19]") (joined (portRef (member data1 12) (instanceRef rf0)) (portRef (member data1 12) (instanceRef p0)) )) (net (rename data1_20 "data1[20]") (joined (portRef (member data1 11) (instanceRef rf0)) (portRef (member data1 11) (instanceRef p0)) )) (net (rename data1_21 "data1[21]") (joined (portRef (member data1 10) (instanceRef rf0)) (portRef (member data1 10) (instanceRef p0)) )) (net (rename data1_22 "data1[22]") (joined (portRef (member data1 9) (instanceRef rf0)) (portRef (member data1 9) (instanceRef p0)) )) (net (rename data1_23 "data1[23]") (joined (portRef (member data1 8) (instanceRef rf0)) (portRef (member data1 8) (instanceRef p0)) )) (net (rename data1_24 "data1[24]") (joined (portRef (member data1 7) (instanceRef rf0)) (portRef (member data1 7) (instanceRef p0)) )) (net (rename data1_25 "data1[25]") (joined (portRef (member data1 6) (instanceRef rf0)) (portRef (member data1 6) (instanceRef p0)) )) (net (rename data1_26 "data1[26]") (joined (portRef (member data1 5) (instanceRef rf0)) (portRef (member data1 5) (instanceRef p0)) )) (net (rename data1_27 "data1[27]") (joined (portRef (member data1 4) (instanceRef rf0)) (portRef (member data1 4) (instanceRef p0)) )) (net (rename data1_28 "data1[28]") (joined (portRef (member data1 3) (instanceRef rf0)) (portRef (member data1 3) (instanceRef p0)) )) (net (rename data1_29 "data1[29]") (joined (portRef (member data1 2) (instanceRef rf0)) (portRef (member data1 2) (instanceRef p0)) )) (net (rename data1_30 "data1[30]") (joined (portRef (member data1 1) (instanceRef rf0)) (portRef (member data1 1) (instanceRef p0)) )) (net (rename data1_31 "data1[31]") (joined (portRef (member data1 0) (instanceRef rf0)) (portRef (member data1 0) (instanceRef p0)) )) (net (rename data_0_iv_3_2 "data_0_iv_3[15]") (joined (portRef data_0_iv_3_2 (instanceRef p0)) (portRef data_0_iv_3_2) )) (net (rename data_0_iv_3_0 "data_0_iv_3[13]") (joined (portRef data_0_iv_3_0 (instanceRef p0)) (portRef data_0_iv_3_0) )) (net (rename data_0_iv_3_6 "data_0_iv_3[19]") (joined (portRef data_0_iv_3_6 (instanceRef p0)) (portRef data_0_iv_3_6) )) (net (rename data_0_iv_3_17 "data_0_iv_3[30]") (joined (portRef data_0_iv_3_17 (instanceRef p0)) (portRef data_0_iv_3_17) )) (net (rename data_0_iv_3_4 "data_0_iv_3[17]") (joined (portRef data_0_iv_3_4 (instanceRef p0)) (portRef data_0_iv_3_4) )) (net (rename data_0_iv_3_11 "data_0_iv_3[24]") (joined (portRef data_0_iv_3_11 (instanceRef p0)) (portRef data_0_iv_3_11) )) (net (rename data_0_iv_3_15 "data_0_iv_3[28]") (joined (portRef data_0_iv_3_15 (instanceRef p0)) (portRef data_0_iv_3_15) )) (net (rename data_0_iv_3_18 "data_0_iv_3[31]") (joined (portRef data_0_iv_3_18 (instanceRef p0)) (portRef data_0_iv_3_18) )) (net (rename data_0_iv_2_2 "data_0_iv_2[15]") (joined (portRef data_0_iv_2_2 (instanceRef p0)) (portRef data_0_iv_2_2) )) (net (rename data_0_iv_2_0 "data_0_iv_2[13]") (joined (portRef data_0_iv_2_0 (instanceRef p0)) (portRef data_0_iv_2_0) )) (net (rename data_0_iv_2_6 "data_0_iv_2[19]") (joined (portRef data_0_iv_2_6 (instanceRef p0)) (portRef data_0_iv_2_6) )) (net (rename data_0_iv_2_4 "data_0_iv_2[17]") (joined (portRef data_0_iv_2_4 (instanceRef p0)) (portRef data_0_iv_2_4) )) (net (rename data_0_iv_4_6 "data_0_iv_4[30]") (joined (portRef data_0_iv_4_6 (instanceRef p0)) (portRef data_0_iv_4_6) )) (net (rename data_0_iv_4_0 "data_0_iv_4[24]") (joined (portRef data_0_iv_4_0 (instanceRef p0)) (portRef data_0_iv_4_0) )) (net (rename data_0_iv_4_4 "data_0_iv_4[28]") (joined (portRef data_0_iv_4_4 (instanceRef p0)) (portRef data_0_iv_4_4) )) (net (rename data_0_iv_4_7 "data_0_iv_4[31]") (joined (portRef data_0_iv_4_7 (instanceRef p0)) (portRef data_0_iv_4_7) )) (net (rename irl_0_p "irl[0]") (joined (portRef (member irl 3)) (portRef (member irl_0 3) (instanceRef p0)) )) (net (rename irl_1 "irl[1]") (joined (portRef (member irl_0 2) (instanceRef p0)) (portRef (member irl 2)) )) (net (rename irl_2 "irl[2]") (joined (portRef (member irl 1)) (portRef (member irl_0 1) (instanceRef p0)) )) (net (rename irl_3 "irl[3]") (joined (portRef (member irl 0)) (portRef (member irl_0 0) (instanceRef p0)) )) (net (rename irl_0_0 "irl_0[0]") (joined (portRef (member irl 3) (instanceRef p0)) (portRef (member irl_0 3)) )) (net (rename irl_0_1 "irl_0[1]") (joined (portRef (member irl_0 2)) (portRef (member irl 2) (instanceRef p0)) )) (net (rename irl_0_2 "irl_0[2]") (joined (portRef (member irl 1) (instanceRef p0)) (portRef (member irl_0 1)) )) (net (rename irl_0_3 "irl_0[3]") (joined (portRef (member irl 0) (instanceRef p0)) (portRef (member irl_0 0)) )) (net (rename data_3 "data[8]") (joined (portRef data_3 (instanceRef p0)) (portRef data_3) )) (net (rename data_13 "data[18]") (joined (portRef data_13 (instanceRef p0)) (portRef data_13) )) (net (rename data_17 "data[22]") (joined (portRef data_17 (instanceRef p0)) (portRef data_17) )) (net (rename data_21 "data[26]") (joined (portRef data_21 (instanceRef p0)) (portRef data_21) )) (net (rename data_15 "data[20]") (joined (portRef data_15 (instanceRef p0)) (portRef data_15) )) (net (rename data_18 "data[23]") (joined (portRef data_18 (instanceRef p0)) (portRef data_18) )) (net (rename data_22 "data[27]") (joined (portRef data_22 (instanceRef p0)) (portRef data_22) )) (net (rename data_7 "data[12]") (joined (portRef data_7 (instanceRef p0)) (portRef data_7) )) (net (rename data_20 "data[25]") (joined (portRef data_20 (instanceRef p0)) (portRef data_20) )) (net (rename data_0 "data[5]") (joined (portRef data_0 (instanceRef p0)) (portRef data_0) )) (net (rename data_4 "data[9]") (joined (portRef data_4 (instanceRef p0)) (portRef data_4) )) (net (rename data_16 "data[21]") (joined (portRef data_16 (instanceRef p0)) (portRef data_16) )) (net (rename data_9 "data[14]") (joined (portRef data_9 (instanceRef p0)) (portRef data_9) )) (net (rename data_2 "data[7]") (joined (portRef data_2 (instanceRef p0)) (portRef data_2) )) (net (rename data_5 "data[10]") (joined (portRef data_5 (instanceRef p0)) (portRef data_5) )) (net (rename data_24 "data[29]") (joined (portRef data_24 (instanceRef p0)) (portRef data_24) )) (net (rename data_1 "data[6]") (joined (portRef data_1 (instanceRef p0)) (portRef data_1) )) (net (rename wdata_0 "wdata[0]") (joined (portRef (member wdata 31) (instanceRef p0)) (portRef (member wdata 31) (instanceRef rf0)) )) (net (rename wdata_1 "wdata[1]") (joined (portRef (member wdata 30) (instanceRef p0)) (portRef (member wdata 30) (instanceRef rf0)) )) (net (rename wdata_2 "wdata[2]") (joined (portRef (member wdata 29) (instanceRef p0)) (portRef (member wdata 29) (instanceRef rf0)) )) (net (rename wdata_3 "wdata[3]") (joined (portRef (member wdata 28) (instanceRef p0)) (portRef (member wdata 28) (instanceRef rf0)) )) (net (rename wdata_4 "wdata[4]") (joined (portRef (member wdata 27) (instanceRef p0)) (portRef (member wdata 27) (instanceRef rf0)) )) (net (rename wdata_5 "wdata[5]") (joined (portRef (member wdata 26) (instanceRef p0)) (portRef (member wdata 26) (instanceRef rf0)) )) (net (rename wdata_6 "wdata[6]") (joined (portRef (member wdata 25) (instanceRef p0)) (portRef (member wdata 25) (instanceRef rf0)) )) (net (rename wdata_7 "wdata[7]") (joined (portRef (member wdata 24) (instanceRef p0)) (portRef (member wdata 24) (instanceRef rf0)) )) (net (rename wdata_8 "wdata[8]") (joined (portRef (member wdata 23) (instanceRef p0)) (portRef (member wdata 23) (instanceRef rf0)) )) (net (rename wdata_9 "wdata[9]") (joined (portRef (member wdata 22) (instanceRef p0)) (portRef (member wdata 22) (instanceRef rf0)) )) (net (rename wdata_10 "wdata[10]") (joined (portRef (member wdata 21) (instanceRef p0)) (portRef (member wdata 21) (instanceRef rf0)) )) (net (rename wdata_11 "wdata[11]") (joined (portRef (member wdata 20) (instanceRef p0)) (portRef (member wdata 20) (instanceRef rf0)) )) (net (rename wdata_12 "wdata[12]") (joined (portRef (member wdata 19) (instanceRef p0)) (portRef (member wdata 19) (instanceRef rf0)) )) (net (rename wdata_13 "wdata[13]") (joined (portRef (member wdata 18) (instanceRef p0)) (portRef (member wdata 18) (instanceRef rf0)) )) (net (rename wdata_14 "wdata[14]") (joined (portRef (member wdata 17) (instanceRef p0)) (portRef (member wdata 17) (instanceRef rf0)) )) (net (rename wdata_15 "wdata[15]") (joined (portRef (member wdata 16) (instanceRef p0)) (portRef (member wdata 16) (instanceRef rf0)) )) (net (rename wdata_16 "wdata[16]") (joined (portRef (member wdata 15) (instanceRef p0)) (portRef (member wdata 15) (instanceRef rf0)) )) (net (rename wdata_17 "wdata[17]") (joined (portRef (member wdata 14) (instanceRef p0)) (portRef (member wdata 14) (instanceRef rf0)) )) (net (rename wdata_18 "wdata[18]") (joined (portRef (member wdata 13) (instanceRef p0)) (portRef (member wdata 13) (instanceRef rf0)) )) (net (rename wdata_19 "wdata[19]") (joined (portRef (member wdata 12) (instanceRef p0)) (portRef (member wdata 12) (instanceRef rf0)) )) (net (rename wdata_20 "wdata[20]") (joined (portRef (member wdata 11) (instanceRef p0)) (portRef (member wdata 11) (instanceRef rf0)) )) (net (rename wdata_21 "wdata[21]") (joined (portRef (member wdata 10) (instanceRef p0)) (portRef (member wdata 10) (instanceRef rf0)) )) (net (rename wdata_22 "wdata[22]") (joined (portRef (member wdata 9) (instanceRef p0)) (portRef (member wdata 9) (instanceRef rf0)) )) (net (rename wdata_23 "wdata[23]") (joined (portRef (member wdata 8) (instanceRef p0)) (portRef (member wdata 8) (instanceRef rf0)) )) (net (rename wdata_24 "wdata[24]") (joined (portRef (member wdata 7) (instanceRef p0)) (portRef (member wdata 7) (instanceRef rf0)) )) (net (rename wdata_25 "wdata[25]") (joined (portRef (member wdata 6) (instanceRef p0)) (portRef (member wdata 6) (instanceRef rf0)) )) (net (rename wdata_26 "wdata[26]") (joined (portRef (member wdata 5) (instanceRef p0)) (portRef (member wdata 5) (instanceRef rf0)) )) (net (rename wdata_27 "wdata[27]") (joined (portRef (member wdata 4) (instanceRef p0)) (portRef (member wdata 4) (instanceRef rf0)) )) (net (rename wdata_28 "wdata[28]") (joined (portRef (member wdata 3) (instanceRef p0)) (portRef (member wdata 3) (instanceRef rf0)) )) (net (rename wdata_29 "wdata[29]") (joined (portRef (member wdata 2) (instanceRef p0)) (portRef (member wdata 2) (instanceRef rf0)) )) (net (rename wdata_30 "wdata[30]") (joined (portRef (member wdata 1) (instanceRef p0)) (portRef (member wdata 1) (instanceRef rf0)) )) (net (rename wdata_31 "wdata[31]") (joined (portRef (member wdata 0) (instanceRef p0)) (portRef (member wdata 0) (instanceRef rf0)) )) (net (rename data_i_3 "data_i[3]") (joined (portRef data_i_3 (instanceRef p0)) (portRef data_i_3) )) (net (rename data_i_2 "data_i[2]") (joined (portRef data_i_2 (instanceRef p0)) (portRef data_i_2) )) (net (rename data_i_16 "data_i[16]") (joined (portRef data_i_16 (instanceRef p0)) (portRef data_i_16) )) (net (rename data_i_4 "data_i[4]") (joined (portRef data_i_4 (instanceRef p0)) (portRef data_i_4) )) (net (rename data_i_0 "data_i[0]") (joined (portRef data_i_0 (instanceRef p0)) (portRef data_i_0) )) (net (rename data_i_11 "data_i[11]") (joined (portRef data_i_11 (instanceRef p0)) (portRef data_i_11) )) (net (rename data_i_1 "data_i[1]") (joined (portRef data_i_1 (instanceRef p0)) (portRef data_i_1) )) (net (rename edata2_iv_31 "edata2_iv[31]") (joined (portRef edata2_iv_7 (instanceRef p0)) (portRef edata2_iv_3 (instanceRef cmem0)) )) (net (rename edata2_iv_29 "edata2_iv[29]") (joined (portRef edata2_iv_5 (instanceRef p0)) (portRef edata2_iv_1 (instanceRef cmem0)) )) (net (rename edata2_iv_28 "edata2_iv[28]") (joined (portRef edata2_iv_4 (instanceRef p0)) (portRef edata2_iv_0 (instanceRef cmem0)) )) (net (rename waddr_1 "waddr[1]") (joined (portRef (member waddr 5) (instanceRef p0)) (portRef waddr_1 (instanceRef rf0)) )) (net (rename waddr_2 "waddr[2]") (joined (portRef (member waddr 4) (instanceRef p0)) (portRef waddr_2 (instanceRef rf0)) )) (net (rename waddr_3 "waddr[3]") (joined (portRef (member waddr 3) (instanceRef p0)) (portRef waddr_3 (instanceRef rf0)) )) (net (rename waddr_4 "waddr[4]") (joined (portRef (member waddr 2) (instanceRef p0)) (portRef waddr_4 (instanceRef rf0)) )) (net (rename waddr_5 "waddr[5]") (joined (portRef (member waddr 1) (instanceRef p0)) (portRef waddr_5 (instanceRef rf0)) )) (net (rename waddr_6 "waddr[6]") (joined (portRef (member waddr 0) (instanceRef p0)) (portRef waddr_6 (instanceRef rf0)) )) (net (rename wa_0_iv_0 "wa_0_iv[0]") (joined (portRef (member wa_0_iv 0) (instanceRef p0)) (portRef (member wa_0_iv 0) (instanceRef rf0)) )) (net (rename data2_0 "data2[0]") (joined (portRef (member data2 31) (instanceRef rf0)) (portRef (member data2 31) (instanceRef p0)) )) (net (rename data2_1 "data2[1]") (joined (portRef (member data2 30) (instanceRef rf0)) (portRef (member data2 30) (instanceRef p0)) )) (net (rename data2_2 "data2[2]") (joined (portRef (member data2 29) (instanceRef rf0)) (portRef (member data2 29) (instanceRef p0)) )) (net (rename data2_3 "data2[3]") (joined (portRef (member data2 28) (instanceRef rf0)) (portRef (member data2 28) (instanceRef p0)) )) (net (rename data2_4 "data2[4]") (joined (portRef (member data2 27) (instanceRef rf0)) (portRef (member data2 27) (instanceRef p0)) )) (net (rename data2_5 "data2[5]") (joined (portRef (member data2 26) (instanceRef rf0)) (portRef (member data2 26) (instanceRef p0)) )) (net (rename data2_6 "data2[6]") (joined (portRef (member data2 25) (instanceRef rf0)) (portRef (member data2 25) (instanceRef p0)) )) (net (rename data2_7 "data2[7]") (joined (portRef (member data2 24) (instanceRef rf0)) (portRef (member data2 24) (instanceRef p0)) )) (net (rename data2_8 "data2[8]") (joined (portRef (member data2 23) (instanceRef rf0)) (portRef (member data2 23) (instanceRef p0)) )) (net (rename data2_9 "data2[9]") (joined (portRef (member data2 22) (instanceRef rf0)) (portRef (member data2 22) (instanceRef p0)) )) (net (rename data2_10 "data2[10]") (joined (portRef (member data2 21) (instanceRef rf0)) (portRef (member data2 21) (instanceRef p0)) )) (net (rename data2_11 "data2[11]") (joined (portRef (member data2 20) (instanceRef rf0)) (portRef (member data2 20) (instanceRef p0)) )) (net (rename data2_12 "data2[12]") (joined (portRef (member data2 19) (instanceRef rf0)) (portRef (member data2 19) (instanceRef p0)) )) (net (rename data2_13 "data2[13]") (joined (portRef (member data2 18) (instanceRef rf0)) (portRef (member data2 18) (instanceRef p0)) )) (net (rename data2_14 "data2[14]") (joined (portRef (member data2 17) (instanceRef rf0)) (portRef (member data2 17) (instanceRef p0)) )) (net (rename data2_15 "data2[15]") (joined (portRef (member data2 16) (instanceRef rf0)) (portRef (member data2 16) (instanceRef p0)) )) (net (rename data2_16 "data2[16]") (joined (portRef (member data2 15) (instanceRef rf0)) (portRef (member data2 15) (instanceRef p0)) )) (net (rename data2_17 "data2[17]") (joined (portRef (member data2 14) (instanceRef rf0)) (portRef (member data2 14) (instanceRef p0)) )) (net (rename data2_18 "data2[18]") (joined (portRef (member data2 13) (instanceRef rf0)) (portRef (member data2 13) (instanceRef p0)) )) (net (rename data2_19 "data2[19]") (joined (portRef (member data2 12) (instanceRef rf0)) (portRef (member data2 12) (instanceRef p0)) )) (net (rename data2_20 "data2[20]") (joined (portRef (member data2 11) (instanceRef rf0)) (portRef (member data2 11) (instanceRef p0)) )) (net (rename data2_21 "data2[21]") (joined (portRef (member data2 10) (instanceRef rf0)) (portRef (member data2 10) (instanceRef p0)) )) (net (rename data2_22 "data2[22]") (joined (portRef (member data2 9) (instanceRef rf0)) (portRef (member data2 9) (instanceRef p0)) )) (net (rename data2_23 "data2[23]") (joined (portRef (member data2 8) (instanceRef rf0)) (portRef (member data2 8) (instanceRef p0)) )) (net (rename data2_24 "data2[24]") (joined (portRef (member data2 7) (instanceRef rf0)) (portRef (member data2 7) (instanceRef p0)) )) (net (rename data2_25 "data2[25]") (joined (portRef (member data2 6) (instanceRef rf0)) (portRef (member data2 6) (instanceRef p0)) )) (net (rename data2_26 "data2[26]") (joined (portRef (member data2 5) (instanceRef rf0)) (portRef (member data2 5) (instanceRef p0)) )) (net (rename data2_27 "data2[27]") (joined (portRef (member data2 4) (instanceRef rf0)) (portRef (member data2 4) (instanceRef p0)) )) (net (rename data2_28 "data2[28]") (joined (portRef (member data2 3) (instanceRef rf0)) (portRef (member data2 3) (instanceRef p0)) )) (net (rename data2_29 "data2[29]") (joined (portRef (member data2 2) (instanceRef rf0)) (portRef (member data2 2) (instanceRef p0)) )) (net (rename data2_30 "data2[30]") (joined (portRef (member data2 1) (instanceRef rf0)) (portRef (member data2 1) (instanceRef p0)) )) (net (rename data2_31 "data2[31]") (joined (portRef (member data2 0) (instanceRef rf0)) (portRef (member data2 0) (instanceRef p0)) )) (net (rename raddr1_0 "raddr1[0]") (joined (portRef (member raddr1 6) (instanceRef p0)) (portRef (member raddr1 6) (instanceRef rf0)) )) (net (rename raddr1_1 "raddr1[1]") (joined (portRef (member raddr1 5) (instanceRef p0)) (portRef (member raddr1 5) (instanceRef rf0)) )) (net (rename raddr1_2 "raddr1[2]") (joined (portRef (member raddr1 4) (instanceRef p0)) (portRef (member raddr1 4) (instanceRef rf0)) )) (net (rename raddr1_3 "raddr1[3]") (joined (portRef (member raddr1 3) (instanceRef p0)) (portRef (member raddr1 3) (instanceRef rf0)) )) (net (rename raddr1_4 "raddr1[4]") (joined (portRef (member raddr1 2) (instanceRef p0)) (portRef (member raddr1 2) (instanceRef rf0)) )) (net (rename raddr1_5 "raddr1[5]") (joined (portRef (member raddr1 1) (instanceRef p0)) (portRef (member raddr1 1) (instanceRef rf0)) )) (net (rename raddr1_6 "raddr1[6]") (joined (portRef (member raddr1 0) (instanceRef p0)) (portRef (member raddr1 0) (instanceRef rf0)) )) (net (rename raddr2_0 "raddr2[0]") (joined (portRef (member raddr2 6) (instanceRef p0)) (portRef (member raddr2 6) (instanceRef rf0)) )) (net (rename raddr2_1 "raddr2[1]") (joined (portRef (member raddr2 5) (instanceRef p0)) (portRef (member raddr2 5) (instanceRef rf0)) )) (net (rename raddr2_2 "raddr2[2]") (joined (portRef (member raddr2 4) (instanceRef p0)) (portRef (member raddr2 4) (instanceRef rf0)) )) (net (rename raddr2_3 "raddr2[3]") (joined (portRef (member raddr2 3) (instanceRef p0)) (portRef (member raddr2 3) (instanceRef rf0)) )) (net (rename raddr2_4 "raddr2[4]") (joined (portRef (member raddr2 2) (instanceRef p0)) (portRef (member raddr2 2) (instanceRef rf0)) )) (net (rename raddr2_5 "raddr2[5]") (joined (portRef (member raddr2 1) (instanceRef p0)) (portRef (member raddr2 1) (instanceRef rf0)) )) (net (rename raddr2_6 "raddr2[6]") (joined (portRef (member raddr2 0) (instanceRef p0)) (portRef (member raddr2 0) (instanceRef rf0)) )) (net (rename rfa2_0 "rfa2[0]") (joined (portRef (member rfa2 6) (instanceRef p0)) (portRef (member rfa2 6) (instanceRef rf0)) )) (net (rename rfa2_1 "rfa2[1]") (joined (portRef (member rfa2 5) (instanceRef p0)) (portRef (member rfa2 5) (instanceRef rf0)) )) (net (rename rfa2_2 "rfa2[2]") (joined (portRef (member rfa2 4) (instanceRef p0)) (portRef (member rfa2 4) (instanceRef rf0)) )) (net (rename rfa2_3 "rfa2[3]") (joined (portRef (member rfa2 3) (instanceRef p0)) (portRef (member rfa2 3) (instanceRef rf0)) )) (net (rename rfa2_4 "rfa2[4]") (joined (portRef (member rfa2 2) (instanceRef p0)) (portRef (member rfa2 2) (instanceRef rf0)) )) (net (rename rfa2_5 "rfa2[5]") (joined (portRef (member rfa2 1) (instanceRef p0)) (portRef (member rfa2 1) (instanceRef rf0)) )) (net (rename rfa2_6 "rfa2[6]") (joined (portRef (member rfa2 0) (instanceRef p0)) (portRef (member rfa2 0) (instanceRef rf0)) )) (net u0_DOUTA2_11 (joined (portRef u0_DOUTA2_11 (instanceRef cmem0)) (portRef u0_DOUTA2_11 (instanceRef p0)) )) (net u0_DOUTA2_10 (joined (portRef u0_DOUTA2_10 (instanceRef cmem0)) (portRef u0_DOUTA2_10 (instanceRef p0)) )) (net u0_RD10_0 (joined (portRef u0_RD10_0 (instanceRef cmem0)) (portRef u0_RD10_0 (instanceRef p0)) )) (net N_892 (joined (portRef N_892 (instanceRef p0)) (portRef N_892 (instanceRef cmem0)) )) (net N_894 (joined (portRef N_894 (instanceRef p0)) (portRef N_894 (instanceRef cmem0)) )) (net u0_DOUTA0_11 (joined (portRef u0_DOUTA0_11 (instanceRef cmem0)) (portRef u0_DOUTA0_11 (instanceRef p0)) )) (net u0_DOUTA3_10 (joined (portRef u0_DOUTA3_10 (instanceRef cmem0)) (portRef u0_DOUTA3_10 (instanceRef p0)) )) (net u0_DOUTA1_8 (joined (portRef u0_DOUTA1_8 (instanceRef cmem0)) (portRef u0_DOUTA1_8 (instanceRef p0)) )) (net cfgsel_RNILM2N1 (joined (portRef cfgsel_RNILM2N1) (portRef cfgsel_RNILM2N1 (instanceRef p0)) )) (net cfgsel_RNIFO4S1 (joined (portRef cfgsel_RNIFO4S1) (portRef cfgsel_RNIFO4S1 (instanceRef p0)) )) (net u0_RD11_0 (joined (portRef u0_RD11_0 (instanceRef cmem0)) (portRef u0_RD11_0 (instanceRef p0)) )) (net u0_DOUTA0_14 (joined (portRef u0_DOUTA0_14 (instanceRef cmem0)) (portRef u0_DOUTA0_14 (instanceRef p0)) )) (net u0_DOUTA3_14 (joined (portRef u0_DOUTA3_14 (instanceRef cmem0)) (portRef u0_DOUTA3_14 (instanceRef p0)) )) (net u0_DOUTA1_14 (joined (portRef u0_DOUTA1_14 (instanceRef cmem0)) (portRef u0_DOUTA1_14 (instanceRef p0)) )) (net u0_DOUTA3_11 (joined (portRef u0_DOUTA3_11 (instanceRef cmem0)) (portRef u0_DOUTA3_11 (instanceRef p0)) )) (net u0_DOUTA0_13 (joined (portRef u0_DOUTA0_13 (instanceRef cmem0)) (portRef u0_DOUTA0_13 (instanceRef p0)) )) (net u0_DOUTA1_13 (joined (portRef u0_DOUTA1_13 (instanceRef cmem0)) (portRef u0_DOUTA1_13 (instanceRef p0)) )) (net u0_DOUTA2_13 (joined (portRef u0_DOUTA2_13 (instanceRef cmem0)) (portRef u0_DOUTA2_13 (instanceRef p0)) )) (net u0_DOUTA3_13 (joined (portRef u0_DOUTA3_13 (instanceRef cmem0)) (portRef u0_DOUTA3_13 (instanceRef p0)) )) (net u0_DOUTA1_12 (joined (portRef u0_DOUTA1_12 (instanceRef cmem0)) (portRef u0_DOUTA1_12 (instanceRef p0)) )) (net u0_DOUTA3_12 (joined (portRef u0_DOUTA3_12 (instanceRef cmem0)) (portRef u0_DOUTA3_12 (instanceRef p0)) )) (net u0_DOUTA0_10 (joined (portRef u0_DOUTA0_10 (instanceRef cmem0)) (portRef u0_DOUTA0_10 (instanceRef p0)) )) (net u0_DOUTA0_7 (joined (portRef u0_DOUTA0_7 (instanceRef cmem0)) (portRef u0_DOUTA0_7 (instanceRef p0)) )) (net u0_DOUTA3_7 (joined (portRef u0_DOUTA3_7 (instanceRef cmem0)) (portRef u0_DOUTA3_7 (instanceRef p0)) )) (net u0_RD2_1 (joined (portRef u0_RD2_1 (instanceRef cmem0)) (portRef u0_RD2_1 (instanceRef p0)) )) (net N_898 (joined (portRef N_898 (instanceRef p0)) (portRef N_898 (instanceRef cmem0)) )) (net u0_DOUTA0_9 (joined (portRef u0_DOUTA0_9 (instanceRef cmem0)) (portRef u0_DOUTA0_9 (instanceRef p0)) )) (net u0_RD8_0 (joined (portRef u0_RD8_0 (instanceRef cmem0)) (portRef u0_RD8_0 (instanceRef p0)) )) (net u0_RD12_0 (joined (portRef u0_RD12_0 (instanceRef cmem0)) (portRef u0_RD12_0 (instanceRef p0)) )) (net N_891 (joined (portRef N_891 (instanceRef p0)) (portRef N_891 (instanceRef cmem0)) )) (net N_893 (joined (portRef N_893 (instanceRef p0)) (portRef N_893 (instanceRef cmem0)) )) (net N_895 (joined (portRef N_895 (instanceRef p0)) (portRef N_895 (instanceRef cmem0)) )) (net N_896 (joined (portRef N_896 (instanceRef p0)) (portRef N_896 (instanceRef cmem0)) )) (net u0_DOUTA2_9 (joined (portRef u0_DOUTA2_9 (instanceRef cmem0)) (portRef u0_DOUTA2_9 (instanceRef p0)) )) (net u0_DOUTA0_8 (joined (portRef u0_DOUTA0_8 (instanceRef cmem0)) (portRef u0_DOUTA0_8 (instanceRef p0)) )) (net u0_DOUTA2_7 (joined (portRef u0_DOUTA2_7 (instanceRef cmem0)) (portRef u0_DOUTA2_7 (instanceRef p0)) )) (net u0_RD14_0 (joined (portRef u0_RD14_0 (instanceRef cmem0)) (portRef u0_RD14_0 (instanceRef p0)) )) (net u0_RD16_0 (joined (portRef u0_RD16_0 (instanceRef cmem0)) (portRef u0_RD16_0 (instanceRef p0)) )) (net u0_RD4_1 (joined (portRef u0_RD4_1 (instanceRef cmem0)) (portRef u0_RD4_1 (instanceRef p0)) )) (net N_897 (joined (portRef N_897 (instanceRef p0)) (portRef N_897 (instanceRef cmem0)) )) (net u0_DOUTA1_7 (joined (portRef u0_DOUTA1_7 (instanceRef cmem0)) (portRef u0_DOUTA1_7 (instanceRef p0)) )) (net flush2_RNI5NKK2 (joined (portRef flush2_RNI5NKK2 (instanceRef p0)) (portRef flush2_RNI5NKK2 (instanceRef cmem0)) )) (net flush2_RNI3JKK2 (joined (portRef flush2_RNI3JKK2 (instanceRef p0)) (portRef flush2_RNI3JKK2 (instanceRef cmem0)) )) (net flush2_RNIVAKK2 (joined (portRef flush2_RNIVAKK2 (instanceRef p0)) (portRef flush2_RNIVAKK2 (instanceRef cmem0)) )) (net u0_RD3_2 (joined (portRef u0_RD3_2 (instanceRef cmem0)) (portRef u0_RD3_2 (instanceRef p0)) )) (net u0_RD1_2 (joined (portRef u0_RD1_2 (instanceRef cmem0)) (portRef u0_RD1_2 (instanceRef p0)) )) (net u0_RD0_2 (joined (portRef u0_RD0_2 (instanceRef cmem0)) (portRef u0_RD0_2 (instanceRef p0)) )) (net flush2_RNI1FKK2 (joined (portRef flush2_RNI1FKK2 (instanceRef p0)) (portRef flush2_RNI1FKK2 (instanceRef cmem0)) )) (net u0_DOUTA3_9 (joined (portRef u0_DOUTA3_9 (instanceRef cmem0)) (portRef u0_DOUTA3_9 (instanceRef p0)) )) (net u0_DOUTA2_14 (joined (portRef u0_DOUTA2_14 (instanceRef cmem0)) (portRef u0_DOUTA2_14 (instanceRef p0)) )) (net u0_RD2_2 (joined (portRef u0_RD2_2 (instanceRef cmem0)) (portRef u0_RD2_2 (instanceRef p0)) )) (net u0_DOUTA3_8 (joined (portRef u0_DOUTA3_8 (instanceRef cmem0)) (portRef u0_DOUTA3_8 (instanceRef p0)) )) (net u0_RD1_1 (joined (portRef u0_RD1_1 (instanceRef cmem0)) (portRef u0_RD1_1 (instanceRef p0)) )) (net u0_DOUTA1_10 (joined (portRef u0_DOUTA1_10 (instanceRef cmem0)) (portRef u0_DOUTA1_10 (instanceRef p0)) )) (net u0_DOUTA2_8 (joined (portRef u0_DOUTA2_8 (instanceRef cmem0)) (portRef u0_DOUTA2_8 (instanceRef p0)) )) (net u0_RD0_1 (joined (portRef u0_RD0_1 (instanceRef cmem0)) (portRef u0_RD0_1 (instanceRef p0)) )) (net u0_DOUTA2_12 (joined (portRef u0_DOUTA2_12 (instanceRef cmem0)) (portRef u0_DOUTA2_12 (instanceRef p0)) )) (net u0_DOUTA1_11 (joined (portRef u0_DOUTA1_11 (instanceRef cmem0)) (portRef u0_DOUTA1_11 (instanceRef p0)) )) (net u0_RD5_1 (joined (portRef u0_RD5_1 (instanceRef cmem0)) (portRef u0_RD5_1 (instanceRef p0)) )) (net u0_DOUTA0_12 (joined (portRef u0_DOUTA0_12 (instanceRef cmem0)) (portRef u0_DOUTA0_12 (instanceRef p0)) )) (net flush2_RNICRKB7 (joined (portRef flush2_RNICRKB7 (instanceRef p0)) (portRef flush2_RNICRKB7 (instanceRef cmem0)) )) (net u0_DOUTA1_9 (joined (portRef u0_DOUTA1_9 (instanceRef cmem0)) (portRef u0_DOUTA1_9 (instanceRef p0)) )) (net u0_RD7_0 (joined (portRef u0_RD7_0 (instanceRef cmem0)) (portRef u0_RD7_0 (instanceRef p0)) )) (net u0_RD6_0 (joined (portRef u0_RD6_0 (instanceRef cmem0)) (portRef u0_RD6_0 (instanceRef p0)) )) (net u0_RD4_2 (joined (portRef u0_RD4_2 (instanceRef cmem0)) (portRef u0_RD4_2 (instanceRef p0)) )) (net u0_RD9_0 (joined (portRef u0_RD9_0 (instanceRef cmem0)) (portRef u0_RD9_0 (instanceRef p0)) )) (net u0_RD13_0 (joined (portRef u0_RD13_0 (instanceRef cmem0)) (portRef u0_RD13_0 (instanceRef p0)) )) (net u0_RD15_0 (joined (portRef u0_RD15_0 (instanceRef cmem0)) (portRef u0_RD15_0 (instanceRef p0)) )) (net u0_RD17_0 (joined (portRef u0_RD17_0 (instanceRef cmem0)) (portRef u0_RD17_0 (instanceRef p0)) )) (net u0_RD3_1 (joined (portRef u0_RD3_1 (instanceRef cmem0)) (portRef u0_RD3_1 (instanceRef p0)) )) (net u0_RD5_2 (joined (portRef u0_RD5_2 (instanceRef cmem0)) (portRef u0_RD5_2 (instanceRef p0)) )) (net faddr_1_sqmuxa_m2_0 (joined (portRef faddr_1_sqmuxa_m2_0 (instanceRef p0)) (portRef faddr_1_sqmuxa_m2_0 (instanceRef cmem0)) )) (net un1_ici (joined (portRef un1_ici (instanceRef p0)) (portRef un1_ici (instanceRef cmem0)) )) (net flush_RNICD8ME (joined (portRef flush_RNICD8ME (instanceRef p0)) (portRef flush_RNICD8ME (instanceRef cmem0)) )) (net flush_RNIRUPHB22 (joined (portRef flush_RNIRUPHB22 (instanceRef p0)) (portRef flush_RNIRUPHB22 (instanceRef cmem0)) )) (net flush_RNID7RHB22 (joined (portRef flush_RNID7RHB22 (instanceRef p0)) (portRef flush_RNID7RHB22 (instanceRef cmem0)) )) (net flush_RNIMRRHB22 (joined (portRef flush_RNIMRRHB22 (instanceRef p0)) (portRef flush_RNIMRRHB22 (instanceRef cmem0)) )) (net u0_RD3_0 (joined (portRef u0_RD3_0 (instanceRef cmem0)) (portRef u0_RD3_0 (instanceRef p0)) )) (net u0_DOUTA1_6 (joined (portRef u0_DOUTA1_6 (instanceRef cmem0)) (portRef u0_DOUTA1_6 (instanceRef p0)) )) (net u0_RD7 (joined (portRef u0_RD7 (instanceRef cmem0)) (portRef u0_RD7 (instanceRef p0)) )) (net u0_DOUTA2 (joined (portRef u0_DOUTA2 (instanceRef cmem0)) (portRef u0_DOUTA2 (instanceRef p0)) )) (net u0_RD4 (joined (portRef u0_RD4 (instanceRef cmem0)) (portRef u0_RD4 (instanceRef p0)) )) (net u0_RD5_0 (joined (portRef u0_RD5_0 (instanceRef cmem0)) (portRef u0_RD5_0 (instanceRef p0)) )) (net u0_RD15 (joined (portRef u0_RD15 (instanceRef cmem0)) (portRef u0_RD15 (instanceRef p0)) )) (net u0_RD16 (joined (portRef u0_RD16 (instanceRef cmem0)) (portRef u0_RD16 (instanceRef p0)) )) (net u0_DOUTA2_3 (joined (portRef u0_DOUTA2_3 (instanceRef cmem0)) (portRef u0_DOUTA2_3 (instanceRef p0)) )) (net u0_RD8 (joined (portRef u0_RD8 (instanceRef cmem0)) (portRef u0_RD8 (instanceRef p0)) )) (net u0_RD6 (joined (portRef u0_RD6 (instanceRef cmem0)) (portRef u0_RD6 (instanceRef p0)) )) (net u0_RD4_0 (joined (portRef u0_RD4_0 (instanceRef cmem0)) (portRef u0_RD4_0 (instanceRef p0)) )) (net u0_RD1_0 (joined (portRef u0_RD1_0 (instanceRef cmem0)) (portRef u0_RD1_0 (instanceRef p0)) )) (net flush_RNI4JQHB22 (joined (portRef flush_RNI4JQHB22 (instanceRef p0)) (portRef flush_RNI4JQHB22 (instanceRef cmem0)) )) (net u0_RD0_0 (joined (portRef u0_RD0_0 (instanceRef cmem0)) (portRef u0_RD0_0 (instanceRef p0)) )) (net u0_RD2 (joined (portRef u0_RD2 (instanceRef cmem0)) (portRef u0_RD2 (instanceRef p0)) )) (net u0_DOUTA3 (joined (portRef u0_DOUTA3 (instanceRef cmem0)) (portRef u0_DOUTA3 (instanceRef p0)) )) (net u0_DOUTA1_1 (joined (portRef u0_DOUTA1_1 (instanceRef cmem0)) (portRef u0_DOUTA1_1 (instanceRef p0)) )) (net N_2747 (joined (portRef N_2747 (instanceRef p0)) (portRef N_2747 (instanceRef cmem0)) )) (net u0_RD11 (joined (portRef u0_RD11 (instanceRef cmem0)) (portRef u0_RD11 (instanceRef p0)) )) (net u0_RD17 (joined (portRef u0_RD17 (instanceRef cmem0)) (portRef u0_RD17 (instanceRef p0)) )) (net u0_RD12 (joined (portRef u0_RD12 (instanceRef cmem0)) (portRef u0_RD12 (instanceRef p0)) )) (net u0_RD13 (joined (portRef u0_RD13 (instanceRef cmem0)) (portRef u0_RD13 (instanceRef p0)) )) (net u0_RD0 (joined (portRef u0_RD0 (instanceRef cmem0)) (portRef u0_RD0 (instanceRef p0)) )) (net u0_DOUTA3_0 (joined (portRef u0_DOUTA3_0 (instanceRef cmem0)) (portRef u0_DOUTA3_0 (instanceRef p0)) )) (net read_RNILMNHG1 (joined (portRef read_RNILMNHG1 (instanceRef p0)) (portRef read_RNILMNHG1 (instanceRef cmem0)) )) (net read_RNIGQ6ND1 (joined (portRef read_RNIGQ6ND1 (instanceRef p0)) (portRef read_RNIGQ6ND1 (instanceRef cmem0)) )) (net read_RNIV144H1 (joined (portRef read_RNIV144H1 (instanceRef p0)) (portRef read_RNIV144H1 (instanceRef cmem0)) )) (net u0_RD2_0 (joined (portRef u0_RD2_0 (instanceRef cmem0)) (portRef u0_RD2_0 (instanceRef p0)) )) (net N_2748 (joined (portRef N_2748 (instanceRef p0)) (portRef N_2748 (instanceRef cmem0)) )) (net N_2307 (joined (portRef N_2307) (portRef N_2307 (instanceRef p0)) )) (net N_2766 (joined (portRef N_2766 (instanceRef p0)) (portRef N_2766 (instanceRef cmem0)) )) (net N_2698 (joined (portRef N_2698 (instanceRef p0)) (portRef N_2698 (instanceRef cmem0)) )) (net N_2745 (joined (portRef N_2745 (instanceRef p0)) (portRef N_2745 (instanceRef cmem0)) )) (net flush_0_RNI2N6NQR1 (joined (portRef flush_0_RNI2N6NQR1 (instanceRef p0)) (portRef flush_0_RNI2N6NQR1 (instanceRef cmem0)) )) (net hready_1_sqmuxa (joined (portRef hready_1_sqmuxa) (portRef hready_1_sqmuxa (instanceRef p0)) )) (net N_2802 (joined (portRef N_2802) (portRef N_2802 (instanceRef p0)) )) (net u0_RD10 (joined (portRef u0_RD10 (instanceRef cmem0)) (portRef u0_RD10 (instanceRef p0)) )) (net u0_RD5 (joined (portRef u0_RD5 (instanceRef cmem0)) (portRef u0_RD5 (instanceRef p0)) )) (net u0_RD9 (joined (portRef u0_RD9 (instanceRef cmem0)) (portRef u0_RD9 (instanceRef p0)) )) (net u0_RD14 (joined (portRef u0_RD14 (instanceRef cmem0)) (portRef u0_RD14 (instanceRef p0)) )) (net u0_RD1 (joined (portRef u0_RD1 (instanceRef cmem0)) (portRef u0_RD1 (instanceRef p0)) )) (net u0_RD3 (joined (portRef u0_RD3 (instanceRef cmem0)) (portRef u0_RD3 (instanceRef p0)) )) (net u0_DOUTA1_5 (joined (portRef u0_DOUTA1_5 (instanceRef cmem0)) (portRef u0_DOUTA1_5 (instanceRef p0)) )) (net u0_DOUTA3_4 (joined (portRef u0_DOUTA3_4 (instanceRef cmem0)) (portRef u0_DOUTA3_4 (instanceRef p0)) )) (net u0_DOUTA0 (joined (portRef u0_DOUTA0 (instanceRef cmem0)) (portRef u0_DOUTA0 (instanceRef p0)) )) (net u0_DOUTA1_0 (joined (portRef u0_DOUTA1_0 (instanceRef cmem0)) (portRef u0_DOUTA1_0 (instanceRef p0)) )) (net u0_DOUTA2_4 (joined (portRef u0_DOUTA2_4 (instanceRef cmem0)) (portRef u0_DOUTA2_4 (instanceRef p0)) )) (net u0_DOUTA2_5 (joined (portRef u0_DOUTA2_5 (instanceRef cmem0)) (portRef u0_DOUTA2_5 (instanceRef p0)) )) (net u0_DOUTA1 (joined (portRef u0_DOUTA1 (instanceRef cmem0)) (portRef u0_DOUTA1 (instanceRef p0)) )) (net u0_DOUTA0_6 (joined (portRef u0_DOUTA0_6 (instanceRef cmem0)) (portRef u0_DOUTA0_6 (instanceRef p0)) )) (net u0_DOUTA2_6 (joined (portRef u0_DOUTA2_6 (instanceRef cmem0)) (portRef u0_DOUTA2_6 (instanceRef p0)) )) (net u0_DOUTA3_6 (joined (portRef u0_DOUTA3_6 (instanceRef cmem0)) (portRef u0_DOUTA3_6 (instanceRef p0)) )) (net u0_DOUTA0_5 (joined (portRef u0_DOUTA0_5 (instanceRef cmem0)) (portRef u0_DOUTA0_5 (instanceRef p0)) )) (net u0_DOUTA3_5 (joined (portRef u0_DOUTA3_5 (instanceRef cmem0)) (portRef u0_DOUTA3_5 (instanceRef p0)) )) (net u0_DOUTA0_4 (joined (portRef u0_DOUTA0_4 (instanceRef cmem0)) (portRef u0_DOUTA0_4 (instanceRef p0)) )) (net u0_DOUTA1_4 (joined (portRef u0_DOUTA1_4 (instanceRef cmem0)) (portRef u0_DOUTA1_4 (instanceRef p0)) )) (net u0_DOUTA0_3 (joined (portRef u0_DOUTA0_3 (instanceRef cmem0)) (portRef u0_DOUTA0_3 (instanceRef p0)) )) (net u0_DOUTA1_3 (joined (portRef u0_DOUTA1_3 (instanceRef cmem0)) (portRef u0_DOUTA1_3 (instanceRef p0)) )) (net u0_DOUTA3_3 (joined (portRef u0_DOUTA3_3 (instanceRef cmem0)) (portRef u0_DOUTA3_3 (instanceRef p0)) )) (net u0_DOUTA0_0 (joined (portRef u0_DOUTA0_0 (instanceRef cmem0)) (portRef u0_DOUTA0_0 (instanceRef p0)) )) (net u0_DOUTA2_0 (joined (portRef u0_DOUTA2_0 (instanceRef cmem0)) (portRef u0_DOUTA2_0 (instanceRef p0)) )) (net u0_DOUTA0_1 (joined (portRef u0_DOUTA0_1 (instanceRef cmem0)) (portRef u0_DOUTA0_1 (instanceRef p0)) )) (net u0_DOUTA3_2 (joined (portRef u0_DOUTA3_2 (instanceRef cmem0)) (portRef u0_DOUTA3_2 (instanceRef p0)) )) (net u0_DOUTA0_2 (joined (portRef u0_DOUTA0_2 (instanceRef cmem0)) (portRef u0_DOUTA0_2 (instanceRef p0)) )) (net u0_DOUTA3_1 (joined (portRef u0_DOUTA3_1 (instanceRef cmem0)) (portRef u0_DOUTA3_1 (instanceRef p0)) )) (net u0_DOUTA1_2 (joined (portRef u0_DOUTA1_2 (instanceRef cmem0)) (portRef u0_DOUTA1_2 (instanceRef p0)) )) (net u0_DOUTA2_2 (joined (portRef u0_DOUTA2_2 (instanceRef cmem0)) (portRef u0_DOUTA2_2 (instanceRef p0)) )) (net newptag_2_a2_0_31_m1_e_0 (joined (portRef newptag_2_a2_0_31_m1_e_0 (instanceRef p0)) (portRef newptag_2_a2_0_31_m1_e_0 (instanceRef cmem0)) )) (net newptag_2_a2_0_28_m1_e_0 (joined (portRef newptag_2_a2_0_28_m1_e_0 (instanceRef p0)) (portRef newptag_2_a2_0_28_m1_e_0 (instanceRef cmem0)) )) (net N_2542 (joined (portRef N_2542 (instanceRef p0)) (portRef N_2542 (instanceRef cmem0)) )) (net N_2868 (joined (portRef N_2868 (instanceRef p0)) (portRef N_2868 (instanceRef cmem0)) )) (net u0_DOUTA2_1 (joined (portRef u0_DOUTA2_1 (instanceRef cmem0)) (portRef u0_DOUTA2_1 (instanceRef p0)) )) (net un86_nbo (joined (portRef un86_nbo (instanceRef p0)) (portRef un86_nbo) )) (net hwrite (joined (portRef hwrite (instanceRef p0)) (portRef hwrite) )) (net hlock (joined (portRef hlock (instanceRef p0)) (portRef hlock) )) (net ba_RNIIJKI5_0 (joined (portRef ba_RNIIJKI5_0 (instanceRef p0)) (portRef ba_RNIIJKI5_0) )) (net lb_0_sqmuxa_1 (joined (portRef lb_0_sqmuxa_1 (instanceRef p0)) (portRef lb_0_sqmuxa_1) )) (net un58_nbo (joined (portRef un58_nbo (instanceRef p0)) (portRef un58_nbo) )) (net N_5515 (joined (portRef N_5515 (instanceRef p0)) (portRef N_5515) )) (net hbusreq_i_0 (joined (portRef hbusreq_i_0 (instanceRef p0)) (portRef hbusreq_i_0) )) (net ramclk (joined (portRef ramclk) (portRef ramclk (instanceRef cmem0)) (portRef ramclk (instanceRef rf0)) (portRef ramclk (instanceRef p0)) (portRef CLK (instanceRef rst)) )) (net N_148_2 (joined (portRef N_148_2) (portRef N_148_2 (instanceRef p0)) )) (net un16_casaen_0_1 (joined (portRef un16_casaen_0_1 (instanceRef p0)) (portRef un16_casaen_0_1 (instanceRef cmem0)) )) (net ren2 (joined (portRef ren2 (instanceRef p0)) (portRef ren2 (instanceRef rf0)) )) (net wren_i (joined (portRef wren_i (instanceRef p0)) (portRef wren_i (instanceRef rf0)) )) (net wren (joined (portRef wren (instanceRef p0)) (portRef wren (instanceRef rf0)) )) (net renable_i_1_i (joined (portRef renable_i_1_i (instanceRef p0)) (portRef renable_i_1_i (instanceRef rf0)) )) (net renable_i_1 (joined (portRef renable_i_1 (instanceRef p0)) (portRef renable_i_1 (instanceRef rf0)) )) (net newptag_sn_m2_i_o2_0_m3 (joined (portRef newptag_sn_m2_i_o2_0_m3 (instanceRef p0)) (portRef newptag_sn_m2_i_o2_0_m3 (instanceRef cmem0)) )) (net dbreak (joined (portRef dbreak) (portRef dbreak (instanceRef p0)) )) (net bwatch (joined (portRef bwatch) (portRef bwatch (instanceRef p0)) )) (net berror (joined (portRef berror) (portRef berror (instanceRef p0)) )) (net btrapa (joined (portRef btrapa) (portRef btrapa (instanceRef p0)) )) (net denable (joined (portRef denable) (portRef denable (instanceRef p0)) )) (net btrape (joined (portRef btrape) (portRef btrape (instanceRef p0)) )) (net bsoft (joined (portRef bsoft) (portRef bsoft (instanceRef p0)) )) (net pwd (joined (portRef pwd (instanceRef p0)) (portRef pwd) )) (net tstop (joined (portRef tstop (instanceRef p0)) (portRef tstop) )) (net halt (joined (portRef halt) (portRef halt (instanceRef p0)) )) (net un1_addout_28_10 (joined (portRef un1_addout_28_10 (instanceRef p0)) (portRef un1_addout_28_10 (instanceRef cmem0)) )) (net un1_addout_27 (joined (portRef un1_addout_27 (instanceRef p0)) (portRef un1_addout_27 (instanceRef cmem0)) )) (net hready_RNICLR2 (joined (portRef hready_RNICLR2) (portRef hready_RNICLR2 (instanceRef p0)) )) (net dwrite (joined (portRef dwrite) (portRef dwrite (instanceRef p0)) )) (net te9_2 (joined (portRef te9_2) (portRef te9_2 (instanceRef p0)) )) (net flush (joined (portRef flush (instanceRef p0)) (portRef flush (instanceRef cmem0)) )) (net te8_1 (joined (portRef te8_1) (portRef te8_1 (instanceRef p0)) )) (net N_161 (joined (portRef N_161 (instanceRef p0)) (portRef N_161) )) (net un1_addout_28 (joined (portRef un1_addout_28 (instanceRef p0)) (portRef un1_addout_28 (instanceRef cmem0)) )) (net reset (joined (portRef reset) (portRef reset (instanceRef p0)) )) (net step (joined (portRef step) (portRef step (instanceRef p0)) )) (net rfe2 (joined (portRef rfe2 (instanceRef p0)) (portRef rfe2 (instanceRef rf0)) )) (net intack (joined (portRef intack (instanceRef p0)) (portRef intack) )) (net crdy (joined (portRef crdy (instanceRef p0)) (portRef crdy) )) (net leon3s_VCC (joined (portRef leon3s_VCC) (portRef cachemem_VCC (instanceRef cmem0)) (portRef regfile_3p_VCC (instanceRef rf0)) )) (net leon3s_GND (joined (portRef leon3s_GND) (portRef cachemem_GND (instanceRef cmem0)) (portRef regfile_3p_GND (instanceRef rf0)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property hindex (integer 0)) (property fabtech (integer 10)) (property memtech (integer 10)) (property nwindows (integer 7)) (property dsu (integer 1)) (property fpu (integer 0)) (property v8 (integer 0)) (property cp (integer 0)) (property mac (integer 0)) (property pclow (integer 2)) (property notag (integer 0)) (property nwp (integer 0)) (property icen (integer 1)) (property irepl (integer 0)) (property isets (integer 1)) (property ilinesize (integer 4)) (property isetsize (integer 4)) (property isetlock (integer 0)) (property dcen (integer 1)) (property drepl (integer 0)) (property dsets (integer 1)) (property dlinesize (integer 4)) (property dsetsize (integer 4)) (property dsetlock (integer 0)) (property dsnoop (integer 0)) (property ilram (integer 0)) (property ilramsize (integer 1)) (property ilramstart (integer 142)) (property dlram (integer 0)) (property dlramsize (integer 1)) (property dlramstart (integer 143)) (property mmuen (integer 0)) (property itlbnum (integer 2)) (property dtlbnum (integer 2)) (property tlb_type (integer 1)) (property tlb_rep (integer 1)) (property lddel (integer 1)) (property disas (integer 0)) (property tbuf (integer 0)) (property pwd (integer 2)) (property svt (integer 0)) (property rstaddr (integer 0)) (property smp (integer 0)) (property cached (integer 0)) (property scantest (integer 0)) (property mmupgsz (integer 0)) (property bp (integer 1)) ) ) (cell rstgen (cellType GENERIC) (view netlist (viewType NETLIST) (interface (port clklock (direction INPUT)) (port reset_c (direction INPUT)) (port ramclk (direction INPUT)) (port rstn (direction OUTPUT)) ) (contents (instance rstoutl_RNIQRB1 (viewRef prim (cellRef CLKINT (libraryRef PA3))) ) (instance rstoutl_RNO (viewRef prim (cellRef NOR3C (libraryRef PA3))) ) (instance rstoutl (viewRef prim (cellRef DFN1C0 (libraryRef PA3))) ) (instance (rename r_0 "r[0]") (viewRef prim (cellRef DFN1C0 (libraryRef PA3))) ) (instance (rename r_1 "r[1]") (viewRef prim (cellRef DFN1C0 (libraryRef PA3))) ) (instance (rename r_2 "r[2]") (viewRef prim (cellRef DFN1C0 (libraryRef PA3))) ) (instance (rename r_3 "r[3]") (viewRef prim (cellRef DFN1C0 (libraryRef PA3))) ) (instance (rename r_4 "r[4]") (viewRef prim (cellRef DFN1C0 (libraryRef PA3))) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net rstn (joined (portRef Y (instanceRef rstoutl_RNIQRB1)) (portRef rstn) )) (net (rename rstoutlZ0 "rstoutl") (joined (portRef Q (instanceRef rstoutl)) (portRef A (instanceRef rstoutl_RNIQRB1)) )) (net rstoutl_1 (joined (portRef Y (instanceRef rstoutl_RNO)) (portRef D (instanceRef rstoutl)) )) (net (rename rZ0Z_2 "r[2]") (joined (portRef Q (instanceRef r_2)) (portRef D (instanceRef r_3)) (portRef A (instanceRef rstoutl_RNO)) )) (net (rename rZ0Z_4 "r[4]") (joined (portRef Q (instanceRef r_4)) (portRef B (instanceRef rstoutl_RNO)) )) (net (rename rZ0Z_3 "r[3]") (joined (portRef Q (instanceRef r_3)) (portRef D (instanceRef r_4)) (portRef C (instanceRef rstoutl_RNO)) )) (net (rename rZ0Z_0 "r[0]") (joined (portRef Q (instanceRef r_0)) (portRef D (instanceRef r_1)) )) (net ramclk (joined (portRef ramclk) (portRef CLK (instanceRef r_4)) (portRef CLK (instanceRef r_3)) (portRef CLK (instanceRef r_2)) (portRef CLK (instanceRef r_1)) (portRef CLK (instanceRef r_0)) (portRef CLK (instanceRef rstoutl)) )) (net reset_c (joined (portRef reset_c) (portRef CLR (instanceRef r_4)) (portRef CLR (instanceRef r_3)) (portRef CLR (instanceRef r_2)) (portRef CLR (instanceRef r_1)) (portRef CLR (instanceRef r_0)) (portRef CLR (instanceRef rstoutl)) )) (net clklock (joined (portRef clklock) (portRef D (instanceRef r_0)) )) (net (rename rZ0Z_1 "r[1]") (joined (portRef Q (instanceRef r_1)) (portRef D (instanceRef r_2)) )) (net GND (joined (portRef Y (instanceRef GND_i)) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) (property acthigh (integer 0)) (property syncrst (integer 0)) (property scanen (integer 0)) ) ) ) (library work (edifLevel 0) (technology (numberDefinition )) (cell leon3mp (cellType GENERIC) (view behavioral (viewType NETLIST) (interface (port clk50MHz (direction INPUT) ) (port reset (direction INPUT)) (port ramclk (direction OUTPUT)) (port ahbrxd (direction INPUT)) (port ahbtxd (direction OUTPUT)) (port dsubre (direction INPUT)) (port dsuact (direction OUTPUT)) (port urxd1 (direction INPUT)) (port utxd1 (direction OUTPUT)) (port errorn (direction OUTPUT)) (port (array (rename address "address[18:0]") 19) (direction OUTPUT)) (port (array (rename data "data[31:0]") 32) (direction INOUT)) (port (array (rename gpio "gpio[6:0]") 7) (direction INOUT)) (port (array (rename ramben "ramben[3:0]") 4) (direction OUTPUT)) (port ramsn (direction OUTPUT)) (port romsn (direction OUTPUT)) (port iosn (direction OUTPUT)) (port rwen (direction OUTPUT)) (port oen (direction OUTPUT)) (port ramoen (direction OUTPUT)) (port writen (direction OUTPUT)) (port sram_adv (direction OUTPUT)) (port sram_pwrdwn (direction OUTPUT)) (port sram_gwen (direction OUTPUT)) (port sram_adsc (direction OUTPUT)) (port sram_adsp (direction OUTPUT)) (port (array (rename led "led[5:0]") 6) (direction OUTPUT)) ) (contents (instance lclk_RNO (viewRef prim (cellRef INV (libraryRef PA3))) ) (instance lclk (viewRef prim (cellRef DFN1 (libraryRef PA3))) ) (instance (rename led_pad_5 "led_pad[5]") (viewRef prim (cellRef TRIBUFF (libraryRef PA3))) ) (instance (rename led_pad_4 "led_pad[4]") (viewRef prim (cellRef TRIBUFF (libraryRef PA3))) ) (instance (rename led_pad_3 "led_pad[3]") (viewRef prim (cellRef TRIBUFF (libraryRef PA3))) ) (instance (rename led_pad_2 "led_pad[2]") (viewRef prim (cellRef TRIBUFF (libraryRef PA3))) ) (instance (rename led_pad_1 "led_pad[1]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename led_pad_0 "led_pad[0]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance sram_adsp_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance sram_adsc_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance sram_gwen_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance sram_pwrdwn_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance sram_adv_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance writen_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance ramoen_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance oen_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance rwen_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance iosn_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance romsn_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance ramsn_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename ramben_pad_3 "ramben_pad[3]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename ramben_pad_2 "ramben_pad[2]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename ramben_pad_1 "ramben_pad[1]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename ramben_pad_0 "ramben_pad[0]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_18 "address_pad[18]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_17 "address_pad[17]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_16 "address_pad[16]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_15 "address_pad[15]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_14 "address_pad[14]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_13 "address_pad[13]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_12 "address_pad[12]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_11 "address_pad[11]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_10 "address_pad[10]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_9 "address_pad[9]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_8 "address_pad[8]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_7 "address_pad[7]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_6 "address_pad[6]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_5 "address_pad[5]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_4 "address_pad[4]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_3 "address_pad[3]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_2 "address_pad[2]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_1 "address_pad[1]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance (rename address_pad_0 "address_pad[0]") (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance errorn_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance utxd1_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance dsuact_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance dsubre_pad (viewRef prim (cellRef INBUF (libraryRef PA3))) ) (instance ahbtxd_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance ahbrxd_pad (viewRef prim (cellRef INBUF (libraryRef PA3))) ) (instance ramclk_pad (viewRef prim (cellRef OUTBUF (libraryRef PA3))) ) (instance reset_pad (viewRef prim (cellRef INBUF (libraryRef PA3))) ) (instance clk50MHz_pad (viewRef prim (cellRef INBUF (libraryRef PA3))) ) (instance (rename gpio_pad_6 "gpio_pad[6]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename gpio_pad_5 "gpio_pad[5]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename gpio_pad_4 "gpio_pad[4]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename gpio_pad_3 "gpio_pad[3]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename gpio_pad_2 "gpio_pad[2]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename gpio_pad_1 "gpio_pad[1]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename gpio_pad_0 "gpio_pad[0]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_31 "data_pad[31]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_30 "data_pad[30]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_29 "data_pad[29]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_28 "data_pad[28]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_27 "data_pad[27]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_26 "data_pad[26]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_25 "data_pad[25]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_24 "data_pad[24]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_23 "data_pad[23]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_22 "data_pad[22]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_21 "data_pad[21]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_20 "data_pad[20]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_19 "data_pad[19]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_18 "data_pad[18]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_17 "data_pad[17]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_16 "data_pad[16]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_15 "data_pad[15]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_14 "data_pad[14]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_13 "data_pad[13]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_12 "data_pad[12]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_11 "data_pad[11]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_10 "data_pad[10]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_9 "data_pad[9]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_8 "data_pad[8]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_7 "data_pad[7]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_6 "data_pad[6]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_5 "data_pad[5]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_4 "data_pad[4]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_3 "data_pad[3]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_2 "data_pad[2]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_1 "data_pad[1]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance (rename data_pad_0 "data_pad[0]") (viewRef prim (cellRef BIBUF (libraryRef PA3))) ) (instance rst0 (viewRef netlist (cellRef rstgen (libraryRef gaisler))) ) (instance clkgen0 (viewRef netlist (cellRef clkgen (libraryRef techmap))) (property clk_odiv (integer 1)) (property clksel (integer 0)) (property clk2xen (integer 0)) (property freq (integer 50000)) (property pcisysclk (integer 0)) (property pcidll (integer 0)) (property pcien (integer 0)) (property noclkfb (integer 0)) (property sdramen (integer 0)) (property clk_div (integer 10)) (property clk_mul (integer 5)) (property tech (integer 10)) ) (instance (rename l3_cpu_0_u0 "l3.cpu.0.u0") (viewRef netlist (cellRef leon3s (libraryRef gaisler))) (property smp (integer 0)) (property rstaddr (integer 0)) (property svt (integer 0)) (property pwd (integer 2)) (property tbuf (integer 0)) (property disas (integer 0)) (property lddel (integer 1)) (property tlb_rep (integer 1)) (property tlb_type (integer 1)) (property dtlbnum (integer 2)) (property itlbnum (integer 2)) (property mmuen (integer 0)) (property dlramstart (integer 143)) (property dlramsize (integer 1)) (property dlram (integer 0)) (property ilramstart (integer 142)) (property ilramsize (integer 1)) (property ilram (integer 0)) (property dsnoop (integer 0)) (property dsetlock (integer 0)) (property dsetsize (integer 4)) (property dlinesize (integer 4)) (property dsets (integer 1)) (property drepl (integer 0)) (property dcen (integer 1)) (property isetlock (integer 0)) (property isetsize (integer 4)) (property ilinesize (integer 4)) (property isets (integer 1)) (property irepl (integer 0)) (property icen (integer 1)) (property nwp (integer 0)) (property notag (integer 0)) (property pclow (integer 2)) (property mac (integer 0)) (property cp (integer 0)) (property v8 (integer 0)) (property fpu (integer 0)) (property dsu (integer 1)) (property nwindows (integer 7)) (property memtech (integer 10)) (property fabtech (integer 10)) (property hindex (integer 0)) ) (instance (rename l3_dsugen_dsu0 "l3.dsugen.dsu0") (viewRef netlist (cellRef dsu3 (libraryRef gaisler))) (property kbytes (integer 0)) (property irq (integer 0)) (property tech (integer 10)) (property tbits (integer 30)) (property ncpu (integer 1)) (property hmask (integer 3840)) (property haddr (integer 2304)) (property hindex (integer 2)) ) (instance (rename irqctrl_irqctrl0 "irqctrl.irqctrl0") (viewRef netlist (cellRef irqmp (libraryRef gaisler))) (property ncpu (integer 1)) (property paddr (integer 2)) (property pindex (integer 2)) ) (instance (rename mctrl2_sr1 "mctrl2.sr1") (viewRef netlist (cellRef mctrl (libraryRef esa))) (property sden (integer 0)) (property ram16 (integer 0)) (property ram8 (integer 0)) (property srbanks (integer 2)) (property invclk (integer 0)) (property paddr (integer 0)) (property pindex (integer 0)) (property hindex (integer 0)) ) (instance ahb0 (viewRef netlist (cellRef ahbctrl (libraryRef grlib))) (property ioen (integer 0)) (property nahbs (integer 8)) (property nahbm (integer 2)) (property ioaddr (integer 4095)) (property rrobin (integer 1)) (property split (integer 0)) (property defmast (integer 0)) ) (instance (rename dcomgen_dcom0 "dcomgen.dcom0") (viewRef netlist (cellRef ahbuart (libraryRef gaisler))) (property paddr (integer 4)) (property pindex (integer 4)) (property hindex (integer 1)) ) (instance apb0 (viewRef netlist (cellRef apbctrl (libraryRef grlib))) (property haddr (integer 2048)) (property hindex (integer 1)) ) (instance (rename gpt_timer0 "gpt.timer0") (viewRef netlist (cellRef gptimer (libraryRef gaisler))) (property nbits (integer 32)) (property ntimers (integer 2)) (property sbits (integer 8)) (property sepirq (integer 1)) (property pirq (integer 8)) (property paddr (integer 3)) (property pindex (integer 3)) ) (instance (rename ua1_uart1 "ua1.uart1") (viewRef netlist (cellRef apbuart (libraryRef gaisler))) (property fifosize (integer 1)) (property pirq (integer 2)) (property console (integer 0)) (property paddr (integer 1)) (property pindex (integer 1)) ) (instance (rename gpio0_grgpio0 "gpio0.grgpio0") (viewRef netlist (cellRef grgpio (libraryRef gaisler))) (property nbits (integer 7)) (property imask (integer 0)) (property paddr (integer 11)) (property pindex (integer 11)) ) (instance VCC_i (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i (viewRef prim (cellRef GND (libraryRef PA3))) ) (instance VCC_i_0 (viewRef prim (cellRef VCC (libraryRef PA3))) ) (instance GND_i_0 (viewRef prim (cellRef GND (libraryRef PA3))) ) (net rstn (joined (portRef rstn (instanceRef rst0)) (portRef rstn (instanceRef gpio0_grgpio0)) (portRef rstn (instanceRef ua1_uart1)) (portRef rstn (instanceRef gpt_timer0)) (portRef rstn (instanceRef apb0)) (portRef rstn (instanceRef dcomgen_dcom0)) (portRef rstn (instanceRef ahb0)) (portRef rstn (instanceRef mctrl2_sr1)) (portRef rstn (instanceRef irqctrl_irqctrl0)) (portRef rstn (instanceRef l3_dsugen_dsu0)) (portRef rstn (instanceRef l3_cpu_0_u0)) )) (net (rename lclkZ0 "lclk") (joined (portRef Q (instanceRef lclk)) (portRef lclk (instanceRef clkgen0)) (portRef A (instanceRef lclk_RNO)) )) (net (rename cgo_clklock "cgo.clklock") (joined (portRef clklock (instanceRef clkgen0)) (portRef clklock (instanceRef rst0)) )) (net (rename ahbmo_0_haddr_3 "ahbmo_0.haddr[3]") (joined (portRef haddr_3 (instanceRef l3_cpu_0_u0)) (portRef haddr_1_3 (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_4 "ahbmo_0.haddr[4]") (joined (portRef haddr_4 (instanceRef l3_cpu_0_u0)) (portRef haddr_1_4 (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_5 "ahbmo_0.haddr[5]") (joined (portRef haddr_5 (instanceRef l3_cpu_0_u0)) (portRef haddr_1_5 (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_7 "ahbmo_0.haddr[7]") (joined (portRef haddr_7 (instanceRef l3_cpu_0_u0)) (portRef haddr_1_7 (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_8 "ahbmo_0.haddr[8]") (joined (portRef haddr_8 (instanceRef l3_cpu_0_u0)) (portRef haddr_1_8 (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_9 "ahbmo_0.haddr[9]") (joined (portRef haddr_9 (instanceRef l3_cpu_0_u0)) (portRef haddr_1_9 (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_10 "ahbmo_0.haddr[10]") (joined (portRef haddr_10 (instanceRef l3_cpu_0_u0)) (portRef haddr_1_10 (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_11 "ahbmo_0.haddr[11]") (joined (portRef haddr_11 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 20) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_12 "ahbmo_0.haddr[12]") (joined (portRef haddr_12 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 19) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_13 "ahbmo_0.haddr[13]") (joined (portRef haddr_13 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 18) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_14 "ahbmo_0.haddr[14]") (joined (portRef haddr_14 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 17) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_15 "ahbmo_0.haddr[15]") (joined (portRef haddr_15 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 16) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_16 "ahbmo_0.haddr[16]") (joined (portRef haddr_16 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 15) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_17 "ahbmo_0.haddr[17]") (joined (portRef haddr_17 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 14) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_18 "ahbmo_0.haddr[18]") (joined (portRef haddr_18 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 13) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_19 "ahbmo_0.haddr[19]") (joined (portRef haddr_19 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 12) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_20 "ahbmo_0.haddr[20]") (joined (portRef haddr_20 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 11) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_21 "ahbmo_0.haddr[21]") (joined (portRef haddr_21 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 10) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_22 "ahbmo_0.haddr[22]") (joined (portRef haddr_22 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 9) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_23 "ahbmo_0.haddr[23]") (joined (portRef haddr_23 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 8) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_24 "ahbmo_0.haddr[24]") (joined (portRef haddr_24 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 7) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_28 "ahbmo_0.haddr[28]") (joined (portRef haddr_28 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 3) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_8 "ahbmo_0.hwdata[8]") (joined (portRef (member hwdata 23) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 23) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_15 "ahbmo_0.hwdata[15]") (joined (portRef (member hwdata 16) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 16) (instanceRef ahb0)) )) (net (rename irqo_0_irl_0 "irqo_0.irl[0]") (joined (portRef (member irl_0 3) (instanceRef l3_cpu_0_u0)) (portRef (member irl_0 3) (instanceRef irqctrl_irqctrl0)) )) (net (rename irqo_0_irl_1 "irqo_0.irl[1]") (joined (portRef (member irl 2) (instanceRef l3_cpu_0_u0)) (portRef (member irl_0 2) (instanceRef irqctrl_irqctrl0)) )) (net (rename irqo_0_irl_2 "irqo_0.irl[2]") (joined (portRef (member irl_0 1) (instanceRef l3_cpu_0_u0)) (portRef (member irl_0 1) (instanceRef irqctrl_irqctrl0)) )) (net (rename irqo_0_irl_3 "irqo_0.irl[3]") (joined (portRef (member irl_0 0) (instanceRef l3_cpu_0_u0)) (portRef irl_3 (instanceRef irqctrl_irqctrl0)) )) (net (rename dbgo_0_pwd "dbgo_0.pwd") (joined (portRef pwd (instanceRef l3_cpu_0_u0)) (portRef pwd (instanceRef apb0)) (portRef pwd (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_6 "dbgo_0.data[6]") (joined (portRef data_1 (instanceRef l3_cpu_0_u0)) (portRef data_1 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_29 "dbgo_0.data[29]") (joined (portRef data_24 (instanceRef l3_cpu_0_u0)) (portRef data_24 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_crdy "dbgo_0.crdy") (joined (portRef crdy (instanceRef l3_cpu_0_u0)) (portRef crdy (instanceRef l3_dsugen_dsu0)) )) (net (rename dsuo_tstop "dsuo.tstop") (joined (portRef tstop (instanceRef l3_cpu_0_u0)) (portRef tstop (instanceRef gpt_timer0)) (portRef tstop (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbso_2_hready "ahbso_2.hready") (joined (portRef hready (instanceRef l3_dsugen_dsu0)) (portRef hready_2 (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_0 "ahbso_2.hrdata[0]") (joined (portRef (member hrdata 31) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata 31) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_1 "ahbso_2.hrdata[1]") (joined (portRef (member hrdata 30) (instanceRef l3_dsugen_dsu0)) (portRef hrdata_2_0 (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_2 "ahbso_2.hrdata[2]") (joined (portRef (member hrdata 29) (instanceRef l3_dsugen_dsu0)) (portRef hrdata_2_1 (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_3 "ahbso_2.hrdata[3]") (joined (portRef (member hrdata 28) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 28) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_4 "ahbso_2.hrdata[4]") (joined (portRef (member hrdata 27) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_0 27) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_5 "ahbso_2.hrdata[5]") (joined (portRef (member hrdata 26) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 26) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_6 "ahbso_2.hrdata[6]") (joined (portRef (member hrdata 25) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_0 25) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_7 "ahbso_2.hrdata[7]") (joined (portRef (member hrdata 24) (instanceRef l3_dsugen_dsu0)) (portRef hrdata_2_6 (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_8 "ahbso_2.hrdata[8]") (joined (portRef (member hrdata 23) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 23) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_9 "ahbso_2.hrdata[9]") (joined (portRef (member hrdata 22) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 22) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_10 "ahbso_2.hrdata[10]") (joined (portRef (member hrdata 21) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata 21) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_11 "ahbso_2.hrdata[11]") (joined (portRef (member hrdata 20) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata 20) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_12 "ahbso_2.hrdata[12]") (joined (portRef (member hrdata 19) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 19) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_13 "ahbso_2.hrdata[13]") (joined (portRef (member hrdata 18) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 18) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_14 "ahbso_2.hrdata[14]") (joined (portRef (member hrdata 17) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 17) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_15 "ahbso_2.hrdata[15]") (joined (portRef (member hrdata 16) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 16) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_16 "ahbso_2.hrdata[16]") (joined (portRef (member hrdata 15) (instanceRef l3_dsugen_dsu0)) (portRef hrdata_2_15 (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_17 "ahbso_2.hrdata[17]") (joined (portRef (member hrdata 14) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 14) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_18 "ahbso_2.hrdata[18]") (joined (portRef (member hrdata 13) (instanceRef l3_dsugen_dsu0)) (portRef hrdata_2_17 (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_19 "ahbso_2.hrdata[19]") (joined (portRef (member hrdata 12) (instanceRef l3_dsugen_dsu0)) (portRef hrdata_2_18 (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_20 "ahbso_2.hrdata[20]") (joined (portRef (member hrdata 11) (instanceRef l3_dsugen_dsu0)) (portRef hrdata_2_19 (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_21 "ahbso_2.hrdata[21]") (joined (portRef (member hrdata 10) (instanceRef l3_dsugen_dsu0)) (portRef hrdata_2_20 (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_22 "ahbso_2.hrdata[22]") (joined (portRef (member hrdata 9) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 9) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_23 "ahbso_2.hrdata[23]") (joined (portRef (member hrdata 8) (instanceRef l3_dsugen_dsu0)) (portRef hrdata_2_22 (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_24 "ahbso_2.hrdata[24]") (joined (portRef (member hrdata 7) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 7) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_25 "ahbso_2.hrdata[25]") (joined (portRef (member hrdata 6) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata 6) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_26 "ahbso_2.hrdata[26]") (joined (portRef (member hrdata 5) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 5) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_27 "ahbso_2.hrdata[27]") (joined (portRef (member hrdata 4) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata 4) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_28 "ahbso_2.hrdata[28]") (joined (portRef (member hrdata 3) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 3) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_29 "ahbso_2.hrdata[29]") (joined (portRef (member hrdata 2) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata 2) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_30 "ahbso_2.hrdata[30]") (joined (portRef (member hrdata 1) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 1) (instanceRef ahb0)) )) (net (rename ahbso_2_hrdata_31 "ahbso_2.hrdata[31]") (joined (portRef (member hrdata 0) (instanceRef l3_dsugen_dsu0)) (portRef (member hrdata_1 0) (instanceRef ahb0)) )) (net (rename dbgi_0_denable "dbgi_0.denable") (joined (portRef denable (instanceRef l3_dsugen_dsu0)) (portRef denable (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_dbreak "dbgi_0.dbreak") (joined (portRef dbreak (instanceRef l3_dsugen_dsu0)) (portRef dbreak (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_step "dbgi_0.step") (joined (portRef step (instanceRef l3_dsugen_dsu0)) (portRef step (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_halt "dbgi_0.halt") (joined (portRef halt (instanceRef l3_dsugen_dsu0)) (portRef halt (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_reset "dbgi_0.reset") (joined (portRef reset (instanceRef l3_dsugen_dsu0)) (portRef reset (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_dwrite "dbgi_0.dwrite") (joined (portRef dwrite (instanceRef l3_dsugen_dsu0)) (portRef dwrite (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_2 "dbgi_0.daddr[2]") (joined (portRef (member daddr 21) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 21) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_3 "dbgi_0.daddr[3]") (joined (portRef (member daddr 20) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 20) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_4 "dbgi_0.daddr[4]") (joined (portRef (member daddr 19) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 19) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_5 "dbgi_0.daddr[5]") (joined (portRef (member daddr 18) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 18) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_6 "dbgi_0.daddr[6]") (joined (portRef (member daddr 17) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 17) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_7 "dbgi_0.daddr[7]") (joined (portRef (member daddr 16) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 16) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_8 "dbgi_0.daddr[8]") (joined (portRef (member daddr 15) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 15) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_9 "dbgi_0.daddr[9]") (joined (portRef (member daddr 14) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 14) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_10 "dbgi_0.daddr[10]") (joined (portRef (member daddr 13) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 13) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_11 "dbgi_0.daddr[11]") (joined (portRef (member daddr 12) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 12) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_12 "dbgi_0.daddr[12]") (joined (portRef (member daddr 11) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 11) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_13 "dbgi_0.daddr[13]") (joined (portRef (member daddr 10) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 10) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_14 "dbgi_0.daddr[14]") (joined (portRef (member daddr 9) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 9) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_15 "dbgi_0.daddr[15]") (joined (portRef (member daddr 8) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 8) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_16 "dbgi_0.daddr[16]") (joined (portRef (member daddr 7) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 7) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_17 "dbgi_0.daddr[17]") (joined (portRef (member daddr 6) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 6) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_18 "dbgi_0.daddr[18]") (joined (portRef (member daddr 5) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 5) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_19 "dbgi_0.daddr[19]") (joined (portRef (member daddr 4) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 4) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_20 "dbgi_0.daddr[20]") (joined (portRef (member daddr 3) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 3) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_21 "dbgi_0.daddr[21]") (joined (portRef (member daddr 2) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 2) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_22 "dbgi_0.daddr[22]") (joined (portRef (member daddr 1) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 1) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_daddr_23 "dbgi_0.daddr[23]") (joined (portRef (member daddr 0) (instanceRef l3_dsugen_dsu0)) (portRef (member daddr 0) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_0 "dbgi_0.ddata[0]") (joined (portRef (member ddata 31) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 31) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_1 "dbgi_0.ddata[1]") (joined (portRef (member ddata 30) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 30) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_2 "dbgi_0.ddata[2]") (joined (portRef (member ddata 29) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 29) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_3 "dbgi_0.ddata[3]") (joined (portRef (member ddata 28) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 28) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_4 "dbgi_0.ddata[4]") (joined (portRef (member ddata 27) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 27) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_5 "dbgi_0.ddata[5]") (joined (portRef (member ddata 26) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 26) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_6 "dbgi_0.ddata[6]") (joined (portRef (member ddata 25) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 25) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_7 "dbgi_0.ddata[7]") (joined (portRef (member ddata 24) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 24) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_8 "dbgi_0.ddata[8]") (joined (portRef (member ddata 23) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 23) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_9 "dbgi_0.ddata[9]") (joined (portRef (member ddata 22) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 22) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_10 "dbgi_0.ddata[10]") (joined (portRef (member ddata 21) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 21) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_11 "dbgi_0.ddata[11]") (joined (portRef (member ddata 20) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 20) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_12 "dbgi_0.ddata[12]") (joined (portRef (member ddata 19) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 19) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_13 "dbgi_0.ddata[13]") (joined (portRef (member ddata 18) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 18) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_14 "dbgi_0.ddata[14]") (joined (portRef (member ddata 17) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 17) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_15 "dbgi_0.ddata[15]") (joined (portRef (member ddata 16) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 16) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_16 "dbgi_0.ddata[16]") (joined (portRef (member ddata 15) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 15) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_17 "dbgi_0.ddata[17]") (joined (portRef (member ddata 14) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 14) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_18 "dbgi_0.ddata[18]") (joined (portRef (member ddata 13) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 13) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_19 "dbgi_0.ddata[19]") (joined (portRef (member ddata 12) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 12) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_20 "dbgi_0.ddata[20]") (joined (portRef (member ddata 11) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 11) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_21 "dbgi_0.ddata[21]") (joined (portRef (member ddata 10) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 10) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_22 "dbgi_0.ddata[22]") (joined (portRef (member ddata 9) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 9) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_23 "dbgi_0.ddata[23]") (joined (portRef (member ddata 8) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 8) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_24 "dbgi_0.ddata[24]") (joined (portRef (member ddata 7) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 7) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_25 "dbgi_0.ddata[25]") (joined (portRef (member ddata 6) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 6) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_26 "dbgi_0.ddata[26]") (joined (portRef (member ddata 5) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 5) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_27 "dbgi_0.ddata[27]") (joined (portRef (member ddata 4) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 4) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_28 "dbgi_0.ddata[28]") (joined (portRef (member ddata 3) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 3) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_29 "dbgi_0.ddata[29]") (joined (portRef (member ddata 2) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 2) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_30 "dbgi_0.ddata[30]") (joined (portRef (member ddata 1) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 1) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_ddata_31 "dbgi_0.ddata[31]") (joined (portRef (member ddata 0) (instanceRef l3_dsugen_dsu0)) (portRef (member ddata 0) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_btrapa "dbgi_0.btrapa") (joined (portRef btrapa (instanceRef l3_dsugen_dsu0)) (portRef btrapa (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_btrape "dbgi_0.btrape") (joined (portRef btrape (instanceRef l3_dsugen_dsu0)) (portRef btrape (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_berror "dbgi_0.berror") (joined (portRef berror (instanceRef l3_dsugen_dsu0)) (portRef berror (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_bwatch "dbgi_0.bwatch") (joined (portRef bwatch (instanceRef l3_dsugen_dsu0)) (portRef bwatch (instanceRef l3_cpu_0_u0)) )) (net (rename dbgi_0_bsoft "dbgi_0.bsoft") (joined (portRef bsoft (instanceRef l3_dsugen_dsu0)) (portRef bsoft (instanceRef l3_cpu_0_u0)) )) (net (rename irqi_0_irl_0 "irqi_0.irl[0]") (joined (portRef (member irl_2 2) (instanceRef irqctrl_irqctrl0)) (portRef (member irl 3) (instanceRef l3_cpu_0_u0)) )) (net (rename irqi_0_irl_1 "irqi_0.irl[1]") (joined (portRef (member irl_2 1) (instanceRef irqctrl_irqctrl0)) (portRef (member irl_0 2) (instanceRef l3_cpu_0_u0)) )) (net (rename irqi_0_irl_2 "irqi_0.irl[2]") (joined (portRef (member irl_2 0) (instanceRef irqctrl_irqctrl0)) (portRef (member irl 1) (instanceRef l3_cpu_0_u0)) )) (net (rename irqi_0_irl_3 "irqi_0.irl[3]") (joined (portRef (member irl_0 0) (instanceRef irqctrl_irqctrl0)) (portRef (member irl 0) (instanceRef l3_cpu_0_u0)) )) (net (rename memo_data_0 "memo.data[0]") (joined (portRef (member data 31) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_0)) )) (net (rename memo_data_1 "memo.data[1]") (joined (portRef (member data 30) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_1)) )) (net (rename memo_data_2 "memo.data[2]") (joined (portRef (member data 29) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_2)) )) (net (rename memo_data_3 "memo.data[3]") (joined (portRef (member data 28) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_3)) )) (net (rename memo_data_4 "memo.data[4]") (joined (portRef (member data 27) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_4)) )) (net (rename memo_data_5 "memo.data[5]") (joined (portRef (member data 26) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_5)) )) (net (rename memo_data_6 "memo.data[6]") (joined (portRef (member data 25) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_6)) )) (net (rename memo_data_7 "memo.data[7]") (joined (portRef (member data 24) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_7)) )) (net (rename memo_data_8 "memo.data[8]") (joined (portRef (member data 23) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_8)) )) (net (rename memo_data_9 "memo.data[9]") (joined (portRef (member data 22) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_9)) )) (net (rename memo_data_10 "memo.data[10]") (joined (portRef (member data 21) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_10)) )) (net (rename memo_data_11 "memo.data[11]") (joined (portRef (member data 20) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_11)) )) (net (rename memo_data_12 "memo.data[12]") (joined (portRef (member data 19) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_12)) )) (net (rename memo_data_13 "memo.data[13]") (joined (portRef (member data 18) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_13)) )) (net (rename memo_data_14 "memo.data[14]") (joined (portRef (member data 17) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_14)) )) (net (rename memo_data_15 "memo.data[15]") (joined (portRef (member data 16) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_15)) )) (net (rename memo_data_16 "memo.data[16]") (joined (portRef (member data 15) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_16)) )) (net (rename memo_data_17 "memo.data[17]") (joined (portRef (member data 14) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_17)) )) (net (rename memo_data_18 "memo.data[18]") (joined (portRef (member data 13) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_18)) )) (net (rename memo_data_19 "memo.data[19]") (joined (portRef (member data 12) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_19)) )) (net (rename memo_data_20 "memo.data[20]") (joined (portRef (member data 11) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_20)) )) (net (rename memo_data_21 "memo.data[21]") (joined (portRef (member data 10) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_21)) )) (net (rename memo_data_22 "memo.data[22]") (joined (portRef (member data 9) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_22)) )) (net (rename memo_data_23 "memo.data[23]") (joined (portRef (member data 8) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_23)) )) (net (rename memo_data_24 "memo.data[24]") (joined (portRef (member data 7) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_24)) )) (net (rename memo_data_25 "memo.data[25]") (joined (portRef (member data 6) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_25)) )) (net (rename memo_data_26 "memo.data[26]") (joined (portRef (member data 5) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_26)) )) (net (rename memo_data_27 "memo.data[27]") (joined (portRef (member data 4) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_27)) )) (net (rename memo_data_28 "memo.data[28]") (joined (portRef (member data 3) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_28)) )) (net (rename memo_data_29 "memo.data[29]") (joined (portRef (member data 2) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_29)) )) (net (rename memo_data_30 "memo.data[30]") (joined (portRef (member data 1) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_30)) )) (net (rename memo_data_31 "memo.data[31]") (joined (portRef (member data 0) (instanceRef mctrl2_sr1)) (portRef D (instanceRef data_pad_31)) )) (net (rename ahbso_0_hready "ahbso_0.hready") (joined (portRef hready (instanceRef mctrl2_sr1)) (portRef hready_0 (instanceRef ahb0)) )) (net (rename ahbso_0_hresp_0 "ahbso_0.hresp[0]") (joined (portRef (member hresp 0) (instanceRef mctrl2_sr1)) (portRef (member hresp 0) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_0 "ahbso_0.hrdata[0]") (joined (portRef (member hrdata 31) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 31) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_1 "ahbso_0.hrdata[1]") (joined (portRef (member hrdata 30) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 30) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_2 "ahbso_0.hrdata[2]") (joined (portRef (member hrdata 29) (instanceRef mctrl2_sr1)) (portRef (member hrdata 29) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_3 "ahbso_0.hrdata[3]") (joined (portRef (member hrdata 28) (instanceRef mctrl2_sr1)) (portRef (member hrdata 28) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_4 "ahbso_0.hrdata[4]") (joined (portRef (member hrdata 27) (instanceRef mctrl2_sr1)) (portRef (member hrdata_1 27) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_5 "ahbso_0.hrdata[5]") (joined (portRef (member hrdata 26) (instanceRef mctrl2_sr1)) (portRef (member hrdata 26) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_6 "ahbso_0.hrdata[6]") (joined (portRef (member hrdata 25) (instanceRef mctrl2_sr1)) (portRef (member hrdata_1 25) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_7 "ahbso_0.hrdata[7]") (joined (portRef (member hrdata 24) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 24) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_8 "ahbso_0.hrdata[8]") (joined (portRef (member hrdata 23) (instanceRef mctrl2_sr1)) (portRef (member hrdata 23) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_9 "ahbso_0.hrdata[9]") (joined (portRef (member hrdata 22) (instanceRef mctrl2_sr1)) (portRef (member hrdata 22) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_10 "ahbso_0.hrdata[10]") (joined (portRef (member hrdata 21) (instanceRef mctrl2_sr1)) (portRef (member hrdata_1 21) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_11 "ahbso_0.hrdata[11]") (joined (portRef (member hrdata 20) (instanceRef mctrl2_sr1)) (portRef (member hrdata_1 20) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_12 "ahbso_0.hrdata[12]") (joined (portRef (member hrdata 19) (instanceRef mctrl2_sr1)) (portRef (member hrdata 19) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_13 "ahbso_0.hrdata[13]") (joined (portRef (member hrdata 18) (instanceRef mctrl2_sr1)) (portRef (member hrdata 18) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_14 "ahbso_0.hrdata[14]") (joined (portRef (member hrdata 17) (instanceRef mctrl2_sr1)) (portRef (member hrdata 17) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_15 "ahbso_0.hrdata[15]") (joined (portRef (member hrdata 16) (instanceRef mctrl2_sr1)) (portRef (member hrdata 16) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_16 "ahbso_0.hrdata[16]") (joined (portRef (member hrdata 15) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 15) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_17 "ahbso_0.hrdata[17]") (joined (portRef (member hrdata 14) (instanceRef mctrl2_sr1)) (portRef (member hrdata 14) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_18 "ahbso_0.hrdata[18]") (joined (portRef (member hrdata 13) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 13) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_19 "ahbso_0.hrdata[19]") (joined (portRef (member hrdata 12) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 12) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_20 "ahbso_0.hrdata[20]") (joined (portRef (member hrdata 11) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 11) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_21 "ahbso_0.hrdata[21]") (joined (portRef (member hrdata 10) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 10) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_22 "ahbso_0.hrdata[22]") (joined (portRef (member hrdata 9) (instanceRef mctrl2_sr1)) (portRef (member hrdata 9) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_23 "ahbso_0.hrdata[23]") (joined (portRef (member hrdata 8) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 8) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_24 "ahbso_0.hrdata[24]") (joined (portRef (member hrdata 7) (instanceRef mctrl2_sr1)) (portRef (member hrdata 7) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_25 "ahbso_0.hrdata[25]") (joined (portRef (member hrdata 6) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 6) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_26 "ahbso_0.hrdata[26]") (joined (portRef (member hrdata 5) (instanceRef mctrl2_sr1)) (portRef (member hrdata 5) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_27 "ahbso_0.hrdata[27]") (joined (portRef (member hrdata 4) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 4) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_28 "ahbso_0.hrdata[28]") (joined (portRef (member hrdata 3) (instanceRef mctrl2_sr1)) (portRef (member hrdata 3) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_29 "ahbso_0.hrdata[29]") (joined (portRef (member hrdata 2) (instanceRef mctrl2_sr1)) (portRef (member hrdata_0 2) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_30 "ahbso_0.hrdata[30]") (joined (portRef (member hrdata 1) (instanceRef mctrl2_sr1)) (portRef (member hrdata 1) (instanceRef ahb0)) )) (net (rename ahbso_0_hrdata_31 "ahbso_0.hrdata[31]") (joined (portRef (member hrdata 0) (instanceRef mctrl2_sr1)) (portRef (member hrdata 0) (instanceRef ahb0)) )) (net (rename apbi_pirq_2 "apbi.pirq[2]") (joined (portRef (member pirq 0) (instanceRef ua1_uart1)) (portRef pirq_0 (instanceRef irqctrl_irqctrl0)) )) (net (rename ahbsi_haddr_3 "ahbsi.haddr[3]") (joined (portRef (member haddr_0 28) (instanceRef ahb0)) (portRef (member haddr 16) (instanceRef apb0)) (portRef (member haddr 25) (instanceRef mctrl2_sr1)) (portRef (member haddr 21) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_4 "ahbsi.haddr[4]") (joined (portRef (member haddr_0 27) (instanceRef ahb0)) (portRef (member haddr 15) (instanceRef apb0)) (portRef (member haddr 24) (instanceRef mctrl2_sr1)) (portRef (member haddr 20) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_5 "ahbsi.haddr[5]") (joined (portRef (member haddr_0 26) (instanceRef ahb0)) (portRef (member haddr 14) (instanceRef apb0)) (portRef (member haddr 23) (instanceRef mctrl2_sr1)) (portRef (member haddr 19) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_6 "ahbsi.haddr[6]") (joined (portRef (member haddr_0 25) (instanceRef ahb0)) (portRef (member haddr 13) (instanceRef apb0)) (portRef (member haddr 22) (instanceRef mctrl2_sr1)) (portRef (member haddr 18) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_7 "ahbsi.haddr[7]") (joined (portRef (member haddr_0 24) (instanceRef ahb0)) (portRef (member haddr 12) (instanceRef apb0)) (portRef (member haddr 21) (instanceRef mctrl2_sr1)) (portRef (member haddr 17) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_8 "ahbsi.haddr[8]") (joined (portRef (member haddr_0 23) (instanceRef ahb0)) (portRef (member haddr 11) (instanceRef apb0)) (portRef (member haddr 20) (instanceRef mctrl2_sr1)) (portRef (member haddr 16) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_9 "ahbsi.haddr[9]") (joined (portRef (member haddr_0 22) (instanceRef ahb0)) (portRef (member haddr 10) (instanceRef apb0)) (portRef (member haddr 19) (instanceRef mctrl2_sr1)) (portRef (member haddr 15) (instanceRef l3_dsugen_dsu0)) )) (net (rename dcomgen_un1_dcom0_7 "dcomgen.un1_dcom0[7]") (joined (portRef un1_dcom0_0 (instanceRef dcomgen_dcom0)) (portRef un1_dcom0_0 (instanceRef apb0)) )) (net (rename dcomgen_un1_dcom0_12 "dcomgen.un1_dcom0[12]") (joined (portRef un1_dcom0_5 (instanceRef dcomgen_dcom0)) (portRef un1_dcom0_5 (instanceRef apb0)) )) (net (rename dcomgen_un1_dcom0_13 "dcomgen.un1_dcom0[13]") (joined (portRef un1_dcom0_6 (instanceRef dcomgen_dcom0)) (portRef un1_dcom0_6 (instanceRef apb0)) )) (net (rename dcomgen_un1_dcom0_14 "dcomgen.un1_dcom0[14]") (joined (portRef un1_dcom0_7 (instanceRef dcomgen_dcom0)) (portRef un1_dcom0_7 (instanceRef apb0)) )) (net (rename dcomgen_un1_dcom0_15 "dcomgen.un1_dcom0[15]") (joined (portRef un1_dcom0_8 (instanceRef dcomgen_dcom0)) (portRef un1_dcom0_8 (instanceRef apb0)) )) (net (rename dcomgen_un1_dcom0_16 "dcomgen.un1_dcom0[16]") (joined (portRef un1_dcom0_9 (instanceRef dcomgen_dcom0)) (portRef un1_dcom0_9 (instanceRef apb0)) )) (net (rename dcomgen_un1_dcom0_17 "dcomgen.un1_dcom0[17]") (joined (portRef un1_dcom0_10 (instanceRef dcomgen_dcom0)) (portRef un1_dcom0_10 (instanceRef apb0)) )) (net (rename dcomgen_un1_dcom0_18 "dcomgen.un1_dcom0[18]") (joined (portRef un1_dcom0_11 (instanceRef dcomgen_dcom0)) (portRef un1_dcom0_11 (instanceRef apb0)) )) (net (rename dcomgen_un1_dcom0_19 "dcomgen.un1_dcom0[19]") (joined (portRef un1_dcom0_12 (instanceRef dcomgen_dcom0)) (portRef un1_dcom0_12 (instanceRef apb0)) )) (net (rename ahbmo_1_haddr_0 "ahbmo_1.haddr[0]") (joined (portRef (member haddr 31) (instanceRef dcomgen_dcom0)) (portRef haddr_1_0 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_1 "ahbmo_1.haddr[1]") (joined (portRef (member haddr 30) (instanceRef dcomgen_dcom0)) (portRef haddr_1_1 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_2 "ahbmo_1.haddr[2]") (joined (portRef (member haddr 29) (instanceRef dcomgen_dcom0)) (portRef (member haddr_2 8) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_3 "ahbmo_1.haddr[3]") (joined (portRef (member haddr 28) (instanceRef dcomgen_dcom0)) (portRef (member haddr_2 7) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_4 "ahbmo_1.haddr[4]") (joined (portRef (member haddr 27) (instanceRef dcomgen_dcom0)) (portRef (member haddr_2 6) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_5 "ahbmo_1.haddr[5]") (joined (portRef (member haddr 26) (instanceRef dcomgen_dcom0)) (portRef (member haddr_2 5) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_6 "ahbmo_1.haddr[6]") (joined (portRef (member haddr 25) (instanceRef dcomgen_dcom0)) (portRef (member haddr_2 4) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_7 "ahbmo_1.haddr[7]") (joined (portRef (member haddr 24) (instanceRef dcomgen_dcom0)) (portRef (member haddr_2 3) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_8 "ahbmo_1.haddr[8]") (joined (portRef (member haddr 23) (instanceRef dcomgen_dcom0)) (portRef (member haddr_2 2) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_9 "ahbmo_1.haddr[9]") (joined (portRef (member haddr 22) (instanceRef dcomgen_dcom0)) (portRef (member haddr_2 1) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_10 "ahbmo_1.haddr[10]") (joined (portRef (member haddr 21) (instanceRef dcomgen_dcom0)) (portRef (member haddr_2 0) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_11 "ahbmo_1.haddr[11]") (joined (portRef (member haddr 20) (instanceRef dcomgen_dcom0)) (portRef haddr_1_11 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_12 "ahbmo_1.haddr[12]") (joined (portRef (member haddr 19) (instanceRef dcomgen_dcom0)) (portRef haddr_1_12 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_13 "ahbmo_1.haddr[13]") (joined (portRef (member haddr 18) (instanceRef dcomgen_dcom0)) (portRef haddr_1_13 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_14 "ahbmo_1.haddr[14]") (joined (portRef (member haddr 17) (instanceRef dcomgen_dcom0)) (portRef haddr_1_14 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_15 "ahbmo_1.haddr[15]") (joined (portRef (member haddr 16) (instanceRef dcomgen_dcom0)) (portRef haddr_1_15 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_16 "ahbmo_1.haddr[16]") (joined (portRef (member haddr 15) (instanceRef dcomgen_dcom0)) (portRef haddr_1_16 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_17 "ahbmo_1.haddr[17]") (joined (portRef (member haddr 14) (instanceRef dcomgen_dcom0)) (portRef haddr_1_17 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_18 "ahbmo_1.haddr[18]") (joined (portRef (member haddr 13) (instanceRef dcomgen_dcom0)) (portRef haddr_1_18 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_19 "ahbmo_1.haddr[19]") (joined (portRef (member haddr 12) (instanceRef dcomgen_dcom0)) (portRef haddr_1_19 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_20 "ahbmo_1.haddr[20]") (joined (portRef (member haddr 11) (instanceRef dcomgen_dcom0)) (portRef haddr_1_20 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_21 "ahbmo_1.haddr[21]") (joined (portRef (member haddr 10) (instanceRef dcomgen_dcom0)) (portRef haddr_1_21 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_22 "ahbmo_1.haddr[22]") (joined (portRef (member haddr 9) (instanceRef dcomgen_dcom0)) (portRef haddr_1_22 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_23 "ahbmo_1.haddr[23]") (joined (portRef (member haddr 8) (instanceRef dcomgen_dcom0)) (portRef haddr_1_23 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_24 "ahbmo_1.haddr[24]") (joined (portRef (member haddr 7) (instanceRef dcomgen_dcom0)) (portRef haddr_1_24 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_25 "ahbmo_1.haddr[25]") (joined (portRef (member haddr 6) (instanceRef dcomgen_dcom0)) (portRef (member haddr_0 6) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_26 "ahbmo_1.haddr[26]") (joined (portRef (member haddr 5) (instanceRef dcomgen_dcom0)) (portRef (member haddr_0 5) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_27 "ahbmo_1.haddr[27]") (joined (portRef (member haddr 4) (instanceRef dcomgen_dcom0)) (portRef (member haddr_0 4) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_28 "ahbmo_1.haddr[28]") (joined (portRef (member haddr 3) (instanceRef dcomgen_dcom0)) (portRef haddr_1_28 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_29 "ahbmo_1.haddr[29]") (joined (portRef (member haddr 2) (instanceRef dcomgen_dcom0)) (portRef (member haddr_0 2) (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_30 "ahbmo_1.haddr[30]") (joined (portRef (member haddr 1) (instanceRef dcomgen_dcom0)) (portRef haddr_1_30 (instanceRef ahb0)) )) (net (rename ahbmo_1_haddr_31 "ahbmo_1.haddr[31]") (joined (portRef (member haddr 0) (instanceRef dcomgen_dcom0)) (portRef (member haddr_0 0) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwrite "ahbmo_1.hwrite") (joined (portRef hwrite (instanceRef dcomgen_dcom0)) (portRef hwrite_1 (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_0 "ahbmo_1.hwdata[0]") (joined (portRef (member hwdata 31) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 31) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_1 "ahbmo_1.hwdata[1]") (joined (portRef (member hwdata 30) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 30) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_2 "ahbmo_1.hwdata[2]") (joined (portRef (member hwdata 29) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 29) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_3 "ahbmo_1.hwdata[3]") (joined (portRef (member hwdata 28) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 28) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_4 "ahbmo_1.hwdata[4]") (joined (portRef (member hwdata 27) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 27) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_5 "ahbmo_1.hwdata[5]") (joined (portRef (member hwdata 26) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 26) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_6 "ahbmo_1.hwdata[6]") (joined (portRef (member hwdata 25) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 25) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_7 "ahbmo_1.hwdata[7]") (joined (portRef (member hwdata 24) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 24) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_8 "ahbmo_1.hwdata[8]") (joined (portRef (member hwdata 23) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 23) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_9 "ahbmo_1.hwdata[9]") (joined (portRef (member hwdata 22) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 22) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_10 "ahbmo_1.hwdata[10]") (joined (portRef (member hwdata 21) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 21) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_11 "ahbmo_1.hwdata[11]") (joined (portRef (member hwdata 20) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 20) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_12 "ahbmo_1.hwdata[12]") (joined (portRef (member hwdata 19) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 19) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_13 "ahbmo_1.hwdata[13]") (joined (portRef (member hwdata 18) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 18) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_14 "ahbmo_1.hwdata[14]") (joined (portRef (member hwdata 17) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 17) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_15 "ahbmo_1.hwdata[15]") (joined (portRef (member hwdata 16) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 16) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_16 "ahbmo_1.hwdata[16]") (joined (portRef (member hwdata 15) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 15) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_17 "ahbmo_1.hwdata[17]") (joined (portRef (member hwdata 14) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 14) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_18 "ahbmo_1.hwdata[18]") (joined (portRef (member hwdata 13) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 13) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_19 "ahbmo_1.hwdata[19]") (joined (portRef (member hwdata 12) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 12) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_20 "ahbmo_1.hwdata[20]") (joined (portRef (member hwdata 11) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 11) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_21 "ahbmo_1.hwdata[21]") (joined (portRef (member hwdata 10) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 10) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_22 "ahbmo_1.hwdata[22]") (joined (portRef (member hwdata 9) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 9) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_23 "ahbmo_1.hwdata[23]") (joined (portRef (member hwdata 8) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 8) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_24 "ahbmo_1.hwdata[24]") (joined (portRef (member hwdata 7) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 7) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_25 "ahbmo_1.hwdata[25]") (joined (portRef (member hwdata 6) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 6) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_26 "ahbmo_1.hwdata[26]") (joined (portRef (member hwdata 5) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 5) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_27 "ahbmo_1.hwdata[27]") (joined (portRef (member hwdata 4) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 4) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_28 "ahbmo_1.hwdata[28]") (joined (portRef (member hwdata 3) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 3) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_29 "ahbmo_1.hwdata[29]") (joined (portRef (member hwdata 2) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 2) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_30 "ahbmo_1.hwdata[30]") (joined (portRef (member hwdata 1) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 1) (instanceRef ahb0)) )) (net (rename ahbmo_1_hwdata_31 "ahbmo_1.hwdata[31]") (joined (portRef (member hwdata 0) (instanceRef dcomgen_dcom0)) (portRef (member hwdata_1 0) (instanceRef ahb0)) )) (net (rename ahbso_1_hready "ahbso_1.hready") (joined (portRef hready (instanceRef apb0)) (portRef hready_1 (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_0 "ahbso_1.hrdata[0]") (joined (portRef (member hrdata 31) (instanceRef apb0)) (portRef (member hrdata_1 31) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_1 "ahbso_1.hrdata[1]") (joined (portRef (member hrdata 30) (instanceRef apb0)) (portRef (member hrdata_1 30) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_2 "ahbso_1.hrdata[2]") (joined (portRef (member hrdata 29) (instanceRef apb0)) (portRef (member hrdata_0 29) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_3 "ahbso_1.hrdata[3]") (joined (portRef (member hrdata 28) (instanceRef apb0)) (portRef (member hrdata_0 28) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_4 "ahbso_1.hrdata[4]") (joined (portRef (member hrdata 27) (instanceRef apb0)) (portRef hrdata_2_3 (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_5 "ahbso_1.hrdata[5]") (joined (portRef (member hrdata 26) (instanceRef apb0)) (portRef (member hrdata_0 26) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_6 "ahbso_1.hrdata[6]") (joined (portRef (member hrdata 25) (instanceRef apb0)) (portRef hrdata_2_5 (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_7 "ahbso_1.hrdata[7]") (joined (portRef (member hrdata 24) (instanceRef apb0)) (portRef (member hrdata_1 24) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_8 "ahbso_1.hrdata[8]") (joined (portRef (member hrdata 23) (instanceRef apb0)) (portRef (member hrdata_0 23) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_9 "ahbso_1.hrdata[9]") (joined (portRef (member hrdata 22) (instanceRef apb0)) (portRef (member hrdata_0 22) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_10 "ahbso_1.hrdata[10]") (joined (portRef (member hrdata 21) (instanceRef apb0)) (portRef hrdata_2_9 (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_11 "ahbso_1.hrdata[11]") (joined (portRef (member hrdata 20) (instanceRef apb0)) (portRef hrdata_2_10 (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_12 "ahbso_1.hrdata[12]") (joined (portRef (member hrdata 19) (instanceRef apb0)) (portRef (member hrdata_0 19) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_13 "ahbso_1.hrdata[13]") (joined (portRef (member hrdata 18) (instanceRef apb0)) (portRef (member hrdata_0 18) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_14 "ahbso_1.hrdata[14]") (joined (portRef (member hrdata 17) (instanceRef apb0)) (portRef (member hrdata_0 17) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_15 "ahbso_1.hrdata[15]") (joined (portRef (member hrdata 16) (instanceRef apb0)) (portRef (member hrdata_0 16) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_16 "ahbso_1.hrdata[16]") (joined (portRef (member hrdata 15) (instanceRef apb0)) (portRef (member hrdata_1 15) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_17 "ahbso_1.hrdata[17]") (joined (portRef (member hrdata 14) (instanceRef apb0)) (portRef (member hrdata_0 14) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_18 "ahbso_1.hrdata[18]") (joined (portRef (member hrdata 13) (instanceRef apb0)) (portRef (member hrdata_1 13) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_19 "ahbso_1.hrdata[19]") (joined (portRef (member hrdata 12) (instanceRef apb0)) (portRef (member hrdata_1 12) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_20 "ahbso_1.hrdata[20]") (joined (portRef (member hrdata 11) (instanceRef apb0)) (portRef (member hrdata_1 11) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_21 "ahbso_1.hrdata[21]") (joined (portRef (member hrdata 10) (instanceRef apb0)) (portRef (member hrdata_1 10) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_22 "ahbso_1.hrdata[22]") (joined (portRef (member hrdata 9) (instanceRef apb0)) (portRef (member hrdata_0 9) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_23 "ahbso_1.hrdata[23]") (joined (portRef (member hrdata 8) (instanceRef apb0)) (portRef (member hrdata_1 8) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_24 "ahbso_1.hrdata[24]") (joined (portRef (member hrdata 7) (instanceRef apb0)) (portRef (member hrdata_0 7) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_25 "ahbso_1.hrdata[25]") (joined (portRef (member hrdata 6) (instanceRef apb0)) (portRef (member hrdata_1 6) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_26 "ahbso_1.hrdata[26]") (joined (portRef (member hrdata 5) (instanceRef apb0)) (portRef (member hrdata_0 5) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_27 "ahbso_1.hrdata[27]") (joined (portRef (member hrdata 4) (instanceRef apb0)) (portRef (member hrdata_1 4) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_28 "ahbso_1.hrdata[28]") (joined (portRef (member hrdata 3) (instanceRef apb0)) (portRef (member hrdata_0 3) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_29 "ahbso_1.hrdata[29]") (joined (portRef (member hrdata 2) (instanceRef apb0)) (portRef (member hrdata_1 2) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_30 "ahbso_1.hrdata[30]") (joined (portRef (member hrdata 1) (instanceRef apb0)) (portRef (member hrdata_0 1) (instanceRef ahb0)) )) (net (rename ahbso_1_hrdata_31 "ahbso_1.hrdata[31]") (joined (portRef (member hrdata 0) (instanceRef apb0)) (portRef (member hrdata_0 0) (instanceRef ahb0)) )) (net (rename apbi_penable "apbi.penable") (joined (portRef penable (instanceRef apb0)) (portRef penable (instanceRef ua1_uart1)) (portRef penable (instanceRef gpt_timer0)) (portRef penable (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_2 "apbi.paddr[2]") (joined (portRef paddr_0_d0 (instanceRef apb0)) (portRef (member paddr 4) (instanceRef gpt_timer0)) (portRef paddr_0_d0 (instanceRef mctrl2_sr1)) )) (net (rename apbi_paddr_3 "apbi.paddr[3]") (joined (portRef paddr_1_d0 (instanceRef apb0)) (portRef paddr_0 (instanceRef gpio0_grgpio0)) (portRef paddr_0_d0 (instanceRef ua1_uart1)) (portRef (member paddr 3) (instanceRef gpt_timer0)) (portRef (member paddr 0) (instanceRef dcomgen_dcom0)) (portRef paddr_0_d0 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_4 "apbi.paddr[4]") (joined (portRef paddr_2_d0 (instanceRef apb0)) (portRef paddr_1 (instanceRef gpio0_grgpio0)) (portRef paddr_1 (instanceRef ua1_uart1)) (portRef (member paddr 2) (instanceRef gpt_timer0)) (portRef paddr_1 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_5 "apbi.paddr[5]") (joined (portRef paddr_3 (instanceRef apb0)) (portRef paddr_2_d0 (instanceRef gpio0_grgpio0)) (portRef paddr_2_d0 (instanceRef ua1_uart1)) (portRef (member paddr 1) (instanceRef gpt_timer0)) (portRef paddr_3 (instanceRef mctrl2_sr1)) )) (net (rename apbi_paddr_6 "apbi.paddr[6]") (joined (portRef paddr_4 (instanceRef apb0)) (portRef (member paddr 0) (instanceRef gpt_timer0)) (portRef paddr_3 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_7 "apbi.paddr[7]") (joined (portRef paddr_5 (instanceRef apb0)) (portRef paddr_4 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_8 "apbi.paddr[8]") (joined (portRef paddr_6 (instanceRef apb0)) (portRef paddr_6 (instanceRef mctrl2_sr1)) (portRef paddr_5 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_9 "apbi.paddr[9]") (joined (portRef paddr_7 (instanceRef apb0)) (portRef paddr_7 (instanceRef mctrl2_sr1)) (portRef paddr_6 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_10 "apbi.paddr[10]") (joined (portRef paddr_8 (instanceRef apb0)) (portRef paddr_7 (instanceRef ua1_uart1)) (portRef paddr_8 (instanceRef mctrl2_sr1)) (portRef paddr_7 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_11 "apbi.paddr[11]") (joined (portRef paddr_9 (instanceRef apb0)) (portRef paddr_8 (instanceRef gpio0_grgpio0)) )) (net (rename apbi_pwrite "apbi.pwrite") (joined (portRef pwrite (instanceRef apb0)) (portRef pwrite (instanceRef ua1_uart1)) (portRef pwrite (instanceRef gpt_timer0)) (portRef pwrite (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_0 "apbi.pwdata[0]") (joined (portRef (member pwdata 31) (instanceRef apb0)) (portRef pwdata_0_d0 (instanceRef gpio0_grgpio0)) (portRef (member pwdata 14) (instanceRef ua1_uart1)) (portRef (member pwdata 31) (instanceRef gpt_timer0)) (portRef pwdata_0_d0 (instanceRef dcomgen_dcom0)) (portRef pwdata_0_d0 (instanceRef mctrl2_sr1)) )) (net (rename apbi_pwdata_1 "apbi.pwdata[1]") (joined (portRef (member pwdata 30) (instanceRef apb0)) (portRef pwdata_1 (instanceRef gpio0_grgpio0)) (portRef (member pwdata 13) (instanceRef ua1_uart1)) (portRef (member pwdata 30) (instanceRef gpt_timer0)) (portRef pwdata_1 (instanceRef mctrl2_sr1)) (portRef pwdata_0_d0 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_2 "apbi.pwdata[2]") (joined (portRef (member pwdata 29) (instanceRef apb0)) (portRef (member pwdata 12) (instanceRef ua1_uart1)) (portRef (member pwdata 29) (instanceRef gpt_timer0)) (portRef pwdata_2 (instanceRef dcomgen_dcom0)) (portRef pwdata_2 (instanceRef mctrl2_sr1)) (portRef pwdata_1 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_3 "apbi.pwdata[3]") (joined (portRef (member pwdata 28) (instanceRef apb0)) (portRef (member pwdata 11) (instanceRef ua1_uart1)) (portRef (member pwdata 28) (instanceRef gpt_timer0)) (portRef pwdata_3 (instanceRef dcomgen_dcom0)) (portRef pwdata_3 (instanceRef mctrl2_sr1)) (portRef pwdata_2 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_4 "apbi.pwdata[4]") (joined (portRef (member pwdata 27) (instanceRef apb0)) (portRef pwdata_4 (instanceRef gpio0_grgpio0)) (portRef (member pwdata 10) (instanceRef ua1_uart1)) (portRef (member pwdata 27) (instanceRef gpt_timer0)) (portRef pwdata_4 (instanceRef dcomgen_dcom0)) (portRef pwdata_4 (instanceRef mctrl2_sr1)) (portRef pwdata_3 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_5 "apbi.pwdata[5]") (joined (portRef (member pwdata 26) (instanceRef apb0)) (portRef pwdata_5 (instanceRef gpio0_grgpio0)) (portRef (member pwdata 9) (instanceRef ua1_uart1)) (portRef (member pwdata 26) (instanceRef gpt_timer0)) (portRef pwdata_5 (instanceRef dcomgen_dcom0)) (portRef pwdata_5 (instanceRef mctrl2_sr1)) (portRef pwdata_4 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_6 "apbi.pwdata[6]") (joined (portRef (member pwdata 25) (instanceRef apb0)) (portRef pwdata_6 (instanceRef gpio0_grgpio0)) (portRef (member pwdata 8) (instanceRef ua1_uart1)) (portRef (member pwdata 25) (instanceRef gpt_timer0)) (portRef pwdata_6 (instanceRef dcomgen_dcom0)) (portRef pwdata_6 (instanceRef mctrl2_sr1)) (portRef pwdata_5 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_7 "apbi.pwdata[7]") (joined (portRef (member pwdata 24) (instanceRef apb0)) (portRef (member pwdata 7) (instanceRef ua1_uart1)) (portRef (member pwdata 24) (instanceRef gpt_timer0)) (portRef pwdata_7 (instanceRef dcomgen_dcom0)) (portRef pwdata_7 (instanceRef mctrl2_sr1)) (portRef pwdata_6 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_8 "apbi.pwdata[8]") (joined (portRef (member pwdata 23) (instanceRef apb0)) (portRef (member pwdata 6) (instanceRef ua1_uart1)) (portRef (member pwdata 23) (instanceRef gpt_timer0)) (portRef pwdata_8 (instanceRef dcomgen_dcom0)) (portRef pwdata_8 (instanceRef mctrl2_sr1)) (portRef pwdata_7 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_9 "apbi.pwdata[9]") (joined (portRef (member pwdata 22) (instanceRef apb0)) (portRef (member pwdata 5) (instanceRef ua1_uart1)) (portRef (member pwdata 22) (instanceRef gpt_timer0)) (portRef pwdata_9 (instanceRef dcomgen_dcom0)) (portRef pwdata_9 (instanceRef mctrl2_sr1)) (portRef pwdata_8 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_10 "apbi.pwdata[10]") (joined (portRef (member pwdata 21) (instanceRef apb0)) (portRef (member pwdata 4) (instanceRef ua1_uart1)) (portRef (member pwdata 21) (instanceRef gpt_timer0)) (portRef pwdata_10 (instanceRef dcomgen_dcom0)) (portRef pwdata_10 (instanceRef mctrl2_sr1)) (portRef pwdata_9 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_11 "apbi.pwdata[11]") (joined (portRef (member pwdata 20) (instanceRef apb0)) (portRef (member pwdata 3) (instanceRef ua1_uart1)) (portRef (member pwdata 20) (instanceRef gpt_timer0)) (portRef pwdata_11 (instanceRef dcomgen_dcom0)) (portRef pwdata_11 (instanceRef mctrl2_sr1)) (portRef pwdata_10 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_12 "apbi.pwdata[12]") (joined (portRef (member pwdata 19) (instanceRef apb0)) (portRef (member pwdata 2) (instanceRef ua1_uart1)) (portRef (member pwdata 19) (instanceRef gpt_timer0)) (portRef pwdata_12 (instanceRef dcomgen_dcom0)) (portRef pwdata_12 (instanceRef mctrl2_sr1)) (portRef pwdata_11 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_13 "apbi.pwdata[13]") (joined (portRef (member pwdata 18) (instanceRef apb0)) (portRef (member pwdata 1) (instanceRef ua1_uart1)) (portRef (member pwdata 18) (instanceRef gpt_timer0)) (portRef pwdata_13 (instanceRef dcomgen_dcom0)) (portRef pwdata_12 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_14 "apbi.pwdata[14]") (joined (portRef (member pwdata 17) (instanceRef apb0)) (portRef (member pwdata 0) (instanceRef ua1_uart1)) (portRef (member pwdata 17) (instanceRef gpt_timer0)) (portRef pwdata_14 (instanceRef dcomgen_dcom0)) (portRef pwdata_13 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_15 "apbi.pwdata[15]") (joined (portRef (member pwdata 16) (instanceRef apb0)) (portRef (member pwdata 16) (instanceRef gpt_timer0)) (portRef pwdata_15 (instanceRef dcomgen_dcom0)) (portRef pwdata_14 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_16 "apbi.pwdata[16]") (joined (portRef (member pwdata 15) (instanceRef apb0)) (portRef (member pwdata 15) (instanceRef gpt_timer0)) (portRef pwdata_16 (instanceRef dcomgen_dcom0)) )) (net (rename apbi_pwdata_17 "apbi.pwdata[17]") (joined (portRef (member pwdata 14) (instanceRef apb0)) (portRef (member pwdata 14) (instanceRef gpt_timer0)) (portRef pwdata_17 (instanceRef dcomgen_dcom0)) (portRef pwdata_16 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_18 "apbi.pwdata[18]") (joined (portRef (member pwdata 13) (instanceRef apb0)) (portRef (member pwdata 13) (instanceRef gpt_timer0)) (portRef pwdata_17 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_19 "apbi.pwdata[19]") (joined (portRef (member pwdata 12) (instanceRef apb0)) (portRef (member pwdata 12) (instanceRef gpt_timer0)) (portRef pwdata_19 (instanceRef mctrl2_sr1)) (portRef pwdata_18 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_20 "apbi.pwdata[20]") (joined (portRef (member pwdata 11) (instanceRef apb0)) (portRef (member pwdata 11) (instanceRef gpt_timer0)) (portRef pwdata_20 (instanceRef mctrl2_sr1)) (portRef pwdata_19 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_21 "apbi.pwdata[21]") (joined (portRef (member pwdata 10) (instanceRef apb0)) (portRef (member pwdata 10) (instanceRef gpt_timer0)) (portRef pwdata_21 (instanceRef mctrl2_sr1)) (portRef pwdata_20 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_22 "apbi.pwdata[22]") (joined (portRef (member pwdata 9) (instanceRef apb0)) (portRef (member pwdata 9) (instanceRef gpt_timer0)) (portRef pwdata_22 (instanceRef mctrl2_sr1)) (portRef pwdata_21 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_23 "apbi.pwdata[23]") (joined (portRef (member pwdata 8) (instanceRef apb0)) (portRef (member pwdata 8) (instanceRef gpt_timer0)) (portRef pwdata_23 (instanceRef mctrl2_sr1)) (portRef pwdata_22 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_24 "apbi.pwdata[24]") (joined (portRef (member pwdata 7) (instanceRef apb0)) (portRef (member pwdata 7) (instanceRef gpt_timer0)) (portRef pwdata_23 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_25 "apbi.pwdata[25]") (joined (portRef (member pwdata 6) (instanceRef apb0)) (portRef (member pwdata 6) (instanceRef gpt_timer0)) (portRef pwdata_25 (instanceRef mctrl2_sr1)) (portRef pwdata_24 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_26 "apbi.pwdata[26]") (joined (portRef (member pwdata 5) (instanceRef apb0)) (portRef (member pwdata 5) (instanceRef gpt_timer0)) (portRef pwdata_26 (instanceRef mctrl2_sr1)) (portRef pwdata_25 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_27 "apbi.pwdata[27]") (joined (portRef (member pwdata 4) (instanceRef apb0)) (portRef (member pwdata 4) (instanceRef gpt_timer0)) (portRef pwdata_27 (instanceRef mctrl2_sr1)) (portRef pwdata_26 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_28 "apbi.pwdata[28]") (joined (portRef (member pwdata 3) (instanceRef apb0)) (portRef (member pwdata 3) (instanceRef gpt_timer0)) (portRef pwdata_28 (instanceRef mctrl2_sr1)) (portRef pwdata_27 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_29 "apbi.pwdata[29]") (joined (portRef (member pwdata 2) (instanceRef apb0)) (portRef (member pwdata 2) (instanceRef gpt_timer0)) (portRef pwdata_28 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_30 "apbi.pwdata[30]") (joined (portRef (member pwdata 1) (instanceRef apb0)) (portRef (member pwdata 1) (instanceRef gpt_timer0)) (portRef pwdata_29 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_31 "apbi.pwdata[31]") (joined (portRef (member pwdata 0) (instanceRef apb0)) (portRef (member pwdata 0) (instanceRef gpt_timer0)) (portRef pwdata_30 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbo_3_prdata_22 "apbo_3.prdata[22]") (joined (portRef prdata_15 (instanceRef gpt_timer0)) (portRef prdata_22 (instanceRef apb0)) )) (net (rename gpioo_dout_0 "gpioo.dout[0]") (joined (portRef (member dout 6) (instanceRef gpio0_grgpio0)) (portRef D (instanceRef gpio_pad_0)) )) (net (rename gpioo_dout_1 "gpioo.dout[1]") (joined (portRef (member dout 5) (instanceRef gpio0_grgpio0)) (portRef D (instanceRef gpio_pad_1)) )) (net (rename gpioo_dout_2 "gpioo.dout[2]") (joined (portRef (member dout 4) (instanceRef gpio0_grgpio0)) (portRef D (instanceRef gpio_pad_2)) )) (net (rename gpioo_dout_3 "gpioo.dout[3]") (joined (portRef (member dout 3) (instanceRef gpio0_grgpio0)) (portRef D (instanceRef gpio_pad_3)) )) (net (rename gpioo_dout_4 "gpioo.dout[4]") (joined (portRef (member dout 2) (instanceRef gpio0_grgpio0)) (portRef D (instanceRef gpio_pad_4)) )) (net (rename gpioo_dout_5 "gpioo.dout[5]") (joined (portRef (member dout 1) (instanceRef gpio0_grgpio0)) (portRef D (instanceRef gpio_pad_5)) )) (net (rename gpioo_dout_6 "gpioo.dout[6]") (joined (portRef (member dout 0) (instanceRef gpio0_grgpio0)) (portRef D (instanceRef gpio_pad_6)) )) (net (rename mctrl2_sr1_r_mcfg1_ioen "mctrl2.sr1.r.mcfg1.ioen") (joined (portRef ioen (instanceRef mctrl2_sr1)) (portRef ioen (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_brdyen "mctrl2.sr1.r.mcfg1.brdyen") (joined (portRef brdyen (instanceRef mctrl2_sr1)) (portRef brdyen (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg2_rmw "mctrl2.sr1.r.mcfg2.rmw") (joined (portRef rmw (instanceRef mctrl2_sr1)) (portRef rmw (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_bexcen "mctrl2.sr1.r.mcfg1.bexcen") (joined (portRef bexcen (instanceRef mctrl2_sr1)) (portRef bexcen (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg2_rambanksz_0 "mctrl2.sr1.r.mcfg2.rambanksz[0]") (joined (portRef rambanksz_0 (instanceRef mctrl2_sr1)) (portRef rambanksz_0 (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg2_rambanksz_1 "mctrl2.sr1.r.mcfg2.rambanksz[1]") (joined (portRef rambanksz_1 (instanceRef mctrl2_sr1)) (portRef rambanksz_1 (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg2_rambanksz_3 "mctrl2.sr1.r.mcfg2.rambanksz[3]") (joined (portRef rambanksz_3 (instanceRef mctrl2_sr1)) (portRef rambanksz_3 (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg2_ramwidth_1 "mctrl2.sr1.r.mcfg2.ramwidth[1]") (joined (portRef (member ramwidth 0) (instanceRef mctrl2_sr1)) (portRef (member ramwidth 0) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_iows_0 "mctrl2.sr1.r.mcfg1.iows[0]") (joined (portRef (member iows 3) (instanceRef mctrl2_sr1)) (portRef (member iows 3) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_iows_1 "mctrl2.sr1.r.mcfg1.iows[1]") (joined (portRef (member iows 2) (instanceRef mctrl2_sr1)) (portRef (member iows 2) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_iows_2 "mctrl2.sr1.r.mcfg1.iows[2]") (joined (portRef (member iows 1) (instanceRef mctrl2_sr1)) (portRef (member iows 1) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_iows_3 "mctrl2.sr1.r.mcfg1.iows[3]") (joined (portRef (member iows 0) (instanceRef mctrl2_sr1)) (portRef (member iows 0) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_iowidth_0 "mctrl2.sr1.r.mcfg1.iowidth[0]") (joined (portRef (member iowidth 1) (instanceRef mctrl2_sr1)) (portRef (member iowidth 1) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_iowidth_1 "mctrl2.sr1.r.mcfg1.iowidth[1]") (joined (portRef (member iowidth 0) (instanceRef mctrl2_sr1)) (portRef (member iowidth 0) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg2_ramwidth_0 "mctrl2.sr1.r.mcfg2.ramwidth[0]") (joined (portRef (member ramwidth 1) (instanceRef mctrl2_sr1)) (portRef (member ramwidth 1) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg2_ramrws_0 "mctrl2.sr1.r.mcfg2.ramrws[0]") (joined (portRef ramrws_0 (instanceRef mctrl2_sr1)) (portRef (member ramrws 0) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg2_ramwws_0 "mctrl2.sr1.r.mcfg2.ramwws[0]") (joined (portRef (member ramwws 1) (instanceRef mctrl2_sr1)) (portRef (member ramwws 1) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg2_ramwws_1 "mctrl2.sr1.r.mcfg2.ramwws[1]") (joined (portRef (member ramwws 0) (instanceRef mctrl2_sr1)) (portRef (member ramwws 0) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_romrws_0 "mctrl2.sr1.r.mcfg1.romrws[0]") (joined (portRef romrws_0 (instanceRef mctrl2_sr1)) (portRef romrws_0 (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_romrws_2 "mctrl2.sr1.r.mcfg1.romrws[2]") (joined (portRef romrws_2 (instanceRef mctrl2_sr1)) (portRef romrws_2 (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_romrws_3 "mctrl2.sr1.r.mcfg1.romrws[3]") (joined (portRef romrws_3 (instanceRef mctrl2_sr1)) (portRef romrws_3 (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_romwws_0 "mctrl2.sr1.r.mcfg1.romwws[0]") (joined (portRef (member romwws 3) (instanceRef mctrl2_sr1)) (portRef (member romwws 3) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_romwws_1 "mctrl2.sr1.r.mcfg1.romwws[1]") (joined (portRef (member romwws 2) (instanceRef mctrl2_sr1)) (portRef (member romwws 2) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_romwws_2 "mctrl2.sr1.r.mcfg1.romwws[2]") (joined (portRef (member romwws 1) (instanceRef mctrl2_sr1)) (portRef (member romwws 1) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_romwws_3 "mctrl2.sr1.r.mcfg1.romwws[3]") (joined (portRef (member romwws 0) (instanceRef mctrl2_sr1)) (portRef (member romwws 0) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_romwidth_0 "mctrl2.sr1.r.mcfg1.romwidth[0]") (joined (portRef (member romwidth 1) (instanceRef mctrl2_sr1)) (portRef (member romwidth 1) (instanceRef apb0)) )) (net (rename mctrl2_sr1_r_mcfg1_romwidth_1 "mctrl2.sr1.r.mcfg1.romwidth[1]") (joined (portRef (member romwidth 0) (instanceRef mctrl2_sr1)) (portRef (member romwidth 0) (instanceRef apb0)) )) (net (rename r_hready_RNICLR2 "r.hready_RNICLR2") (joined (portRef hready_RNICLR2 (instanceRef ahb0)) (portRef hready_RNICLR2 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_32 "dcomgen.dcom0.dcom0.dmao_i_1[32]") (joined (portRef dmao_i_1_17 (instanceRef ahb0)) (portRef dmao_i_1_17 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_17 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_37 "dcomgen.dcom0.dcom0.dmao_i_1[37]") (joined (portRef dmao_i_1_22 (instanceRef ahb0)) (portRef dmao_i_1_22 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_22 (instanceRef l3_cpu_0_u0)) )) (net (rename r_hrdatas_RNIO2L41_5 "r.hrdatas_RNIO2L41[5]") (joined (portRef (member hrdatas_rnio2l41 0) (instanceRef ahb0)) (portRef (member hrdatas_rnio2l41 0) (instanceRef dcomgen_dcom0)) (portRef (member hrdatas_rnio2l41 0) (instanceRef l3_cpu_0_u0)) )) (net (rename r_cfgsel_RNILM2N1 "r.cfgsel_RNILM2N1") (joined (portRef cfgsel_RNILM2N1 (instanceRef ahb0)) (portRef cfgsel_RNILM2N1 (instanceRef dcomgen_dcom0)) (portRef cfgsel_RNILM2N1 (instanceRef l3_cpu_0_u0)) )) (net (rename r_cfgsel_RNIFO4S1 "r.cfgsel_RNIFO4S1") (joined (portRef cfgsel_RNIFO4S1 (instanceRef ahb0)) (portRef cfgsel_RNIFO4S1 (instanceRef dcomgen_dcom0)) (portRef cfgsel_RNIFO4S1 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_29 "dcomgen.dcom0.dcom0.dmao_i_1[29]") (joined (portRef dmao_i_1_14 (instanceRef ahb0)) (portRef dmao_i_1_14 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_14 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_30 "dcomgen.dcom0.dcom0.dmao_i_1[30]") (joined (portRef dmao_i_1_15 (instanceRef ahb0)) (portRef dmao_i_1_15 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_15 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_41 "dcomgen.dcom0.dcom0.dmao_i_1[41]") (joined (portRef dmao_i_1_26 (instanceRef ahb0)) (portRef dmao_i_1_26 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_26 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_43 "dcomgen.dcom0.dcom0.dmao_i_1[43]") (joined (portRef dmao_i_1_28 (instanceRef ahb0)) (portRef dmao_i_1_28 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_28 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_44 "dcomgen.dcom0.dcom0.dmao_i_1[44]") (joined (portRef dmao_i_1_29 (instanceRef ahb0)) (portRef dmao_i_1_29 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_29 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_45 "dcomgen.dcom0.dcom0.dmao_i_1[45]") (joined (portRef dmao_i_1_30 (instanceRef ahb0)) (portRef dmao_i_1_30 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_30 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_46 "dcomgen.dcom0.dcom0.dmao_i_1[46]") (joined (portRef dmao_i_1_31 (instanceRef ahb0)) (portRef dmao_i_1_31 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_31 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_40 "dcomgen.dcom0.dcom0.dmao_i_1[40]") (joined (portRef dmao_i_1_25 (instanceRef ahb0)) (portRef dmao_i_1_25 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_25 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_39 "dcomgen.dcom0.dcom0.dmao_i_1[39]") (joined (portRef dmao_i_1_24 (instanceRef ahb0)) (portRef dmao_i_1_24 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_24 (instanceRef l3_cpu_0_u0)) )) (net (rename dcomgen_dcom0_dcom0_dmao_i_1_15 "dcomgen.dcom0.dcom0.dmao_i_1[15]") (joined (portRef dmao_i_1_0 (instanceRef ahb0)) (portRef dmao_i_1_0 (instanceRef dcomgen_dcom0)) (portRef dmao_i_1_0 (instanceRef l3_cpu_0_u0)) )) (net N_2307 (joined (portRef N_2307 (instanceRef ahb0)) (portRef N_2307 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbsi_hwdata_0 "ahbsi.hwdata[0]") (joined (portRef (member hwdata 31) (instanceRef ahb0)) (portRef (member hwdata 31) (instanceRef apb0)) (portRef (member hwdata 31) (instanceRef mctrl2_sr1)) (portRef (member hwdata 31) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_1 "ahbsi.hwdata[1]") (joined (portRef (member hwdata 30) (instanceRef ahb0)) (portRef (member hwdata 30) (instanceRef apb0)) (portRef (member hwdata 30) (instanceRef mctrl2_sr1)) (portRef (member hwdata 30) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_2 "ahbsi.hwdata[2]") (joined (portRef (member hwdata 29) (instanceRef ahb0)) (portRef (member hwdata 29) (instanceRef apb0)) (portRef (member hwdata 29) (instanceRef mctrl2_sr1)) (portRef (member hwdata 29) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_3 "ahbsi.hwdata[3]") (joined (portRef (member hwdata 28) (instanceRef ahb0)) (portRef (member hwdata 28) (instanceRef apb0)) (portRef (member hwdata 28) (instanceRef mctrl2_sr1)) (portRef (member hwdata 28) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_25 "ahbsi.hwdata[25]") (joined (portRef (member hwdata 6) (instanceRef ahb0)) (portRef (member hwdata 6) (instanceRef apb0)) (portRef (member hwdata 6) (instanceRef mctrl2_sr1)) (portRef (member hwdata 6) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_26 "ahbsi.hwdata[26]") (joined (portRef (member hwdata 5) (instanceRef ahb0)) (portRef (member hwdata 5) (instanceRef apb0)) (portRef (member hwdata 5) (instanceRef mctrl2_sr1)) (portRef (member hwdata 5) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_27 "ahbsi.hwdata[27]") (joined (portRef (member hwdata 4) (instanceRef ahb0)) (portRef (member hwdata 4) (instanceRef apb0)) (portRef (member hwdata 4) (instanceRef mctrl2_sr1)) (portRef (member hwdata 4) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_28 "ahbsi.hwdata[28]") (joined (portRef (member hwdata 3) (instanceRef ahb0)) (portRef (member hwdata 3) (instanceRef apb0)) (portRef (member hwdata 3) (instanceRef mctrl2_sr1)) (portRef (member hwdata 3) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_29 "ahbsi.hwdata[29]") (joined (portRef (member hwdata 2) (instanceRef ahb0)) (portRef (member hwdata 2) (instanceRef apb0)) (portRef (member hwdata 2) (instanceRef mctrl2_sr1)) (portRef (member hwdata 2) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_30 "ahbsi.hwdata[30]") (joined (portRef (member hwdata 1) (instanceRef ahb0)) (portRef (member hwdata 1) (instanceRef apb0)) (portRef (member hwdata 1) (instanceRef mctrl2_sr1)) (portRef (member hwdata 1) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_31 "ahbsi.hwdata[31]") (joined (portRef (member hwdata 0) (instanceRef ahb0)) (portRef (member hwdata 0) (instanceRef apb0)) (portRef (member hwdata 0) (instanceRef mctrl2_sr1)) (portRef (member hwdata 0) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_18 "ahbsi.hwdata[18]") (joined (portRef (member hwdata 13) (instanceRef ahb0)) (portRef (member hwdata 13) (instanceRef apb0)) (portRef (member hwdata 13) (instanceRef mctrl2_sr1)) (portRef (member hwdata 13) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_19 "ahbsi.hwdata[19]") (joined (portRef (member hwdata 12) (instanceRef ahb0)) (portRef (member hwdata 12) (instanceRef apb0)) (portRef (member hwdata 12) (instanceRef mctrl2_sr1)) (portRef (member hwdata 12) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_20 "ahbsi.hwdata[20]") (joined (portRef (member hwdata 11) (instanceRef ahb0)) (portRef (member hwdata 11) (instanceRef apb0)) (portRef (member hwdata 11) (instanceRef mctrl2_sr1)) (portRef (member hwdata 11) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_21 "ahbsi.hwdata[21]") (joined (portRef (member hwdata 10) (instanceRef ahb0)) (portRef (member hwdata 10) (instanceRef apb0)) (portRef (member hwdata 10) (instanceRef mctrl2_sr1)) (portRef (member hwdata 10) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_22 "ahbsi.hwdata[22]") (joined (portRef (member hwdata 9) (instanceRef ahb0)) (portRef (member hwdata 9) (instanceRef apb0)) (portRef (member hwdata 9) (instanceRef mctrl2_sr1)) (portRef (member hwdata 9) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_23 "ahbsi.hwdata[23]") (joined (portRef (member hwdata 8) (instanceRef ahb0)) (portRef (member hwdata 8) (instanceRef apb0)) (portRef (member hwdata 8) (instanceRef mctrl2_sr1)) (portRef (member hwdata 8) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_24 "ahbsi.hwdata[24]") (joined (portRef (member hwdata 7) (instanceRef ahb0)) (portRef (member hwdata 7) (instanceRef apb0)) (portRef (member hwdata 7) (instanceRef mctrl2_sr1)) (portRef (member hwdata 7) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_11 "ahbsi.hwdata[11]") (joined (portRef (member hwdata 20) (instanceRef ahb0)) (portRef (member hwdata 20) (instanceRef apb0)) (portRef (member hwdata 20) (instanceRef mctrl2_sr1)) (portRef (member hwdata 20) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_12 "ahbsi.hwdata[12]") (joined (portRef (member hwdata 19) (instanceRef ahb0)) (portRef (member hwdata 19) (instanceRef apb0)) (portRef (member hwdata 19) (instanceRef mctrl2_sr1)) (portRef (member hwdata 19) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_13 "ahbsi.hwdata[13]") (joined (portRef (member hwdata 18) (instanceRef ahb0)) (portRef (member hwdata 18) (instanceRef apb0)) (portRef (member hwdata 18) (instanceRef mctrl2_sr1)) (portRef (member hwdata 18) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_14 "ahbsi.hwdata[14]") (joined (portRef (member hwdata 17) (instanceRef ahb0)) (portRef (member hwdata 17) (instanceRef apb0)) (portRef (member hwdata 17) (instanceRef mctrl2_sr1)) (portRef (member hwdata 17) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_15 "ahbsi.hwdata[15]") (joined (portRef (member hwdata 16) (instanceRef ahb0)) (portRef (member hwdata 16) (instanceRef apb0)) (portRef (member hwdata 16) (instanceRef mctrl2_sr1)) (portRef (member hwdata 16) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_16 "ahbsi.hwdata[16]") (joined (portRef (member hwdata 15) (instanceRef ahb0)) (portRef (member hwdata 15) (instanceRef apb0)) (portRef (member hwdata 15) (instanceRef mctrl2_sr1)) (portRef (member hwdata 15) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_17 "ahbsi.hwdata[17]") (joined (portRef (member hwdata 14) (instanceRef ahb0)) (portRef (member hwdata 14) (instanceRef apb0)) (portRef (member hwdata 14) (instanceRef mctrl2_sr1)) (portRef (member hwdata 14) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_4 "ahbsi.hwdata[4]") (joined (portRef (member hwdata 27) (instanceRef ahb0)) (portRef (member hwdata 27) (instanceRef apb0)) (portRef (member hwdata 27) (instanceRef mctrl2_sr1)) (portRef (member hwdata 27) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_5 "ahbsi.hwdata[5]") (joined (portRef (member hwdata 26) (instanceRef ahb0)) (portRef (member hwdata 26) (instanceRef apb0)) (portRef (member hwdata 26) (instanceRef mctrl2_sr1)) (portRef (member hwdata 26) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_6 "ahbsi.hwdata[6]") (joined (portRef (member hwdata 25) (instanceRef ahb0)) (portRef (member hwdata 25) (instanceRef apb0)) (portRef (member hwdata 25) (instanceRef mctrl2_sr1)) (portRef (member hwdata 25) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_7 "ahbsi.hwdata[7]") (joined (portRef (member hwdata 24) (instanceRef ahb0)) (portRef (member hwdata 24) (instanceRef apb0)) (portRef (member hwdata 24) (instanceRef mctrl2_sr1)) (portRef (member hwdata 24) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_8 "ahbsi.hwdata[8]") (joined (portRef (member hwdata 23) (instanceRef ahb0)) (portRef (member hwdata 23) (instanceRef apb0)) (portRef (member hwdata 23) (instanceRef mctrl2_sr1)) (portRef (member hwdata 23) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_9 "ahbsi.hwdata[9]") (joined (portRef (member hwdata 22) (instanceRef ahb0)) (portRef (member hwdata 22) (instanceRef apb0)) (portRef (member hwdata 22) (instanceRef mctrl2_sr1)) (portRef (member hwdata 22) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_hwdata_10 "ahbsi.hwdata[10]") (joined (portRef (member hwdata 21) (instanceRef ahb0)) (portRef (member hwdata 21) (instanceRef apb0)) (portRef (member hwdata 21) (instanceRef mctrl2_sr1)) (portRef (member hwdata 21) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_13 "ahbsi.haddr[13]") (joined (portRef haddr_13 (instanceRef ahb0)) (portRef (member haddr 6) (instanceRef apb0)) (portRef (member haddr 15) (instanceRef mctrl2_sr1)) (portRef (member haddr 11) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_10 "ahbsi.haddr[10]") (joined (portRef (member haddr_0 21) (instanceRef ahb0)) (portRef (member haddr 9) (instanceRef apb0)) (portRef (member haddr 18) (instanceRef mctrl2_sr1)) (portRef (member haddr 14) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_11 "ahbsi.haddr[11]") (joined (portRef haddr_11 (instanceRef ahb0)) (portRef (member haddr 8) (instanceRef apb0)) (portRef (member haddr 17) (instanceRef mctrl2_sr1)) (portRef (member haddr 13) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_12 "ahbsi.haddr[12]") (joined (portRef haddr_12 (instanceRef ahb0)) (portRef (member haddr 7) (instanceRef apb0)) (portRef (member haddr 16) (instanceRef mctrl2_sr1)) (portRef (member haddr 12) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_14 "ahbsi.haddr[14]") (joined (portRef haddr_14 (instanceRef ahb0)) (portRef (member haddr 5) (instanceRef apb0)) (portRef (member haddr 14) (instanceRef mctrl2_sr1)) (portRef (member haddr 10) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_15 "ahbsi.haddr[15]") (joined (portRef haddr_15 (instanceRef ahb0)) (portRef (member haddr 4) (instanceRef apb0)) (portRef (member haddr 13) (instanceRef mctrl2_sr1)) (portRef (member haddr 9) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_16 "ahbsi.haddr[16]") (joined (portRef haddr_16 (instanceRef ahb0)) (portRef (member haddr 3) (instanceRef apb0)) (portRef (member haddr 12) (instanceRef mctrl2_sr1)) (portRef (member haddr 8) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_17 "ahbsi.haddr[17]") (joined (portRef haddr_17 (instanceRef ahb0)) (portRef (member haddr 2) (instanceRef apb0)) (portRef (member haddr 11) (instanceRef mctrl2_sr1)) (portRef (member haddr 7) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_18 "ahbsi.haddr[18]") (joined (portRef haddr_18 (instanceRef ahb0)) (portRef (member haddr 1) (instanceRef apb0)) (portRef (member haddr 10) (instanceRef mctrl2_sr1)) (portRef (member haddr 6) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_19 "ahbsi.haddr[19]") (joined (portRef haddr_19 (instanceRef ahb0)) (portRef (member haddr 0) (instanceRef apb0)) (portRef (member haddr 9) (instanceRef mctrl2_sr1)) (portRef (member haddr 5) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_20 "ahbsi.haddr[20]") (joined (portRef haddr_20 (instanceRef ahb0)) (portRef (member haddr 8) (instanceRef mctrl2_sr1)) (portRef (member haddr 4) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_21 "ahbsi.haddr[21]") (joined (portRef haddr_21 (instanceRef ahb0)) (portRef (member haddr 7) (instanceRef mctrl2_sr1)) (portRef (member haddr 3) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_22 "ahbsi.haddr[22]") (joined (portRef haddr_22 (instanceRef ahb0)) (portRef (member haddr 6) (instanceRef mctrl2_sr1)) (portRef (member haddr 2) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_23 "ahbsi.haddr[23]") (joined (portRef haddr_23 (instanceRef ahb0)) (portRef (member haddr 5) (instanceRef mctrl2_sr1)) (portRef (member haddr 1) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_24 "ahbsi.haddr[24]") (joined (portRef haddr_24 (instanceRef ahb0)) (portRef (member haddr 4) (instanceRef mctrl2_sr1)) (portRef (member haddr 0) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbsi_haddr_25 "ahbsi.haddr[25]") (joined (portRef haddr_25 (instanceRef ahb0)) (portRef (member haddr 3) (instanceRef mctrl2_sr1)) )) (net (rename ahbsi_haddr_26 "ahbsi.haddr[26]") (joined (portRef haddr_26 (instanceRef ahb0)) (portRef (member haddr 2) (instanceRef mctrl2_sr1)) )) (net (rename ahbsi_haddr_27 "ahbsi.haddr[27]") (joined (portRef haddr_27 (instanceRef ahb0)) (portRef (member haddr 1) (instanceRef mctrl2_sr1)) )) (net (rename ahbsi_haddr_28 "ahbsi.haddr[28]") (joined (portRef haddr_28 (instanceRef ahb0)) (portRef (member haddr 0) (instanceRef mctrl2_sr1)) )) (net (rename ahbsi_hwrite "ahbsi.hwrite") (joined (portRef hwrite (instanceRef ahb0)) (portRef hwrite (instanceRef apb0)) (portRef hwrite (instanceRef mctrl2_sr1)) (portRef hwrite (instanceRef l3_dsugen_dsu0)) )) (net (rename ua1_uart1_r_delayirqen "ua1.uart1.r.delayirqen") (joined (portRef delayirqen (instanceRef ua1_uart1)) (portRef delayirqen (instanceRef apb0)) )) (net (rename ua1_uart1_r_rxf_0 "ua1.uart1.r.rxf[0]") (joined (portRef rxf_0 (instanceRef ua1_uart1)) (portRef (member rxf 1) (instanceRef dcomgen_dcom0)) )) (net (rename ua1_uart1_r_breakirqen "ua1.uart1.r.breakirqen") (joined (portRef breakirqen (instanceRef ua1_uart1)) (portRef breakirqen (instanceRef apb0)) )) (net (rename ua1_uart1_r_tsemptyirqen "ua1.uart1.r.tsemptyirqen") (joined (portRef tsemptyirqen_0 (instanceRef ua1_uart1)) (portRef tsemptyirqen (instanceRef apb0)) )) (net (rename ua1_uart1_r_brate_9 "ua1.uart1.r.brate[9]") (joined (portRef brate_9 (instanceRef ua1_uart1)) (portRef (member brate 1) (instanceRef apb0)) )) (net (rename ua1_uart1_r_brate_10 "ua1.uart1.r.brate[10]") (joined (portRef brate_10 (instanceRef ua1_uart1)) (portRef (member brate 0) (instanceRef apb0)) )) (net (rename ua1_uart1_rdata_3_sqmuxa "ua1.uart1.rdata_3_sqmuxa") (joined (portRef rdata_3_sqmuxa (instanceRef ua1_uart1)) (portRef rdata_3_sqmuxa (instanceRef apb0)) )) (net (rename ua1_uart1_rdata_2_sqmuxa "ua1.uart1.rdata_2_sqmuxa") (joined (portRef rdata_2_sqmuxa (instanceRef ua1_uart1)) (portRef rdata_2_sqmuxa (instanceRef apb0)) )) (net (rename gpt_timer0_r_timers_2_value_6 "gpt.timer0.r.timers_2.value[6]") (joined (portRef value_6 (instanceRef gpt_timer0)) (portRef (member value 0) (instanceRef apb0)) )) (net (rename gpt_timer0_r_reload_1 "gpt.timer0.r.reload[1]") (joined (portRef reload_1 (instanceRef gpt_timer0)) (portRef reload_0 (instanceRef apb0)) )) (net (rename gpt_timer0_r_reload_6 "gpt.timer0.r.reload[6]") (joined (portRef reload_6 (instanceRef gpt_timer0)) (portRef reload_5 (instanceRef apb0)) )) (net (rename gpt_timer0_r_scaler_1 "gpt.timer0.r.scaler[1]") (joined (portRef scaler_1 (instanceRef gpt_timer0)) (portRef scaler_0 (instanceRef apb0)) )) (net (rename gpt_timer0_r_scaler_6 "gpt.timer0.r.scaler[6]") (joined (portRef scaler_6 (instanceRef gpt_timer0)) (portRef scaler_5 (instanceRef apb0)) )) (net (rename gpt_timer0_comb_readdata55 "gpt.timer0.comb.readdata55") (joined (portRef readdata55 (instanceRef gpt_timer0)) (portRef readdata55 (instanceRef apb0)) )) (net (rename gpt_timer0_comb_readdata56 "gpt.timer0.comb.readdata56") (joined (portRef readdata56 (instanceRef gpt_timer0)) (portRef readdata56 (instanceRef apb0)) )) (net (rename gpt_timer0_comb_readdata55_3 "gpt.timer0.comb.readdata55_3") (joined (portRef readdata55_3 (instanceRef gpio0_grgpio0)) (portRef readdata55_3 (instanceRef irqctrl_irqctrl0)) )) (net (rename apb0_r_psel "apb0.r.psel") (joined (portRef psel (instanceRef apb0)) (portRef psel (instanceRef gpio0_grgpio0)) )) (net (rename ua1_uart1_r_rxf_1 "ua1.uart1.r.rxf[1]") (joined (portRef (member rxf 0) (instanceRef dcomgen_dcom0)) (portRef rxf_1 (instanceRef ua1_uart1)) )) (net (rename irqctrl_irqctrl0_N_99 "irqctrl.irqctrl0.N_99") (joined (portRef N_99 (instanceRef irqctrl_irqctrl0)) (portRef N_99 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_N_103 "irqctrl.irqctrl0.N_103") (joined (portRef N_103 (instanceRef irqctrl_irqctrl0)) (portRef N_103 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_N_107 "irqctrl.irqctrl0.N_107") (joined (portRef N_107 (instanceRef irqctrl_irqctrl0)) (portRef N_107 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_N_111 "irqctrl.irqctrl0.N_111") (joined (portRef N_111 (instanceRef irqctrl_irqctrl0)) (portRef N_111 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_N_115 "irqctrl.irqctrl0.N_115") (joined (portRef N_115 (instanceRef irqctrl_irqctrl0)) (portRef N_115 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_N_119 "irqctrl.irqctrl0.N_119") (joined (portRef N_119 (instanceRef irqctrl_irqctrl0)) (portRef N_119 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_N_127 "irqctrl.irqctrl0.N_127") (joined (portRef N_127 (instanceRef irqctrl_irqctrl0)) (portRef N_127_0 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_N_135 "irqctrl.irqctrl0.N_135") (joined (portRef N_135 (instanceRef irqctrl_irqctrl0)) (portRef N_135 (instanceRef apb0)) )) (net (rename l3_dsugen_dsu0_x0_comb_v_te9_2 "l3.dsugen.dsu0.x0.comb.v.te9_2") (joined (portRef te9_2 (instanceRef l3_dsugen_dsu0)) (portRef te9_2 (instanceRef l3_cpu_0_u0)) )) (net (rename l3_dsugen_dsu0_x0_comb_v_te8_1 "l3.dsugen.dsu0.x0.comb.v.te8_1") (joined (portRef te8_1 (instanceRef l3_dsugen_dsu0)) (portRef te8_1 (instanceRef l3_cpu_0_u0)) )) (net (rename irqo_0_intack "irqo_0.intack") (joined (portRef intack (instanceRef l3_cpu_0_u0)) (portRef intack (instanceRef irqctrl_irqctrl0)) )) (net (rename l3_cpu_0_u0_p0_c0mmu_mcdi_size_0 "l3.cpu.0.u0.p0.c0mmu.mcdi.size[0]") (joined (portRef (member size 0) (instanceRef l3_cpu_0_u0)) (portRef (member size 0) (instanceRef ahb0)) )) (net (rename ahbmi_hrdata_1 "ahbmi.hrdata[1]") (joined (portRef (member hrdata 30) (instanceRef ahb0)) (portRef hrdata_0 (instanceRef dcomgen_dcom0)) (portRef hrdata_0 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_2 "ahbmi.hrdata[2]") (joined (portRef (member hrdata_1 29) (instanceRef ahb0)) (portRef hrdata_1 (instanceRef dcomgen_dcom0)) (portRef hrdata_1 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_3 "ahbmi.hrdata[3]") (joined (portRef hrdata_2_2 (instanceRef ahb0)) (portRef hrdata_2 (instanceRef dcomgen_dcom0)) (portRef hrdata_2 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_4 "ahbmi.hrdata[4]") (joined (portRef (member hrdata 27) (instanceRef ahb0)) (portRef hrdata_3 (instanceRef dcomgen_dcom0)) (portRef hrdata_3 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_6 "ahbmi.hrdata[6]") (joined (portRef (member hrdata 25) (instanceRef ahb0)) (portRef hrdata_5 (instanceRef dcomgen_dcom0)) (portRef hrdata_5 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_7 "ahbmi.hrdata[7]") (joined (portRef (member hrdata 24) (instanceRef ahb0)) (portRef hrdata_6 (instanceRef dcomgen_dcom0)) (portRef hrdata_6 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_8 "ahbmi.hrdata[8]") (joined (portRef hrdata_2_7 (instanceRef ahb0)) (portRef hrdata_7 (instanceRef dcomgen_dcom0)) (portRef hrdata_7 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_9 "ahbmi.hrdata[9]") (joined (portRef hrdata_2_8 (instanceRef ahb0)) (portRef hrdata_8 (instanceRef dcomgen_dcom0)) (portRef hrdata_8 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_10 "ahbmi.hrdata[10]") (joined (portRef (member hrdata_0 21) (instanceRef ahb0)) (portRef hrdata_9 (instanceRef dcomgen_dcom0)) (portRef hrdata_9 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_11 "ahbmi.hrdata[11]") (joined (portRef (member hrdata_0 20) (instanceRef ahb0)) (portRef hrdata_10 (instanceRef dcomgen_dcom0)) (portRef hrdata_10 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_16 "ahbmi.hrdata[16]") (joined (portRef (member hrdata 15) (instanceRef ahb0)) (portRef hrdata_15 (instanceRef dcomgen_dcom0)) (portRef hrdata_15 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_18 "ahbmi.hrdata[18]") (joined (portRef (member hrdata 13) (instanceRef ahb0)) (portRef hrdata_17 (instanceRef dcomgen_dcom0)) (portRef hrdata_17 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_19 "ahbmi.hrdata[19]") (joined (portRef (member hrdata 12) (instanceRef ahb0)) (portRef hrdata_18 (instanceRef dcomgen_dcom0)) (portRef hrdata_18 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_20 "ahbmi.hrdata[20]") (joined (portRef (member hrdata 11) (instanceRef ahb0)) (portRef hrdata_19 (instanceRef dcomgen_dcom0)) (portRef hrdata_19 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_21 "ahbmi.hrdata[21]") (joined (portRef (member hrdata 10) (instanceRef ahb0)) (portRef hrdata_20 (instanceRef dcomgen_dcom0)) (portRef hrdata_20 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_23 "ahbmi.hrdata[23]") (joined (portRef (member hrdata 8) (instanceRef ahb0)) (portRef hrdata_22 (instanceRef dcomgen_dcom0)) (portRef hrdata_22 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmi_hrdata_27 "ahbmi.hrdata[27]") (joined (portRef hrdata_2_26 (instanceRef ahb0)) (portRef hrdata_26 (instanceRef dcomgen_dcom0)) (portRef hrdata_26 (instanceRef l3_cpu_0_u0)) )) (net (rename l3_cpu_0_u0_p0_c0mmu_a0_comb_un58_nbo "l3.cpu.0.u0.p0.c0mmu.a0.comb.un58_nbo") (joined (portRef un58_nbo (instanceRef l3_cpu_0_u0)) (portRef un58_nbo (instanceRef ahb0)) )) (net (rename l3_dsugen_dsu0_x0_dbgi_i_2_23 "l3.dsugen.dsu0.x0.dbgi_i_2[23]") (joined (portRef (member dbgi_i_2 0) (instanceRef l3_cpu_0_u0)) (portRef (member dbgi_i_2 0) (instanceRef l3_dsugen_dsu0)) )) (net (rename data_in_0 "data_in[0]") (joined (portRef Y (instanceRef data_pad_0)) (portRef (member data_in 31) (instanceRef mctrl2_sr1)) )) (net (rename data_0 "data[0]") (joined (portRef PAD (instanceRef data_pad_0)) (portRef (member data 31)) )) (net (rename data_in_1 "data_in[1]") (joined (portRef Y (instanceRef data_pad_1)) (portRef (member data_in 30) (instanceRef mctrl2_sr1)) )) (net (rename data_1 "data[1]") (joined (portRef PAD (instanceRef data_pad_1)) (portRef (member data 30)) )) (net (rename data_in_2 "data_in[2]") (joined (portRef Y (instanceRef data_pad_2)) (portRef (member data_in 29) (instanceRef mctrl2_sr1)) )) (net (rename data_2 "data[2]") (joined (portRef PAD (instanceRef data_pad_2)) (portRef (member data 29)) )) (net (rename data_in_3 "data_in[3]") (joined (portRef Y (instanceRef data_pad_3)) (portRef (member data_in 28) (instanceRef mctrl2_sr1)) )) (net (rename data_3 "data[3]") (joined (portRef PAD (instanceRef data_pad_3)) (portRef (member data 28)) )) (net (rename data_in_4 "data_in[4]") (joined (portRef Y (instanceRef data_pad_4)) (portRef (member data_in 27) (instanceRef mctrl2_sr1)) )) (net (rename data_4 "data[4]") (joined (portRef PAD (instanceRef data_pad_4)) (portRef (member data 27)) )) (net (rename data_in_5 "data_in[5]") (joined (portRef Y (instanceRef data_pad_5)) (portRef (member data_in 26) (instanceRef mctrl2_sr1)) )) (net (rename data_5 "data[5]") (joined (portRef PAD (instanceRef data_pad_5)) (portRef (member data 26)) )) (net (rename data_in_6 "data_in[6]") (joined (portRef Y (instanceRef data_pad_6)) (portRef (member data_in 25) (instanceRef mctrl2_sr1)) )) (net (rename data_6 "data[6]") (joined (portRef PAD (instanceRef data_pad_6)) (portRef (member data 25)) )) (net (rename data_in_7 "data_in[7]") (joined (portRef Y (instanceRef data_pad_7)) (portRef (member data_in 24) (instanceRef mctrl2_sr1)) )) (net (rename data_7 "data[7]") (joined (portRef PAD (instanceRef data_pad_7)) (portRef (member data 24)) )) (net (rename data_in_8 "data_in[8]") (joined (portRef Y (instanceRef data_pad_8)) (portRef (member data_in 23) (instanceRef mctrl2_sr1)) )) (net (rename data_8 "data[8]") (joined (portRef PAD (instanceRef data_pad_8)) (portRef (member data 23)) )) (net (rename data_in_9 "data_in[9]") (joined (portRef Y (instanceRef data_pad_9)) (portRef (member data_in 22) (instanceRef mctrl2_sr1)) )) (net (rename data_9 "data[9]") (joined (portRef PAD (instanceRef data_pad_9)) (portRef (member data 22)) )) (net (rename data_in_10 "data_in[10]") (joined (portRef Y (instanceRef data_pad_10)) (portRef (member data_in 21) (instanceRef mctrl2_sr1)) )) (net (rename data_10 "data[10]") (joined (portRef PAD (instanceRef data_pad_10)) (portRef (member data 21)) )) (net (rename data_in_11 "data_in[11]") (joined (portRef Y (instanceRef data_pad_11)) (portRef (member data_in 20) (instanceRef mctrl2_sr1)) )) (net (rename data_11 "data[11]") (joined (portRef PAD (instanceRef data_pad_11)) (portRef (member data 20)) )) (net (rename data_in_12 "data_in[12]") (joined (portRef Y (instanceRef data_pad_12)) (portRef (member data_in 19) (instanceRef mctrl2_sr1)) )) (net (rename data_12 "data[12]") (joined (portRef PAD (instanceRef data_pad_12)) (portRef (member data 19)) )) (net (rename data_in_13 "data_in[13]") (joined (portRef Y (instanceRef data_pad_13)) (portRef (member data_in 18) (instanceRef mctrl2_sr1)) )) (net (rename data_13 "data[13]") (joined (portRef PAD (instanceRef data_pad_13)) (portRef (member data 18)) )) (net (rename data_in_14 "data_in[14]") (joined (portRef Y (instanceRef data_pad_14)) (portRef (member data_in 17) (instanceRef mctrl2_sr1)) )) (net (rename data_14 "data[14]") (joined (portRef PAD (instanceRef data_pad_14)) (portRef (member data 17)) )) (net (rename data_in_15 "data_in[15]") (joined (portRef Y (instanceRef data_pad_15)) (portRef (member data_in 16) (instanceRef mctrl2_sr1)) )) (net (rename data_15 "data[15]") (joined (portRef PAD (instanceRef data_pad_15)) (portRef (member data 16)) )) (net (rename data_in_16 "data_in[16]") (joined (portRef Y (instanceRef data_pad_16)) (portRef (member data_in 15) (instanceRef mctrl2_sr1)) )) (net (rename data_16 "data[16]") (joined (portRef PAD (instanceRef data_pad_16)) (portRef (member data 15)) )) (net (rename data_in_17 "data_in[17]") (joined (portRef Y (instanceRef data_pad_17)) (portRef (member data_in 14) (instanceRef mctrl2_sr1)) )) (net (rename data_17 "data[17]") (joined (portRef PAD (instanceRef data_pad_17)) (portRef (member data 14)) )) (net (rename data_in_18 "data_in[18]") (joined (portRef Y (instanceRef data_pad_18)) (portRef (member data_in 13) (instanceRef mctrl2_sr1)) )) (net (rename data_18 "data[18]") (joined (portRef PAD (instanceRef data_pad_18)) (portRef (member data 13)) )) (net (rename data_in_19 "data_in[19]") (joined (portRef Y (instanceRef data_pad_19)) (portRef (member data_in 12) (instanceRef mctrl2_sr1)) )) (net (rename data_19 "data[19]") (joined (portRef PAD (instanceRef data_pad_19)) (portRef (member data 12)) )) (net (rename data_in_20 "data_in[20]") (joined (portRef Y (instanceRef data_pad_20)) (portRef (member data_in 11) (instanceRef mctrl2_sr1)) )) (net (rename data_20 "data[20]") (joined (portRef PAD (instanceRef data_pad_20)) (portRef (member data 11)) )) (net (rename data_in_21 "data_in[21]") (joined (portRef Y (instanceRef data_pad_21)) (portRef (member data_in 10) (instanceRef mctrl2_sr1)) )) (net (rename data_21 "data[21]") (joined (portRef PAD (instanceRef data_pad_21)) (portRef (member data 10)) )) (net (rename data_in_22 "data_in[22]") (joined (portRef Y (instanceRef data_pad_22)) (portRef (member data_in 9) (instanceRef mctrl2_sr1)) )) (net (rename data_22 "data[22]") (joined (portRef PAD (instanceRef data_pad_22)) (portRef (member data 9)) )) (net (rename data_in_23 "data_in[23]") (joined (portRef Y (instanceRef data_pad_23)) (portRef (member data_in 8) (instanceRef mctrl2_sr1)) )) (net (rename data_23 "data[23]") (joined (portRef PAD (instanceRef data_pad_23)) (portRef (member data 8)) )) (net (rename data_in_24 "data_in[24]") (joined (portRef Y (instanceRef data_pad_24)) (portRef (member data_in 7) (instanceRef mctrl2_sr1)) )) (net (rename data_24 "data[24]") (joined (portRef PAD (instanceRef data_pad_24)) (portRef (member data 7)) )) (net (rename data_in_25 "data_in[25]") (joined (portRef Y (instanceRef data_pad_25)) (portRef (member data_in 6) (instanceRef mctrl2_sr1)) )) (net (rename data_25 "data[25]") (joined (portRef PAD (instanceRef data_pad_25)) (portRef (member data 6)) )) (net (rename data_in_26 "data_in[26]") (joined (portRef Y (instanceRef data_pad_26)) (portRef (member data_in 5) (instanceRef mctrl2_sr1)) )) (net (rename data_26 "data[26]") (joined (portRef PAD (instanceRef data_pad_26)) (portRef (member data 5)) )) (net (rename data_in_27 "data_in[27]") (joined (portRef Y (instanceRef data_pad_27)) (portRef (member data_in 4) (instanceRef mctrl2_sr1)) )) (net (rename data_27 "data[27]") (joined (portRef PAD (instanceRef data_pad_27)) (portRef (member data 4)) )) (net (rename data_in_28 "data_in[28]") (joined (portRef Y (instanceRef data_pad_28)) (portRef (member data_in 3) (instanceRef mctrl2_sr1)) )) (net (rename data_28 "data[28]") (joined (portRef PAD (instanceRef data_pad_28)) (portRef (member data 3)) )) (net (rename data_in_29 "data_in[29]") (joined (portRef Y (instanceRef data_pad_29)) (portRef (member data_in 2) (instanceRef mctrl2_sr1)) )) (net (rename data_29 "data[29]") (joined (portRef PAD (instanceRef data_pad_29)) (portRef (member data 2)) )) (net (rename data_in_30 "data_in[30]") (joined (portRef Y (instanceRef data_pad_30)) (portRef (member data_in 1) (instanceRef mctrl2_sr1)) )) (net (rename data_30 "data[30]") (joined (portRef PAD (instanceRef data_pad_30)) (portRef (member data 1)) )) (net (rename data_in_31 "data_in[31]") (joined (portRef Y (instanceRef data_pad_31)) (portRef (member data_in 0) (instanceRef mctrl2_sr1)) )) (net (rename data_31 "data[31]") (joined (portRef PAD (instanceRef data_pad_31)) (portRef (member data 0)) )) (net (rename gpio_0 "gpio[0]") (joined (portRef PAD (instanceRef gpio_pad_0)) (portRef (member gpio 6)) )) (net (rename gpio_1 "gpio[1]") (joined (portRef PAD (instanceRef gpio_pad_1)) (portRef (member gpio 5)) )) (net (rename gpio_in_2 "gpio_in[2]") (joined (portRef Y (instanceRef gpio_pad_2)) (portRef (member gpio_in 4) (instanceRef gpio0_grgpio0)) )) (net (rename gpio_2 "gpio[2]") (joined (portRef PAD (instanceRef gpio_pad_2)) (portRef (member gpio 4)) )) (net (rename gpio_in_3 "gpio_in[3]") (joined (portRef Y (instanceRef gpio_pad_3)) (portRef (member gpio_in 3) (instanceRef gpio0_grgpio0)) )) (net (rename gpio_3 "gpio[3]") (joined (portRef PAD (instanceRef gpio_pad_3)) (portRef (member gpio 3)) )) (net (rename gpio_in_4 "gpio_in[4]") (joined (portRef Y (instanceRef gpio_pad_4)) (portRef (member gpio_in 2) (instanceRef gpio0_grgpio0)) )) (net (rename gpio_4 "gpio[4]") (joined (portRef PAD (instanceRef gpio_pad_4)) (portRef (member gpio 2)) )) (net (rename gpio_in_5 "gpio_in[5]") (joined (portRef Y (instanceRef gpio_pad_5)) (portRef (member gpio_in 1) (instanceRef gpio0_grgpio0)) )) (net (rename gpio_5 "gpio[5]") (joined (portRef PAD (instanceRef gpio_pad_5)) (portRef (member gpio 1)) )) (net (rename gpio_in_6 "gpio_in[6]") (joined (portRef Y (instanceRef gpio_pad_6)) (portRef (member gpio_in 0) (instanceRef gpio0_grgpio0)) )) (net (rename gpio_6 "gpio[6]") (joined (portRef PAD (instanceRef gpio_pad_6)) (portRef (member gpio 0)) )) (net lclk2x_c (joined (portRef Y (instanceRef clk50MHz_pad)) (portRef CLK (instanceRef lclk)) )) (net clk50MHz (joined (portRef clk50MHz) (portRef PAD (instanceRef clk50MHz_pad)) )) (net reset_c (joined (portRef Y (instanceRef reset_pad)) (portRef reset_c (instanceRef rst0)) )) (net reset (joined (portRef reset) (portRef PAD (instanceRef reset_pad)) )) (net (rename ramclkZ0 "ramclk") (joined (portRef ramclk (instanceRef clkgen0)) (portRef ramclk (instanceRef gpio0_grgpio0)) (portRef ramclk (instanceRef ua1_uart1)) (portRef ramclk (instanceRef gpt_timer0)) (portRef ramclk (instanceRef apb0)) (portRef ramclk (instanceRef dcomgen_dcom0)) (portRef ramclk (instanceRef ahb0)) (portRef ramclk (instanceRef mctrl2_sr1)) (portRef ramclk (instanceRef irqctrl_irqctrl0)) (portRef ramclk (instanceRef l3_dsugen_dsu0)) (portRef ramclk (instanceRef l3_cpu_0_u0)) (portRef ramclk (instanceRef rst0)) (portRef D (instanceRef ramclk_pad)) ) ) (net (rename ramclk "ramclk_c") (joined (portRef PAD (instanceRef ramclk_pad)) (portRef ramclk) )) (net ahbrxd_c (joined (portRef Y (instanceRef ahbrxd_pad)) (portRef ahbrxd_c (instanceRef ua1_uart1)) )) (net ahbrxd (joined (portRef ahbrxd) (portRef PAD (instanceRef ahbrxd_pad)) )) (net ahbtxd_c (joined (portRef ahbtxd_c (instanceRef dcomgen_dcom0)) (portRef D (instanceRef ahbtxd_pad)) )) (net ahbtxd (joined (portRef PAD (instanceRef ahbtxd_pad)) (portRef ahbtxd) )) (net dsubre_c (joined (portRef Y (instanceRef dsubre_pad)) (portRef dsubre_c (instanceRef l3_dsugen_dsu0)) )) (net dsubre (joined (portRef dsubre) (portRef PAD (instanceRef dsubre_pad)) )) (net dsuact_c (joined (portRef dsuact_c (instanceRef l3_dsugen_dsu0)) (portRef D (instanceRef dsuact_pad)) )) (net dsuact (joined (portRef PAD (instanceRef dsuact_pad)) (portRef dsuact) )) (net urxd1 (joined (portRef urxd1) )) (net utxd1_c (joined (portRef utxd1_c (instanceRef ua1_uart1)) (portRef D (instanceRef utxd1_pad)) )) (net utxd1 (joined (portRef PAD (instanceRef utxd1_pad)) (portRef utxd1) )) (net errorn (joined (portRef PAD (instanceRef errorn_pad)) (portRef errorn) )) (net (rename address_c_0 "address_c[0]") (joined (portRef (member address_c 18) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_0)) )) (net (rename address_0 "address[0]") (joined (portRef PAD (instanceRef address_pad_0)) (portRef (member address 18)) )) (net (rename address_c_1 "address_c[1]") (joined (portRef (member address_c 17) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_1)) )) (net (rename address_1 "address[1]") (joined (portRef PAD (instanceRef address_pad_1)) (portRef (member address 17)) )) (net (rename address_c_2 "address_c[2]") (joined (portRef (member address_c 16) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_2)) )) (net (rename address_2 "address[2]") (joined (portRef PAD (instanceRef address_pad_2)) (portRef (member address 16)) )) (net (rename address_c_3 "address_c[3]") (joined (portRef (member address_c 15) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_3)) )) (net (rename address_3 "address[3]") (joined (portRef PAD (instanceRef address_pad_3)) (portRef (member address 15)) )) (net (rename address_c_4 "address_c[4]") (joined (portRef (member address_c 14) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_4)) )) (net (rename address_4 "address[4]") (joined (portRef PAD (instanceRef address_pad_4)) (portRef (member address 14)) )) (net (rename address_c_5 "address_c[5]") (joined (portRef (member address_c 13) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_5)) )) (net (rename address_5 "address[5]") (joined (portRef PAD (instanceRef address_pad_5)) (portRef (member address 13)) )) (net (rename address_c_6 "address_c[6]") (joined (portRef (member address_c 12) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_6)) )) (net (rename address_6 "address[6]") (joined (portRef PAD (instanceRef address_pad_6)) (portRef (member address 12)) )) (net (rename address_c_7 "address_c[7]") (joined (portRef (member address_c 11) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_7)) )) (net (rename address_7 "address[7]") (joined (portRef PAD (instanceRef address_pad_7)) (portRef (member address 11)) )) (net (rename address_c_8 "address_c[8]") (joined (portRef (member address_c 10) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_8)) )) (net (rename address_8 "address[8]") (joined (portRef PAD (instanceRef address_pad_8)) (portRef (member address 10)) )) (net (rename address_c_9 "address_c[9]") (joined (portRef (member address_c 9) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_9)) )) (net (rename address_9 "address[9]") (joined (portRef PAD (instanceRef address_pad_9)) (portRef (member address 9)) )) (net (rename address_c_10 "address_c[10]") (joined (portRef (member address_c 8) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_10)) )) (net (rename address_10 "address[10]") (joined (portRef PAD (instanceRef address_pad_10)) (portRef (member address 8)) )) (net (rename address_c_11 "address_c[11]") (joined (portRef (member address_c 7) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_11)) )) (net (rename address_11 "address[11]") (joined (portRef PAD (instanceRef address_pad_11)) (portRef (member address 7)) )) (net (rename address_c_12 "address_c[12]") (joined (portRef (member address_c 6) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_12)) )) (net (rename address_12 "address[12]") (joined (portRef PAD (instanceRef address_pad_12)) (portRef (member address 6)) )) (net (rename address_c_13 "address_c[13]") (joined (portRef (member address_c 5) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_13)) )) (net (rename address_13 "address[13]") (joined (portRef PAD (instanceRef address_pad_13)) (portRef (member address 5)) )) (net (rename address_c_14 "address_c[14]") (joined (portRef (member address_c 4) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_14)) )) (net (rename address_14 "address[14]") (joined (portRef PAD (instanceRef address_pad_14)) (portRef (member address 4)) )) (net (rename address_c_15 "address_c[15]") (joined (portRef (member address_c 3) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_15)) )) (net (rename address_15 "address[15]") (joined (portRef PAD (instanceRef address_pad_15)) (portRef (member address 3)) )) (net (rename address_c_16 "address_c[16]") (joined (portRef (member address_c 2) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_16)) )) (net (rename address_16 "address[16]") (joined (portRef PAD (instanceRef address_pad_16)) (portRef (member address 2)) )) (net (rename address_c_17 "address_c[17]") (joined (portRef (member address_c 1) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_17)) )) (net (rename address_17 "address[17]") (joined (portRef PAD (instanceRef address_pad_17)) (portRef (member address 1)) )) (net (rename address_c_18 "address_c[18]") (joined (portRef (member address_c 0) (instanceRef mctrl2_sr1)) (portRef D (instanceRef address_pad_18)) )) (net (rename address_18 "address[18]") (joined (portRef PAD (instanceRef address_pad_18)) (portRef (member address 0)) )) (net (rename ramben_1_c_0 "ramben_1_c[0]") (joined (portRef (member ramben_1_c 3) (instanceRef mctrl2_sr1)) (portRef D (instanceRef ramben_pad_0)) )) (net (rename ramben_0 "ramben[0]") (joined (portRef PAD (instanceRef ramben_pad_0)) (portRef (member ramben 3)) )) (net (rename ramben_1_c_1 "ramben_1_c[1]") (joined (portRef (member ramben_1_c 2) (instanceRef mctrl2_sr1)) (portRef D (instanceRef ramben_pad_1)) )) (net (rename ramben_1 "ramben[1]") (joined (portRef PAD (instanceRef ramben_pad_1)) (portRef (member ramben 2)) )) (net (rename ramben_1_c_2 "ramben_1_c[2]") (joined (portRef (member ramben_1_c 1) (instanceRef mctrl2_sr1)) (portRef D (instanceRef ramben_pad_2)) )) (net (rename ramben_2 "ramben[2]") (joined (portRef PAD (instanceRef ramben_pad_2)) (portRef (member ramben 1)) )) (net (rename ramben_1_c_3 "ramben_1_c[3]") (joined (portRef (member ramben_1_c 0) (instanceRef mctrl2_sr1)) (portRef D (instanceRef ramben_pad_3)) )) (net (rename ramben_3 "ramben[3]") (joined (portRef PAD (instanceRef ramben_pad_3)) (portRef (member ramben 0)) )) (net ramsn_c (joined (portRef ramsn_c (instanceRef mctrl2_sr1)) (portRef D (instanceRef ramsn_pad)) )) (net ramsn (joined (portRef PAD (instanceRef ramsn_pad)) (portRef ramsn) )) (net romsn_c (joined (portRef romsn_c (instanceRef mctrl2_sr1)) (portRef D (instanceRef romsn_pad)) )) (net romsn (joined (portRef PAD (instanceRef romsn_pad)) (portRef romsn) )) (net iosn_c (joined (portRef iosn_c (instanceRef mctrl2_sr1)) (portRef D (instanceRef iosn_pad)) )) (net iosn (joined (portRef PAD (instanceRef iosn_pad)) (portRef iosn) )) (net rwen (joined (portRef PAD (instanceRef rwen_pad)) (portRef rwen) )) (net oen_c (joined (portRef oen_c (instanceRef mctrl2_sr1)) (portRef D (instanceRef oen_pad)) )) (net oen (joined (portRef PAD (instanceRef oen_pad)) (portRef oen) )) (net ramoen_c (joined (portRef ramoen_c (instanceRef mctrl2_sr1)) (portRef D (instanceRef ramoen_pad)) )) (net ramoen (joined (portRef PAD (instanceRef ramoen_pad)) (portRef ramoen) )) (net rwen_c_c (joined (portRef rwen_c_c (instanceRef mctrl2_sr1)) (portRef D (instanceRef rwen_pad)) (portRef D (instanceRef writen_pad)) )) (net writen (joined (portRef PAD (instanceRef writen_pad)) (portRef writen) )) (net sram_adv (joined (portRef PAD (instanceRef sram_adv_pad)) (portRef sram_adv) )) (net sram_pwrdwn (joined (portRef PAD (instanceRef sram_pwrdwn_pad)) (portRef sram_pwrdwn) )) (net sram_gwen (joined (portRef PAD (instanceRef sram_gwen_pad)) (portRef sram_gwen) )) (net GND (joined (portRef Y (instanceRef GND_i)) (portRef leon3s_GND (instanceRef l3_cpu_0_u0)) (portRef clkgen_GND (instanceRef clkgen0)) (portRef D (instanceRef sram_pwrdwn_pad)) (portRef D (instanceRef sram_adsc_pad)) (portRef E (instanceRef led_pad_2)) (portRef D (instanceRef led_pad_2)) (portRef E (instanceRef led_pad_3)) (portRef D (instanceRef led_pad_3)) (portRef E (instanceRef led_pad_4)) (portRef D (instanceRef led_pad_4)) (portRef E (instanceRef led_pad_5)) (portRef D (instanceRef led_pad_5)) )) (net sram_adsc (joined (portRef PAD (instanceRef sram_adsc_pad)) (portRef sram_adsc) )) (net VCC (joined (portRef Y (instanceRef VCC_i)) (portRef leon3s_VCC (instanceRef l3_cpu_0_u0)) (portRef clkgen_VCC (instanceRef clkgen0)) (portRef D (instanceRef sram_adv_pad)) (portRef D (instanceRef sram_gwen_pad)) (portRef D (instanceRef sram_adsp_pad)) )) (net sram_adsp (joined (portRef PAD (instanceRef sram_adsp_pad)) (portRef sram_adsp) )) (net (rename gpio_in_c_0 "gpio_in_c[0]") (joined (portRef Y (instanceRef gpio_pad_0)) (portRef (member gpio_in_c 1) (instanceRef gpio0_grgpio0)) (portRef D (instanceRef led_pad_0)) )) (net (rename led_0 "led[0]") (joined (portRef PAD (instanceRef led_pad_0)) (portRef (member led 5)) )) (net (rename gpio_in_c_1 "gpio_in_c[1]") (joined (portRef Y (instanceRef gpio_pad_1)) (portRef (member gpio_in_c 0) (instanceRef gpio0_grgpio0)) (portRef D (instanceRef led_pad_1)) )) (net (rename led_1 "led[1]") (joined (portRef PAD (instanceRef led_pad_1)) (portRef (member led 4)) )) (net (rename led_2 "led[2]") (joined (portRef PAD (instanceRef led_pad_2)) (portRef (member led 3)) )) (net (rename led_3 "led[3]") (joined (portRef PAD (instanceRef led_pad_3)) (portRef (member led 2)) )) (net (rename led_4 "led[4]") (joined (portRef PAD (instanceRef led_pad_4)) (portRef (member led 1)) )) (net (rename led_5 "led[5]") (joined (portRef PAD (instanceRef led_pad_5)) (portRef (member led 0)) )) (net (rename apb0_N_127 "apb0.N_127") (joined (portRef N_127 (instanceRef apb0)) (portRef N_127 (instanceRef gpio0_grgpio0)) )) (net (rename apb0_N_330 "apb0.N_330") (joined (portRef N_330 (instanceRef apb0)) (portRef N_330 (instanceRef ua1_uart1)) )) (net (rename ahbmo_0_hwdata_19 "ahbmo_0.hwdata[19]") (joined (portRef (member hwdata 12) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 12) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_6 "ahbmo_0.haddr[6]") (joined (portRef haddr_6 (instanceRef l3_cpu_0_u0)) (portRef haddr_1_6 (instanceRef ahb0)) )) (net (rename ahbsi_haddr_2 "ahbsi.haddr[2]") (joined (portRef (member haddr_0 29) (instanceRef ahb0)) (portRef (member haddr 17) (instanceRef apb0)) (portRef (member haddr 26) (instanceRef mctrl2_sr1)) (portRef (member haddr 22) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbmo_0_haddr_2 "ahbmo_0.haddr[2]") (joined (portRef haddr_2 (instanceRef l3_cpu_0_u0)) (portRef haddr_1_2 (instanceRef ahb0)) )) (net (rename gpt_timer0_r_timers_2_value_m_30 "gpt.timer0.r.timers_2.value_m[30]") (joined (portRef value_m_30 (instanceRef gpt_timer0)) (portRef value_m_21 (instanceRef apb0)) )) (net (rename apbo_3_prdata_31 "apbo_3.prdata[31]") (joined (portRef prdata_24 (instanceRef gpt_timer0)) (portRef prdata_31 (instanceRef apb0)) )) (net (rename ua1_uart1_N_859 "ua1.uart1.N_859") (joined (portRef N_859 (instanceRef ua1_uart1)) (portRef N_859 (instanceRef apb0)) )) (net (rename apbo_3_prdata_7 "apbo_3.prdata[7]") (joined (portRef prdata_0 (instanceRef gpt_timer0)) (portRef prdata_7 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_N_123 "irqctrl.irqctrl0.N_123") (joined (portRef N_123 (instanceRef irqctrl_irqctrl0)) (portRef N_123 (instanceRef apb0)) )) (net (rename ahbmo_0_hwdata_21 "ahbmo_0.hwdata[21]") (joined (portRef (member hwdata 10) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 10) (instanceRef ahb0)) )) (net (rename ahb0_hsel_0_sqmuxa_inv_4 "ahb0.hsel_0_sqmuxa_inv_4") (joined (portRef hsel_0_sqmuxa_inv_4 (instanceRef ahb0)) (portRef hsel_0_sqmuxa_inv_4 (instanceRef apb0)) )) (net (rename mctrl2_sr1_iosn_93 "mctrl2.sr1.iosn[93]") (joined (portRef iosn_0_d0 (instanceRef ahb0)) (portRef (member iosn 0) (instanceRef dcomgen_dcom0)) (portRef iosn_92 (instanceRef mctrl2_sr1)) (portRef (member iosn 0) (instanceRef l3_cpu_0_u0)) )) (net (rename ahbsi_htrans_1 "ahbsi.htrans[1]") (joined (portRef (member htrans_0 0) (instanceRef ahb0)) (portRef (member htrans 0) (instanceRef apb0)) (portRef (member htrans 0) (instanceRef mctrl2_sr1)) (portRef (member htrans 0) (instanceRef l3_dsugen_dsu0)) )) (net (rename ahb0_comb_2_4_un95_ioen_NE "ahb0.comb.2.4.un95_ioen_NE") (joined (portRef un95_ioen_NE (instanceRef ahb0)) (portRef un95_ioen_NE (instanceRef l3_dsugen_dsu0)) )) (net N_3726 (joined (portRef N_3726 (instanceRef mctrl2_sr1)) (portRef N_3726 (instanceRef apb0)) )) (net (rename ahbsi_hsel_i_0 "ahbsi.hsel_i[0]") (joined (portRef (member hsel_i 0) (instanceRef ahb0)) (portRef (member hsel_i 0) (instanceRef mctrl2_sr1)) )) (net (rename dcomgen_dcom0_dcom_uart0_N_326 "dcomgen.dcom0.dcom_uart0.N_326") (joined (portRef N_326 (instanceRef dcomgen_dcom0)) (portRef N_326 (instanceRef apb0)) )) (net (rename ua1_uart1_N_897 "ua1.uart1.N_897") (joined (portRef N_897 (instanceRef gpio0_grgpio0)) (portRef N_897 (instanceRef ua1_uart1)) (portRef N_897 (instanceRef gpt_timer0)) (portRef N_897 (instanceRef apb0)) (portRef N_897 (instanceRef dcomgen_dcom0)) (portRef N_897 (instanceRef irqctrl_irqctrl0)) )) (net (rename gpt_timer0_comb_readdata57_1 "gpt.timer0.comb.readdata57_1") (joined (portRef readdata57_1 (instanceRef gpio0_grgpio0)) (portRef readdata57_1 (instanceRef gpt_timer0)) (portRef readdata57_1 (instanceRef apb0)) (portRef readdata57_1 (instanceRef dcomgen_dcom0)) )) (net (rename apbo_3_prdata_19 "apbo_3.prdata[19]") (joined (portRef prdata_12 (instanceRef gpt_timer0)) (portRef prdata_19 (instanceRef apb0)) )) (net (rename gpt_timer0_comb_readdata55_1 "gpt.timer0.comb.readdata55_1") (joined (portRef readdata55_1 (instanceRef gpt_timer0)) (portRef readdata55_1 (instanceRef gpio0_grgpio0)) (portRef readdata55_1 (instanceRef ua1_uart1)) (portRef readdata55_1 (instanceRef apb0)) )) (net (rename ahbmo_0_hwdata_6 "ahbmo_0.hwdata[6]") (joined (portRef (member hwdata 25) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 25) (instanceRef ahb0)) )) (net (rename dbgo_0_data_10 "dbgo_0.data[10]") (joined (portRef data_5 (instanceRef l3_cpu_0_u0)) (portRef data_5 (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbmo_0_hwdata_26 "ahbmo_0.hwdata[26]") (joined (portRef (member hwdata 5) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 5) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_30 "ahbmo_0.haddr[30]") (joined (portRef haddr_30 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 1) (instanceRef ahb0)) )) (net (rename l3_dsugen_dsu0_x0_N_148_2 "l3.dsugen.dsu0.x0.N_148_2") (joined (portRef N_148_2 (instanceRef l3_dsugen_dsu0)) (portRef N_148_2 (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmo_0_hwdata_13 "ahbmo_0.hwdata[13]") (joined (portRef (member hwdata 18) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 18) (instanceRef ahb0)) )) (net (rename ahbmo_0_haddr_0 "ahbmo_0.haddr[0]") (joined (portRef haddr_0 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 31) (instanceRef ahb0)) )) (net (rename ahbmo_0_htrans_1 "ahbmo_0.htrans[1]") (joined (portRef (member htrans 0) (instanceRef l3_cpu_0_u0)) (portRef (member htrans_1 0) (instanceRef ahb0)) )) (net (rename ahbsi_haddr_0 "ahbsi.haddr[0]") (joined (portRef haddr_0_d0 (instanceRef ahb0)) (portRef (member haddr 28) (instanceRef mctrl2_sr1)) )) (net (rename l3_cpu_0_u0_p0_c0mmu_a0_comb_un86_nbo "l3.cpu.0.u0.p0.c0mmu.a0.comb.un86_nbo") (joined (portRef un86_nbo (instanceRef l3_cpu_0_u0)) (portRef un86_nbo (instanceRef ahb0)) )) (net (rename ahbsi_hsize_1 "ahbsi.hsize[1]") (joined (portRef (member hsize 0) (instanceRef ahb0)) (portRef (member hsize 0) (instanceRef mctrl2_sr1)) )) (net (rename ahbsi_haddr_1 "ahbsi.haddr[1]") (joined (portRef haddr_1_d0 (instanceRef ahb0)) (portRef (member haddr 27) (instanceRef mctrl2_sr1)) )) (net (rename ahbmo_0_haddr_1 "ahbmo_0.haddr[1]") (joined (portRef haddr_1 (instanceRef l3_cpu_0_u0)) (portRef (member haddr_0 30) (instanceRef ahb0)) )) (net (rename l3_cpu_0_u0_p0_c0mmu_a0_hsize_5_1 "l3.cpu.0.u0.p0.c0mmu.a0.hsize_5[1]") (joined (portRef (member hsize_5 0) (instanceRef l3_cpu_0_u0)) (portRef (member hsize_5 0) (instanceRef ahb0)) )) (net (rename l3_cpu_0_u0_p0_c0mmu_a0_N_5515 "l3.cpu.0.u0.p0.c0mmu.a0.N_5515") (joined (portRef N_5515 (instanceRef l3_cpu_0_u0)) (portRef N_5515 (instanceRef ahb0)) )) (net (rename ahbmi_hgrant_1 "ahbmi.hgrant[1]") (joined (portRef (member hgrant 0) (instanceRef ahb0)) (portRef (member hgrant 0) (instanceRef dcomgen_dcom0)) (portRef (member hgrant 0) (instanceRef l3_cpu_0_u0)) )) (net (rename ahbmo_1_htrans_1 "ahbmo_1.htrans[1]") (joined (portRef (member htrans 0) (instanceRef dcomgen_dcom0)) (portRef (member htrans_2 0) (instanceRef ahb0)) )) (net (rename ahbmo_1_hbusreq_i_3 "ahbmo_1.hbusreq_i_3") (joined (portRef hbusreq_i_3 (instanceRef dcomgen_dcom0)) (portRef hbusreq_i_3 (instanceRef ahb0)) )) (net (rename ahbsi_hburst_0 "ahbsi.hburst[0]") (joined (portRef (member hburst 0) (instanceRef ahb0)) (portRef (member hburst_0 0) (instanceRef mctrl2_sr1)) )) (net (rename ahbsi_htrans_0 "ahbsi.htrans[0]") (joined (portRef htrans_0_d0 (instanceRef ahb0)) (portRef (member htrans 1) (instanceRef mctrl2_sr1)) )) (net N_2802 (joined (portRef N_2802 (instanceRef ahb0)) (portRef N_2802 (instanceRef l3_cpu_0_u0)) )) (net (rename ahb0_hready_1_sqmuxa "ahb0.hready_1_sqmuxa") (joined (portRef hready_1_sqmuxa (instanceRef ahb0)) (portRef hready_1_sqmuxa (instanceRef l3_cpu_0_u0)) )) (net (rename irqctrl_irqctrl0_r_ipend_m_3 "irqctrl.irqctrl0.r.ipend_m[3]") (joined (portRef ipend_m_0 (instanceRef irqctrl_irqctrl0)) (portRef (member ipend_m 0) (instanceRef apb0)) )) (net (rename gpio0_grgpio0_comb_readdata_2_m_3 "gpio0.grgpio0.comb.readdata_2_m[3]") (joined (portRef readdata_2_m_3 (instanceRef gpio0_grgpio0)) (portRef (member readdata_2_m 2) (instanceRef apb0)) )) (net (rename gpt_timer0_comb_readdata57_3 "gpt.timer0.comb.readdata57_3") (joined (portRef readdata57_3 (instanceRef gpt_timer0)) (portRef readdata57_3 (instanceRef gpio0_grgpio0)) )) (net (rename irqctrl_irqctrl0_N_131 "irqctrl.irqctrl0.N_131") (joined (portRef N_131 (instanceRef irqctrl_irqctrl0)) (portRef N_131 (instanceRef apb0)) )) (net (rename gpt_timer0_comb_readdata56_3 "gpt.timer0.comb.readdata56_3") (joined (portRef readdata56_3 (instanceRef gpt_timer0)) (portRef readdata56_3 (instanceRef gpio0_grgpio0)) )) (net (rename gpio0_grgpio0_comb_readdata_2_m_4 "gpio0.grgpio0.comb.readdata_2_m[4]") (joined (portRef readdata_2_m_4 (instanceRef gpio0_grgpio0)) (portRef (member readdata_2_m 1) (instanceRef apb0)) )) (net (rename ahbmo_0_hwrite "ahbmo_0.hwrite") (joined (portRef hwrite (instanceRef l3_cpu_0_u0)) (portRef hwrite_0 (instanceRef ahb0)) )) (net (rename mctrl2_sr1_ctrl_un1_ahbsi_1 "mctrl2.sr1.ctrl.un1_ahbsi_1") (joined (portRef un1_ahbsi_1 (instanceRef mctrl2_sr1)) (portRef un1_ahbsi_1 (instanceRef ahb0)) )) (net (rename ahbsi_hsize_0 "ahbsi.hsize[0]") (joined (portRef (member hsize 1) (instanceRef ahb0)) (portRef (member hsize 1) (instanceRef mctrl2_sr1)) )) (net (rename dcomgen_dcom0_dcom_uart0_v_brate_1_sqmuxa_1_0 "dcomgen.dcom0.dcom_uart0.v.brate_1_sqmuxa_1_0") (joined (portRef brate_1_sqmuxa_1_0 (instanceRef dcomgen_dcom0)) (portRef brate_1_sqmuxa_1_0 (instanceRef gpt_timer0)) )) (net (rename gpt_timer0_r_timers_2_value_m_26 "gpt.timer0.r.timers_2.value_m[26]") (joined (portRef value_m_26 (instanceRef gpt_timer0)) (portRef value_m_17 (instanceRef apb0)) )) (net (rename ahbmo_0_hlock "ahbmo_0.hlock") (joined (portRef hlock (instanceRef l3_cpu_0_u0)) (portRef hlock (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_23 "ahbmo_0.hwdata[23]") (joined (portRef (member hwdata 8) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 8) (instanceRef ahb0)) )) (net (rename l3_cpu_0_u0_p0_c0mmu_a0_v_lb_0_sqmuxa_1 "l3.cpu.0.u0.p0.c0mmu.a0.v.lb_0_sqmuxa_1") (joined (portRef lb_0_sqmuxa_1 (instanceRef l3_cpu_0_u0)) (portRef lb_0_sqmuxa_1 (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_29 "ahbmo_0.hwdata[29]") (joined (portRef (member hwdata 2) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 2) (instanceRef ahb0)) )) (net (rename apbo_4_prdata_2 "apbo_4.prdata[2]") (joined (portRef (member prdata 0) (instanceRef dcomgen_dcom0)) (portRef prdata_2 (instanceRef apb0)) )) (net (rename dcomgen_dcom0_dcom_uart0_uartop_un1_rdata23 "dcomgen.dcom0.dcom_uart0.uartop.un1_rdata23") (joined (portRef un1_rdata23 (instanceRef dcomgen_dcom0)) (portRef un1_rdata23 (instanceRef apb0)) )) (net (rename apbo_4_prdata_1 "apbo_4.prdata[1]") (joined (portRef (member prdata 1) (instanceRef dcomgen_dcom0)) (portRef prdata_1 (instanceRef apb0)) )) (net (rename dcomgen_dcom0_dcom_uart0_N_325 "dcomgen.dcom0.dcom_uart0.N_325") (joined (portRef N_325 (instanceRef dcomgen_dcom0)) (portRef N_325 (instanceRef apb0)) )) (net (rename mctrl2_sr1_iosn_101 "mctrl2.sr1.iosn[101]") (joined (portRef iosn_8 (instanceRef ahb0)) (portRef iosn_100 (instanceRef mctrl2_sr1)) )) (net (rename ahbmi_hresp_0 "ahbmi.hresp[0]") (joined (portRef (member hresp_0 0) (instanceRef ahb0)) (portRef (member hresp 0) (instanceRef l3_cpu_0_u0)) )) (net (rename dbgo_0_data_7 "dbgo_0.data[7]") (joined (portRef data_2 (instanceRef l3_cpu_0_u0)) (portRef data_2 (instanceRef l3_dsugen_dsu0)) )) (net (rename ahbmo_0_hwdata_7 "ahbmo_0.hwdata[7]") (joined (portRef (member hwdata 24) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 24) (instanceRef ahb0)) )) (net (rename apb0_N_343 "apb0.N_343") (joined (portRef N_343 (instanceRef apb0)) (portRef N_343 (instanceRef ua1_uart1)) (portRef N_343 (instanceRef gpt_timer0)) (portRef N_343 (instanceRef dcomgen_dcom0)) (portRef N_343 (instanceRef mctrl2_sr1)) (portRef N_343 (instanceRef irqctrl_irqctrl0)) )) (net (rename apb0_N_327 "apb0.N_327") (joined (portRef N_327_0 (instanceRef apb0)) (portRef N_327_0 (instanceRef dcomgen_dcom0)) )) (net (rename apb0_N_337 "apb0.N_337") (joined (portRef N_337 (instanceRef apb0)) (portRef N_337 (instanceRef gpio0_grgpio0)) (portRef N_337 (instanceRef gpt_timer0)) )) (net (rename apbi_pirq_8 "apbi.pirq[8]") (joined (portRef (member pirq 1) (instanceRef gpt_timer0)) (portRef pirq_6 (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pirq_9 "apbi.pirq[9]") (joined (portRef (member pirq 0) (instanceRef gpt_timer0)) (portRef pirq_7 (instanceRef irqctrl_irqctrl0)) )) (net (rename gpt_timer0_r_timers_2_value_m_10 "gpt.timer0.r.timers_2.value_m[10]") (joined (portRef value_m_10 (instanceRef gpt_timer0)) (portRef value_m_1 (instanceRef apb0)) )) (net (rename apbo_3_prdata_17 "apbo_3.prdata[17]") (joined (portRef prdata_10 (instanceRef gpt_timer0)) (portRef prdata_17 (instanceRef apb0)) )) (net (rename gpt_timer0_r_timers_2_value_m_23 "gpt.timer0.r.timers_2.value_m[23]") (joined (portRef value_m_23 (instanceRef gpt_timer0)) (portRef value_m_14 (instanceRef apb0)) )) (net (rename gpt_timer0_r_timers_2_value_m_14 "gpt.timer0.r.timers_2.value_m[14]") (joined (portRef value_m_14 (instanceRef gpt_timer0)) (portRef value_m_5 (instanceRef apb0)) )) (net (rename apbo_3_prdata_28 "apbo_3.prdata[28]") (joined (portRef prdata_21 (instanceRef gpt_timer0)) (portRef prdata_28 (instanceRef apb0)) )) (net (rename apbo_3_prdata_12 "apbo_3.prdata[12]") (joined (portRef prdata_5 (instanceRef gpt_timer0)) (portRef prdata_12 (instanceRef apb0)) )) (net (rename apbo_3_prdata_25 "apbo_3.prdata[25]") (joined (portRef prdata_18 (instanceRef gpt_timer0)) (portRef prdata_25 (instanceRef apb0)) )) (net (rename gpt_timer0_r_timers_2_value_m_18 "gpt.timer0.r.timers_2.value_m[18]") (joined (portRef value_m_18 (instanceRef gpt_timer0)) (portRef value_m_9 (instanceRef apb0)) )) (net (rename apbo_3_prdata_20 "apbo_3.prdata[20]") (joined (portRef prdata_13 (instanceRef gpt_timer0)) (portRef prdata_20 (instanceRef apb0)) )) (net (rename apbo_3_prdata_24 "apbo_3.prdata[24]") (joined (portRef prdata_17 (instanceRef gpt_timer0)) (portRef prdata_24 (instanceRef apb0)) )) (net (rename gpt_timer0_r_timers_2_value_m_15 "gpt.timer0.r.timers_2.value_m[15]") (joined (portRef value_m_15 (instanceRef gpt_timer0)) (portRef value_m_6 (instanceRef apb0)) )) (net (rename apbo_3_prdata_16 "apbo_3.prdata[16]") (joined (portRef prdata_9 (instanceRef gpt_timer0)) (portRef prdata_16 (instanceRef apb0)) )) (net (rename gpt_timer0_r_timers_2_value_m_11 "gpt.timer0.r.timers_2.value_m[11]") (joined (portRef value_m_11 (instanceRef gpt_timer0)) (portRef value_m_2 (instanceRef apb0)) )) (net (rename gpt_timer0_r_timers_2_value_m_13 "gpt.timer0.r.timers_2.value_m[13]") (joined (portRef value_m_13 (instanceRef gpt_timer0)) (portRef value_m_4 (instanceRef apb0)) )) (net (rename apbo_3_prdata_21 "apbo_3.prdata[21]") (joined (portRef prdata_14 (instanceRef gpt_timer0)) (portRef prdata_21 (instanceRef apb0)) )) (net (rename apbo_3_prdata_27 "apbo_3.prdata[27]") (joined (portRef prdata_20 (instanceRef gpt_timer0)) (portRef prdata_27 (instanceRef apb0)) )) (net (rename gpt_timer0_r_timers_2_value_m_29 "gpt.timer0.r.timers_2.value_m[29]") (joined (portRef value_m_29 (instanceRef gpt_timer0)) (portRef value_m_20 (instanceRef apb0)) )) (net (rename mctrl2_sr1_ctrl_brmw_1 "mctrl2.sr1.ctrl.brmw_1") (joined (portRef brmw_1 (instanceRef mctrl2_sr1)) (portRef brmw_1 (instanceRef ahb0)) )) (net (rename ahbsi_hmbsel_0 "ahbsi.hmbsel[0]") (joined (portRef (member hmbsel 0) (instanceRef ahb0)) (portRef (member hmbsel 0) (instanceRef mctrl2_sr1)) )) (net (rename apbo_11_prdata_0 "apbo_11.prdata[0]") (joined (portRef prdata_0 (instanceRef gpio0_grgpio0)) (portRef prdata_0_d0 (instanceRef apb0)) )) (net (rename gpio0_grgpio0_comb_readdata_2_m_1 "gpio0.grgpio0.comb.readdata_2_m[1]") (joined (portRef readdata_2_m_1 (instanceRef gpio0_grgpio0)) (portRef (member readdata_2_m 4) (instanceRef apb0)) )) (net (rename gpio0_grgpio0_comb_readdata_2_m_2 "gpio0.grgpio0.comb.readdata_2_m[2]") (joined (portRef readdata_2_m_2 (instanceRef gpio0_grgpio0)) (portRef (member readdata_2_m 3) (instanceRef apb0)) )) (net (rename gpio0_grgpio0_comb_readdata_2_m_5 "gpio0.grgpio0.comb.readdata_2_m[5]") (joined (portRef readdata_2_m_5 (instanceRef gpio0_grgpio0)) (portRef (member readdata_2_m 0) (instanceRef apb0)) )) (net (rename apbo_11_prdata_6 "apbo_11.prdata[6]") (joined (portRef prdata_6 (instanceRef gpio0_grgpio0)) (portRef prdata_0_6 (instanceRef apb0)) )) (net N_3729 (joined (portRef N_3729 (instanceRef mctrl2_sr1)) (portRef N_3729 (instanceRef apb0)) )) (net (rename ahbmo_0_haddr_31 "ahbmo_0.haddr[31]") (joined (portRef haddr_31 (instanceRef l3_cpu_0_u0)) (portRef haddr_31 (instanceRef ahb0)) )) (net (rename mctrl2_sr1_iosn_100 "mctrl2.sr1.iosn[100]") (joined (portRef iosn_7 (instanceRef ahb0)) (portRef iosn_99 (instanceRef mctrl2_sr1)) )) (net (rename gpt_timer0_comb_readdata57_2 "gpt.timer0.comb.readdata57_2") (joined (portRef readdata57_2 (instanceRef gpio0_grgpio0)) (portRef readdata57_2 (instanceRef gpt_timer0)) )) (net (rename ahbmo_0_hwdata_10 "ahbmo_0.hwdata[10]") (joined (portRef (member hwdata 21) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 21) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_9 "ahbmo_0.hwdata[9]") (joined (portRef (member hwdata 22) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 22) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_5 "ahbmo_0.hwdata[5]") (joined (portRef (member hwdata 26) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 26) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_4 "ahbmo_0.hwdata[4]") (joined (portRef (member hwdata 27) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 27) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_17 "ahbmo_0.hwdata[17]") (joined (portRef (member hwdata 14) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 14) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_16 "ahbmo_0.hwdata[16]") (joined (portRef (member hwdata 15) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 15) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_14 "ahbmo_0.hwdata[14]") (joined (portRef (member hwdata 17) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 17) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_12 "ahbmo_0.hwdata[12]") (joined (portRef (member hwdata 19) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 19) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_11 "ahbmo_0.hwdata[11]") (joined (portRef (member hwdata 20) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 20) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_24 "ahbmo_0.hwdata[24]") (joined (portRef (member hwdata 7) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 7) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_22 "ahbmo_0.hwdata[22]") (joined (portRef (member hwdata 9) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 9) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_20 "ahbmo_0.hwdata[20]") (joined (portRef (member hwdata 11) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 11) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_18 "ahbmo_0.hwdata[18]") (joined (portRef (member hwdata 13) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 13) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_31 "ahbmo_0.hwdata[31]") (joined (portRef (member hwdata 0) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 0) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_30 "ahbmo_0.hwdata[30]") (joined (portRef (member hwdata 1) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 1) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_28 "ahbmo_0.hwdata[28]") (joined (portRef (member hwdata 3) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 3) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_27 "ahbmo_0.hwdata[27]") (joined (portRef (member hwdata 4) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 4) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_25 "ahbmo_0.hwdata[25]") (joined (portRef (member hwdata 6) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 6) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_3 "ahbmo_0.hwdata[3]") (joined (portRef (member hwdata 28) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 28) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_2 "ahbmo_0.hwdata[2]") (joined (portRef (member hwdata 29) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 29) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_1 "ahbmo_0.hwdata[1]") (joined (portRef (member hwdata 30) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 30) (instanceRef ahb0)) )) (net (rename ahbmo_0_hwdata_0 "ahbmo_0.hwdata[0]") (joined (portRef (member hwdata 31) (instanceRef l3_cpu_0_u0)) (portRef (member hwdata_0 31) (instanceRef ahb0)) )) (net (rename ua1_uart1_N_846 "ua1.uart1.N_846") (joined (portRef N_846 (instanceRef ua1_uart1)) (portRef N_846 (instanceRef apb0)) )) (net (rename ua1_uart1_N_847 "ua1.uart1.N_847") (joined (portRef N_847 (instanceRef ua1_uart1)) (portRef N_847 (instanceRef apb0)) )) (net (rename apbo_1_prdata_11 "apbo_1.prdata[11]") (joined (portRef (member prdata 0) (instanceRef ua1_uart1)) (portRef prdata_11 (instanceRef apb0)) )) (net (rename ua1_uart1_N_35 "ua1.uart1.N_35") (joined (portRef N_35 (instanceRef irqctrl_irqctrl0)) (portRef N_35 (instanceRef ua1_uart1)) (portRef N_35 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_3_sqmuxa "gpt.timer0.readdata_3_sqmuxa") (joined (portRef readdata_3_sqmuxa (instanceRef gpt_timer0)) (portRef readdata_3_sqmuxa (instanceRef apb0)) )) (net (rename gpt_timer0_r_timers_2_value_m_9 "gpt.timer0.r.timers_2.value_m[9]") (joined (portRef value_m_9 (instanceRef gpt_timer0)) (portRef value_m_0 (instanceRef apb0)) )) (net (rename gpt_timer0_comb_un1_gpti_m "gpt.timer0.comb.un1_gpti_m") (joined (portRef un1_gpti_m (instanceRef gpt_timer0)) (portRef un1_gpti_m (instanceRef apb0)) )) (net (rename apbo_3_prdata_8 "apbo_3.prdata[8]") (joined (portRef prdata_1 (instanceRef gpt_timer0)) (portRef prdata_8 (instanceRef apb0)) )) (net (rename apbo_4_prdata_0 "apbo_4.prdata[0]") (joined (portRef (member prdata 2) (instanceRef dcomgen_dcom0)) (portRef prdata_0_0 (instanceRef apb0)) )) (net (rename apbo_2_prdata_9 "apbo_2.prdata[9]") (joined (portRef prdata_3 (instanceRef irqctrl_irqctrl0)) (portRef prdata_9 (instanceRef apb0)) )) (net (rename apbo_2_prdata_6 "apbo_2.prdata[6]") (joined (portRef prdata_0 (instanceRef irqctrl_irqctrl0)) (portRef prdata_6 (instanceRef apb0)) )) (net (rename dcomgen_dcom0_dcom_uart0_N_324 "dcomgen.dcom0.dcom_uart0.N_324") (joined (portRef N_324 (instanceRef dcomgen_dcom0)) (portRef N_324_0 (instanceRef apb0)) )) (net (rename dcomgen_dcom0_dcom_uart0_N_328 "dcomgen.dcom0.dcom_uart0.N_328") (joined (portRef N_328 (instanceRef dcomgen_dcom0)) (portRef N_328_0 (instanceRef apb0)) )) (net (rename dcomgen_dcom0_dcom_uart0_N_327 "dcomgen.dcom0.dcom_uart0.N_327") (joined (portRef N_327 (instanceRef dcomgen_dcom0)) (portRef N_327 (instanceRef apb0)) )) (net (rename dcomgen_dcom0_dcom_uart0_N_323 "dcomgen.dcom0.dcom_uart0.N_323") (joined (portRef N_323 (instanceRef dcomgen_dcom0)) (portRef N_323 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_N_139 "irqctrl.irqctrl0.N_139") (joined (portRef N_139 (instanceRef irqctrl_irqctrl0)) (portRef N_139 (instanceRef apb0)) )) (net (rename dbgo_0_data_5 "dbgo_0.data[5]") (joined (portRef data_0 (instanceRef l3_cpu_0_u0)) (portRef data_0 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_27 "dbgo_0.data[27]") (joined (portRef data_22 (instanceRef l3_cpu_0_u0)) (portRef data_22 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_26 "dbgo_0.data[26]") (joined (portRef data_21 (instanceRef l3_cpu_0_u0)) (portRef data_21 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_25 "dbgo_0.data[25]") (joined (portRef data_20 (instanceRef l3_cpu_0_u0)) (portRef data_20 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_23 "dbgo_0.data[23]") (joined (portRef data_18 (instanceRef l3_cpu_0_u0)) (portRef data_18 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_22 "dbgo_0.data[22]") (joined (portRef data_17 (instanceRef l3_cpu_0_u0)) (portRef data_17 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_21 "dbgo_0.data[21]") (joined (portRef data_16 (instanceRef l3_cpu_0_u0)) (portRef data_16 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_20 "dbgo_0.data[20]") (joined (portRef data_15 (instanceRef l3_cpu_0_u0)) (portRef data_15 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_18 "dbgo_0.data[18]") (joined (portRef data_13 (instanceRef l3_cpu_0_u0)) (portRef data_13 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_14 "dbgo_0.data[14]") (joined (portRef data_9 (instanceRef l3_cpu_0_u0)) (portRef data_9 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_12 "dbgo_0.data[12]") (joined (portRef data_7 (instanceRef l3_cpu_0_u0)) (portRef data_7 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_9 "dbgo_0.data[9]") (joined (portRef data_4 (instanceRef l3_cpu_0_u0)) (portRef data_4 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_8 "dbgo_0.data[8]") (joined (portRef data_3 (instanceRef l3_cpu_0_u0)) (portRef data_3 (instanceRef l3_dsugen_dsu0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_2_15 "irqctrl.irqctrl0.prdata_0_iv_2[15]") (joined (portRef prdata_0_iv_2_12 (instanceRef irqctrl_irqctrl0)) (portRef (member prdata_0_iv_2 0) (instanceRef apb0)) )) (net (rename ahbsi_hwrite_m_0 "ahbsi.hwrite_m_0") (joined (portRef hwrite_m_0 (instanceRef ahb0)) (portRef hwrite_m_0 (instanceRef mctrl2_sr1)) )) (net (rename ahb0_un34_hready_i_m_0 "ahb0.un34_hready_i_m[0]") (joined (portRef (member un34_hready_i_m 0) (instanceRef ahb0)) (portRef (member un34_hready_i_m 0) (instanceRef l3_cpu_0_u0)) )) (net (rename l3_dsugen_dsu0_x0_N_161 "l3.dsugen.dsu0.x0.N_161") (joined (portRef N_161 (instanceRef l3_cpu_0_u0)) (portRef N_161 (instanceRef l3_dsugen_dsu0)) )) (net (rename mctrl2_sr1_ctrl_un1_apbi_0 "mctrl2.sr1.ctrl.un1_apbi_0") (joined (portRef un1_apbi_0 (instanceRef gpt_timer0)) (portRef un1_apbi_0 (instanceRef gpio0_grgpio0)) (portRef un1_apbi_0 (instanceRef dcomgen_dcom0)) (portRef un1_apbi_0 (instanceRef mctrl2_sr1)) )) (net (rename mctrl2_sr1_v_mcfg2_rmw_1_sqmuxa_2 "mctrl2.sr1.v.mcfg2.rmw_1_sqmuxa_2") (joined (portRef rmw_1_sqmuxa_2 (instanceRef ua1_uart1)) (portRef rmw_1_sqmuxa_2 (instanceRef apb0)) (portRef rmw_1_sqmuxa_2 (instanceRef mctrl2_sr1)) (portRef rmw_1_sqmuxa_2 (instanceRef irqctrl_irqctrl0)) )) (net (rename dbgo_0_data_i_1 "dbgo_0.data_i[1]") (joined (portRef data_i_1 (instanceRef l3_cpu_0_u0)) (portRef data_i_1 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_i_11 "dbgo_0.data_i[11]") (joined (portRef data_i_11 (instanceRef l3_cpu_0_u0)) (portRef data_i_11 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_i_0 "dbgo_0.data_i[0]") (joined (portRef data_i_0 (instanceRef l3_cpu_0_u0)) (portRef data_i_0 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_i_16 "dbgo_0.data_i[16]") (joined (portRef data_i_16 (instanceRef l3_cpu_0_u0)) (portRef data_i_16 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_i_4 "dbgo_0.data_i[4]") (joined (portRef data_i_4 (instanceRef l3_cpu_0_u0)) (portRef data_i_4 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_i_3 "dbgo_0.data_i[3]") (joined (portRef data_i_3 (instanceRef l3_cpu_0_u0)) (portRef data_i_3 (instanceRef l3_dsugen_dsu0)) )) (net (rename dbgo_0_data_i_2 "dbgo_0.data_i[2]") (joined (portRef data_i_2 (instanceRef l3_cpu_0_u0)) (portRef data_i_2 (instanceRef l3_dsugen_dsu0)) )) (net (rename gpt_timer0_comb_1_readdata_9_i_0_6 "gpt.timer0.comb.1.readdata_9_i_0[6]") (joined (portRef readdata_9_i_0_5 (instanceRef gpt_timer0)) (portRef readdata_9_i_0_5 (instanceRef apb0)) )) (net (rename gpt_timer0_comb_1_readdata_9_i_0_1 "gpt.timer0.comb.1.readdata_9_i_0[1]") (joined (portRef readdata_9_i_0_0 (instanceRef gpt_timer0)) (portRef readdata_9_i_0_0 (instanceRef apb0)) )) (net (rename ahbmo_0_hbusreq_i_0 "ahbmo_0.hbusreq_i_0") (joined (portRef hbusreq_i_0 (instanceRef l3_cpu_0_u0)) (portRef hbusreq_i_0 (instanceRef ahb0)) )) (net (rename r_bo_RNITUD75_1 "r.bo_RNITUD75[1]") (joined (portRef (member bo_rnitud75 0) (instanceRef l3_cpu_0_u0)) (portRef (member bo_rnitud75 0) (instanceRef ahb0)) )) (net (rename r_bo_RNIV2E75_1 "r.bo_RNIV2E75[1]") (joined (portRef (member bo_rniv2e75 0) (instanceRef l3_cpu_0_u0)) (portRef (member bo_rniv2e75 0) (instanceRef ahb0)) )) (net (rename r_bo_RNI17E75_1 "r.bo_RNI17E75[1]") (joined (portRef (member bo_rni17e75 0) (instanceRef l3_cpu_0_u0)) (portRef (member bo_rni17e75 0) (instanceRef ahb0)) )) (net (rename r_bo_RNI5FE75_1 "r.bo_RNI5FE75[1]") (joined (portRef (member bo_rni5fe75 0) (instanceRef l3_cpu_0_u0)) (portRef (member bo_rni5fe75 0) (instanceRef ahb0)) )) (net (rename r_ba_RNIIJKI5_0 "r.ba_RNIIJKI5_0") (joined (portRef ba_RNIIJKI5_0 (instanceRef l3_cpu_0_u0)) (portRef ba_RNIIJKI5_0 (instanceRef ahb0)) )) (net (rename r_timers_2_reload_RNIHTBF_6 "r.timers_2.reload_RNIHTBF[6]") (joined (portRef (member reload_rnihtbf 0) (instanceRef gpt_timer0)) (portRef (member reload_rnihtbf 0) (instanceRef apb0)) )) (net (rename r_timers_2_value_RNIBAHH_1 "r.timers_2.value_RNIBAHH[1]") (joined (portRef (member value_rnibahh 0) (instanceRef gpt_timer0)) (portRef (member value_rnibahh 0) (instanceRef apb0)) )) (net (rename r_timers_2_restart_RNI34S9 "r.timers_2.restart_RNI34S9") (joined (portRef restart_RNI34S9 (instanceRef gpt_timer0)) (portRef restart_RNI34S9 (instanceRef apb0)) )) (net (rename r_timers_2_reload_RNICTBF_1 "r.timers_2.reload_RNICTBF[1]") (joined (portRef (member reload_rnictbf 0) (instanceRef gpt_timer0)) (portRef (member reload_rnictbf 0) (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_1_15 "irqctrl.irqctrl0.prdata_0_iv_1[15]") (joined (portRef prdata_0_iv_1_12 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_1_12 (instanceRef apb0)) )) (net (rename gpio0_grgpio0_apbo_prdata_iv_0_5 "gpio0.grgpio0.apbo.prdata_iv_0[5]") (joined (portRef (member prdata_iv_0 0) (instanceRef gpio0_grgpio0)) (portRef (member prdata_iv_0 0) (instanceRef apb0)) )) (net (rename gpio0_grgpio0_apbo_prdata_iv_0_2 "gpio0.grgpio0.apbo.prdata_iv_0[2]") (joined (portRef (member prdata_iv_0 3) (instanceRef gpio0_grgpio0)) (portRef (member prdata_iv_0 3) (instanceRef apb0)) )) (net (rename gpio0_grgpio0_apbo_prdata_iv_0_1 "gpio0.grgpio0.apbo.prdata_iv_0[1]") (joined (portRef (member prdata_iv_0 4) (instanceRef gpio0_grgpio0)) (portRef (member prdata_iv_0 4) (instanceRef apb0)) )) (net (rename gpio0_grgpio0_apbo_prdata_iv_0_4 "gpio0.grgpio0.apbo.prdata_iv_0[4]") (joined (portRef (member prdata_iv_0 1) (instanceRef gpio0_grgpio0)) (portRef (member prdata_iv_0 1) (instanceRef apb0)) )) (net (rename gpio0_grgpio0_apbo_prdata_iv_0_3 "gpio0.grgpio0.apbo.prdata_iv_0[3]") (joined (portRef (member prdata_iv_0 2) (instanceRef gpio0_grgpio0)) (portRef (member prdata_iv_0 2) (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_1_3 "irqctrl.irqctrl0.prdata_0_iv_1[3]") (joined (portRef prdata_0_iv_1_0 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_1_0 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_1_iv_0_15 "gpt.timer0.readdata_1_iv_0[15]") (joined (portRef readdata_1_iv_0_7 (instanceRef gpt_timer0)) (portRef readdata_1_iv_0_5 (instanceRef apb0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_3_31 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_3[31]") (joined (portRef data_0_iv_3_18 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_3_18 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_4_31 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_4[31]") (joined (portRef data_0_iv_4_7 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_4_7 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_3_28 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_3[28]") (joined (portRef data_0_iv_3_15 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_3_15 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_4_28 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_4[28]") (joined (portRef data_0_iv_4_4 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_4_4 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_3_24 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_3[24]") (joined (portRef data_0_iv_3_11 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_3_11 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_4_24 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_4[24]") (joined (portRef data_0_iv_4_0 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_4_0 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_2_17 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_2[17]") (joined (portRef data_0_iv_2_4 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_2_4 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_3_17 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_3[17]") (joined (portRef data_0_iv_3_4 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_3_4 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_3_30 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_3[30]") (joined (portRef data_0_iv_3_17 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_3_17 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_4_30 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_4[30]") (joined (portRef data_0_iv_4_6 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_4_6 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_2_19 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_2[19]") (joined (portRef data_0_iv_2_6 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_2_6 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_3_19 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_3[19]") (joined (portRef data_0_iv_3_6 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_3_6 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_2_13 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_2[13]") (joined (portRef data_0_iv_2_0 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_2_0 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_3_13 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_3[13]") (joined (portRef data_0_iv_3_0 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_3_0 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_2_15 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_2[15]") (joined (portRef data_0_iv_2_2 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_2_2 (instanceRef l3_dsugen_dsu0)) )) (net (rename l3_cpu_0_u0_p0_iu0_comb_diagread_data_0_iv_3_15 "l3.cpu.0.u0.p0.iu0.comb.diagread.data_0_iv_3[15]") (joined (portRef data_0_iv_3_2 (instanceRef l3_cpu_0_u0)) (portRef data_0_iv_3_2 (instanceRef l3_dsugen_dsu0)) )) (net (rename gpt_timer0_readdata_1_iv_1_9 "gpt.timer0.readdata_1_iv_1[9]") (joined (portRef (member readdata_1_iv_1 0) (instanceRef gpt_timer0)) (portRef (member readdata_1_iv_1 0) (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_1_iv_0_13 "gpt.timer0.readdata_1_iv_0[13]") (joined (portRef readdata_1_iv_0_5 (instanceRef gpt_timer0)) (portRef readdata_1_iv_0_3 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_1_iv_0_11 "gpt.timer0.readdata_1_iv_0[11]") (joined (portRef readdata_1_iv_0_3 (instanceRef gpt_timer0)) (portRef readdata_1_iv_0_1 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_1_iv_0_14 "gpt.timer0.readdata_1_iv_0[14]") (joined (portRef readdata_1_iv_0_6 (instanceRef gpt_timer0)) (portRef readdata_1_iv_0_4 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_1_iv_0_10 "gpt.timer0.readdata_1_iv_0[10]") (joined (portRef readdata_1_iv_0_2 (instanceRef gpt_timer0)) (portRef readdata_1_iv_0_0 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_1_iv_0_26 "gpt.timer0.readdata_1_iv_0[26]") (joined (portRef readdata_1_iv_0_18 (instanceRef gpt_timer0)) (portRef readdata_1_iv_0_16 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_1_iv_0_30 "gpt.timer0.readdata_1_iv_0[30]") (joined (portRef readdata_1_iv_0_22 (instanceRef gpt_timer0)) (portRef readdata_1_iv_0_20 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_1_iv_0_23 "gpt.timer0.readdata_1_iv_0[23]") (joined (portRef readdata_1_iv_0_15 (instanceRef gpt_timer0)) (portRef readdata_1_iv_0_13 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_1_iv_0_18 "gpt.timer0.readdata_1_iv_0[18]") (joined (portRef readdata_1_iv_0_10 (instanceRef gpt_timer0)) (portRef readdata_1_iv_0_8 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_1_iv_0_29 "gpt.timer0.readdata_1_iv_0[29]") (joined (portRef readdata_1_iv_0_21 (instanceRef gpt_timer0)) (portRef readdata_1_iv_0_19 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_iv_2_0 "gpt.timer0.readdata_iv_2[0]") (joined (portRef readdata_iv_2_0 (instanceRef gpt_timer0)) (portRef readdata_iv_2_0 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_iv_3_0 "gpt.timer0.readdata_iv_3[0]") (joined (portRef readdata_iv_3_0 (instanceRef gpt_timer0)) (portRef readdata_iv_3_0 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_iv_2_5 "gpt.timer0.readdata_iv_2[5]") (joined (portRef readdata_iv_2_5 (instanceRef gpt_timer0)) (portRef readdata_iv_2_5 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_iv_3_5 "gpt.timer0.readdata_iv_3[5]") (joined (portRef readdata_iv_3_5 (instanceRef gpt_timer0)) (portRef readdata_iv_3_5 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_iv_2_2 "gpt.timer0.readdata_iv_2[2]") (joined (portRef readdata_iv_2_2 (instanceRef gpt_timer0)) (portRef readdata_iv_2_2 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_iv_3_2 "gpt.timer0.readdata_iv_3[2]") (joined (portRef readdata_iv_3_2 (instanceRef gpt_timer0)) (portRef readdata_iv_3_2 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_iv_2_4 "gpt.timer0.readdata_iv_2[4]") (joined (portRef readdata_iv_2_4 (instanceRef gpt_timer0)) (portRef readdata_iv_2_4 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_iv_3_4 "gpt.timer0.readdata_iv_3[4]") (joined (portRef readdata_iv_3_4 (instanceRef gpt_timer0)) (portRef readdata_iv_3_4 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_iv_2_3 "gpt.timer0.readdata_iv_2[3]") (joined (portRef readdata_iv_2_3 (instanceRef gpt_timer0)) (portRef readdata_iv_2_3 (instanceRef apb0)) )) (net (rename gpt_timer0_readdata_iv_3_3 "gpt.timer0.readdata_iv_3[3]") (joined (portRef readdata_iv_3_3 (instanceRef gpt_timer0)) (portRef readdata_iv_3_3 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_1 "irqctrl.irqctrl0.prdata_0_iv_0_1[1]") (joined (portRef prdata_0_iv_0_1_0 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_0_d0 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_2 "irqctrl.irqctrl0.prdata_0_iv_0_1[2]") (joined (portRef prdata_0_iv_0_1_1 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_1 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_5 "irqctrl.irqctrl0.prdata_0_iv_0_1[5]") (joined (portRef prdata_0_iv_0_1_4 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_4 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_8 "irqctrl.irqctrl0.prdata_0_iv_0_1[8]") (joined (portRef prdata_0_iv_0_1_7 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_7 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_10 "irqctrl.irqctrl0.prdata_0_iv_0_1[10]") (joined (portRef prdata_0_iv_0_1_9 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_9 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_11 "irqctrl.irqctrl0.prdata_0_iv_0_1[11]") (joined (portRef prdata_0_iv_0_1_10 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_10 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_12 "irqctrl.irqctrl0.prdata_0_iv_0_1[12]") (joined (portRef prdata_0_iv_0_1_11 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_11 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_13 "irqctrl.irqctrl0.prdata_0_iv_0_1[13]") (joined (portRef prdata_0_iv_0_1_12 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_12 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_14 "irqctrl.irqctrl0.prdata_0_iv_0_1[14]") (joined (portRef prdata_0_iv_0_1_13 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_13 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_4 "irqctrl.irqctrl0.prdata_0_iv_0_1[4]") (joined (portRef prdata_0_iv_0_1_3 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_3 (instanceRef apb0)) )) (net (rename irqctrl_irqctrl0_prdata_0_iv_0_1_7 "irqctrl.irqctrl0.prdata_0_iv_0_1[7]") (joined (portRef prdata_0_iv_0_1_6 (instanceRef irqctrl_irqctrl0)) (portRef prdata_0_iv_0_1_6 (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_0_iv_0_1_7 "ua1.uart1.apbo.prdata_0_iv_0_1[7]") (joined (portRef (member prdata_0_iv_0_1 0) (instanceRef ua1_uart1)) (portRef (member prdata_0_iv_0_1_0 0) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_1_0 "ua1.uart1.apbo.prdata_iv_0_1[0]") (joined (portRef (member prdata_iv_0_1 6) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_1 6) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_2_0 "ua1.uart1.apbo.prdata_iv_0_2[0]") (joined (portRef (member prdata_iv_0_2 6) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_2 6) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_1_5 "ua1.uart1.apbo.prdata_iv_0_1[5]") (joined (portRef (member prdata_iv_0_1 1) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_1 1) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_2_5 "ua1.uart1.apbo.prdata_iv_0_2[5]") (joined (portRef (member prdata_iv_0_2 1) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_2 1) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_1_6 "ua1.uart1.apbo.prdata_iv_0_1[6]") (joined (portRef (member prdata_iv_0_1 0) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_1 0) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_2_6 "ua1.uart1.apbo.prdata_iv_0_2[6]") (joined (portRef (member prdata_iv_0_2 0) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_2 0) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_1_1 "ua1.uart1.apbo.prdata_iv_0_1[1]") (joined (portRef (member prdata_iv_0_1 5) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_1 5) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_2_1 "ua1.uart1.apbo.prdata_iv_0_2[1]") (joined (portRef (member prdata_iv_0_2 5) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_2 5) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_1_2 "ua1.uart1.apbo.prdata_iv_0_1[2]") (joined (portRef (member prdata_iv_0_1 4) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_1 4) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_2_2 "ua1.uart1.apbo.prdata_iv_0_2[2]") (joined (portRef (member prdata_iv_0_2 4) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_2 4) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_1_4 "ua1.uart1.apbo.prdata_iv_0_1[4]") (joined (portRef (member prdata_iv_0_1 2) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_1 2) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_2_4 "ua1.uart1.apbo.prdata_iv_0_2[4]") (joined (portRef (member prdata_iv_0_2 2) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_2 2) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_1_3 "ua1.uart1.apbo.prdata_iv_0_1[3]") (joined (portRef (member prdata_iv_0_1 3) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_1 3) (instanceRef apb0)) )) (net (rename ua1_uart1_apbo_prdata_iv_0_2_3 "ua1.uart1.apbo.prdata_iv_0_2[3]") (joined (portRef (member prdata_iv_0_2 3) (instanceRef ua1_uart1)) (portRef (member prdata_iv_0_2 3) (instanceRef apb0)) )) (net (rename l3_dsugen_dsu0_x0_dbgi_i_2_i_23 "l3.dsugen.dsu0.x0.dbgi_i_2_i[23]") (joined (portRef (member dbgi_i_2_i 0) (instanceRef l3_cpu_0_u0)) (portRef D (instanceRef errorn_pad)) )) (net (rename gpioo_oen_i_6 "gpioo.oen_i[6]") (joined (portRef (member oen_i 0) (instanceRef gpio0_grgpio0)) (portRef E (instanceRef gpio_pad_6)) )) (net (rename gpioo_oen_i_5 "gpioo.oen_i[5]") (joined (portRef (member oen_i 1) (instanceRef gpio0_grgpio0)) (portRef E (instanceRef gpio_pad_5)) )) (net (rename gpioo_oen_i_4 "gpioo.oen_i[4]") (joined (portRef (member oen_i 2) (instanceRef gpio0_grgpio0)) (portRef E (instanceRef gpio_pad_4)) )) (net (rename gpioo_oen_i_3 "gpioo.oen_i[3]") (joined (portRef (member oen_i 3) (instanceRef gpio0_grgpio0)) (portRef E (instanceRef gpio_pad_3)) )) (net (rename gpioo_oen_i_2 "gpioo.oen_i[2]") (joined (portRef (member oen_i 4) (instanceRef gpio0_grgpio0)) (portRef E (instanceRef gpio_pad_2)) )) (net (rename gpioo_oen_i_1 "gpioo.oen_i[1]") (joined (portRef (member oen_i 5) (instanceRef gpio0_grgpio0)) (portRef E (instanceRef gpio_pad_1)) )) (net (rename gpioo_oen_i_0 "gpioo.oen_i[0]") (joined (portRef (member oen_i 6) (instanceRef gpio0_grgpio0)) (portRef E (instanceRef gpio_pad_0)) )) (net (rename apbi_pwdata_i_6 "apbi.pwdata_i[6]") (joined (portRef (member pwdata_i 0) (instanceRef apb0)) (portRef (member pwdata_i 0) (instanceRef gpio0_grgpio0)) )) (net (rename apbi_pwdata_i_5 "apbi.pwdata_i[5]") (joined (portRef (member pwdata_i 1) (instanceRef apb0)) (portRef (member pwdata_i 1) (instanceRef gpio0_grgpio0)) )) (net (rename apbi_pwdata_i_4 "apbi.pwdata_i[4]") (joined (portRef (member pwdata_i 2) (instanceRef apb0)) (portRef (member pwdata_i 2) (instanceRef gpio0_grgpio0)) )) (net (rename apbi_pwdata_i_3 "apbi.pwdata_i[3]") (joined (portRef (member pwdata_i 3) (instanceRef apb0)) (portRef (member pwdata_i 3) (instanceRef gpio0_grgpio0)) )) (net (rename apbi_pwdata_i_2 "apbi.pwdata_i[2]") (joined (portRef (member pwdata_i 4) (instanceRef apb0)) (portRef (member pwdata_i 4) (instanceRef gpio0_grgpio0)) )) (net (rename apbi_pwdata_i_1 "apbi.pwdata_i[1]") (joined (portRef (member pwdata_i 5) (instanceRef apb0)) (portRef (member pwdata_i 5) (instanceRef gpio0_grgpio0)) )) (net (rename apbi_pwdata_i_0 "apbi.pwdata_i[0]") (joined (portRef (member pwdata_i 6) (instanceRef apb0)) (portRef (member pwdata_i 6) (instanceRef gpio0_grgpio0)) )) (net (rename memo_bdrive_i_3 "memo.bdrive_i[3]") (joined (portRef (member bdrive_i 0) (instanceRef mctrl2_sr1)) (portRef E (instanceRef data_pad_0)) (portRef E (instanceRef data_pad_1)) (portRef E (instanceRef data_pad_2)) (portRef E (instanceRef data_pad_3)) (portRef E (instanceRef data_pad_4)) (portRef E (instanceRef data_pad_5)) (portRef E (instanceRef data_pad_6)) (portRef E (instanceRef data_pad_7)) )) (net (rename memo_bdrive_i_2 "memo.bdrive_i[2]") (joined (portRef (member bdrive_i 1) (instanceRef mctrl2_sr1)) (portRef E (instanceRef data_pad_8)) (portRef E (instanceRef data_pad_9)) (portRef E (instanceRef data_pad_10)) (portRef E (instanceRef data_pad_11)) (portRef E (instanceRef data_pad_12)) (portRef E (instanceRef data_pad_13)) (portRef E (instanceRef data_pad_14)) (portRef E (instanceRef data_pad_15)) )) (net (rename memo_bdrive_i_1 "memo.bdrive_i[1]") (joined (portRef (member bdrive_i 2) (instanceRef mctrl2_sr1)) (portRef E (instanceRef data_pad_16)) (portRef E (instanceRef data_pad_17)) (portRef E (instanceRef data_pad_18)) (portRef E (instanceRef data_pad_19)) (portRef E (instanceRef data_pad_20)) (portRef E (instanceRef data_pad_21)) (portRef E (instanceRef data_pad_22)) (portRef E (instanceRef data_pad_23)) )) (net (rename memo_bdrive_i_0 "memo.bdrive_i[0]") (joined (portRef (member bdrive_i 3) (instanceRef mctrl2_sr1)) (portRef E (instanceRef data_pad_24)) (portRef E (instanceRef data_pad_25)) (portRef E (instanceRef data_pad_26)) (portRef E (instanceRef data_pad_27)) (portRef E (instanceRef data_pad_28)) (portRef E (instanceRef data_pad_29)) (portRef E (instanceRef data_pad_30)) (portRef E (instanceRef data_pad_31)) )) (net lclk_i (joined (portRef Y (instanceRef lclk_RNO)) (portRef D (instanceRef lclk)) )) (net (rename mctrl2_sr1_iosn_0_93 "mctrl2.sr1.iosn_0[93]") (joined (portRef (member iosn_0 0) (instanceRef ahb0)) (portRef (member iosn_0 0) (instanceRef apb0)) (portRef (member iosn_0 0) (instanceRef dcomgen_dcom0)) (portRef (member iosn_0 0) (instanceRef mctrl2_sr1)) (portRef (member iosn_0 0) (instanceRef l3_dsugen_dsu0)) (portRef (member iosn_0 0) (instanceRef l3_cpu_0_u0)) )) (net (rename l3_cpu_0_u0_p0_iu0_r_x_rstate_li_0_0 "l3.cpu.0.u0.p0.iu0.r.x.rstate_li_0[0]") (joined (portRef (member rstate_li_0 0) (instanceRef l3_cpu_0_u0)) (portRef (member rstate_li_0 0) (instanceRef l3_dsugen_dsu0)) )) (net (rename gpt_timer0_comb_readdata55_3_0 "gpt.timer0.comb.readdata55_3_0") (joined (portRef readdata55_3_0 (instanceRef gpio0_grgpio0)) (portRef readdata55_3_0 (instanceRef gpt_timer0)) (portRef readdata55_3_0 (instanceRef irqctrl_irqctrl0)) )) (net (rename gpt_timer0_v_timers_2_value_0_sqmuxa_0 "gpt.timer0.v.timers_2.value_0_sqmuxa_0") (joined (portRef value_0_sqmuxa_0 (instanceRef gpt_timer0)) (portRef value_0_sqmuxa_0 (instanceRef apb0)) )) (net (rename apbi_pwdata_0_5 "apbi.pwdata_0[5]") (joined (portRef (member pwdata_0 0) (instanceRef apb0)) (portRef (member pwdata_0 0) (instanceRef ua1_uart1)) (portRef (member pwdata_0 0) (instanceRef gpt_timer0)) (portRef (member pwdata_0 0) (instanceRef dcomgen_dcom0)) (portRef pwdata_0_2 (instanceRef mctrl2_sr1)) (portRef (member pwdata_0 0) (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_0_4 "apbi.pwdata_0[4]") (joined (portRef (member pwdata_0 1) (instanceRef apb0)) (portRef (member pwdata_0 1) (instanceRef ua1_uart1)) (portRef (member pwdata_0 1) (instanceRef gpt_timer0)) (portRef (member pwdata_0 1) (instanceRef dcomgen_dcom0)) (portRef (member pwdata_0 1) (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_0_3 "apbi.pwdata_0[3]") (joined (portRef (member pwdata_0 2) (instanceRef apb0)) (portRef (member pwdata_0 0) (instanceRef gpio0_grgpio0)) (portRef (member pwdata_0 2) (instanceRef ua1_uart1)) (portRef (member pwdata_0 2) (instanceRef gpt_timer0)) (portRef (member pwdata_0 2) (instanceRef dcomgen_dcom0)) (portRef pwdata_0_0 (instanceRef mctrl2_sr1)) (portRef (member pwdata_0 2) (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_0_2 "apbi.pwdata_0[2]") (joined (portRef (member pwdata_0 3) (instanceRef apb0)) (portRef (member pwdata_0 1) (instanceRef gpio0_grgpio0)) (portRef (member pwdata_0 3) (instanceRef ua1_uart1)) (portRef (member pwdata_0 3) (instanceRef gpt_timer0)) (portRef (member pwdata_0 3) (instanceRef dcomgen_dcom0)) (portRef (member pwdata_0 3) (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_pwdata_0_1 "apbi.pwdata_0[1]") (joined (portRef (member pwdata_0 4) (instanceRef apb0)) (portRef (member pwdata_0 4) (instanceRef gpt_timer0)) (portRef (member pwdata_0 4) (instanceRef dcomgen_dcom0)) (portRef (member pwdata_0 4) (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_0_3 "apbi.paddr_0[3]") (joined (portRef (member paddr_0 0) (instanceRef apb0)) (portRef (member paddr_0 0) (instanceRef ua1_uart1)) (portRef (member paddr_0 0) (instanceRef gpt_timer0)) (portRef (member paddr_0 0) (instanceRef dcomgen_dcom0)) (portRef (member paddr_0 0) (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_0_2 "apbi.paddr_0[2]") (joined (portRef (member paddr_0 1) (instanceRef apb0)) (portRef (member paddr_0 1) (instanceRef ua1_uart1)) (portRef (member paddr_0 1) (instanceRef gpt_timer0)) (portRef (member paddr_0 0) (instanceRef mctrl2_sr1)) (portRef (member paddr_0 1) (instanceRef irqctrl_irqctrl0)) )) (net (rename apbi_paddr_1_2 "apbi.paddr_1[2]") (joined (portRef (member paddr_1 0) (instanceRef apb0)) (portRef (member paddr_1 0) (instanceRef gpt_timer0)) )) (net (rename apbi_paddr_2_2 "apbi.paddr_2[2]") (joined (portRef (member paddr_2 0) (instanceRef apb0)) (portRef (member paddr_2 0) (instanceRef gpio0_grgpio0)) (portRef (member paddr_2 0) (instanceRef ua1_uart1)) (portRef (member paddr_2 0) (instanceRef gpt_timer0)) (portRef (member paddr_2 0) (instanceRef dcomgen_dcom0)) (portRef (member paddr_2 0) (instanceRef mctrl2_sr1)) )) (net GND_0 (joined (portRef Y (instanceRef GND_i_0)) )) (net VCC_0 (joined (portRef Y (instanceRef VCC_i_0)) )) ) ) ) ) (design leon3mp (cellRef leon3mp (libraryRef work))) )