##// END OF EJS Templates
LFR-EQM 2.1.81...
LFR-EQM 2.1.81 > all is ok, the ADC data are sampled at 500M.sample.Hz

File last commit:

r500:50f24bdc968c JC
r598:a4da461dd67d simu_with_Leon3
Show More
run_calc.do
10 lines | 100 B | text/x-stata | StataLexer
vcom -quiet -93 -work work tb_calc.vhd
vsim work.testbench
log -r *
do wave_calc.do
run -all