# HG changeset patch # User pellion # Date 2015-04-10 16:16:06 # Node ID f4e8c3120b82c103b17f04546688b8da8eab3caa # Parent da926ab85276984af8b0d8cbf83c2eef0a454ce8 custom dma : update transition's condition between FSM state "ARBITER" and "CTRL" diff --git a/designs/LFR-EQM-WFP_MS/LFR-EQM.vhd b/designs/LFR-EQM-WFP_MS/LFR-EQM.vhd --- a/designs/LFR-EQM-WFP_MS/LFR-EQM.vhd +++ b/designs/LFR-EQM-WFP_MS/LFR-EQM.vhd @@ -28,6 +28,7 @@ USE grlib.stdlib.ALL; LIBRARY techmap; USE techmap.gencomp.ALL; LIBRARY gaisler; +USE gaisler.sim.ALL; USE gaisler.memctrl.ALL; USE gaisler.leon3.ALL; USE gaisler.uart.ALL; @@ -44,13 +45,16 @@ USE lpp.iir_filter.ALL; USE lpp.general_purpose.ALL; USE lpp.lpp_lfr_management.ALL; USE lpp.lpp_leon3_soc_pkg.ALL; +USE lpp.lpp_bootloader_pkg.ALL; --library proasic3l; --use proasic3l.all; ENTITY LFR_EQM IS - --GENERIC ( - -- Mem_use : INTEGER := use_RAM); + GENERIC ( + Mem_use : INTEGER := use_RAM; + USE_BOOTLOADER : INTEGER := 0 + ); PORT ( clk50MHz : IN STD_ULOGIC; @@ -392,7 +396,7 @@ BEGIN -- beh lpp_lfr_1 : lpp_lfr GENERIC MAP ( - Mem_use => use_RAM, + Mem_use => Mem_use, nb_data_by_buffer_size => 32, --nb_word_by_buffer_size => 30, nb_snapshot_param_size => 32, @@ -466,4 +470,24 @@ BEGIN -- beh ----------------------------------------------------------------------------- ADC_OEB_bar_HK <= ADC_OEB_bar_CH_s(8); + ----------------------------------------------------------------------------- + -- + ----------------------------------------------------------------------------- + inst_bootloader: IF USE_BOOTLOADER = 1 GENERATE + lpp_bootloader_1: lpp_bootloader + GENERIC MAP ( + pindex => 13, + paddr => 13, + pmask => 16#fff#, + hindex => 3, + haddr => 0, + hmask => 16#fff#) + PORT MAP ( + HCLK => clk_25, + HRESETn => rstn_25, + apbi => apbi_ext, + apbo => apbo_ext(13), + ahbsi => ahbi_s_ext, + ahbso => ahbo_s_ext(3)); + END GENERATE inst_bootloader; END beh; diff --git a/designs/LFR-EQM-WFP_MS/Makefile b/designs/LFR-EQM-WFP_MS/Makefile --- a/designs/LFR-EQM-WFP_MS/Makefile +++ b/designs/LFR-EQM-WFP_MS/Makefile @@ -34,7 +34,6 @@ DIRSKIP = b1553 pcif leon2 leon2ft crypt ./general_purpose/lpp_AMR \ ./general_purpose/lpp_balise \ ./general_purpose/lpp_delay \ - ./lpp_bootloader \ ./dsp/lpp_fft_rtax \ ./lpp_uart \ ./lpp_usb \ diff --git a/lib/lpp/lpp_bootloader/Makefile b/lib/lpp/lpp_bootloader/Makefile --- a/lib/lpp/lpp_bootloader/Makefile +++ b/lib/lpp/lpp_bootloader/Makefile @@ -1,4 +1,4 @@ -GRLIB=../../.. +#GRLIB=../../.. CC=gcc XCC=sparc-elf-gcc -I$(GRLIB)/software/leon3 $(BOPT) XAS=sparc-elf-gcc -c -I. -I$(GRLIB)/software/leon3 $(BOPT) @@ -19,4 +19,4 @@ make_bootrom : $(GRLIB)/bin/ahbrom.c bootrom.vhd: bootrom.exe make make_bootrom sparc-elf-objcopy -O binary bootrom.exe bootrom.bin - ./make_bootrom bootrom.bin bootrom.vhd \ No newline at end of file + ./make_bootrom bootrom.bin bootrom.vhd diff --git a/lib/lpp/lpp_bootloader/bootrom.S b/lib/lpp/lpp_bootloader/bootrom.S --- a/lib/lpp/lpp_bootloader/bootrom.S +++ b/lib/lpp/lpp_bootloader/bootrom.S @@ -134,13 +134,14 @@ 1: andcc %g3, 0x0f, %g3 bne 1f - set L2MCTRLIO, %g1 +/* set L2MCTRLIO, %g1 set MCFG1, %g2 st %g2, [%g1] set MCFG2, %g2 st %g2, [%g1+4] set MCFG3, %g2 st %g2, [%g1+8] + */ ! set IRQCTRL, %g1 ! set 0x0ffff, %g2 ! st %g2, [%g1+0x10] @@ -177,7 +178,16 @@ 2: subcc %g3, 0, %g0 ba 2b sub %g3, 1, %g3 +3: set RAMSTART_RAMSIZE-32, %fp + sub %fp, %g1, %fp + sub %fp, 96, %sp + set RAMSTART, %g1 + + jmp %g1 + nop + +/* 3: set REG_BOOTLOADER, %10 ld [%10], %11 @@ -200,5 +210,5 @@ RunProg: jmp %g1 nop - +*/ .align 32 diff --git a/lib/lpp/lpp_bootloader/bootrom.h b/lib/lpp/lpp_bootloader/bootrom.h --- a/lib/lpp/lpp_bootloader/bootrom.h +++ b/lib/lpp/lpp_bootloader/bootrom.h @@ -1,10 +1,12 @@ #define MCFG1 0x10380233 #define MCFG2 0xe6A26e60 #define MCFG3 0x000ff000 -#define ASDCFG 0xfff00100 -#define DSDCFG 0xe6A06e60 +//#define ASDCFG 0xfff00100 +//#define DSDCFG 0xe6A06e60 #define L2MCTRLIO 0x80000000 #define IRQCTRL 0x80000200 +#define RAMSTART 0x40000000 +#define DSUADDR 0x90000000 #define RAMSTART_RAMSIZE 0x40100000 #define REG_BOOTLOADER 0x80000D00 diff --git a/lib/lpp/lpp_bootloader/bootrom.vhd b/lib/lpp/lpp_bootloader/bootrom.vhd --- a/lib/lpp/lpp_bootloader/bootrom.vhd +++ b/lib/lpp/lpp_bootloader/bootrom.vhd @@ -15,7 +15,7 @@ use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; -entity ahbrom is +entity bootrom is generic ( hindex : integer := 0; haddr : integer := 0; @@ -31,9 +31,9 @@ entity ahbrom is ); end; -architecture rtl of ahbrom is +architecture rtl of bootrom is constant abits : integer := 10; -constant bytes : integer := 624; +constant bytes : integer := 528; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0), @@ -48,7 +48,6 @@ begin ahbso.hresp <= "00"; ahbso.hsplit <= (others => '0'); ahbso.hirq <= (others => '0'); - ahbso.hcache <= '1'; ahbso.hconfig <= hconfig; ahbso.hindex <= hindex; @@ -104,139 +103,115 @@ begin when 16#00015# => romdata <= X"01000000"; when 16#00016# => romdata <= X"01000000"; when 16#00017# => romdata <= X"01000000"; - when 16#00018# => romdata <= X"87444000"; - when 16#00019# => romdata <= X"8608E01F"; - when 16#0001A# => romdata <= X"88100000"; - when 16#0001B# => romdata <= X"8A100000"; - when 16#0001C# => romdata <= X"8C100000"; - when 16#0001D# => romdata <= X"8E100000"; - when 16#0001E# => romdata <= X"A0100000"; - when 16#0001F# => romdata <= X"A2100000"; - when 16#00020# => romdata <= X"A4100000"; - when 16#00021# => romdata <= X"A6100000"; - when 16#00022# => romdata <= X"A8100000"; - when 16#00023# => romdata <= X"AA100000"; - when 16#00024# => romdata <= X"AC100000"; - when 16#00025# => romdata <= X"AE100000"; - when 16#00026# => romdata <= X"90100000"; - when 16#00027# => romdata <= X"92100000"; - when 16#00028# => romdata <= X"94100000"; - when 16#00029# => romdata <= X"96100000"; - when 16#0002A# => romdata <= X"98100000"; - when 16#0002B# => romdata <= X"9A100000"; - when 16#0002C# => romdata <= X"9C100000"; - when 16#0002D# => romdata <= X"9E100000"; - when 16#0002E# => romdata <= X"86A0E001"; - when 16#0002F# => romdata <= X"16BFFFEF"; - when 16#00030# => romdata <= X"81E00000"; - when 16#00031# => romdata <= X"82102002"; - when 16#00032# => romdata <= X"81904000"; - when 16#00033# => romdata <= X"03000004"; - when 16#00034# => romdata <= X"821060E0"; - when 16#00035# => romdata <= X"81884000"; - when 16#00036# => romdata <= X"01000000"; - when 16#00037# => romdata <= X"01000000"; - when 16#00038# => romdata <= X"01000000"; - when 16#00039# => romdata <= X"83480000"; - when 16#0003A# => romdata <= X"8330600C"; - when 16#0003B# => romdata <= X"80886001"; - when 16#0003C# => romdata <= X"02800024"; - when 16#0003D# => romdata <= X"01000000"; - when 16#0003E# => romdata <= X"07000000"; - when 16#0003F# => romdata <= X"8610E178"; - when 16#00040# => romdata <= X"C108C000"; - when 16#00041# => romdata <= X"C118C000"; - when 16#00042# => romdata <= X"C518C000"; - when 16#00043# => romdata <= X"C918C000"; - when 16#00044# => romdata <= X"CD18C000"; - when 16#00045# => romdata <= X"D118C000"; - when 16#00046# => romdata <= X"D518C000"; - when 16#00047# => romdata <= X"D918C000"; - when 16#00048# => romdata <= X"DD18C000"; - when 16#00049# => romdata <= X"E118C000"; - when 16#0004A# => romdata <= X"E518C000"; - when 16#0004B# => romdata <= X"E918C000"; - when 16#0004C# => romdata <= X"ED18C000"; - when 16#0004D# => romdata <= X"F118C000"; - when 16#0004E# => romdata <= X"F518C000"; - when 16#0004F# => romdata <= X"F918C000"; - when 16#00050# => romdata <= X"FD18C000"; - when 16#00051# => romdata <= X"01000000"; - when 16#00052# => romdata <= X"01000000"; - when 16#00053# => romdata <= X"01000000"; - when 16#00054# => romdata <= X"01000000"; - when 16#00055# => romdata <= X"01000000"; - when 16#00056# => romdata <= X"89A00842"; - when 16#00057# => romdata <= X"01000000"; - when 16#00058# => romdata <= X"01000000"; - when 16#00059# => romdata <= X"01000000"; - when 16#0005A# => romdata <= X"01000000"; - when 16#0005B# => romdata <= X"10800005"; - when 16#0005C# => romdata <= X"01000000"; + when 16#00018# => romdata <= X"05240000"; + when 16#00019# => romdata <= X"C0208000"; + when 16#0001A# => romdata <= X"C020A008"; + when 16#0001B# => romdata <= X"C020A020"; + when 16#0001C# => romdata <= X"C020A024"; + when 16#0001D# => romdata <= X"C020A040"; + when 16#0001E# => romdata <= X"C020A044"; + when 16#0001F# => romdata <= X"C020A050"; + when 16#00020# => romdata <= X"C020A054"; + when 16#00021# => romdata <= X"C020A058"; + when 16#00022# => romdata <= X"C020A05C"; + when 16#00023# => romdata <= X"C020A054"; + when 16#00024# => romdata <= X"87444000"; + when 16#00025# => romdata <= X"8608E01F"; + when 16#00026# => romdata <= X"88100000"; + when 16#00027# => romdata <= X"8A100000"; + when 16#00028# => romdata <= X"8C100000"; + when 16#00029# => romdata <= X"8E100000"; + when 16#0002A# => romdata <= X"A0100000"; + when 16#0002B# => romdata <= X"A2100000"; + when 16#0002C# => romdata <= X"A4100000"; + when 16#0002D# => romdata <= X"A6100000"; + when 16#0002E# => romdata <= X"A8100000"; + when 16#0002F# => romdata <= X"AA100000"; + when 16#00030# => romdata <= X"AC100000"; + when 16#00031# => romdata <= X"AE100000"; + when 16#00032# => romdata <= X"90100000"; + when 16#00033# => romdata <= X"92100000"; + when 16#00034# => romdata <= X"94100000"; + when 16#00035# => romdata <= X"96100000"; + when 16#00036# => romdata <= X"98100000"; + when 16#00037# => romdata <= X"9A100000"; + when 16#00038# => romdata <= X"9C100000"; + when 16#00039# => romdata <= X"9E100000"; + when 16#0003A# => romdata <= X"86A0E001"; + when 16#0003B# => romdata <= X"16BFFFEF"; + when 16#0003C# => romdata <= X"81E00000"; + when 16#0003D# => romdata <= X"82102002"; + when 16#0003E# => romdata <= X"81904000"; + when 16#0003F# => romdata <= X"03000004"; + when 16#00040# => romdata <= X"821060E0"; + when 16#00041# => romdata <= X"81884000"; + when 16#00042# => romdata <= X"01000000"; + when 16#00043# => romdata <= X"01000000"; + when 16#00044# => romdata <= X"01000000"; + when 16#00045# => romdata <= X"83480000"; + when 16#00046# => romdata <= X"8330600C"; + when 16#00047# => romdata <= X"80886001"; + when 16#00048# => romdata <= X"02800024"; + when 16#00049# => romdata <= X"01000000"; + when 16#0004A# => romdata <= X"07000000"; + when 16#0004B# => romdata <= X"8610E1A8"; + when 16#0004C# => romdata <= X"C108C000"; + when 16#0004D# => romdata <= X"C118C000"; + when 16#0004E# => romdata <= X"C518C000"; + when 16#0004F# => romdata <= X"C918C000"; + when 16#00050# => romdata <= X"CD18C000"; + when 16#00051# => romdata <= X"D118C000"; + when 16#00052# => romdata <= X"D518C000"; + when 16#00053# => romdata <= X"D918C000"; + when 16#00054# => romdata <= X"DD18C000"; + when 16#00055# => romdata <= X"E118C000"; + when 16#00056# => romdata <= X"E518C000"; + when 16#00057# => romdata <= X"E918C000"; + when 16#00058# => romdata <= X"ED18C000"; + when 16#00059# => romdata <= X"F118C000"; + when 16#0005A# => romdata <= X"F518C000"; + when 16#0005B# => romdata <= X"F918C000"; + when 16#0005C# => romdata <= X"FD18C000"; when 16#0005D# => romdata <= X"01000000"; - when 16#0005E# => romdata <= X"00000000"; - when 16#0005F# => romdata <= X"00000000"; - when 16#00060# => romdata <= X"87444000"; - when 16#00061# => romdata <= X"8730E01C"; - when 16#00062# => romdata <= X"8688E00F"; - when 16#00063# => romdata <= X"12800016"; - when 16#00064# => romdata <= X"03200000"; - when 16#00065# => romdata <= X"05040E00"; - when 16#00066# => romdata <= X"8410A233"; - when 16#00067# => romdata <= X"C4204000"; - when 16#00068# => romdata <= X"0539A89B"; - when 16#00069# => romdata <= X"8410A260"; - when 16#0006A# => romdata <= X"C4206004"; - when 16#0006B# => romdata <= X"050003FC"; - when 16#0006C# => romdata <= X"C4206008"; - when 16#0006D# => romdata <= X"82103860"; - when 16#0006E# => romdata <= X"C4004000"; - when 16#0006F# => romdata <= X"8530A00C"; - when 16#00070# => romdata <= X"03000004"; - when 16#00071# => romdata <= X"82106009"; - when 16#00072# => romdata <= X"80A04002"; - when 16#00073# => romdata <= X"12800006"; - when 16#00074# => romdata <= X"033FFC00"; - when 16#00075# => romdata <= X"82106100"; - when 16#00076# => romdata <= X"0539A81B"; - when 16#00077# => romdata <= X"8410A260"; - when 16#00078# => romdata <= X"C4204000"; - when 16#00079# => romdata <= X"05000080"; - when 16#0007A# => romdata <= X"82100000"; - when 16#0007B# => romdata <= X"80A0E000"; - when 16#0007C# => romdata <= X"02800005"; - when 16#0007D# => romdata <= X"01000000"; - when 16#0007E# => romdata <= X"82004002"; - when 16#0007F# => romdata <= X"10BFFFFC"; - when 16#00080# => romdata <= X"8620E001"; - when 16#00081# => romdata <= X"15200003"; - when 16#00082# => romdata <= X"9412A100"; - when 16#00083# => romdata <= X"D6028000"; - when 16#00084# => romdata <= X"8092C000"; - when 16#00085# => romdata <= X"02800005"; - when 16#00086# => romdata <= X"01000000"; - when 16#00087# => romdata <= X"D602A004"; - when 16#00088# => romdata <= X"8092C000"; - when 16#00089# => romdata <= X"02BFFFFD"; - when 16#0008A# => romdata <= X"01000000"; - when 16#0008B# => romdata <= X"D602A008"; - when 16#0008C# => romdata <= X"151003FF"; - when 16#0008D# => romdata <= X"9412A3E0"; - when 16#0008E# => romdata <= X"BC02C00A"; - when 16#0008F# => romdata <= X"BC278001"; - when 16#00090# => romdata <= X"9C27A060"; - when 16#00091# => romdata <= X"8210000B"; - when 16#00092# => romdata <= X"81C04000"; - when 16#00093# => romdata <= X"01000000"; - when 16#00094# => romdata <= X"01000000"; - when 16#00095# => romdata <= X"01000000"; - when 16#00096# => romdata <= X"01000000"; - when 16#00097# => romdata <= X"01000000"; - when 16#00098# => romdata <= X"00000000"; - when 16#00099# => romdata <= X"00000000"; - when 16#0009A# => romdata <= X"00000000"; - when 16#0009B# => romdata <= X"00000000"; - when 16#0009C# => romdata <= X"00000000"; + when 16#0005E# => romdata <= X"01000000"; + when 16#0005F# => romdata <= X"01000000"; + when 16#00060# => romdata <= X"01000000"; + when 16#00061# => romdata <= X"01000000"; + when 16#00062# => romdata <= X"89A00842"; + when 16#00063# => romdata <= X"01000000"; + when 16#00064# => romdata <= X"01000000"; + when 16#00065# => romdata <= X"01000000"; + when 16#00066# => romdata <= X"01000000"; + when 16#00067# => romdata <= X"10800005"; + when 16#00068# => romdata <= X"01000000"; + when 16#00069# => romdata <= X"01000000"; + when 16#0006A# => romdata <= X"00000000"; + when 16#0006B# => romdata <= X"00000000"; + when 16#0006C# => romdata <= X"87444000"; + when 16#0006D# => romdata <= X"8730E01C"; + when 16#0006E# => romdata <= X"8688E00F"; + when 16#0006F# => romdata <= X"12800001"; + when 16#00070# => romdata <= X"05000080"; + when 16#00071# => romdata <= X"82100000"; + when 16#00072# => romdata <= X"80A0E000"; + when 16#00073# => romdata <= X"02800005"; + when 16#00074# => romdata <= X"01000000"; + when 16#00075# => romdata <= X"82004002"; + when 16#00076# => romdata <= X"10BFFFFC"; + when 16#00077# => romdata <= X"8620E001"; + when 16#00078# => romdata <= X"3D1003FF"; + when 16#00079# => romdata <= X"BC17A3E0"; + when 16#0007A# => romdata <= X"BC278001"; + when 16#0007B# => romdata <= X"9C27A060"; + when 16#0007C# => romdata <= X"03100000"; + when 16#0007D# => romdata <= X"81C04000"; + when 16#0007E# => romdata <= X"01000000"; + when 16#0007F# => romdata <= X"01000000"; + when 16#00080# => romdata <= X"00000000"; + when 16#00081# => romdata <= X"00000000"; + when 16#00082# => romdata <= X"00000000"; + when 16#00083# => romdata <= X"00000000"; + when 16#00084# => romdata <= X"00000000"; when others => romdata <= (others => '-'); end case; end process; diff --git a/lib/lpp/lpp_bootloader/lpp_bootloader.vhd b/lib/lpp/lpp_bootloader/lpp_bootloader.vhd --- a/lib/lpp/lpp_bootloader/lpp_bootloader.vhd +++ b/lib/lpp/lpp_bootloader/lpp_bootloader.vhd @@ -70,7 +70,7 @@ ARCHITECTURE Beh OF lpp_bootloader IS config_wait_on_boot : STD_LOGIC; config_start_execution : STD_LOGIC; addr_start_execution : STD_LOGIC_VECTOR(31 DOWNTO 0); - addr_fp : STD_LOGIC_VECTOR(31 DOWNTO 0); +-- addr_fp : STD_LOGIC_VECTOR(31 DOWNTO 0); END RECORD; SIGNAL reg : lpp_bootloader_regs; @@ -83,7 +83,7 @@ BEGIN -- Beh ----------------------------------------------------------------------------- -- AHBROM ----------------------------------------------------------------------------- - ahbrom_1 : ahbrom + ahbrom_1 : bootrom GENERIC MAP ( hindex => hindex, haddr => haddr, diff --git a/lib/lpp/lpp_bootloader/lpp_bootloader_pkg.vhd b/lib/lpp/lpp_bootloader/lpp_bootloader_pkg.vhd --- a/lib/lpp/lpp_bootloader/lpp_bootloader_pkg.vhd +++ b/lib/lpp/lpp_bootloader/lpp_bootloader_pkg.vhd @@ -46,7 +46,7 @@ PACKAGE lpp_bootloader_pkg IS ahbso : OUT ahb_slv_out_type); END COMPONENT; - COMPONENT ahbrom + COMPONENT bootrom GENERIC ( hindex : INTEGER; haddr : INTEGER; diff --git a/lib/lpp/lpp_dma/lpp_dma_SEND16B_FIFO2DMA.vhd b/lib/lpp/lpp_dma/lpp_dma_SEND16B_FIFO2DMA.vhd --- a/lib/lpp/lpp_dma/lpp_dma_SEND16B_FIFO2DMA.vhd +++ b/lib/lpp/lpp_dma/lpp_dma_SEND16B_FIFO2DMA.vhd @@ -147,7 +147,7 @@ BEGIN AHB_Master_Out.HTRANS <= HTRANS_IDLE; address_counter_reg <= (OTHERS => '0'); - IF AHB_Master_In.HGRANT(hindex) = '1' THEN + IF AHB_Master_In.HREADY = '1' AND AHB_Master_In.HGRANT(hindex) = '1' THEN AHB_Master_Out.HTRANS <= HTRANS_IDLE; state <= s_CTRL; END IF;