# HG changeset patch # User Alexis # Date 2010-10-26 17:59:33 # Node ID d63cd96dab77d75acee625fe1092de4d863c69a2 # Parent 98955b8fb9a7768df9705a206f99e8311dc06662 aded GRLIB Automated patcher diff --git a/.hgignore b/.hgignore new file mode 100644 --- /dev/null +++ b/.hgignore @@ -0,0 +1,15 @@ +# use glob syntax. +syntax: glob + +*.tex +*.html +*log* +*.png +*.dot +*.css +*.md5 +*.eps +*.pdf +*.toc +*~ + diff --git a/LCD_16x2_DRIVER/With_AMBA/FRAME_CLK.vhd b/LCD_16x2_DRIVER/With_AMBA/FRAME_CLK.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/With_AMBA/FRAME_CLK.vhd +++ /dev/null @@ -1,68 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 09:21:03 10/19/2010 --- Design Name: --- Module Name: FRAME_CLK_GEN - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; - - -entity FRAME_CLK_GEN is - generic(OSC_freqKHz : integer := 50000); - Port ( clk : in STD_LOGIC; - reset : in STD_LOGIC; - FRAME_CLK : out STD_LOGIC); -end FRAME_CLK_GEN; - -architecture Behavioral of FRAME_CLK_GEN is - -Constant Goal_FRAME_CLK_FREQ : integer := 20; - -Constant FRAME_CLK_TRIG : integer := OSC_freqKHz*500/Goal_FRAME_CLK_FREQ -1; - -signal CPT : integer := 0; -signal FRAME_CLK_reg : std_logic :='0'; - -begin - -FRAME_CLK <= FRAME_CLK_reg; - -process(reset,clk) -begin - if reset = '0' then - CPT <= 0; - FRAME_CLK_reg <= '0'; - elsif clk'event and clk = '1' then - if CPT = FRAME_CLK_TRIG then - CPT <= 0; - FRAME_CLK_reg <= not FRAME_CLK_reg; - else - CPT <= CPT + 1; - end if; - end if; -end process; -end Behavioral; - - - - - - - - - diff --git a/LCD_16x2_DRIVER/With_AMBA/LCD_16x2_CFG.vhd b/LCD_16x2_DRIVER/With_AMBA/LCD_16x2_CFG.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/With_AMBA/LCD_16x2_CFG.vhd +++ /dev/null @@ -1,57 +0,0 @@ --- Package File Template --- --- Purpose: This package defines supplemental types, subtypes, --- constants, and functions - - -library IEEE; -use IEEE.STD_LOGIC_1164.all; - -package LCD_16x2_CFG is - - type LCD_DRVR_CTRL_BUSS is - record - LCD_RW : std_logic; - LCD_RS : std_logic; - LCD_E : std_logic; - LCD_DATA : std_logic_vector(7 downto 0); - end record; - - type LCD_DRVR_SYNCH_BUSS is - record - DRVR_READY : std_logic; - LCD_INITIALISED : std_logic; - end record; - - - type LCD_DRVR_CMD_BUSS is - record - Word : std_logic_vector(7 downto 0); - CMD_Data : std_logic; --CMD = '0' and data = '1' - Exec : std_logic; - Duration : std_logic_vector(1 downto 0); - end record; - type LCD_CFG_Tbl is array(0 to 4) of std_logic_vector(7 downto 0); - - -constant ClearDSPLY : std_logic_vector(7 downto 0):= X"01"; -constant FunctionSet : std_logic_vector(7 downto 0):= X"38"; -constant RetHome : std_logic_vector(7 downto 0):= X"02"; -constant SetEntryMode : std_logic_vector(7 downto 0):= X"06"; -constant DSPL_CTRL : std_logic_vector(7 downto 0):= X"0C"; - -constant CursorON : std_logic_vector(7 downto 0):= X"0E"; -constant CursorOFF : std_logic_vector(7 downto 0):= X"0C"; - ---===========================================================| ---======L C D D R I V E R T I M I N G C O D E=====| ---===========================================================| - -constant Duration_4us : std_logic_vector(1 downto 0) := "00"; -constant Duration_100us : std_logic_vector(1 downto 0) := "01"; -constant Duration_4ms : std_logic_vector(1 downto 0) := "10"; -constant Duration_20ms : std_logic_vector(1 downto 0) := "11"; - - -end LCD_16x2_CFG; - diff --git a/LCD_16x2_DRIVER/With_AMBA/LCD_16x2_ENGINE.vhd b/LCD_16x2_DRIVER/With_AMBA/LCD_16x2_ENGINE.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/With_AMBA/LCD_16x2_ENGINE.vhd +++ /dev/null @@ -1,206 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 08:32:21 10/19/2010 --- Design Name: --- Module Name: LCD_16x2_ENGINE - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; -use work.LCD_16x2_CFG.all; - -entity LCD_16x2_ENGINE is - generic(OSC_freqKHz : integer := 50000); - Port ( clk : in STD_LOGIC; - reset : in STD_LOGIC; - DATA : in std_logic_vector(16*2*8-1 downto 0); - CMD : in std_logic_vector(10 downto 0); - Exec : in std_logic; - Ready : out std_logic; - LCD_CTRL : out LCD_DRVR_CTRL_BUSS - ); -end LCD_16x2_ENGINE; - -architecture ar_LCD_16x2_ENGINE of LCD_16x2_ENGINE is - -constant ConfigTbl : LCD_CFG_Tbl :=(ClearDSPLY,FunctionSet,DSPL_CTRL,SetEntryMode,RetHome); - - - -signal SYNCH : LCD_DRVR_SYNCH_BUSS; -signal DRIVER_CMD : LCD_DRVR_CMD_BUSS; -signal FRAME_CLK : std_logic; - -signal FRAME_CLK_reg : std_logic; -signal RefreshFlag : std_logic; -signal CMD_Flag : std_logic; -signal Exec_Reg : std_logic; - -type state_t is (INIT0,INIT1,INIT2,IDLE,Refresh,Refresh0,Refresh1,ReturnHome,GoLine2,GoLine2_0,ExecCMD0,ExecCMD1); -signal state : state_t; -signal i : integer range 0 to 32 := 0; - - - -begin - -Driver0 : entity work.LCD_16x2_DRIVER - generic map(OSC_freqKHz) - Port map(reset,clk,LCD_CTRL,SYNCH,DRIVER_CMD); - -FRAME_CLK_GEN0 : entity work.FRAME_CLK_GEN - generic map(OSC_freqKHz) - Port map( clk,reset,FRAME_CLK); - - - -process(reset,clk) -begin - if reset = '0' then - state <= INIT0; - Ready <= '0'; - RefreshFlag <= '0'; - i <= 0; - elsif clk'event and clk ='1' then - FRAME_CLK_reg <= FRAME_CLK; - Exec_Reg <= Exec; - - if FRAME_CLK_reg = '0' and FRAME_CLK = '1' then - RefreshFlag <= '1'; - elsif state = Refresh or state = Refresh0 or state = Refresh1 then - RefreshFlag <= '0'; - end if; - - if Exec_Reg = '0' and Exec = '1' then - CMD_Flag <= '1'; - elsif state = ExecCMD0 or state = ExecCMD1 then - CMD_Flag <= '0'; - end if; - - case state is - when INIT0 => - if SYNCH.DRVR_READY = '1' then - DRIVER_CMD.Exec <= '1'; - DRIVER_CMD.Duration <= Duration_20ms; - DRIVER_CMD.CMD_Data <= '0'; - DRIVER_CMD.Word <= ConfigTbl(i); - i <= i + 1; - state <= INIT1; - else - DRIVER_CMD.Exec <= '0'; - end if; - when INIT1 => - state <= INIT2; - DRIVER_CMD.Exec <= '0'; - when INIT2 => - if SYNCH.DRVR_READY = '1' then - if i = 5 then - state <= Idle; - else - state <= INIT0; - end if; - end if; - when Idle=> - DRIVER_CMD.Exec <= '0'; - if RefreshFlag = '1' then - Ready <= '0'; - state <= Refresh; - elsif CMD_Flag = '1' then - Ready <= '0'; - state <= ExecCMD0; - else - Ready <= '1'; - end if; - i <= 0; - when Refresh=> - if SYNCH.DRVR_READY = '1' then - DRIVER_CMD.Exec <= '1'; - DRIVER_CMD.Duration <= Duration_100us; - DRIVER_CMD.CMD_Data <= '1'; - DRIVER_CMD.Word <= DATA(i*8+7 downto i*8); - i <= i + 1; - state <= Refresh0; - else - DRIVER_CMD.Exec <= '0'; - end if; - when Refresh0=> - state <= Refresh1; - DRIVER_CMD.Exec <= '0'; - when Refresh1=> - if SYNCH.DRVR_READY = '1' then - if i = 32 then - state <= ReturnHome; - elsif i = 16 then - state <= GoLine2; - else - state <= Refresh; - end if; - end if; - - when ExecCMD0=> - if SYNCH.DRVR_READY = '1' then - DRIVER_CMD.Exec <= '1'; - DRIVER_CMD.Duration <= CMD(9 downto 8); - DRIVER_CMD.CMD_Data <= '0'; - DRIVER_CMD.Word <= CMD(7 downto 0); - state <= ExecCMD1; - else - DRIVER_CMD.Exec <= '0'; - end if; - - when ExecCMD1=> - state <= Idle; - DRIVER_CMD.Exec <= '0'; - - when GoLine2=> - if SYNCH.DRVR_READY = '1' then - DRIVER_CMD.Exec <= '1'; - DRIVER_CMD.Duration <= Duration_100us; - DRIVER_CMD.CMD_Data <= '0'; - DRIVER_CMD.Word <= X"C0"; - state <= GoLine2_0; - else - DRIVER_CMD.Exec <= '0'; - end if; - when GoLine2_0=> - state <= Refresh; - DRIVER_CMD.Exec <= '0'; - when ReturnHome=> - if SYNCH.DRVR_READY = '1' then - DRIVER_CMD.Exec <= '1'; - DRIVER_CMD.Duration <= Duration_4ms; - DRIVER_CMD.CMD_Data <= '0'; - DRIVER_CMD.Word <= X"02"; - state <= Idle; - else - DRIVER_CMD.Exec <= '0'; - end if; - end case; - end if; -end process; - - -end ar_LCD_16x2_ENGINE; - - - - - - - - - - diff --git a/LCD_16x2_DRIVER/With_AMBA/LCD_2x16_DRIVER.vhd b/LCD_16x2_DRIVER/With_AMBA/LCD_2x16_DRIVER.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/With_AMBA/LCD_2x16_DRIVER.vhd +++ /dev/null @@ -1,156 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 10:09:57 10/13/2010 --- Design Name: --- Module Name: LCD_2x16_DRIVER - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.all; - - -entity LCD_2x16_DRIVER is - generic( - OSC_Freq_MHz : integer:=60; - Refresh_RateHz : integer:=5 - ); - Port ( clk : in STD_LOGIC; - reset : in STD_LOGIC; - FramBUFF : in STD_LOGIC_VECTOR(16*2*8-1 downto 0); - LCD_data : out STD_LOGIC_VECTOR (7 downto 0); - LCD_RS : out STD_LOGIC; - LCD_RW : out STD_LOGIC; - LCD_E : out STD_LOGIC; - LCD_RET : out STD_LOGIC; - LCD_CS1 : out STD_LOGIC; - LCD_CS2 : out STD_LOGIC; - STATEOUT: out std_logic_vector(3 downto 0); - refreshPulse : out std_logic - ); -end LCD_2x16_DRIVER; - -architecture Behavioral of LCD_2x16_DRIVER is - -type stateT is(Rst,Configure,IDLE,RefreshScreen); -signal state : stateT; - -signal ShortTimePulse : std_logic; -signal MidleTimePulse : std_logic; -signal Refresh_RatePulse : std_logic; -signal Start : STD_LOGIC; - -signal CFGM_LCD_RS : std_logic; -signal CFGM_LCD_RW : std_logic; -signal CFGM_LCD_E : std_logic; -signal CFGM_LCD_DATA : std_logic_vector(7 downto 0); -signal CFGM_Enable : std_logic; -signal CFGM_completed : std_logic; - - -signal FRMW_LCD_RS : std_logic; -signal FRMW_LCD_RW : std_logic; -signal FRMW_LCD_E : std_logic; -signal FRMW_LCD_DATA : std_logic_vector(7 downto 0); -signal FRMW_Enable : std_logic; -signal FRMW_completed : std_logic; - -begin - - -Counter : entity work.LCD_Counter -generic map(OSC_Freq_MHz,Refresh_RateHz) -port map(reset,clk,ShortTimePulse,MidleTimePulse,Refresh_RatePulse,Start); - -ConfigModule : entity work.Config_Module -port map(reset,clk,CFGM_LCD_RS,CFGM_LCD_RW,CFGM_LCD_E,CFGM_LCD_DATA,CFGM_Enable,CFGM_completed,MidleTimePulse); - - -FrameWriter : entity work.FRAME_WRITER -port map(reset,clk,FramBUFF,FRMW_LCD_DATA,FRMW_LCD_RS,FRMW_LCD_RW,FRMW_LCD_E,FRMW_Enable,FRMW_Completed,ShortTimePulse,MidleTimePulse); - - -STATEOUT(0) <= '1' when state = Rst else '0'; -STATEOUT(1) <= '1' when state = Configure else '0'; -STATEOUT(2) <= '1' when state = IDLE else '0'; -STATEOUT(3) <= '1' when state = RefreshScreen else '0'; - - - -refreshPulse <= Refresh_RatePulse; - -Start <= '1'; - -process(reset,clk) -begin - if reset = '0' then - LCD_data <= (others=>'0'); - LCD_RS <= '0'; - LCD_RW <= '0'; - LCD_RET <= '0'; - LCD_CS1 <= '0'; - LCD_CS2 <= '0'; - LCD_E <= '0'; - state <= Rst; - CFGM_Enable <= '0'; - FRMW_Enable <= '0'; - elsif clk'event and clk ='1' then - case state is - when Rst => - LCD_data <= (others=>'0'); - LCD_RS <= '0'; - LCD_RW <= '0'; - LCD_E <= '0'; - CFGM_Enable <= '1'; - FRMW_Enable <= '0'; - if Refresh_RatePulse = '1' then - state <= Configure; - end if; - when Configure => - LCD_data <= CFGM_LCD_data; - LCD_RS <= CFGM_LCD_RS; - LCD_RW <= CFGM_LCD_RW; - LCD_E <= CFGM_LCD_E; - CFGM_Enable <= '0'; - if CFGM_completed = '1' then - state <= IDLE; - end if; - when IDLE => - if Refresh_RatePulse = '1' then - state <= RefreshScreen; - FRMW_Enable <= '1'; - end if; - LCD_RS <= '0'; - LCD_RW <= '0'; - LCD_E <= '0'; - LCD_data <= (others=>'0'); - when RefreshScreen => - LCD_data <= FRMW_LCD_data; - LCD_RS <= FRMW_LCD_RS; - LCD_RW <= FRMW_LCD_RW; - LCD_E <= FRMW_LCD_E; - FRMW_Enable <= '0'; - if FRMW_completed = '1' then - state <= IDLE; - end if; - end case; - end if; -end process; -end Behavioral; - - - - - diff --git a/LCD_16x2_DRIVER/With_AMBA/LCD_CLK_GENERATOR.vhd b/LCD_16x2_DRIVER/With_AMBA/LCD_CLK_GENERATOR.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/With_AMBA/LCD_CLK_GENERATOR.vhd +++ /dev/null @@ -1,72 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 08:52:25 10/18/2010 --- Design Name: --- Module Name: LCD_CLK_GENERATOR - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; - - -entity LCD_CLK_GENERATOR is - generic(OSC_freqKHz : integer := 50000); - Port ( clk : in STD_LOGIC; - reset : in STD_LOGIC; - clk_1us : out STD_LOGIC); -end LCD_CLK_GENERATOR; - -architecture ar_LCD_CLK_GENERATOR of LCD_CLK_GENERATOR is - -Constant clk_1usTRIGER : integer := (OSC_freqKHz/2000)+1; - - -signal cpt1 : integer; - -signal clk_1us_int : std_logic := '0'; - - -begin - -clk_1us <= clk_1us_int; - - -process(reset,clk) -begin - if reset = '0' then - cpt1 <= 0; - clk_1us_int <= '0'; - elsif clk'event and clk = '1' then - if cpt1 = clk_1usTRIGER then - clk_1us_int <= not clk_1us_int; - cpt1 <= 0; - else - cpt1 <= cpt1 + 1; - end if; - end if; -end process; - - -end ar_LCD_CLK_GENERATOR; - - - - - - - - - diff --git a/LCD_16x2_DRIVER/With_AMBA/Top_LCD.vhd b/LCD_16x2_DRIVER/With_AMBA/Top_LCD.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/With_AMBA/Top_LCD.vhd +++ /dev/null @@ -1,102 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 08:44:41 10/14/2010 --- Design Name: --- Module Name: Top_LCD - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use work.LCD_16x2_CFG.all; - -entity Top_LCD is - Port ( reset : in STD_LOGIC; - clk : in STD_LOGIC; - Bp0 : in STD_LOGIC; - Bp1 : in STD_LOGIC; - Bp2 : in STD_LOGIC; - LCD_data : out STD_LOGIC_VECTOR (7 downto 0); - LCD_RS : out STD_LOGIC; - LCD_RW : out STD_LOGIC; - LCD_E : out STD_LOGIC; - LCD_RET : out STD_LOGIC; - LCD_CS1 : out STD_LOGIC; - LCD_CS2 : out STD_LOGIC; - SF_CE0 : out std_logic - ); -end Top_LCD; - -architecture Behavioral of Top_LCD is - -signal FramBUFF : STD_LOGIC_VECTOR(16*2*8-1 downto 0); -signal CMD : std_logic_vector(10 downto 0); -signal Exec : std_logic; -signal Ready : std_logic; -signal rst : std_logic; -signal LCD_CTRL : LCD_DRVR_CTRL_BUSS; - -begin - -LCD_data <= LCD_CTRL.LCD_DATA; -LCD_RS <= LCD_CTRL.LCD_RS; -LCD_RW <= LCD_CTRL.LCD_RW; -LCD_E <= LCD_CTRL.LCD_E; - - -LCD_RET <= '0'; -LCD_CS1 <= '0'; -LCD_CS2 <= '0'; - -SF_CE0 <= '1'; - -rst <= not reset; - - - -Driver0 : entity work.LCD_16x2_ENGINE - generic map(50000) - Port map(clk,rst,FramBUFF,CMD,Exec,Ready,LCD_CTRL); - -FramBUFF(0*8+7 downto 0*8) <= X"41" when Bp0 = '1' else - X"42" when Bp1 = '1' else - X"43" when Bp2 = '1' else - X"44"; - -FramBUFF(1*8+7 downto 1*8)<= X"46" when Bp0 = '1' else - X"47" when Bp1 = '1' else - X"48" when Bp2 = '1' else - X"49"; - - -CMD(9 downto 0) <= Duration_100us & CursorON when Bp0 = '1' else - Duration_100us & CursorOFF; - - -Exec <= Bp1; - -FramBUFF(2*8+7 downto 2*8) <= X"23"; -FramBUFF(3*8+7 downto 3*8) <= X"66"; -FramBUFF(4*8+7 downto 4*8) <= X"67"; -FramBUFF(5*8+7 downto 5*8) <= X"68"; -FramBUFF(17*8+7 downto 17*8) <= X"69"; ---FramBUFF(16*2*8-1 downto 16) <= (others => '0'); - -end Behavioral; - - - - - - diff --git a/LCD_16x2_DRIVER/With_AMBA/Top_LCDcst.ucf b/LCD_16x2_DRIVER/With_AMBA/Top_LCDcst.ucf deleted file mode 100755 --- a/LCD_16x2_DRIVER/With_AMBA/Top_LCDcst.ucf +++ /dev/null @@ -1,37 +0,0 @@ - -NET "SF_CE0" LOC = "D16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "LCD_E" LOC = "M18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "LCD_RS" LOC = "L18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "LCD_RW" LOC = "L17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "LCD_RET" LOC = "E3" | IOSTANDARD = SSTL2_I; -NET "LCD_CS1" LOC = "P3" | IOSTANDARD = SSTL2_I; -NET "LCD_CS2" LOC = "P4" | IOSTANDARD = SSTL2_I; - -NET "LCD_data<0>" LOC = "R15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<1>" LOC = "R16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<2>" LOC = "P17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<3>" LOC = "M15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<4>" LOC = "M16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<5>" LOC = "P6" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<6>" LOC = "R8" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<7>" LOC = "T8" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "reset" LOC = "K17" | IOSTANDARD = LVTTL | PULLDOWN; -NET "Bp0" LOC = "H13" | IOSTANDARD = LVTTL | PULLDOWN; -NET "Bp1" LOC = "V4" | IOSTANDARD = LVTTL | PULLDOWN; -NET "Bp2" LOC = "D18" | IOSTANDARD = LVTTL | PULLDOWN; - -net "clk" LOC = "C9" | IOSTANDARD = LVCMOS33; -net "clk" PERIOD = 20.0ns HIGH 40%; -#net "clkOUT" LOC = "N14" | IOSTANDARD = LVCMOS33; - -#net "STATEOUT<0>" LOC = "V5" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; -#net "STATEOUT<1>" LOC = "V6" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; -#net "STATEOUT<2>" LOC = "N12" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; -#net "STATEOUT<3>" LOC = "P12" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; - -#net "refreshPulse" LOC = "N15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; \ No newline at end of file diff --git a/LCD_16x2_DRIVER/Without_AMBA/VHD/FRAME_CLK.vhd b/LCD_16x2_DRIVER/Without_AMBA/VHD/FRAME_CLK.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/Without_AMBA/VHD/FRAME_CLK.vhd +++ /dev/null @@ -1,68 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 09:21:03 10/19/2010 --- Design Name: --- Module Name: FRAME_CLK_GEN - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; - - -entity FRAME_CLK_GEN is - generic(OSC_freqKHz : integer := 50000); - Port ( clk : in STD_LOGIC; - reset : in STD_LOGIC; - FRAME_CLK : out STD_LOGIC); -end FRAME_CLK_GEN; - -architecture Behavioral of FRAME_CLK_GEN is - -Constant Goal_FRAME_CLK_FREQ : integer := 20; - -Constant FRAME_CLK_TRIG : integer := OSC_freqKHz*500/Goal_FRAME_CLK_FREQ -1; - -signal CPT : integer := 0; -signal FRAME_CLK_reg : std_logic :='0'; - -begin - -FRAME_CLK <= FRAME_CLK_reg; - -process(reset,clk) -begin - if reset = '0' then - CPT <= 0; - FRAME_CLK_reg <= '0'; - elsif clk'event and clk = '1' then - if CPT = FRAME_CLK_TRIG then - CPT <= 0; - FRAME_CLK_reg <= not FRAME_CLK_reg; - else - CPT <= CPT + 1; - end if; - end if; -end process; -end Behavioral; - - - - - - - - - diff --git a/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_16x2_CFG.vhd b/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_16x2_CFG.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_16x2_CFG.vhd +++ /dev/null @@ -1,57 +0,0 @@ --- Package File Template --- --- Purpose: This package defines supplemental types, subtypes, --- constants, and functions - - -library IEEE; -use IEEE.STD_LOGIC_1164.all; - -package LCD_16x2_CFG is - - type LCD_DRVR_CTRL_BUSS is - record - LCD_RW : std_logic; - LCD_RS : std_logic; - LCD_E : std_logic; - LCD_DATA : std_logic_vector(7 downto 0); - end record; - - type LCD_DRVR_SYNCH_BUSS is - record - DRVR_READY : std_logic; - LCD_INITIALISED : std_logic; - end record; - - - type LCD_DRVR_CMD_BUSS is - record - Word : std_logic_vector(7 downto 0); - CMD_Data : std_logic; --CMD = '0' and data = '1' - Exec : std_logic; - Duration : std_logic_vector(1 downto 0); - end record; - type LCD_CFG_Tbl is array(0 to 4) of std_logic_vector(7 downto 0); - - -constant ClearDSPLY : std_logic_vector(7 downto 0):= X"01"; -constant FunctionSet : std_logic_vector(7 downto 0):= X"38"; -constant RetHome : std_logic_vector(7 downto 0):= X"02"; -constant SetEntryMode : std_logic_vector(7 downto 0):= X"06"; -constant DSPL_CTRL : std_logic_vector(7 downto 0):= X"0C"; - -constant CursorON : std_logic_vector(7 downto 0):= X"0E"; -constant CursorOFF : std_logic_vector(7 downto 0):= X"0C"; - ---===========================================================| ---======L C D D R I V E R T I M I N G C O D E=====| ---===========================================================| - -constant Duration_4us : std_logic_vector(1 downto 0) := "00"; -constant Duration_100us : std_logic_vector(1 downto 0) := "01"; -constant Duration_4ms : std_logic_vector(1 downto 0) := "10"; -constant Duration_20ms : std_logic_vector(1 downto 0) := "11"; - - -end LCD_16x2_CFG; - diff --git a/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_16x2_ENGINE.vhd b/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_16x2_ENGINE.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_16x2_ENGINE.vhd +++ /dev/null @@ -1,206 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 08:32:21 10/19/2010 --- Design Name: --- Module Name: LCD_16x2_ENGINE - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; -use work.LCD_16x2_CFG.all; - -entity LCD_16x2_ENGINE is - generic(OSC_freqKHz : integer := 50000); - Port ( clk : in STD_LOGIC; - reset : in STD_LOGIC; - DATA : in std_logic_vector(16*2*8-1 downto 0); - CMD : in std_logic_vector(10 downto 0); - Exec : in std_logic; - Ready : out std_logic; - LCD_CTRL : out LCD_DRVR_CTRL_BUSS - ); -end LCD_16x2_ENGINE; - -architecture ar_LCD_16x2_ENGINE of LCD_16x2_ENGINE is - -constant ConfigTbl : LCD_CFG_Tbl :=(ClearDSPLY,FunctionSet,DSPL_CTRL,SetEntryMode,RetHome); - - - -signal SYNCH : LCD_DRVR_SYNCH_BUSS; -signal DRIVER_CMD : LCD_DRVR_CMD_BUSS; -signal FRAME_CLK : std_logic; - -signal FRAME_CLK_reg : std_logic; -signal RefreshFlag : std_logic; -signal CMD_Flag : std_logic; -signal Exec_Reg : std_logic; - -type state_t is (INIT0,INIT1,INIT2,IDLE,Refresh,Refresh0,Refresh1,ReturnHome,GoLine2,GoLine2_0,ExecCMD0,ExecCMD1); -signal state : state_t; -signal i : integer range 0 to 32 := 0; - - - -begin - -Driver0 : entity work.LCD_16x2_DRIVER - generic map(OSC_freqKHz) - Port map(reset,clk,LCD_CTRL,SYNCH,DRIVER_CMD); - -FRAME_CLK_GEN0 : entity work.FRAME_CLK_GEN - generic map(OSC_freqKHz) - Port map( clk,reset,FRAME_CLK); - - - -process(reset,clk) -begin - if reset = '0' then - state <= INIT0; - Ready <= '0'; - RefreshFlag <= '0'; - i <= 0; - elsif clk'event and clk ='1' then - FRAME_CLK_reg <= FRAME_CLK; - Exec_Reg <= Exec; - - if FRAME_CLK_reg = '0' and FRAME_CLK = '1' then - RefreshFlag <= '1'; - elsif state = Refresh or state = Refresh0 or state = Refresh1 then - RefreshFlag <= '0'; - end if; - - if Exec_Reg = '0' and Exec = '1' then - CMD_Flag <= '1'; - elsif state = ExecCMD0 or state = ExecCMD1 then - CMD_Flag <= '0'; - end if; - - case state is - when INIT0 => - if SYNCH.DRVR_READY = '1' then - DRIVER_CMD.Exec <= '1'; - DRIVER_CMD.Duration <= Duration_20ms; - DRIVER_CMD.CMD_Data <= '0'; - DRIVER_CMD.Word <= ConfigTbl(i); - i <= i + 1; - state <= INIT1; - else - DRIVER_CMD.Exec <= '0'; - end if; - when INIT1 => - state <= INIT2; - DRIVER_CMD.Exec <= '0'; - when INIT2 => - if SYNCH.DRVR_READY = '1' then - if i = 5 then - state <= Idle; - else - state <= INIT0; - end if; - end if; - when Idle=> - DRIVER_CMD.Exec <= '0'; - if RefreshFlag = '1' then - Ready <= '0'; - state <= Refresh; - elsif CMD_Flag = '1' then - Ready <= '0'; - state <= ExecCMD0; - else - Ready <= '1'; - end if; - i <= 0; - when Refresh=> - if SYNCH.DRVR_READY = '1' then - DRIVER_CMD.Exec <= '1'; - DRIVER_CMD.Duration <= Duration_100us; - DRIVER_CMD.CMD_Data <= '1'; - DRIVER_CMD.Word <= DATA(i*8+7 downto i*8); - i <= i + 1; - state <= Refresh0; - else - DRIVER_CMD.Exec <= '0'; - end if; - when Refresh0=> - state <= Refresh1; - DRIVER_CMD.Exec <= '0'; - when Refresh1=> - if SYNCH.DRVR_READY = '1' then - if i = 32 then - state <= ReturnHome; - elsif i = 16 then - state <= GoLine2; - else - state <= Refresh; - end if; - end if; - - when ExecCMD0=> - if SYNCH.DRVR_READY = '1' then - DRIVER_CMD.Exec <= '1'; - DRIVER_CMD.Duration <= CMD(9 downto 8); - DRIVER_CMD.CMD_Data <= '0'; - DRIVER_CMD.Word <= CMD(7 downto 0); - state <= ExecCMD1; - else - DRIVER_CMD.Exec <= '0'; - end if; - - when ExecCMD1=> - state <= Idle; - DRIVER_CMD.Exec <= '0'; - - when GoLine2=> - if SYNCH.DRVR_READY = '1' then - DRIVER_CMD.Exec <= '1'; - DRIVER_CMD.Duration <= Duration_100us; - DRIVER_CMD.CMD_Data <= '0'; - DRIVER_CMD.Word <= X"C0"; - state <= GoLine2_0; - else - DRIVER_CMD.Exec <= '0'; - end if; - when GoLine2_0=> - state <= Refresh; - DRIVER_CMD.Exec <= '0'; - when ReturnHome=> - if SYNCH.DRVR_READY = '1' then - DRIVER_CMD.Exec <= '1'; - DRIVER_CMD.Duration <= Duration_4ms; - DRIVER_CMD.CMD_Data <= '0'; - DRIVER_CMD.Word <= X"02"; - state <= Idle; - else - DRIVER_CMD.Exec <= '0'; - end if; - end case; - end if; -end process; - - -end ar_LCD_16x2_ENGINE; - - - - - - - - - - diff --git a/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_2x16_DRIVER.vhd b/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_2x16_DRIVER.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_2x16_DRIVER.vhd +++ /dev/null @@ -1,156 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 10:09:57 10/13/2010 --- Design Name: --- Module Name: LCD_2x16_DRIVER - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.all; - - -entity LCD_2x16_DRIVER is - generic( - OSC_Freq_MHz : integer:=60; - Refresh_RateHz : integer:=5 - ); - Port ( clk : in STD_LOGIC; - reset : in STD_LOGIC; - FramBUFF : in STD_LOGIC_VECTOR(16*2*8-1 downto 0); - LCD_data : out STD_LOGIC_VECTOR (7 downto 0); - LCD_RS : out STD_LOGIC; - LCD_RW : out STD_LOGIC; - LCD_E : out STD_LOGIC; - LCD_RET : out STD_LOGIC; - LCD_CS1 : out STD_LOGIC; - LCD_CS2 : out STD_LOGIC; - STATEOUT: out std_logic_vector(3 downto 0); - refreshPulse : out std_logic - ); -end LCD_2x16_DRIVER; - -architecture Behavioral of LCD_2x16_DRIVER is - -type stateT is(Rst,Configure,IDLE,RefreshScreen); -signal state : stateT; - -signal ShortTimePulse : std_logic; -signal MidleTimePulse : std_logic; -signal Refresh_RatePulse : std_logic; -signal Start : STD_LOGIC; - -signal CFGM_LCD_RS : std_logic; -signal CFGM_LCD_RW : std_logic; -signal CFGM_LCD_E : std_logic; -signal CFGM_LCD_DATA : std_logic_vector(7 downto 0); -signal CFGM_Enable : std_logic; -signal CFGM_completed : std_logic; - - -signal FRMW_LCD_RS : std_logic; -signal FRMW_LCD_RW : std_logic; -signal FRMW_LCD_E : std_logic; -signal FRMW_LCD_DATA : std_logic_vector(7 downto 0); -signal FRMW_Enable : std_logic; -signal FRMW_completed : std_logic; - -begin - - -Counter : entity work.LCD_Counter -generic map(OSC_Freq_MHz,Refresh_RateHz) -port map(reset,clk,ShortTimePulse,MidleTimePulse,Refresh_RatePulse,Start); - -ConfigModule : entity work.Config_Module -port map(reset,clk,CFGM_LCD_RS,CFGM_LCD_RW,CFGM_LCD_E,CFGM_LCD_DATA,CFGM_Enable,CFGM_completed,MidleTimePulse); - - -FrameWriter : entity work.FRAME_WRITER -port map(reset,clk,FramBUFF,FRMW_LCD_DATA,FRMW_LCD_RS,FRMW_LCD_RW,FRMW_LCD_E,FRMW_Enable,FRMW_Completed,ShortTimePulse,MidleTimePulse); - - -STATEOUT(0) <= '1' when state = Rst else '0'; -STATEOUT(1) <= '1' when state = Configure else '0'; -STATEOUT(2) <= '1' when state = IDLE else '0'; -STATEOUT(3) <= '1' when state = RefreshScreen else '0'; - - - -refreshPulse <= Refresh_RatePulse; - -Start <= '1'; - -process(reset,clk) -begin - if reset = '0' then - LCD_data <= (others=>'0'); - LCD_RS <= '0'; - LCD_RW <= '0'; - LCD_RET <= '0'; - LCD_CS1 <= '0'; - LCD_CS2 <= '0'; - LCD_E <= '0'; - state <= Rst; - CFGM_Enable <= '0'; - FRMW_Enable <= '0'; - elsif clk'event and clk ='1' then - case state is - when Rst => - LCD_data <= (others=>'0'); - LCD_RS <= '0'; - LCD_RW <= '0'; - LCD_E <= '0'; - CFGM_Enable <= '1'; - FRMW_Enable <= '0'; - if Refresh_RatePulse = '1' then - state <= Configure; - end if; - when Configure => - LCD_data <= CFGM_LCD_data; - LCD_RS <= CFGM_LCD_RS; - LCD_RW <= CFGM_LCD_RW; - LCD_E <= CFGM_LCD_E; - CFGM_Enable <= '0'; - if CFGM_completed = '1' then - state <= IDLE; - end if; - when IDLE => - if Refresh_RatePulse = '1' then - state <= RefreshScreen; - FRMW_Enable <= '1'; - end if; - LCD_RS <= '0'; - LCD_RW <= '0'; - LCD_E <= '0'; - LCD_data <= (others=>'0'); - when RefreshScreen => - LCD_data <= FRMW_LCD_data; - LCD_RS <= FRMW_LCD_RS; - LCD_RW <= FRMW_LCD_RW; - LCD_E <= FRMW_LCD_E; - FRMW_Enable <= '0'; - if FRMW_completed = '1' then - state <= IDLE; - end if; - end case; - end if; -end process; -end Behavioral; - - - - - diff --git a/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_CLK_GENERATOR.vhd b/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_CLK_GENERATOR.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/Without_AMBA/VHD/LCD_CLK_GENERATOR.vhd +++ /dev/null @@ -1,72 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 08:52:25 10/18/2010 --- Design Name: --- Module Name: LCD_CLK_GENERATOR - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; - - -entity LCD_CLK_GENERATOR is - generic(OSC_freqKHz : integer := 50000); - Port ( clk : in STD_LOGIC; - reset : in STD_LOGIC; - clk_1us : out STD_LOGIC); -end LCD_CLK_GENERATOR; - -architecture ar_LCD_CLK_GENERATOR of LCD_CLK_GENERATOR is - -Constant clk_1usTRIGER : integer := (OSC_freqKHz/2000)+1; - - -signal cpt1 : integer; - -signal clk_1us_int : std_logic := '0'; - - -begin - -clk_1us <= clk_1us_int; - - -process(reset,clk) -begin - if reset = '0' then - cpt1 <= 0; - clk_1us_int <= '0'; - elsif clk'event and clk = '1' then - if cpt1 = clk_1usTRIGER then - clk_1us_int <= not clk_1us_int; - cpt1 <= 0; - else - cpt1 <= cpt1 + 1; - end if; - end if; -end process; - - -end ar_LCD_CLK_GENERATOR; - - - - - - - - - diff --git a/LCD_16x2_DRIVER/Without_AMBA/VHD/Top_LCD.vhd b/LCD_16x2_DRIVER/Without_AMBA/VHD/Top_LCD.vhd deleted file mode 100755 --- a/LCD_16x2_DRIVER/Without_AMBA/VHD/Top_LCD.vhd +++ /dev/null @@ -1,102 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 08:44:41 10/14/2010 --- Design Name: --- Module Name: Top_LCD - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use work.LCD_16x2_CFG.all; - -entity Top_LCD is - Port ( reset : in STD_LOGIC; - clk : in STD_LOGIC; - Bp0 : in STD_LOGIC; - Bp1 : in STD_LOGIC; - Bp2 : in STD_LOGIC; - LCD_data : out STD_LOGIC_VECTOR (7 downto 0); - LCD_RS : out STD_LOGIC; - LCD_RW : out STD_LOGIC; - LCD_E : out STD_LOGIC; - LCD_RET : out STD_LOGIC; - LCD_CS1 : out STD_LOGIC; - LCD_CS2 : out STD_LOGIC; - SF_CE0 : out std_logic - ); -end Top_LCD; - -architecture Behavioral of Top_LCD is - -signal FramBUFF : STD_LOGIC_VECTOR(16*2*8-1 downto 0); -signal CMD : std_logic_vector(10 downto 0); -signal Exec : std_logic; -signal Ready : std_logic; -signal rst : std_logic; -signal LCD_CTRL : LCD_DRVR_CTRL_BUSS; - -begin - -LCD_data <= LCD_CTRL.LCD_DATA; -LCD_RS <= LCD_CTRL.LCD_RS; -LCD_RW <= LCD_CTRL.LCD_RW; -LCD_E <= LCD_CTRL.LCD_E; - - -LCD_RET <= '0'; -LCD_CS1 <= '0'; -LCD_CS2 <= '0'; - -SF_CE0 <= '1'; - -rst <= not reset; - - - -Driver0 : entity work.LCD_16x2_ENGINE - generic map(50000) - Port map(clk,rst,FramBUFF,CMD,Exec,Ready,LCD_CTRL); - -FramBUFF(0*8+7 downto 0*8) <= X"41" when Bp0 = '1' else - X"42" when Bp1 = '1' else - X"43" when Bp2 = '1' else - X"44"; - -FramBUFF(1*8+7 downto 1*8)<= X"46" when Bp0 = '1' else - X"47" when Bp1 = '1' else - X"48" when Bp2 = '1' else - X"49"; - - -CMD(9 downto 0) <= Duration_100us & CursorON when Bp0 = '1' else - Duration_100us & CursorOFF; - - -Exec <= Bp1; - -FramBUFF(2*8+7 downto 2*8) <= X"23"; -FramBUFF(3*8+7 downto 3*8) <= X"66"; -FramBUFF(4*8+7 downto 4*8) <= X"67"; -FramBUFF(5*8+7 downto 5*8) <= X"68"; -FramBUFF(17*8+7 downto 17*8) <= X"69"; ---FramBUFF(16*2*8-1 downto 16) <= (others => '0'); - -end Behavioral; - - - - - - diff --git a/LCD_16x2_DRIVER/Without_AMBA/VHD/Top_LCDcst.ucf b/LCD_16x2_DRIVER/Without_AMBA/VHD/Top_LCDcst.ucf deleted file mode 100755 --- a/LCD_16x2_DRIVER/Without_AMBA/VHD/Top_LCDcst.ucf +++ /dev/null @@ -1,37 +0,0 @@ - -NET "SF_CE0" LOC = "D16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "LCD_E" LOC = "M18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "LCD_RS" LOC = "L18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "LCD_RW" LOC = "L17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "LCD_RET" LOC = "E3" | IOSTANDARD = SSTL2_I; -NET "LCD_CS1" LOC = "P3" | IOSTANDARD = SSTL2_I; -NET "LCD_CS2" LOC = "P4" | IOSTANDARD = SSTL2_I; - -NET "LCD_data<0>" LOC = "R15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<1>" LOC = "R16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<2>" LOC = "P17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<3>" LOC = "M15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<4>" LOC = "M16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<5>" LOC = "P6" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<6>" LOC = "R8" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "LCD_data<7>" LOC = "T8" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "reset" LOC = "K17" | IOSTANDARD = LVTTL | PULLDOWN; -NET "Bp0" LOC = "H13" | IOSTANDARD = LVTTL | PULLDOWN; -NET "Bp1" LOC = "V4" | IOSTANDARD = LVTTL | PULLDOWN; -NET "Bp2" LOC = "D18" | IOSTANDARD = LVTTL | PULLDOWN; - -net "clk" LOC = "C9" | IOSTANDARD = LVCMOS33; -net "clk" PERIOD = 20.0ns HIGH 40%; -#net "clkOUT" LOC = "N14" | IOSTANDARD = LVCMOS33; - -#net "STATEOUT<0>" LOC = "V5" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; -#net "STATEOUT<1>" LOC = "V6" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; -#net "STATEOUT<2>" LOC = "N12" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; -#net "STATEOUT<3>" LOC = "P12" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; - -#net "refreshPulse" LOC = "N15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; \ No newline at end of file diff --git a/Makefile b/Makefile new file mode 100644 --- /dev/null +++ b/Makefile @@ -0,0 +1,36 @@ +all: help + +help: + @echo + @echo " batch targets:" + @echo + @echo " make Patch-GRLIB : install library into $(GRLIB)" + @echo " make dist : create a tar file for using into an other computer" + @echo " make Patched-dist : create a tar file for with a patched grlib for using into an other computer" + @echo " make allGPL : add a GPL HEADER in all vhdl Files" + @echo " make init : add a GPL HEADER in all vhdl Files, init all files" + @echo " make doc : make documentation for VHDL IPs" + @echo + +allGPL: + sh lib/GPL_Patcher.sh -R + +init: allGPL + sh lib/lpp/vhdlsynPatcher.sh + sh lib/lpp/makeDirs.sh lib/lpp + + +Patch-GRLIB: init doc + sh patch.sh $(GRLIB) + + +dist: init + tar -cvzf ./../lpp-lib.tgz ./../lib_lpp/* + +Patched-dist: Patch-GRLIB + tar -cvzf ./../lpp-patched-GRLIB.tgz $(GRLIB)/* + + +doc: + doxygen lib/lpp/Doxyfile + make lib/lpp/doc/latex diff --git a/TODO b/TODO new file mode 100644 --- /dev/null +++ b/TODO @@ -0,0 +1,4 @@ +patch VENDOR Ids +Write a README +add app_simple_diode +add LCD_16x2_DRIVER.vhd diff --git a/boards/patchboards.sh b/boards/patchboards.sh new file mode 100644 --- /dev/null +++ b/boards/patchboards.sh @@ -0,0 +1,48 @@ +echo "=======================================================================================" +echo "---------------------------------------------------------------------------------------" +echo " LPP's GRLIB Boards PATCHER " +echo " Copyright (C) 2010 Laboratory of Plasmas Physic. " +echo "=======================================================================================" +echo '---------------------------------------------------------------------------------------- + This file is a part of the LPP VHDL IP LIBRARY + Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +----------------------------------------------------------------------------------------' +echo +echo +echo + + +LPP_LIBPATH=`pwd -L` + +echo "Patching boards..." +echo +echo + +#COPY +echo "Copy boards Files..." +cp -R -v $LPP_LIBPATH/boards $1 +echo +echo +echo + + +#CLEAN +echo "CLEANING .." +rm -v $1/boards/*.sh +echo +echo +echo diff --git a/designs/patchdesigns.sh b/designs/patchdesigns.sh new file mode 100644 --- /dev/null +++ b/designs/patchdesigns.sh @@ -0,0 +1,49 @@ +echo "=======================================================================================" +echo "---------------------------------------------------------------------------------------" +echo " LPP's GRLIB Designs PATCHER " +echo " Copyright (C) 2010 Laboratory of Plasmas Physic. " +echo "=======================================================================================" +echo '---------------------------------------------------------------------------------------- + This file is a part of the LPP VHDL IP LIBRARY + Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +----------------------------------------------------------------------------------------' +echo +echo +echo + + +LPP_LIBPATH=`pwd -L` + +echo "Patching designs..." +echo +echo + +#COPY +echo "Copy designs Files..." +cp -R -v $LPP_LIBPATH/designs $1 +echo +echo +echo + + +#CLEAN +echo "CLEANING .." +rm -v $1/designs/*.sh +echo +echo +echo + diff --git a/lib/GPL_HEADER b/lib/GPL_HEADER new file mode 100644 --- /dev/null +++ b/lib/GPL_HEADER @@ -0,0 +1,18 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- diff --git a/lib/GPL_Patcher.sh b/lib/GPL_Patcher.sh new file mode 100644 --- /dev/null +++ b/lib/GPL_Patcher.sh @@ -0,0 +1,72 @@ +echo "=======================================================================================" +echo "---------------------------------------------------------------------------------------" +echo " LPP GPL PATCHER " +echo " Copyright (C) 2010 Laboratory of Plasmas Physic. " +echo "=======================================================================================" +echo '---------------------------------------------------------------------------------------- + This file is a part of the LPP VHDL IP LIBRARY + Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +----------------------------------------------------------------------------------------' +echo +echo +echo + +# Absolute path to this script. /home/user/bin/foo.sh +#SCRIPT=$(readlink -f $0) +# Absolute path this script is in. /home/user/bin + +#LPP_PATCHPATH=`dirname $SCRIPT` +LPP_PATCHPATH=`pwd -L` + + +case $1 in + -R | --recursive ) + for file in $(find . -name '*.vhd') + do + if(grep -q "This program is free software" $file); then + echo "$file already contains GPL HEADER" + else + echo "Modifying file : $file" + more $LPP_PATCHPATH/lib/GPL_HEADER >> $file.tmp + cat $file >> $file.tmp + mv $file.tmp $file + fi + done + ;; + -h | --help | --h | -help) + echo 'Help: + This script add a GPL HEADER in all vhdl files. + + -R or --recurcive: + Analyse recurcively folders starting from $LPP_PATCHPATH' + ;; + * ) + for file in $(ls *.vhd) + do + if(grep -q "This program is free software" $file); then + echo "$file already contains GPL HEADER" + else + echo "Modifying file : $file" + more $LPP_PATCHPATH/lib/GPL_HEADER >> $file.tmp + cat $file >> $file.tmp + mv $file.tmp $file + fi + done + ;; + +esac + diff --git a/lib/lpp/COPYING b/lib/lpp/COPYING new file mode 100644 --- /dev/null +++ b/lib/lpp/COPYING @@ -0,0 +1,674 @@ + GNU GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + + The precise terms and conditions for copying, distribution and +modification follow. + + TERMS AND CONDITIONS + + 0. Definitions. + + "This License" refers to version 3 of the GNU General Public License. + + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. + + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. + + A "covered work" means either the unmodified Program or a work based +on the Program. + + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + + 1. Source Code. + + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. + + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + + The Corresponding Source for a work in source code form is that +same work. + + 2. Basic Permissions. + + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + + 13. Use with the GNU Affero General Public License. + + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + + 14. Revised Versions of this License. + + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + + 15. Disclaimer of Warranty. + + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software: you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation, either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program. If not, see . + +Also add information on how to contact you by electronic and paper mail. + + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + + Copyright (C) + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". + + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. + + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +. diff --git a/lib/lpp/Doxyfile b/lib/lpp/Doxyfile new file mode 100644 --- /dev/null +++ b/lib/lpp/Doxyfile @@ -0,0 +1,1661 @@ +# Doxyfile 1.7.1 + +# This file describes the settings to be used by the documentation system +# doxygen (www.doxygen.org) for a project +# +# All text after a hash (#) is considered a comment and will be ignored +# The format is: +# TAG = value [value, ...] +# For lists items can also be appended using: +# TAG += value [value, ...] +# Values that contain spaces should be placed between quotes (" ") + +#--------------------------------------------------------------------------- +# Project related configuration options +#--------------------------------------------------------------------------- + +# This tag specifies the encoding used for all characters in the config file +# that follow. The default is UTF-8 which is also the encoding used for all +# text before the first occurrence of this tag. Doxygen uses libiconv (or the +# iconv built into libc) for the transcoding. See +# http://www.gnu.org/software/libiconv for the list of possible encodings. + +DOXYFILE_ENCODING = UTF-8 + +# The PROJECT_NAME tag is a single word (or a sequence of words surrounded +# by quotes) that should identify the project. + +PROJECT_NAME = lib-lpp + +# The PROJECT_NUMBER tag can be used to enter a project or revision number. +# This could be handy for archiving the generated documentation or +# if some version control system is used. + +PROJECT_NUMBER = 1.0 + +# The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute) +# base path where the generated documentation will be put. +# If a relative path is entered, it will be relative to the location +# where doxygen was started. If left blank the current directory will be used. + +OUTPUT_DIRECTORY = /opt/GRLIB/lib_lpp/lib/lpp/doc + +# If the CREATE_SUBDIRS tag is set to YES, then doxygen will create +# 4096 sub-directories (in 2 levels) under the output directory of each output +# format and will distribute the generated files over these directories. +# Enabling this option can be useful when feeding doxygen a huge amount of +# source files, where putting all generated files in the same directory would +# otherwise cause performance problems for the file system. + +CREATE_SUBDIRS = NO + +# The OUTPUT_LANGUAGE tag is used to specify the language in which all +# documentation generated by doxygen is written. Doxygen will use this +# information to generate all constant output in the proper language. +# The default language is English, other supported languages are: +# Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional, +# Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German, +# Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English +# messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian, +# Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak, +# Slovene, Spanish, Swedish, Ukrainian, and Vietnamese. + +OUTPUT_LANGUAGE = English + +# If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will +# include brief member descriptions after the members that are listed in +# the file and class documentation (similar to JavaDoc). +# Set to NO to disable this. + +BRIEF_MEMBER_DESC = YES + +# If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend +# the brief description of a member or function before the detailed description. +# Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the +# brief descriptions will be completely suppressed. + +REPEAT_BRIEF = YES + +# This tag implements a quasi-intelligent brief description abbreviator +# that is used to form the text in various listings. Each string +# in this list, if found as the leading text of the brief description, will be +# stripped from the text and the result after processing the whole list, is +# used as the annotated text. Otherwise, the brief description is used as-is. +# If left blank, the following values are used ("$name" is automatically +# replaced with the name of the entity): "The $name class" "The $name widget" +# "The $name file" "is" "provides" "specifies" "contains" +# "represents" "a" "an" "the" + +ABBREVIATE_BRIEF = "The $name class" \ + "The $name widget" \ + "The $name file" \ + is \ + provides \ + specifies \ + contains \ + represents \ + a \ + an \ + the + +# If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then +# Doxygen will generate a detailed section even if there is only a brief +# description. + +ALWAYS_DETAILED_SEC = NO + +# If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all +# inherited members of a class in the documentation of that class as if those +# members were ordinary class members. Constructors, destructors and assignment +# operators of the base classes will not be shown. + +INLINE_INHERITED_MEMB = NO + +# If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full +# path before files name in the file list and in the header files. If set +# to NO the shortest path that makes the file name unique will be used. + +FULL_PATH_NAMES = YES + +# If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag +# can be used to strip a user-defined part of the path. Stripping is +# only done if one of the specified strings matches the left-hand part of +# the path. The tag can be used to show relative paths in the file list. +# If left blank the directory from which doxygen is run is used as the +# path to strip. + +STRIP_FROM_PATH = + +# The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of +# the path mentioned in the documentation of a class, which tells +# the reader which header file to include in order to use a class. +# If left blank only the name of the header file containing the class +# definition is used. Otherwise one should specify the include paths that +# are normally passed to the compiler using the -I flag. + +STRIP_FROM_INC_PATH = + +# If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter +# (but less readable) file names. This can be useful is your file systems +# doesn't support long names like on DOS, Mac, or CD-ROM. + +SHORT_NAMES = NO + +# If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen +# will interpret the first line (until the first dot) of a JavaDoc-style +# comment as the brief description. If set to NO, the JavaDoc +# comments will behave just like regular Qt-style comments +# (thus requiring an explicit @brief command for a brief description.) + +JAVADOC_AUTOBRIEF = NO + +# If the QT_AUTOBRIEF tag is set to YES then Doxygen will +# interpret the first line (until the first dot) of a Qt-style +# comment as the brief description. If set to NO, the comments +# will behave just like regular Qt-style comments (thus requiring +# an explicit \brief command for a brief description.) + +QT_AUTOBRIEF = NO + +# The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen +# treat a multi-line C++ special comment block (i.e. a block of //! or /// +# comments) as a brief description. This used to be the default behaviour. +# The new default is to treat a multi-line C++ comment block as a detailed +# description. Set this tag to YES if you prefer the old behaviour instead. + +MULTILINE_CPP_IS_BRIEF = NO + +# If the INHERIT_DOCS tag is set to YES (the default) then an undocumented +# member inherits the documentation from any documented member that it +# re-implements. + +INHERIT_DOCS = YES + +# If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce +# a new page for each member. If set to NO, the documentation of a member will +# be part of the file/class/namespace that contains it. + +SEPARATE_MEMBER_PAGES = NO + +# The TAB_SIZE tag can be used to set the number of spaces in a tab. +# Doxygen uses this value to replace tabs by spaces in code fragments. + +TAB_SIZE = 8 + +# This tag can be used to specify a number of aliases that acts +# as commands in the documentation. An alias has the form "name=value". +# For example adding "sideeffect=\par Side Effects:\n" will allow you to +# put the command \sideeffect (or @sideeffect) in the documentation, which +# will result in a user-defined paragraph with heading "Side Effects:". +# You can put \n's in the value part of an alias to insert newlines. + +ALIASES = + +# Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C +# sources only. Doxygen will then generate output that is more tailored for C. +# For instance, some of the names that are used will be different. The list +# of all members will be omitted, etc. + +OPTIMIZE_OUTPUT_FOR_C = NO + +# Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java +# sources only. Doxygen will then generate output that is more tailored for +# Java. For instance, namespaces will be presented as packages, qualified +# scopes will look different, etc. + +OPTIMIZE_OUTPUT_JAVA = NO + +# Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran +# sources only. Doxygen will then generate output that is more tailored for +# Fortran. + +OPTIMIZE_FOR_FORTRAN = NO + +# Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL +# sources. Doxygen will then generate output that is tailored for +# VHDL. + +OPTIMIZE_OUTPUT_VHDL = YES + +# Doxygen selects the parser to use depending on the extension of the files it +# parses. With this tag you can assign which parser to use for a given extension. +# Doxygen has a built-in mapping, but you can override or extend it using this +# tag. The format is ext=language, where ext is a file extension, and language +# is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C, +# C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make +# doxygen treat .inc files as Fortran files (default is PHP), and .f files as C +# (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions +# you also need to set FILE_PATTERNS otherwise the files are not read by doxygen. + +EXTENSION_MAPPING = + +# If you use STL classes (i.e. std::string, std::vector, etc.) but do not want +# to include (a tag file for) the STL sources as input, then you should +# set this tag to YES in order to let doxygen match functions declarations and +# definitions whose arguments contain STL classes (e.g. func(std::string); v.s. +# func(std::string) {}). This also make the inheritance and collaboration +# diagrams that involve STL classes more complete and accurate. + +BUILTIN_STL_SUPPORT = NO + +# If you use Microsoft's C++/CLI language, you should set this option to YES to +# enable parsing support. + +CPP_CLI_SUPPORT = NO + +# Set the SIP_SUPPORT tag to YES if your project consists of sip sources only. +# Doxygen will parse them like normal C++ but will assume all classes use public +# instead of private inheritance when no explicit protection keyword is present. + +SIP_SUPPORT = NO + +# For Microsoft's IDL there are propget and propput attributes to indicate getter +# and setter methods for a property. Setting this option to YES (the default) +# will make doxygen to replace the get and set methods by a property in the +# documentation. This will only work if the methods are indeed getting or +# setting a simple type. If this is not the case, or you want to show the +# methods anyway, you should set this option to NO. + +IDL_PROPERTY_SUPPORT = YES + +# If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC +# tag is set to YES, then doxygen will reuse the documentation of the first +# member in the group (if any) for the other members of the group. By default +# all members of a group must be documented explicitly. + +DISTRIBUTE_GROUP_DOC = NO + +# Set the SUBGROUPING tag to YES (the default) to allow class member groups of +# the same type (for instance a group of public functions) to be put as a +# subgroup of that type (e.g. under the Public Functions section). Set it to +# NO to prevent subgrouping. Alternatively, this can be done per class using +# the \nosubgrouping command. + +SUBGROUPING = YES + +# When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum +# is documented as struct, union, or enum with the name of the typedef. So +# typedef struct TypeS {} TypeT, will appear in the documentation as a struct +# with name TypeT. When disabled the typedef will appear as a member of a file, +# namespace, or class. And the struct will be named TypeS. This can typically +# be useful for C code in case the coding convention dictates that all compound +# types are typedef'ed and only the typedef is referenced, never the tag name. + +TYPEDEF_HIDES_STRUCT = NO + +# The SYMBOL_CACHE_SIZE determines the size of the internal cache use to +# determine which symbols to keep in memory and which to flush to disk. +# When the cache is full, less often used symbols will be written to disk. +# For small to medium size projects (<1000 input files) the default value is +# probably good enough. For larger projects a too small cache size can cause +# doxygen to be busy swapping symbols to and from disk most of the time +# causing a significant performance penality. +# If the system has enough physical memory increasing the cache will improve the +# performance by keeping more symbols in memory. Note that the value works on +# a logarithmic scale so increasing the size by one will rougly double the +# memory usage. The cache size is given by this formula: +# 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0, +# corresponding to a cache size of 2^16 = 65536 symbols + +SYMBOL_CACHE_SIZE = 0 + +#--------------------------------------------------------------------------- +# Build related configuration options +#--------------------------------------------------------------------------- + +# If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in +# documentation are documented, even if no documentation was available. +# Private class members and static file members will be hidden unless +# the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES + +EXTRACT_ALL = YES + +# If the EXTRACT_PRIVATE tag is set to YES all private members of a class +# will be included in the documentation. + +EXTRACT_PRIVATE = NO + +# If the EXTRACT_STATIC tag is set to YES all static members of a file +# will be included in the documentation. + +EXTRACT_STATIC = NO + +# If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs) +# defined locally in source files will be included in the documentation. +# If set to NO only classes defined in header files are included. + +EXTRACT_LOCAL_CLASSES = YES + +# This flag is only useful for Objective-C code. When set to YES local +# methods, which are defined in the implementation section but not in +# the interface are included in the documentation. +# If set to NO (the default) only methods in the interface are included. + +EXTRACT_LOCAL_METHODS = NO + +# If this flag is set to YES, the members of anonymous namespaces will be +# extracted and appear in the documentation as a namespace called +# 'anonymous_namespace{file}', where file will be replaced with the base +# name of the file that contains the anonymous namespace. By default +# anonymous namespace are hidden. + +EXTRACT_ANON_NSPACES = NO + +# If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all +# undocumented members of documented classes, files or namespaces. +# If set to NO (the default) these members will be included in the +# various overviews, but no documentation section is generated. +# This option has no effect if EXTRACT_ALL is enabled. + +HIDE_UNDOC_MEMBERS = NO + +# If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all +# undocumented classes that are normally visible in the class hierarchy. +# If set to NO (the default) these classes will be included in the various +# overviews. This option has no effect if EXTRACT_ALL is enabled. + +HIDE_UNDOC_CLASSES = NO + +# If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all +# friend (class|struct|union) declarations. +# If set to NO (the default) these declarations will be included in the +# documentation. + +HIDE_FRIEND_COMPOUNDS = NO + +# If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any +# documentation blocks found inside the body of a function. +# If set to NO (the default) these blocks will be appended to the +# function's detailed documentation block. + +HIDE_IN_BODY_DOCS = NO + +# The INTERNAL_DOCS tag determines if documentation +# that is typed after a \internal command is included. If the tag is set +# to NO (the default) then the documentation will be excluded. +# Set it to YES to include the internal documentation. + +INTERNAL_DOCS = NO + +# If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate +# file names in lower-case letters. If set to YES upper-case letters are also +# allowed. This is useful if you have classes or files whose names only differ +# in case and if your file system supports case sensitive file names. Windows +# and Mac users are advised to set this option to NO. + +CASE_SENSE_NAMES = NO + +# If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen +# will show members with their full class and namespace scopes in the +# documentation. If set to YES the scope will be hidden. + +HIDE_SCOPE_NAMES = NO + +# If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen +# will put a list of the files that are included by a file in the documentation +# of that file. + +SHOW_INCLUDE_FILES = YES + +# If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen +# will list include files with double quotes in the documentation +# rather than with sharp brackets. + +FORCE_LOCAL_INCLUDES = NO + +# If the INLINE_INFO tag is set to YES (the default) then a tag [inline] +# is inserted in the documentation for inline members. + +INLINE_INFO = YES + +# If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen +# will sort the (detailed) documentation of file and class members +# alphabetically by member name. If set to NO the members will appear in +# declaration order. + +SORT_MEMBER_DOCS = YES + +# If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the +# brief documentation of file, namespace and class members alphabetically +# by member name. If set to NO (the default) the members will appear in +# declaration order. + +SORT_BRIEF_DOCS = NO + +# If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen +# will sort the (brief and detailed) documentation of class members so that +# constructors and destructors are listed first. If set to NO (the default) +# the constructors will appear in the respective orders defined by +# SORT_MEMBER_DOCS and SORT_BRIEF_DOCS. +# This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO +# and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO. + +SORT_MEMBERS_CTORS_1ST = NO + +# If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the +# hierarchy of group names into alphabetical order. If set to NO (the default) +# the group names will appear in their defined order. + +SORT_GROUP_NAMES = NO + +# If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be +# sorted by fully-qualified names, including namespaces. If set to +# NO (the default), the class list will be sorted only by class name, +# not including the namespace part. +# Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES. +# Note: This option applies only to the class list, not to the +# alphabetical list. + +SORT_BY_SCOPE_NAME = NO + +# The GENERATE_TODOLIST tag can be used to enable (YES) or +# disable (NO) the todo list. This list is created by putting \todo +# commands in the documentation. + +GENERATE_TODOLIST = YES + +# The GENERATE_TESTLIST tag can be used to enable (YES) or +# disable (NO) the test list. This list is created by putting \test +# commands in the documentation. + +GENERATE_TESTLIST = YES + +# The GENERATE_BUGLIST tag can be used to enable (YES) or +# disable (NO) the bug list. This list is created by putting \bug +# commands in the documentation. + +GENERATE_BUGLIST = YES + +# The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or +# disable (NO) the deprecated list. This list is created by putting +# \deprecated commands in the documentation. + +GENERATE_DEPRECATEDLIST= YES + +# The ENABLED_SECTIONS tag can be used to enable conditional +# documentation sections, marked by \if sectionname ... \endif. + +ENABLED_SECTIONS = + +# The MAX_INITIALIZER_LINES tag determines the maximum number of lines +# the initial value of a variable or define consists of for it to appear in +# the documentation. If the initializer consists of more lines than specified +# here it will be hidden. Use a value of 0 to hide initializers completely. +# The appearance of the initializer of individual variables and defines in the +# documentation can be controlled using \showinitializer or \hideinitializer +# command in the documentation regardless of this setting. + +MAX_INITIALIZER_LINES = 30 + +# Set the SHOW_USED_FILES tag to NO to disable the list of files generated +# at the bottom of the documentation of classes and structs. If set to YES the +# list will mention the files that were used to generate the documentation. + +SHOW_USED_FILES = YES + +# If the sources in your project are distributed over multiple directories +# then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy +# in the documentation. The default is NO. + +SHOW_DIRECTORIES = NO + +# Set the SHOW_FILES tag to NO to disable the generation of the Files page. +# This will remove the Files entry from the Quick Index and from the +# Folder Tree View (if specified). The default is YES. + +SHOW_FILES = YES + +# Set the SHOW_NAMESPACES tag to NO to disable the generation of the +# Namespaces page. This will remove the Namespaces entry from the Quick Index +# and from the Folder Tree View (if specified). The default is YES. + +SHOW_NAMESPACES = YES + +# The FILE_VERSION_FILTER tag can be used to specify a program or script that +# doxygen should invoke to get the current version for each file (typically from +# the version control system). Doxygen will invoke the program by executing (via +# popen()) the command , where is the value of +# the FILE_VERSION_FILTER tag, and is the name of an input file +# provided by doxygen. Whatever the program writes to standard output +# is used as the file version. See the manual for examples. + +FILE_VERSION_FILTER = + +# The LAYOUT_FILE tag can be used to specify a layout file which will be parsed +# by doxygen. The layout file controls the global structure of the generated +# output files in an output format independent way. The create the layout file +# that represents doxygen's defaults, run doxygen with the -l option. +# You can optionally specify a file name after the option, if omitted +# DoxygenLayout.xml will be used as the name of the layout file. + +LAYOUT_FILE = + +#--------------------------------------------------------------------------- +# configuration options related to warning and progress messages +#--------------------------------------------------------------------------- + +# The QUIET tag can be used to turn on/off the messages that are generated +# by doxygen. Possible values are YES and NO. If left blank NO is used. + +QUIET = NO + +# The WARNINGS tag can be used to turn on/off the warning messages that are +# generated by doxygen. Possible values are YES and NO. If left blank +# NO is used. + +WARNINGS = YES + +# If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings +# for undocumented members. If EXTRACT_ALL is set to YES then this flag will +# automatically be disabled. + +WARN_IF_UNDOCUMENTED = YES + +# If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for +# potential errors in the documentation, such as not documenting some +# parameters in a documented function, or documenting parameters that +# don't exist or using markup commands wrongly. + +WARN_IF_DOC_ERROR = YES + +# This WARN_NO_PARAMDOC option can be abled to get warnings for +# functions that are documented, but have no documentation for their parameters +# or return value. If set to NO (the default) doxygen will only warn about +# wrong or incomplete parameter documentation, but not about the absence of +# documentation. + +WARN_NO_PARAMDOC = NO + +# The WARN_FORMAT tag determines the format of the warning messages that +# doxygen can produce. The string should contain the $file, $line, and $text +# tags, which will be replaced by the file and line number from which the +# warning originated and the warning text. Optionally the format may contain +# $version, which will be replaced by the version of the file (if it could +# be obtained via FILE_VERSION_FILTER) + +WARN_FORMAT = "$file:$line: $text" + +# The WARN_LOGFILE tag can be used to specify a file to which warning +# and error messages should be written. If left blank the output is written +# to stderr. + +WARN_LOGFILE = + +#--------------------------------------------------------------------------- +# configuration options related to the input files +#--------------------------------------------------------------------------- + +# The INPUT tag can be used to specify the files and/or directories that contain +# documented source files. You may enter file names like "myfile.cpp" or +# directories like "/usr/src/myproject". Separate the files or directories +# with spaces. + +INPUT = /opt/GRLIB/lib_lpp/lib/lpp + +# This tag can be used to specify the character encoding of the source files +# that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is +# also the default input encoding. Doxygen uses libiconv (or the iconv built +# into libc) for the transcoding. See http://www.gnu.org/software/libiconv for +# the list of possible encodings. + +INPUT_ENCODING = UTF-8 + +# If the value of the INPUT tag contains directories, you can use the +# FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp +# and *.h) to filter out the source-files in the directories. If left +# blank the following patterns are tested: +# *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx +# *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90 + +FILE_PATTERNS = *.c \ + *.cc \ + *.cxx \ + *.cpp \ + *.c++ \ + *.d \ + *.java \ + *.ii \ + *.ixx \ + *.ipp \ + *.i++ \ + *.inl \ + *.h \ + *.hh \ + *.hxx \ + *.hpp \ + *.h++ \ + *.idl \ + *.odl \ + *.cs \ + *.php \ + *.php3 \ + *.inc \ + *.m \ + *.mm \ + *.dox \ + *.py \ + *.f90 \ + *.f \ + *.vhd \ + *.vhdl + +# The RECURSIVE tag can be used to turn specify whether or not subdirectories +# should be searched for input files as well. Possible values are YES and NO. +# If left blank NO is used. + +RECURSIVE = YES + +# The EXCLUDE tag can be used to specify files and/or directories that should +# excluded from the INPUT source files. This way you can easily exclude a +# subdirectory from a directory tree whose root is specified with the INPUT tag. + +EXCLUDE = + +# The EXCLUDE_SYMLINKS tag can be used select whether or not files or +# directories that are symbolic links (a Unix filesystem feature) are excluded +# from the input. + +EXCLUDE_SYMLINKS = NO + +# If the value of the INPUT tag contains directories, you can use the +# EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude +# certain files from those directories. Note that the wildcards are matched +# against the file with absolute path, so to exclude all test directories +# for example use the pattern */test/* + +EXCLUDE_PATTERNS = + +# The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names +# (namespaces, classes, functions, etc.) that should be excluded from the +# output. The symbol name can be a fully qualified name, a word, or if the +# wildcard * is used, a substring. Examples: ANamespace, AClass, +# AClass::ANamespace, ANamespace::*Test + +EXCLUDE_SYMBOLS = + +# The EXAMPLE_PATH tag can be used to specify one or more files or +# directories that contain example code fragments that are included (see +# the \include command). + +EXAMPLE_PATH = + +# If the value of the EXAMPLE_PATH tag contains directories, you can use the +# EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp +# and *.h) to filter out the source-files in the directories. If left +# blank all files are included. + +EXAMPLE_PATTERNS = * + +# If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be +# searched for input files to be used with the \include or \dontinclude +# commands irrespective of the value of the RECURSIVE tag. +# Possible values are YES and NO. If left blank NO is used. + +EXAMPLE_RECURSIVE = NO + +# The IMAGE_PATH tag can be used to specify one or more files or +# directories that contain image that are included in the documentation (see +# the \image command). + +IMAGE_PATH = + +# The INPUT_FILTER tag can be used to specify a program that doxygen should +# invoke to filter for each input file. Doxygen will invoke the filter program +# by executing (via popen()) the command , where +# is the value of the INPUT_FILTER tag, and is the name of an +# input file. Doxygen will then use the output that the filter program writes +# to standard output. If FILTER_PATTERNS is specified, this tag will be +# ignored. + +INPUT_FILTER = + +# The FILTER_PATTERNS tag can be used to specify filters on a per file pattern +# basis. Doxygen will compare the file name with each pattern and apply the +# filter if there is a match. The filters are a list of the form: +# pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further +# info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER +# is applied to all files. + +FILTER_PATTERNS = + +# If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using +# INPUT_FILTER) will be used to filter the input files when producing source +# files to browse (i.e. when SOURCE_BROWSER is set to YES). + +FILTER_SOURCE_FILES = NO + +#--------------------------------------------------------------------------- +# configuration options related to source browsing +#--------------------------------------------------------------------------- + +# If the SOURCE_BROWSER tag is set to YES then a list of source files will +# be generated. Documented entities will be cross-referenced with these sources. +# Note: To get rid of all source code in the generated output, make sure also +# VERBATIM_HEADERS is set to NO. + +SOURCE_BROWSER = NO + +# Setting the INLINE_SOURCES tag to YES will include the body +# of functions and classes directly in the documentation. + +INLINE_SOURCES = NO + +# Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct +# doxygen to hide any special comment blocks from generated source code +# fragments. Normal C and C++ comments will always remain visible. + +STRIP_CODE_COMMENTS = YES + +# If the REFERENCED_BY_RELATION tag is set to YES +# then for each documented function all documented +# functions referencing it will be listed. + +REFERENCED_BY_RELATION = NO + +# If the REFERENCES_RELATION tag is set to YES +# then for each documented function all documented entities +# called/used by that function will be listed. + +REFERENCES_RELATION = NO + +# If the REFERENCES_LINK_SOURCE tag is set to YES (the default) +# and SOURCE_BROWSER tag is set to YES, then the hyperlinks from +# functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will +# link to the source code. Otherwise they will link to the documentation. + +REFERENCES_LINK_SOURCE = YES + +# If the USE_HTAGS tag is set to YES then the references to source code +# will point to the HTML generated by the htags(1) tool instead of doxygen +# built-in source browser. The htags tool is part of GNU's global source +# tagging system (see http://www.gnu.org/software/global/global.html). You +# will need version 4.8.6 or higher. + +USE_HTAGS = NO + +# If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen +# will generate a verbatim copy of the header file for each class for +# which an include is specified. Set to NO to disable this. + +VERBATIM_HEADERS = YES + +#--------------------------------------------------------------------------- +# configuration options related to the alphabetical class index +#--------------------------------------------------------------------------- + +# If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index +# of all compounds will be generated. Enable this if the project +# contains a lot of classes, structs, unions or interfaces. + +ALPHABETICAL_INDEX = YES + +# If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then +# the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns +# in which this list will be split (can be a number in the range [1..20]) + +COLS_IN_ALPHA_INDEX = 5 + +# In case all classes in a project start with a common prefix, all +# classes will be put under the same header in the alphabetical index. +# The IGNORE_PREFIX tag can be used to specify one or more prefixes that +# should be ignored while generating the index headers. + +IGNORE_PREFIX = + +#--------------------------------------------------------------------------- +# configuration options related to the HTML output +#--------------------------------------------------------------------------- + +# If the GENERATE_HTML tag is set to YES (the default) Doxygen will +# generate HTML output. + +GENERATE_HTML = YES + +# The HTML_OUTPUT tag is used to specify where the HTML docs will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `html' will be used as the default path. + +HTML_OUTPUT = html + +# The HTML_FILE_EXTENSION tag can be used to specify the file extension for +# each generated HTML page (for example: .htm,.php,.asp). If it is left blank +# doxygen will generate files with .html extension. + +HTML_FILE_EXTENSION = .html + +# The HTML_HEADER tag can be used to specify a personal HTML header for +# each generated HTML page. If it is left blank doxygen will generate a +# standard header. + +HTML_HEADER = + +# The HTML_FOOTER tag can be used to specify a personal HTML footer for +# each generated HTML page. If it is left blank doxygen will generate a +# standard footer. + +HTML_FOOTER = + +# The HTML_STYLESHEET tag can be used to specify a user-defined cascading +# style sheet that is used by each HTML page. It can be used to +# fine-tune the look of the HTML output. If the tag is left blank doxygen +# will generate a default style sheet. Note that doxygen will try to copy +# the style sheet file to the HTML output directory, so don't put your own +# stylesheet in the HTML output directory as well, or it will be erased! + +HTML_STYLESHEET = + +# The HTML_COLORSTYLE_HUE tag controls the color of the HTML output. +# Doxygen will adjust the colors in the stylesheet and background images +# according to this color. Hue is specified as an angle on a colorwheel, +# see http://en.wikipedia.org/wiki/Hue for more information. +# For instance the value 0 represents red, 60 is yellow, 120 is green, +# 180 is cyan, 240 is blue, 300 purple, and 360 is red again. +# The allowed range is 0 to 359. + +HTML_COLORSTYLE_HUE = 220 + +# The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of +# the colors in the HTML output. For a value of 0 the output will use +# grayscales only. A value of 255 will produce the most vivid colors. + +HTML_COLORSTYLE_SAT = 100 + +# The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to +# the luminance component of the colors in the HTML output. Values below +# 100 gradually make the output lighter, whereas values above 100 make +# the output darker. The value divided by 100 is the actual gamma applied, +# so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2, +# and 100 does not change the gamma. + +HTML_COLORSTYLE_GAMMA = 80 + +# If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML +# page will contain the date and time when the page was generated. Setting +# this to NO can help when comparing the output of multiple runs. + +HTML_TIMESTAMP = YES + +# If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes, +# files or namespaces will be aligned in HTML using tables. If set to +# NO a bullet list will be used. + +HTML_ALIGN_MEMBERS = YES + +# If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML +# documentation will contain sections that can be hidden and shown after the +# page has loaded. For this to work a browser that supports +# JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox +# Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari). + +HTML_DYNAMIC_SECTIONS = NO + +# If the GENERATE_DOCSET tag is set to YES, additional index files +# will be generated that can be used as input for Apple's Xcode 3 +# integrated development environment, introduced with OSX 10.5 (Leopard). +# To create a documentation set, doxygen will generate a Makefile in the +# HTML output directory. Running make will produce the docset in that +# directory and running "make install" will install the docset in +# ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find +# it at startup. +# See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html +# for more information. + +GENERATE_DOCSET = NO + +# When GENERATE_DOCSET tag is set to YES, this tag determines the name of the +# feed. A documentation feed provides an umbrella under which multiple +# documentation sets from a single provider (such as a company or product suite) +# can be grouped. + +DOCSET_FEEDNAME = "Doxygen generated docs" + +# When GENERATE_DOCSET tag is set to YES, this tag specifies a string that +# should uniquely identify the documentation set bundle. This should be a +# reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen +# will append .docset to the name. + +DOCSET_BUNDLE_ID = org.doxygen.Project + +# When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify +# the documentation publisher. This should be a reverse domain-name style +# string, e.g. com.mycompany.MyDocSet.documentation. + +DOCSET_PUBLISHER_ID = org.doxygen.Publisher + +# The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher. + +DOCSET_PUBLISHER_NAME = Publisher + +# If the GENERATE_HTMLHELP tag is set to YES, additional index files +# will be generated that can be used as input for tools like the +# Microsoft HTML help workshop to generate a compiled HTML help file (.chm) +# of the generated HTML documentation. + +GENERATE_HTMLHELP = NO + +# If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can +# be used to specify the file name of the resulting .chm file. You +# can add a path in front of the file if the result should not be +# written to the html output directory. + +CHM_FILE = + +# If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can +# be used to specify the location (absolute path including file name) of +# the HTML help compiler (hhc.exe). If non-empty doxygen will try to run +# the HTML help compiler on the generated index.hhp. + +HHC_LOCATION = + +# If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag +# controls if a separate .chi index file is generated (YES) or that +# it should be included in the master .chm file (NO). + +GENERATE_CHI = NO + +# If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING +# is used to encode HtmlHelp index (hhk), content (hhc) and project file +# content. + +CHM_INDEX_ENCODING = + +# If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag +# controls whether a binary table of contents is generated (YES) or a +# normal table of contents (NO) in the .chm file. + +BINARY_TOC = NO + +# The TOC_EXPAND flag can be set to YES to add extra items for group members +# to the contents of the HTML help documentation and to the tree view. + +TOC_EXPAND = NO + +# If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and +# QHP_VIRTUAL_FOLDER are set, an additional index file will be generated +# that can be used as input for Qt's qhelpgenerator to generate a +# Qt Compressed Help (.qch) of the generated HTML documentation. + +GENERATE_QHP = NO + +# If the QHG_LOCATION tag is specified, the QCH_FILE tag can +# be used to specify the file name of the resulting .qch file. +# The path specified is relative to the HTML output folder. + +QCH_FILE = + +# The QHP_NAMESPACE tag specifies the namespace to use when generating +# Qt Help Project output. For more information please see +# http://doc.trolltech.com/qthelpproject.html#namespace + +QHP_NAMESPACE = org.doxygen.Project + +# The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating +# Qt Help Project output. For more information please see +# http://doc.trolltech.com/qthelpproject.html#virtual-folders + +QHP_VIRTUAL_FOLDER = doc + +# If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to +# add. For more information please see +# http://doc.trolltech.com/qthelpproject.html#custom-filters + +QHP_CUST_FILTER_NAME = + +# The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the +# custom filter to add. For more information please see +# +# Qt Help Project / Custom Filters. + +QHP_CUST_FILTER_ATTRS = + +# The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this +# project's +# filter section matches. +# +# Qt Help Project / Filter Attributes. + +QHP_SECT_FILTER_ATTRS = + +# If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can +# be used to specify the location of Qt's qhelpgenerator. +# If non-empty doxygen will try to run qhelpgenerator on the generated +# .qhp file. + +QHG_LOCATION = + +# If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files +# will be generated, which together with the HTML files, form an Eclipse help +# plugin. To install this plugin and make it available under the help contents +# menu in Eclipse, the contents of the directory containing the HTML and XML +# files needs to be copied into the plugins directory of eclipse. The name of +# the directory within the plugins directory should be the same as +# the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before +# the help appears. + +GENERATE_ECLIPSEHELP = NO + +# A unique identifier for the eclipse help plugin. When installing the plugin +# the directory name containing the HTML and XML files should also have +# this name. + +ECLIPSE_DOC_ID = org.doxygen.Project + +# The DISABLE_INDEX tag can be used to turn on/off the condensed index at +# top of each HTML page. The value NO (the default) enables the index and +# the value YES disables it. + +DISABLE_INDEX = NO + +# This tag can be used to set the number of enum values (range [1..20]) +# that doxygen will group on one line in the generated HTML documentation. + +ENUM_VALUES_PER_LINE = 4 + +# The GENERATE_TREEVIEW tag is used to specify whether a tree-like index +# structure should be generated to display hierarchical information. +# If the tag value is set to YES, a side panel will be generated +# containing a tree-like index structure (just like the one that +# is generated for HTML Help). For this to work a browser that supports +# JavaScript, DHTML, CSS and frames is required (i.e. any modern browser). +# Windows users are probably better off using the HTML help feature. + +GENERATE_TREEVIEW = NO + +# By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories, +# and Class Hierarchy pages using a tree view instead of an ordered list. + +USE_INLINE_TREES = NO + +# If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be +# used to set the initial width (in pixels) of the frame in which the tree +# is shown. + +TREEVIEW_WIDTH = 250 + +# When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open +# links to external symbols imported via tag files in a separate window. + +EXT_LINKS_IN_WINDOW = NO + +# Use this tag to change the font size of Latex formulas included +# as images in the HTML documentation. The default is 10. Note that +# when you change the font size after a successful doxygen run you need +# to manually remove any form_*.png images from the HTML output directory +# to force them to be regenerated. + +FORMULA_FONTSIZE = 10 + +# Use the FORMULA_TRANPARENT tag to determine whether or not the images +# generated for formulas are transparent PNGs. Transparent PNGs are +# not supported properly for IE 6.0, but are supported on all modern browsers. +# Note that when changing this option you need to delete any form_*.png files +# in the HTML output before the changes have effect. + +FORMULA_TRANSPARENT = YES + +# When the SEARCHENGINE tag is enabled doxygen will generate a search box +# for the HTML output. The underlying search engine uses javascript +# and DHTML and should work on any modern browser. Note that when using +# HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets +# (GENERATE_DOCSET) there is already a search function so this one should +# typically be disabled. For large projects the javascript based search engine +# can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution. + +SEARCHENGINE = YES + +# When the SERVER_BASED_SEARCH tag is enabled the search engine will be +# implemented using a PHP enabled web server instead of at the web client +# using Javascript. Doxygen will generate the search PHP script and index +# file to put on the web server. The advantage of the server +# based approach is that it scales better to large projects and allows +# full text search. The disadvances is that it is more difficult to setup +# and does not have live searching capabilities. + +SERVER_BASED_SEARCH = NO + +#--------------------------------------------------------------------------- +# configuration options related to the LaTeX output +#--------------------------------------------------------------------------- + +# If the GENERATE_LATEX tag is set to YES (the default) Doxygen will +# generate Latex output. + +GENERATE_LATEX = YES + +# The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `latex' will be used as the default path. + +LATEX_OUTPUT = latex + +# The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be +# invoked. If left blank `latex' will be used as the default command name. +# Note that when enabling USE_PDFLATEX this option is only used for +# generating bitmaps for formulas in the HTML output, but not in the +# Makefile that is written to the output directory. + +LATEX_CMD_NAME = latex + +# The MAKEINDEX_CMD_NAME tag can be used to specify the command name to +# generate index for LaTeX. If left blank `makeindex' will be used as the +# default command name. + +MAKEINDEX_CMD_NAME = makeindex + +# If the COMPACT_LATEX tag is set to YES Doxygen generates more compact +# LaTeX documents. This may be useful for small projects and may help to +# save some trees in general. + +COMPACT_LATEX = NO + +# The PAPER_TYPE tag can be used to set the paper type that is used +# by the printer. Possible values are: a4, a4wide, letter, legal and +# executive. If left blank a4wide will be used. + +PAPER_TYPE = a4wide + +# The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX +# packages that should be included in the LaTeX output. + +EXTRA_PACKAGES = + +# The LATEX_HEADER tag can be used to specify a personal LaTeX header for +# the generated latex document. The header should contain everything until +# the first chapter. If it is left blank doxygen will generate a +# standard header. Notice: only use this tag if you know what you are doing! + +LATEX_HEADER = + +# If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated +# is prepared for conversion to pdf (using ps2pdf). The pdf file will +# contain links (just like the HTML output) instead of page references +# This makes the output suitable for online browsing using a pdf viewer. + +PDF_HYPERLINKS = YES + +# If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of +# plain latex in the generated Makefile. Set this option to YES to get a +# higher quality PDF documentation. + +USE_PDFLATEX = YES + +# If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode. +# command to the generated LaTeX files. This will instruct LaTeX to keep +# running if errors occur, instead of asking the user for help. +# This option is also used when generating formulas in HTML. + +LATEX_BATCHMODE = NO + +# If LATEX_HIDE_INDICES is set to YES then doxygen will not +# include the index chapters (such as File Index, Compound Index, etc.) +# in the output. + +LATEX_HIDE_INDICES = NO + +# If LATEX_SOURCE_CODE is set to YES then doxygen will include +# source code with syntax highlighting in the LaTeX output. +# Note that which sources are shown also depends on other settings +# such as SOURCE_BROWSER. + +LATEX_SOURCE_CODE = NO + +#--------------------------------------------------------------------------- +# configuration options related to the RTF output +#--------------------------------------------------------------------------- + +# If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output +# The RTF output is optimized for Word 97 and may not look very pretty with +# other RTF readers or editors. + +GENERATE_RTF = NO + +# The RTF_OUTPUT tag is used to specify where the RTF docs will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `rtf' will be used as the default path. + +RTF_OUTPUT = rtf + +# If the COMPACT_RTF tag is set to YES Doxygen generates more compact +# RTF documents. This may be useful for small projects and may help to +# save some trees in general. + +COMPACT_RTF = NO + +# If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated +# will contain hyperlink fields. The RTF file will +# contain links (just like the HTML output) instead of page references. +# This makes the output suitable for online browsing using WORD or other +# programs which support those fields. +# Note: wordpad (write) and others do not support links. + +RTF_HYPERLINKS = NO + +# Load stylesheet definitions from file. Syntax is similar to doxygen's +# config file, i.e. a series of assignments. You only have to provide +# replacements, missing definitions are set to their default value. + +RTF_STYLESHEET_FILE = + +# Set optional variables used in the generation of an rtf document. +# Syntax is similar to doxygen's config file. + +RTF_EXTENSIONS_FILE = + +#--------------------------------------------------------------------------- +# configuration options related to the man page output +#--------------------------------------------------------------------------- + +# If the GENERATE_MAN tag is set to YES (the default) Doxygen will +# generate man pages + +GENERATE_MAN = NO + +# The MAN_OUTPUT tag is used to specify where the man pages will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `man' will be used as the default path. + +MAN_OUTPUT = man + +# The MAN_EXTENSION tag determines the extension that is added to +# the generated man pages (default is the subroutine's section .3) + +MAN_EXTENSION = .3 + +# If the MAN_LINKS tag is set to YES and Doxygen generates man output, +# then it will generate one additional man file for each entity +# documented in the real man page(s). These additional files +# only source the real man page, but without them the man command +# would be unable to find the correct page. The default is NO. + +MAN_LINKS = NO + +#--------------------------------------------------------------------------- +# configuration options related to the XML output +#--------------------------------------------------------------------------- + +# If the GENERATE_XML tag is set to YES Doxygen will +# generate an XML file that captures the structure of +# the code including all documentation. + +GENERATE_XML = NO + +# The XML_OUTPUT tag is used to specify where the XML pages will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `xml' will be used as the default path. + +XML_OUTPUT = xml + +# The XML_SCHEMA tag can be used to specify an XML schema, +# which can be used by a validating XML parser to check the +# syntax of the XML files. + +XML_SCHEMA = + +# The XML_DTD tag can be used to specify an XML DTD, +# which can be used by a validating XML parser to check the +# syntax of the XML files. + +XML_DTD = + +# If the XML_PROGRAMLISTING tag is set to YES Doxygen will +# dump the program listings (including syntax highlighting +# and cross-referencing information) to the XML output. Note that +# enabling this will significantly increase the size of the XML output. + +XML_PROGRAMLISTING = YES + +#--------------------------------------------------------------------------- +# configuration options for the AutoGen Definitions output +#--------------------------------------------------------------------------- + +# If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will +# generate an AutoGen Definitions (see autogen.sf.net) file +# that captures the structure of the code including all +# documentation. Note that this feature is still experimental +# and incomplete at the moment. + +GENERATE_AUTOGEN_DEF = NO + +#--------------------------------------------------------------------------- +# configuration options related to the Perl module output +#--------------------------------------------------------------------------- + +# If the GENERATE_PERLMOD tag is set to YES Doxygen will +# generate a Perl module file that captures the structure of +# the code including all documentation. Note that this +# feature is still experimental and incomplete at the +# moment. + +GENERATE_PERLMOD = NO + +# If the PERLMOD_LATEX tag is set to YES Doxygen will generate +# the necessary Makefile rules, Perl scripts and LaTeX code to be able +# to generate PDF and DVI output from the Perl module output. + +PERLMOD_LATEX = NO + +# If the PERLMOD_PRETTY tag is set to YES the Perl module output will be +# nicely formatted so it can be parsed by a human reader. This is useful +# if you want to understand what is going on. On the other hand, if this +# tag is set to NO the size of the Perl module output will be much smaller +# and Perl will parse it just the same. + +PERLMOD_PRETTY = YES + +# The names of the make variables in the generated doxyrules.make file +# are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX. +# This is useful so different doxyrules.make files included by the same +# Makefile don't overwrite each other's variables. + +PERLMOD_MAKEVAR_PREFIX = + +#--------------------------------------------------------------------------- +# Configuration options related to the preprocessor +#--------------------------------------------------------------------------- + +# If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will +# evaluate all C-preprocessor directives found in the sources and include +# files. + +ENABLE_PREPROCESSING = YES + +# If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro +# names in the source code. If set to NO (the default) only conditional +# compilation will be performed. Macro expansion can be done in a controlled +# way by setting EXPAND_ONLY_PREDEF to YES. + +MACRO_EXPANSION = NO + +# If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES +# then the macro expansion is limited to the macros specified with the +# PREDEFINED and EXPAND_AS_DEFINED tags. + +EXPAND_ONLY_PREDEF = NO + +# If the SEARCH_INCLUDES tag is set to YES (the default) the includes files +# in the INCLUDE_PATH (see below) will be search if a #include is found. + +SEARCH_INCLUDES = YES + +# The INCLUDE_PATH tag can be used to specify one or more directories that +# contain include files that are not input files but should be processed by +# the preprocessor. + +INCLUDE_PATH = + +# You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard +# patterns (like *.h and *.hpp) to filter out the header-files in the +# directories. If left blank, the patterns specified with FILE_PATTERNS will +# be used. + +INCLUDE_FILE_PATTERNS = + +# The PREDEFINED tag can be used to specify one or more macro names that +# are defined before the preprocessor is started (similar to the -D option of +# gcc). The argument of the tag is a list of macros of the form: name +# or name=definition (no spaces). If the definition and the = are +# omitted =1 is assumed. To prevent a macro definition from being +# undefined via #undef or recursively expanded use the := operator +# instead of the = operator. + +PREDEFINED = + +# If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then +# this tag can be used to specify a list of macro names that should be expanded. +# The macro definition that is found in the sources will be used. +# Use the PREDEFINED tag if you want to use a different macro definition. + +EXPAND_AS_DEFINED = + +# If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then +# doxygen's preprocessor will remove all function-like macros that are alone +# on a line, have an all uppercase name, and do not end with a semicolon. Such +# function macros are typically used for boiler-plate code, and will confuse +# the parser if not removed. + +SKIP_FUNCTION_MACROS = YES + +#--------------------------------------------------------------------------- +# Configuration::additions related to external references +#--------------------------------------------------------------------------- + +# The TAGFILES option can be used to specify one or more tagfiles. +# Optionally an initial location of the external documentation +# can be added for each tagfile. The format of a tag file without +# this location is as follows: +# TAGFILES = file1 file2 ... +# Adding location for the tag files is done as follows: +# TAGFILES = file1=loc1 "file2 = loc2" ... +# where "loc1" and "loc2" can be relative or absolute paths or +# URLs. If a location is present for each tag, the installdox tool +# does not have to be run to correct the links. +# Note that each tag file must have a unique name +# (where the name does NOT include the path) +# If a tag file is not located in the directory in which doxygen +# is run, you must also specify the path to the tagfile here. + +TAGFILES = + +# When a file name is specified after GENERATE_TAGFILE, doxygen will create +# a tag file that is based on the input files it reads. + +GENERATE_TAGFILE = + +# If the ALLEXTERNALS tag is set to YES all external classes will be listed +# in the class index. If set to NO only the inherited external classes +# will be listed. + +ALLEXTERNALS = NO + +# If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed +# in the modules index. If set to NO, only the current project's groups will +# be listed. + +EXTERNAL_GROUPS = YES + +# The PERL_PATH should be the absolute path and name of the perl script +# interpreter (i.e. the result of `which perl'). + +PERL_PATH = /usr/bin/perl + +#--------------------------------------------------------------------------- +# Configuration options related to the dot tool +#--------------------------------------------------------------------------- + +# If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will +# generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base +# or super classes. Setting the tag to NO turns the diagrams off. Note that +# this option is superseded by the HAVE_DOT option below. This is only a +# fallback. It is recommended to install and use dot, since it yields more +# powerful graphs. + +CLASS_DIAGRAMS = YES + +# You can define message sequence charts within doxygen comments using the \msc +# command. Doxygen will then run the mscgen tool (see +# http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the +# documentation. The MSCGEN_PATH tag allows you to specify the directory where +# the mscgen tool resides. If left empty the tool is assumed to be found in the +# default search path. + +MSCGEN_PATH = + +# If set to YES, the inheritance and collaboration graphs will hide +# inheritance and usage relations if the target is undocumented +# or is not a class. + +HIDE_UNDOC_RELATIONS = YES + +# If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is +# available from the path. This tool is part of Graphviz, a graph visualization +# toolkit from AT&T and Lucent Bell Labs. The other options in this section +# have no effect if this option is set to NO (the default) + +HAVE_DOT = NO + +# The DOT_NUM_THREADS specifies the number of dot invocations doxygen is +# allowed to run in parallel. When set to 0 (the default) doxygen will +# base this on the number of processors available in the system. You can set it +# explicitly to a value larger than 0 to get control over the balance +# between CPU load and processing speed. + +DOT_NUM_THREADS = 0 + +# By default doxygen will write a font called FreeSans.ttf to the output +# directory and reference it in all dot files that doxygen generates. This +# font does not include all possible unicode characters however, so when you need +# these (or just want a differently looking font) you can specify the font name +# using DOT_FONTNAME. You need need to make sure dot is able to find the font, +# which can be done by putting it in a standard location or by setting the +# DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory +# containing the font. + +DOT_FONTNAME = FreeSans.ttf + +# The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs. +# The default size is 10pt. + +DOT_FONTSIZE = 10 + +# By default doxygen will tell dot to use the output directory to look for the +# FreeSans.ttf font (which doxygen will put there itself). If you specify a +# different font using DOT_FONTNAME you can set the path where dot +# can find it using this tag. + +DOT_FONTPATH = + +# If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen +# will generate a graph for each documented class showing the direct and +# indirect inheritance relations. Setting this tag to YES will force the +# the CLASS_DIAGRAMS tag to NO. + +CLASS_GRAPH = YES + +# If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen +# will generate a graph for each documented class showing the direct and +# indirect implementation dependencies (inheritance, containment, and +# class references variables) of the class with other documented classes. + +COLLABORATION_GRAPH = YES + +# If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen +# will generate a graph for groups, showing the direct groups dependencies + +GROUP_GRAPHS = YES + +# If the UML_LOOK tag is set to YES doxygen will generate inheritance and +# collaboration diagrams in a style similar to the OMG's Unified Modeling +# Language. + +UML_LOOK = NO + +# If set to YES, the inheritance and collaboration graphs will show the +# relations between templates and their instances. + +TEMPLATE_RELATIONS = NO + +# If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT +# tags are set to YES then doxygen will generate a graph for each documented +# file showing the direct and indirect include dependencies of the file with +# other documented files. + +INCLUDE_GRAPH = YES + +# If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and +# HAVE_DOT tags are set to YES then doxygen will generate a graph for each +# documented header file showing the documented files that directly or +# indirectly include this file. + +INCLUDED_BY_GRAPH = YES + +# If the CALL_GRAPH and HAVE_DOT options are set to YES then +# doxygen will generate a call dependency graph for every global function +# or class method. Note that enabling this option will significantly increase +# the time of a run. So in most cases it will be better to enable call graphs +# for selected functions only using the \callgraph command. + +CALL_GRAPH = NO + +# If the CALLER_GRAPH and HAVE_DOT tags are set to YES then +# doxygen will generate a caller dependency graph for every global function +# or class method. Note that enabling this option will significantly increase +# the time of a run. So in most cases it will be better to enable caller +# graphs for selected functions only using the \callergraph command. + +CALLER_GRAPH = NO + +# If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen +# will graphical hierarchy of all classes instead of a textual one. + +GRAPHICAL_HIERARCHY = YES + +# If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES +# then doxygen will show the dependencies a directory has on other directories +# in a graphical way. The dependency relations are determined by the #include +# relations between the files in the directories. + +DIRECTORY_GRAPH = YES + +# The DOT_IMAGE_FORMAT tag can be used to set the image format of the images +# generated by dot. Possible values are png, jpg, or gif +# If left blank png will be used. + +DOT_IMAGE_FORMAT = png + +# The tag DOT_PATH can be used to specify the path where the dot tool can be +# found. If left blank, it is assumed the dot tool can be found in the path. + +DOT_PATH = + +# The DOTFILE_DIRS tag can be used to specify one or more directories that +# contain dot files that are included in the documentation (see the +# \dotfile command). + +DOTFILE_DIRS = + +# The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of +# nodes that will be shown in the graph. If the number of nodes in a graph +# becomes larger than this value, doxygen will truncate the graph, which is +# visualized by representing a node as a red box. Note that doxygen if the +# number of direct children of the root node in a graph is already larger than +# DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note +# that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH. + +DOT_GRAPH_MAX_NODES = 50 + +# The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the +# graphs generated by dot. A depth value of 3 means that only nodes reachable +# from the root by following a path via at most 3 edges will be shown. Nodes +# that lay further from the root node will be omitted. Note that setting this +# option to 1 or 2 may greatly reduce the computation time needed for large +# code bases. Also note that the size of a graph can be further restricted by +# DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction. + +MAX_DOT_GRAPH_DEPTH = 0 + +# Set the DOT_TRANSPARENT tag to YES to generate images with a transparent +# background. This is disabled by default, because dot on Windows does not +# seem to support this out of the box. Warning: Depending on the platform used, +# enabling this option may lead to badly anti-aliased labels on the edges of +# a graph (i.e. they become hard to read). + +DOT_TRANSPARENT = NO + +# Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output +# files in one run (i.e. multiple -o and -T options on the command line). This +# makes dot run faster, but since only newer versions of dot (>1.8.10) +# support this, this feature is disabled by default. + +DOT_MULTI_TARGETS = NO + +# If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will +# generate a legend page explaining the meaning of the various boxes and +# arrows in the dot generated graphs. + +GENERATE_LEGEND = YES + +# If the DOT_CLEANUP tag is set to YES (the default) Doxygen will +# remove the intermediate dot files that are used to generate +# the various graphs. + +DOT_CLEANUP = YES diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd @@ -0,0 +1,87 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 09:21:03 10/19/2010 +-- Design Name: +-- Module Name: FRAME_CLK_GEN - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; +library lpp; +use lpp.amba_lcd_16x2_ctrlr.all; + +entity FRAME_CLK_GEN is + generic(OSC_freqKHz : integer := 50000); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + FRAME_CLK : out STD_LOGIC); +end FRAME_CLK_GEN; + +architecture Behavioral of FRAME_CLK_GEN is + +Constant Goal_FRAME_CLK_FREQ : integer := 20; + +Constant FRAME_CLK_TRIG : integer := OSC_freqKHz*500/Goal_FRAME_CLK_FREQ -1; + +signal CPT : integer := 0; +signal FRAME_CLK_reg : std_logic :='0'; + +begin + +FRAME_CLK <= FRAME_CLK_reg; + +process(reset,clk) +begin + if reset = '0' then + CPT <= 0; + FRAME_CLK_reg <= '0'; + elsif clk'event and clk = '1' then + if CPT = FRAME_CLK_TRIG then + CPT <= 0; + FRAME_CLK_reg <= not FRAME_CLK_reg; + else + CPT <= CPT + 1; + end if; + end if; +end process; +end Behavioral; + + + + + + + + + diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/GPL_HEADER b/lib/lpp/amba_lcd_16x2_ctrlr/GPL_HEADER new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/GPL_HEADER @@ -0,0 +1,18 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd @@ -0,0 +1,55 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- Package File Template +-- +-- Purpose: This package defines supplemental types, subtypes, +-- constants, and functions + + +library IEEE; +use IEEE.STD_LOGIC_1164.all; +library lpp; +use lpp.amba_lcd_16x2_ctrlr.all; + + + +package LCD_16x2_CFG is + + +constant ClearDSPLY : std_logic_vector(7 downto 0):= X"01"; +constant FunctionSet : std_logic_vector(7 downto 0):= X"38"; +constant RetHome : std_logic_vector(7 downto 0):= X"02"; +constant SetEntryMode : std_logic_vector(7 downto 0):= X"06"; +constant DSPL_CTRL : std_logic_vector(7 downto 0):= X"0C"; + +constant CursorON : std_logic_vector(7 downto 0):= X"0E"; +constant CursorOFF : std_logic_vector(7 downto 0):= X"0C"; + +--===========================================================| +--======L C D D R I V E R T I M I N G C O D E=====| +--===========================================================| + +constant Duration_4us : std_logic_vector(1 downto 0) := "00"; +constant Duration_100us : std_logic_vector(1 downto 0) := "01"; +constant Duration_4ms : std_logic_vector(1 downto 0) := "10"; +constant Duration_20ms : std_logic_vector(1 downto 0) := "11"; + + +end LCD_16x2_CFG; + diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd @@ -0,0 +1,228 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 08:32:21 10/19/2010 +-- Design Name: +-- Module Name: LCD_16x2_ENGINE - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +library lpp; +use lpp.amba_lcd_16x2_ctrlr.all; +use lpp.LCD_16x2_CFG.all; + + +entity LCD_16x2_ENGINE is + generic(OSC_freqKHz : integer := 50000); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + DATA : in std_logic_vector(16*2*8-1 downto 0); + CMD : in std_logic_vector(10 downto 0); + Exec : in std_logic; + Ready : out std_logic; + LCD_CTRL : out LCD_DRVR_CTRL_BUSS + ); +end LCD_16x2_ENGINE; + +architecture ar_LCD_16x2_ENGINE of LCD_16x2_ENGINE is + +constant ConfigTbl : LCD_CFG_Tbl :=(ClearDSPLY,FunctionSet,DSPL_CTRL,SetEntryMode,RetHome); + + + +signal SYNCH : LCD_DRVR_SYNCH_BUSS; +signal DRIVER_CMD : LCD_DRVR_CMD_BUSS; +signal FRAME_CLK : std_logic; + +signal FRAME_CLK_reg : std_logic; +signal RefreshFlag : std_logic; +signal CMD_Flag : std_logic; +signal Exec_Reg : std_logic; + +type state_t is (INIT0,INIT1,INIT2,IDLE,Refresh,Refresh0,Refresh1,ReturnHome,GoLine2,GoLine2_0,ExecCMD0,ExecCMD1); +signal state : state_t; +signal i : integer range 0 to 32 := 0; + + + +begin + +Driver0 : LCD_16x2_DRIVER + generic map(OSC_freqKHz) + Port map(reset,clk,LCD_CTRL,SYNCH,DRIVER_CMD); + +FRAME_CLK_GEN0 : FRAME_CLK_GEN + generic map(OSC_freqKHz) + Port map( clk,reset,FRAME_CLK); + + + +process(reset,clk) +begin + if reset = '0' then + state <= INIT0; + Ready <= '0'; + RefreshFlag <= '0'; + i <= 0; + elsif clk'event and clk ='1' then + FRAME_CLK_reg <= FRAME_CLK; + Exec_Reg <= Exec; + + if FRAME_CLK_reg = '0' and FRAME_CLK = '1' then + RefreshFlag <= '1'; + elsif state = Refresh or state = Refresh0 or state = Refresh1 then + RefreshFlag <= '0'; + end if; + + if Exec_Reg = '0' and Exec = '1' then + CMD_Flag <= '1'; + elsif state = ExecCMD0 or state = ExecCMD1 then + CMD_Flag <= '0'; + end if; + + case state is + when INIT0 => + if SYNCH.DRVR_READY = '1' then + DRIVER_CMD.Exec <= '1'; + DRIVER_CMD.Duration <= Duration_20ms; + DRIVER_CMD.CMD_Data <= '0'; + DRIVER_CMD.Word <= ConfigTbl(i); + i <= i + 1; + state <= INIT1; + else + DRIVER_CMD.Exec <= '0'; + end if; + when INIT1 => + state <= INIT2; + DRIVER_CMD.Exec <= '0'; + when INIT2 => + if SYNCH.DRVR_READY = '1' then + if i = 5 then + state <= Idle; + else + state <= INIT0; + end if; + end if; + when Idle=> + DRIVER_CMD.Exec <= '0'; + if RefreshFlag = '1' then + Ready <= '0'; + state <= Refresh; + elsif CMD_Flag = '1' then + Ready <= '0'; + state <= ExecCMD0; + else + Ready <= '1'; + end if; + i <= 0; + when Refresh=> + if SYNCH.DRVR_READY = '1' then + DRIVER_CMD.Exec <= '1'; + DRIVER_CMD.Duration <= Duration_100us; + DRIVER_CMD.CMD_Data <= '1'; + DRIVER_CMD.Word <= DATA(i*8+7 downto i*8); + i <= i + 1; + state <= Refresh0; + else + DRIVER_CMD.Exec <= '0'; + end if; + when Refresh0=> + state <= Refresh1; + DRIVER_CMD.Exec <= '0'; + when Refresh1=> + if SYNCH.DRVR_READY = '1' then + if i = 32 then + state <= ReturnHome; + elsif i = 16 then + state <= GoLine2; + else + state <= Refresh; + end if; + end if; + + when ExecCMD0=> + if SYNCH.DRVR_READY = '1' then + DRIVER_CMD.Exec <= '1'; + DRIVER_CMD.Duration <= CMD(9 downto 8); + DRIVER_CMD.CMD_Data <= '0'; + DRIVER_CMD.Word <= CMD(7 downto 0); + state <= ExecCMD1; + else + DRIVER_CMD.Exec <= '0'; + end if; + + when ExecCMD1=> + state <= Idle; + DRIVER_CMD.Exec <= '0'; + + when GoLine2=> + if SYNCH.DRVR_READY = '1' then + DRIVER_CMD.Exec <= '1'; + DRIVER_CMD.Duration <= Duration_100us; + DRIVER_CMD.CMD_Data <= '0'; + DRIVER_CMD.Word <= X"C0"; + state <= GoLine2_0; + else + DRIVER_CMD.Exec <= '0'; + end if; + when GoLine2_0=> + state <= Refresh; + DRIVER_CMD.Exec <= '0'; + when ReturnHome=> + if SYNCH.DRVR_READY = '1' then + DRIVER_CMD.Exec <= '1'; + DRIVER_CMD.Duration <= Duration_4ms; + DRIVER_CMD.CMD_Data <= '0'; + DRIVER_CMD.Word <= X"02"; + state <= Idle; + else + DRIVER_CMD.Exec <= '0'; + end if; + end case; + end if; +end process; + + +end ar_LCD_16x2_ENGINE; + + + + + + + + + + diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd @@ -0,0 +1,175 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 10:09:57 10/13/2010 +-- Design Name: +-- Module Name: LCD_2x16_DRIVER - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.all; +library lpp; +use lpp.amba_lcd_16x2_ctrlr.all; + +entity LCD_2x16_DRIVER is + generic( + OSC_Freq_MHz : integer:=60; + Refresh_RateHz : integer:=5 + ); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + FramBUFF : in STD_LOGIC_VECTOR(16*2*8-1 downto 0); + LCD_data : out STD_LOGIC_VECTOR (7 downto 0); + LCD_RS : out STD_LOGIC; + LCD_RW : out STD_LOGIC; + LCD_E : out STD_LOGIC; + LCD_RET : out STD_LOGIC; + LCD_CS1 : out STD_LOGIC; + LCD_CS2 : out STD_LOGIC; + STATEOUT: out std_logic_vector(3 downto 0); + refreshPulse : out std_logic + ); +end LCD_2x16_DRIVER; + +architecture Behavioral of LCD_2x16_DRIVER is + +type stateT is(Rst,Configure,IDLE,RefreshScreen); +signal state : stateT; + +signal ShortTimePulse : std_logic; +signal MidleTimePulse : std_logic; +signal Refresh_RatePulse : std_logic; +signal Start : STD_LOGIC; + +signal CFGM_LCD_RS : std_logic; +signal CFGM_LCD_RW : std_logic; +signal CFGM_LCD_E : std_logic; +signal CFGM_LCD_DATA : std_logic_vector(7 downto 0); +signal CFGM_Enable : std_logic; +signal CFGM_completed : std_logic; + + +signal FRMW_LCD_RS : std_logic; +signal FRMW_LCD_RW : std_logic; +signal FRMW_LCD_E : std_logic; +signal FRMW_LCD_DATA : std_logic_vector(7 downto 0); +signal FRMW_Enable : std_logic; +signal FRMW_completed : std_logic; + +begin + + +Counter : LCD_Counter +generic map(OSC_Freq_MHz,Refresh_RateHz) +port map(reset,clk,ShortTimePulse,MidleTimePulse,Refresh_RatePulse,Start); + +ConfigModule : Config_Module +port map(reset,clk,CFGM_LCD_RS,CFGM_LCD_RW,CFGM_LCD_E,CFGM_LCD_DATA,CFGM_Enable,CFGM_completed,MidleTimePulse); + + +FrameWriter : FRAME_WRITER +port map(reset,clk,FramBUFF,FRMW_LCD_DATA,FRMW_LCD_RS,FRMW_LCD_RW,FRMW_LCD_E,FRMW_Enable,FRMW_Completed,ShortTimePulse,MidleTimePulse); + + +STATEOUT(0) <= '1' when state = Rst else '0'; +STATEOUT(1) <= '1' when state = Configure else '0'; +STATEOUT(2) <= '1' when state = IDLE else '0'; +STATEOUT(3) <= '1' when state = RefreshScreen else '0'; + + + +refreshPulse <= Refresh_RatePulse; + +Start <= '1'; + +process(reset,clk) +begin + if reset = '0' then + LCD_data <= (others=>'0'); + LCD_RS <= '0'; + LCD_RW <= '0'; + LCD_RET <= '0'; + LCD_CS1 <= '0'; + LCD_CS2 <= '0'; + LCD_E <= '0'; + state <= Rst; + CFGM_Enable <= '0'; + FRMW_Enable <= '0'; + elsif clk'event and clk ='1' then + case state is + when Rst => + LCD_data <= (others=>'0'); + LCD_RS <= '0'; + LCD_RW <= '0'; + LCD_E <= '0'; + CFGM_Enable <= '1'; + FRMW_Enable <= '0'; + if Refresh_RatePulse = '1' then + state <= Configure; + end if; + when Configure => + LCD_data <= CFGM_LCD_data; + LCD_RS <= CFGM_LCD_RS; + LCD_RW <= CFGM_LCD_RW; + LCD_E <= CFGM_LCD_E; + CFGM_Enable <= '0'; + if CFGM_completed = '1' then + state <= IDLE; + end if; + when IDLE => + if Refresh_RatePulse = '1' then + state <= RefreshScreen; + FRMW_Enable <= '1'; + end if; + LCD_RS <= '0'; + LCD_RW <= '0'; + LCD_E <= '0'; + LCD_data <= (others=>'0'); + when RefreshScreen => + LCD_data <= FRMW_LCD_data; + LCD_RS <= FRMW_LCD_RS; + LCD_RW <= FRMW_LCD_RW; + LCD_E <= FRMW_LCD_E; + FRMW_Enable <= '0'; + if FRMW_completed = '1' then + state <= IDLE; + end if; + end case; + end if; +end process; +end Behavioral; + + + + + diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd @@ -0,0 +1,91 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 08:52:25 10/18/2010 +-- Design Name: +-- Module Name: LCD_CLK_GENERATOR - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; +library lpp; +use lpp.amba_lcd_16x2_ctrlr.all; + +entity LCD_CLK_GENERATOR is + generic(OSC_freqKHz : integer := 50000); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + clk_1us : out STD_LOGIC); +end LCD_CLK_GENERATOR; + +architecture ar_LCD_CLK_GENERATOR of LCD_CLK_GENERATOR is + +Constant clk_1usTRIGER : integer := (OSC_freqKHz/2000)+1; + + +signal cpt1 : integer; + +signal clk_1us_int : std_logic := '0'; + + +begin + +clk_1us <= clk_1us_int; + + +process(reset,clk) +begin + if reset = '0' then + cpt1 <= 0; + clk_1us_int <= '0'; + elsif clk'event and clk = '1' then + if cpt1 = clk_1usTRIGER then + clk_1us_int <= not clk_1us_int; + cpt1 <= 0; + else + cpt1 <= cpt1 + 1; + end if; + end if; +end process; + + +end ar_LCD_CLK_GENERATOR; + + + + + + + + + diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd @@ -0,0 +1,124 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 08:44:41 10/14/2010 +-- Design Name: +-- Module Name: Top_LCD - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +library lpp; +use lpp.amba_lcd_16x2_ctrlr.all; +use lpp.LCD_16x2_CFG.all; + + +entity AMBA_LCD_16x2_DRIVER is + Port ( reset : in STD_LOGIC; + clk : in STD_LOGIC; + Bp0 : in STD_LOGIC; + Bp1 : in STD_LOGIC; + Bp2 : in STD_LOGIC; + LCD_data : out STD_LOGIC_VECTOR (7 downto 0); + LCD_RS : out STD_LOGIC; + LCD_RW : out STD_LOGIC; + LCD_E : out STD_LOGIC; + LCD_RET : out STD_LOGIC; + LCD_CS1 : out STD_LOGIC; + LCD_CS2 : out STD_LOGIC; + SF_CE0 : out std_logic + ); +end AMBA_LCD_16x2_DRIVER; + +architecture Behavioral of AMBA_LCD_16x2_DRIVER is + +signal FramBUFF : STD_LOGIC_VECTOR(16*2*8-1 downto 0); +signal CMD : std_logic_vector(10 downto 0); +signal Exec : std_logic; +signal Ready : std_logic; +signal rst : std_logic; +signal LCD_CTRL : LCD_DRVR_CTRL_BUSS; + +begin + +LCD_data <= LCD_CTRL.LCD_DATA; +LCD_RS <= LCD_CTRL.LCD_RS; +LCD_RW <= LCD_CTRL.LCD_RW; +LCD_E <= LCD_CTRL.LCD_E; + + +LCD_RET <= '0'; +LCD_CS1 <= '0'; +LCD_CS2 <= '0'; + +SF_CE0 <= '1'; + +rst <= not reset; + + + +Driver0 : LCD_16x2_ENGINE + generic map(50000) + Port map(clk,rst,FramBUFF,CMD,Exec,Ready,LCD_CTRL); + +FramBUFF(0*8+7 downto 0*8) <= X"41" when Bp0 = '1' else + X"42" when Bp1 = '1' else + X"43" when Bp2 = '1' else + X"44"; + +FramBUFF(1*8+7 downto 1*8)<= X"46" when Bp0 = '1' else + X"47" when Bp1 = '1' else + X"48" when Bp2 = '1' else + X"49"; + + +CMD(9 downto 0) <= Duration_100us & CursorON when Bp0 = '1' else + Duration_100us & CursorOFF; + + +Exec <= Bp1; + +FramBUFF(2*8+7 downto 2*8) <= X"23"; +FramBUFF(3*8+7 downto 3*8) <= X"66"; +FramBUFF(4*8+7 downto 4*8) <= X"67"; +FramBUFF(5*8+7 downto 5*8) <= X"68"; +FramBUFF(17*8+7 downto 17*8) <= X"69"; +--FramBUFF(16*2*8-1 downto 16) <= (others => '0'); + +end Behavioral; + + + + + + diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCDcst.ucf b/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCDcst.ucf new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCDcst.ucf @@ -0,0 +1,37 @@ + +NET "SF_CE0" LOC = "D16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; + +NET "LCD_E" LOC = "M18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; + +NET "LCD_RS" LOC = "L18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; + +NET "LCD_RW" LOC = "L17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; + +NET "LCD_RET" LOC = "E3" | IOSTANDARD = SSTL2_I; +NET "LCD_CS1" LOC = "P3" | IOSTANDARD = SSTL2_I; +NET "LCD_CS2" LOC = "P4" | IOSTANDARD = SSTL2_I; + +NET "LCD_data<0>" LOC = "R15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; +NET "LCD_data<1>" LOC = "R16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; +NET "LCD_data<2>" LOC = "P17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; +NET "LCD_data<3>" LOC = "M15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; +NET "LCD_data<4>" LOC = "M16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; +NET "LCD_data<5>" LOC = "P6" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; +NET "LCD_data<6>" LOC = "R8" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; +NET "LCD_data<7>" LOC = "T8" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; + +NET "reset" LOC = "K17" | IOSTANDARD = LVTTL | PULLDOWN; +NET "Bp0" LOC = "H13" | IOSTANDARD = LVTTL | PULLDOWN; +NET "Bp1" LOC = "V4" | IOSTANDARD = LVTTL | PULLDOWN; +NET "Bp2" LOC = "D18" | IOSTANDARD = LVTTL | PULLDOWN; + +net "clk" LOC = "C9" | IOSTANDARD = LVCMOS33; +net "clk" PERIOD = 20.0ns HIGH 40%; +#net "clkOUT" LOC = "N14" | IOSTANDARD = LVCMOS33; + +#net "STATEOUT<0>" LOC = "V5" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; +#net "STATEOUT<1>" LOC = "V6" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; +#net "STATEOUT<2>" LOC = "N12" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; +#net "STATEOUT<3>" LOC = "P12" | IOSTANDARD = LVCMOS33 | SLEW = FAST ; + +#net "refreshPulse" LOC = "N15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; \ No newline at end of file diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd @@ -0,0 +1,162 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + + +package amba_lcd_16x2_ctrlr is + + +type LCD_DRVR_CTRL_BUSS is + record + LCD_RW : std_logic; + LCD_RS : std_logic; + LCD_E : std_logic; + LCD_DATA : std_logic_vector(7 downto 0); + end record; + + type LCD_DRVR_SYNCH_BUSS is + record + DRVR_READY : std_logic; + LCD_INITIALISED : std_logic; + end record; + + + type LCD_DRVR_CMD_BUSS is + record + Word : std_logic_vector(7 downto 0); + CMD_Data : std_logic; --CMD = '0' and data = '1' + Exec : std_logic; + Duration : std_logic_vector(1 downto 0); + end record; + type LCD_CFG_Tbl is array(0 to 4) of std_logic_vector(7 downto 0); + + + + + + +component amba_lcd_16x2_driver is + Port ( reset : in STD_LOGIC; + clk : in STD_LOGIC; + Bp0 : in STD_LOGIC; + Bp1 : in STD_LOGIC; + Bp2 : in STD_LOGIC; + LCD_data : out STD_LOGIC_VECTOR (7 downto 0); + LCD_RS : out STD_LOGIC; + LCD_RW : out STD_LOGIC; + LCD_E : out STD_LOGIC; + LCD_RET : out STD_LOGIC; + LCD_CS1 : out STD_LOGIC; + LCD_CS2 : out STD_LOGIC; + SF_CE0 : out std_logic + ); +end component; + + + +component FRAME_CLK_GEN is + generic(OSC_freqKHz : integer := 50000); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + FRAME_CLK : out STD_LOGIC); +end component; + + + +component LCD_2x16_DRIVER is + generic( + OSC_Freq_MHz : integer:=60; + Refresh_RateHz : integer:=5 + ); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + FramBUFF : in STD_LOGIC_VECTOR(16*2*8-1 downto 0); + LCD_data : out STD_LOGIC_VECTOR (7 downto 0); + LCD_RS : out STD_LOGIC; + LCD_RW : out STD_LOGIC; + LCD_E : out STD_LOGIC; + LCD_RET : out STD_LOGIC; + LCD_CS1 : out STD_LOGIC; + LCD_CS2 : out STD_LOGIC; + STATEOUT: out std_logic_vector(3 downto 0); + refreshPulse : out std_logic + ); +end component; + + +component LCD_CLK_GENERATOR is + generic(OSC_freqKHz : integer := 50000); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + clk_1us : out STD_LOGIC); +end component; + +component AMBA_LCD_16x2_DRIVER is + Port ( reset : in STD_LOGIC; + clk : in STD_LOGIC; + Bp0 : in STD_LOGIC; + Bp1 : in STD_LOGIC; + Bp2 : in STD_LOGIC; + LCD_data : out STD_LOGIC_VECTOR (7 downto 0); + LCD_RS : out STD_LOGIC; + LCD_RW : out STD_LOGIC; + LCD_E : out STD_LOGIC; + LCD_RET : out STD_LOGIC; + LCD_CS1 : out STD_LOGIC; + LCD_CS2 : out STD_LOGIC; + SF_CE0 : out std_logic + ); +end component; + +component LCD_16x2_ENGINE is + generic(OSC_freqKHz : integer := 50000); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + DATA : in std_logic_vector(16*2*8-1 downto 0); + CMD : in std_logic_vector(10 downto 0); + Exec : in std_logic; + Ready : out std_logic; + LCD_CTRL : out LCD_DRVR_CTRL_BUSS + ); +end component; + + +component AMBA_LCD_16x2_DRIVER is + Port ( reset : in STD_LOGIC; + clk : in STD_LOGIC; + Bp0 : in STD_LOGIC; + Bp1 : in STD_LOGIC; + Bp2 : in STD_LOGIC; + LCD_data : out STD_LOGIC_VECTOR (7 downto 0); + LCD_RS : out STD_LOGIC; + LCD_RW : out STD_LOGIC; + LCD_E : out STD_LOGIC; + LCD_RET : out STD_LOGIC; + LCD_CS1 : out STD_LOGIC; + LCD_CS2 : out STD_LOGIC; + SF_CE0 : out std_logic + ); +end component; + + + +end; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/temp.sh b/lib/lpp/amba_lcd_16x2_ctrlr/temp.sh new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/temp.sh @@ -0,0 +1,1 @@ +ls|grep .vhd|grep -i -v test>vhdlsyn.txt diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt b/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt new file mode 100644 --- /dev/null +++ b/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt @@ -0,0 +1,7 @@ +amba_lcd_16x2_ctrlr.vhd +FRAME_CLK.vhd +LCD_16x2_CFG.vhd +LCD_16x2_ENGINE.vhd +LCD_2x16_DRIVER.vhd +LCD_CLK_GENERATOR.vhd +Top_LCD.vhd diff --git a/lib/lpp/dirs.txt b/lib/lpp/dirs.txt new file mode 100644 --- /dev/null +++ b/lib/lpp/dirs.txt @@ -0,0 +1,4 @@ +./general_purpose +./lpp_amba +./dsp/iir_filter +./amba_lcd_16x2_ctrlr diff --git a/lib/lpp/doc/html/installdox b/lib/lpp/doc/html/installdox new file mode 100755 --- /dev/null +++ b/lib/lpp/doc/html/installdox @@ -0,0 +1,117 @@ +#!/usr/bin/perl + +%subst = ( ); +$quiet = 0; + +if (open(F,"search.cfg")) +{ + $_= ; s/[ \t\n]*$//g ; $subst{"_doc"} = $_; + $_= ; s/[ \t\n]*$//g ; $subst{"_cgi"} = $_; +} + +while ( @ARGV ) { + $_ = shift @ARGV; + if ( s/^-// ) { + if ( /^l(.*)/ ) { + $v = ($1 eq "") ? shift @ARGV : $1; + ($v =~ /\/$/) || ($v .= "/"); + $_ = $v; + if ( /(.+)\@(.+)/ ) { + if ( exists $subst{$1} ) { + $subst{$1} = $2; + } else { + print STDERR "Unknown tag file $1 given with option -l\n"; + &usage(); + } + } else { + print STDERR "Argument $_ is invalid for option -l\n"; + &usage(); + } + } + elsif ( /^q/ ) { + $quiet = 1; + } + elsif ( /^\?|^h/ ) { + &usage(); + } + else { + print STDERR "Illegal option -$_\n"; + &usage(); + } + } + else { + push (@files, $_ ); + } +} + +foreach $sub (keys %subst) +{ + if ( $subst{$sub} eq "" ) + { + print STDERR "No substitute given for tag file `$sub'\n"; + &usage(); + } + elsif ( ! $quiet && $sub ne "_doc" && $sub ne "_cgi" ) + { + print "Substituting $subst{$sub} for each occurrence of tag file $sub\n"; + } +} + +if ( ! @files ) { + if (opendir(D,".")) { + foreach $file ( readdir(D) ) { + $match = ".html"; + next if ( $file =~ /^\.\.?$/ ); + ($file =~ /$match/) && (push @files, $file); + ($file =~ "tree.js") && (push @files, $file); + } + closedir(D); + } +} + +if ( ! @files ) { + print STDERR "Warning: No input files given and none found!\n"; +} + +foreach $f (@files) +{ + if ( ! $quiet ) { + print "Editing: $f...\n"; + } + $oldf = $f; + $f .= ".bak"; + unless (rename $oldf,$f) { + print STDERR "Error: cannot rename file $oldf\n"; + exit 1; + } + if (open(F,"<$f")) { + unless (open(G,">$oldf")) { + print STDERR "Error: opening file $oldf for writing\n"; + exit 1; + } + if ($oldf ne "tree.js") { + while () { + s/doxygen\=\"([^ \"\:\t\>\<]*)\:([^ \"\t\>\<]*)\" (href|src)=\"\2/doxygen\=\"$1:$subst{$1}\" \3=\"$subst{$1}/g; + print G "$_"; + } + } + else { + while () { + s/\"([^ \"\:\t\>\<]*)\:([^ \"\t\>\<]*)\", \"\2/\"$1:$subst{$1}\" ,\"$subst{$1}/g; + print G "$_"; + } + } + } + else { + print STDERR "Warning file $f does not exist\n"; + } + unlink $f; +} + +sub usage { + print STDERR "Usage: installdox [options] [html-file [html-file ...]]\n"; + print STDERR "Options:\n"; + print STDERR " -l tagfile\@linkName tag file + URL or directory \n"; + print STDERR " -q Quiet mode\n\n"; + exit 1; +} diff --git a/lib/lpp/doc/html/search/search.js b/lib/lpp/doc/html/search/search.js new file mode 100644 --- /dev/null +++ b/lib/lpp/doc/html/search/search.js @@ -0,0 +1,734 @@ +// Search script generated by doxygen +// Copyright (C) 2009 by Dimitri van Heesch. + +// The code in this file is loosly based on main.js, part of Natural Docs, +// which is Copyright (C) 2003-2008 Greg Valure +// Natural Docs is licensed under the GPL. + +var indexSectionsWithContent = +{ + 0: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111101001111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + 1: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101101001100001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + 2: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001101001100001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + 3: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + 4: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111101001111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" +}; + +var indexSectionNames = +{ + 0: "all", + 1: "classes", + 2: "files", + 3: "functions", + 4: "variables" +}; + +function convertToId(search) +{ + var result = ''; + for (i=0;i do a search + { + this.Search(); + } + } + + this.OnSearchSelectKey = function(evt) + { + var e = (evt) ? evt : window.event; // for IE + if (e.keyCode==40 && this.searchIndex0) // Up + { + this.searchIndex--; + this.OnSelectItem(this.searchIndex); + } + else if (e.keyCode==13 || e.keyCode==27) + { + this.OnSelectItem(this.searchIndex); + this.CloseSelectionWindow(); + this.DOMSearchField().focus(); + } + return false; + } + + // --------- Actions + + // Closes the results window. + this.CloseResultsWindow = function() + { + this.DOMPopupSearchResultsWindow().style.display = 'none'; + this.DOMSearchClose().style.display = 'none'; + this.Activate(false); + } + + this.CloseSelectionWindow = function() + { + this.DOMSearchSelectWindow().style.display = 'none'; + } + + // Performs a search. + this.Search = function() + { + this.keyTimeout = 0; + + // strip leading whitespace + var searchValue = this.DOMSearchField().value.replace(/^ +/, ""); + + var code = searchValue.toLowerCase().charCodeAt(0); + var hexCode; + if (code<16) + { + hexCode="0"+code.toString(16); + } + else + { + hexCode=code.toString(16); + } + + var resultsPage; + var resultsPageWithSearch; + var hasResultsPage; + + if (indexSectionsWithContent[this.searchIndex].charAt(code) == '1') + { + resultsPage = this.resultsPath + '/' + indexSectionNames[this.searchIndex] + '_' + hexCode + '.html'; + resultsPageWithSearch = resultsPage+'?'+escape(searchValue); + hasResultsPage = true; + } + else // nothing available for this search term + { + resultsPage = this.resultsPath + '/nomatches.html'; + resultsPageWithSearch = resultsPage; + hasResultsPage = false; + } + + window.frames.MSearchResults.location.href = resultsPageWithSearch; + var domPopupSearchResultsWindow = this.DOMPopupSearchResultsWindow(); + + if (domPopupSearchResultsWindow.style.display!='block') + { + var domSearchBox = this.DOMSearchBox(); + this.DOMSearchClose().style.display = 'inline'; + if (this.insideFrame) + { + var domPopupSearchResults = this.DOMPopupSearchResults(); + domPopupSearchResultsWindow.style.position = 'relative'; + domPopupSearchResultsWindow.style.display = 'block'; + var width = document.body.clientWidth - 8; // the -8 is for IE :-( + domPopupSearchResultsWindow.style.width = width + 'px'; + domPopupSearchResults.style.width = width + 'px'; + } + else + { + var domPopupSearchResults = this.DOMPopupSearchResults(); + var left = getXPos(domSearchBox) + 150; // domSearchBox.offsetWidth; + var top = getYPos(domSearchBox) + 20; // domSearchBox.offsetHeight + 1; + domPopupSearchResultsWindow.style.display = 'block'; + left -= domPopupSearchResults.offsetWidth; + domPopupSearchResultsWindow.style.top = top + 'px'; + domPopupSearchResultsWindow.style.left = left + 'px'; + } + } + + this.lastSearchValue = searchValue; + this.lastResultsPage = resultsPage; + } + + // -------- Activation Functions + + // Activates or deactivates the search panel, resetting things to + // their default values if necessary. + this.Activate = function(isActive) + { + if (isActive || // open it + this.DOMPopupSearchResultsWindow().style.display == 'block' + ) + { + this.DOMSearchBox().className = 'MSearchBoxActive'; + + var searchField = this.DOMSearchField(); + + if (searchField.value == this.searchLabel) // clear "Search" term upon entry + { + searchField.value = ''; + this.searchActive = true; + } + } + else if (!isActive) // directly remove the panel + { + this.DOMSearchBox().className = 'MSearchBoxInactive'; + this.DOMSearchField().value = this.searchLabel; + this.searchActive = false; + this.lastSearchValue = '' + this.lastResultsPage = ''; + } + } +} + +// ----------------------------------------------------------------------- + +// The class that handles everything on the search results page. +function SearchResults(name) +{ + // The number of matches from the last run of . + this.lastMatchCount = 0; + this.lastKey = 0; + this.repeatOn = false; + + // Toggles the visibility of the passed element ID. + this.FindChildElement = function(id) + { + var parentElement = document.getElementById(id); + var element = parentElement.firstChild; + + while (element && element!=parentElement) + { + if (element.nodeName == 'DIV' && element.className == 'SRChildren') + { + return element; + } + + if (element.nodeName == 'DIV' && element.hasChildNodes()) + { + element = element.firstChild; + } + else if (element.nextSibling) + { + element = element.nextSibling; + } + else + { + do + { + element = element.parentNode; + } + while (element && element!=parentElement && !element.nextSibling); + + if (element && element!=parentElement) + { + element = element.nextSibling; + } + } + } + } + + this.Toggle = function(id) + { + var element = this.FindChildElement(id); + if (element) + { + if (element.style.display == 'block') + { + element.style.display = 'none'; + } + else + { + element.style.display = 'block'; + } + } + } + + // Searches for the passed string. If there is no parameter, + // it takes it from the URL query. + // + // Always returns true, since other documents may try to call it + // and that may or may not be possible. + this.Search = function(search) + { + if (!search) // get search word from URL + { + search = window.location.search; + search = search.substring(1); // Remove the leading '?' + search = unescape(search); + } + + search = search.replace(/^ +/, ""); // strip leading spaces + search = search.replace(/ +$/, ""); // strip trailing spaces + search = search.toLowerCase(); + search = convertToId(search); + + var resultRows = document.getElementsByTagName("div"); + var matches = 0; + + var i = 0; + while (i < resultRows.length) + { + var row = resultRows.item(i); + if (row.className == "SRResult") + { + var rowMatchName = row.id.toLowerCase(); + rowMatchName = rowMatchName.replace(/^sr\d*_/, ''); // strip 'sr123_' + + if (search.length<=rowMatchName.length && + rowMatchName.substr(0, search.length)==search) + { + row.style.display = 'block'; + matches++; + } + else + { + row.style.display = 'none'; + } + } + i++; + } + document.getElementById("Searching").style.display='none'; + if (matches == 0) // no results + { + document.getElementById("NoMatches").style.display='block'; + } + else // at least one result + { + document.getElementById("NoMatches").style.display='none'; + } + this.lastMatchCount = matches; + return true; + } + + // return the first item with index index or higher that is visible + this.NavNext = function(index) + { + var focusItem; + while (1) + { + var focusName = 'Item'+index; + focusItem = document.getElementById(focusName); + if (focusItem && focusItem.parentNode.parentNode.style.display=='block') + { + break; + } + else if (!focusItem) // last element + { + break; + } + focusItem=null; + index++; + } + return focusItem; + } + + this.NavPrev = function(index) + { + var focusItem; + while (1) + { + var focusName = 'Item'+index; + focusItem = document.getElementById(focusName); + if (focusItem && focusItem.parentNode.parentNode.style.display=='block') + { + break; + } + else if (!focusItem) // last element + { + break; + } + focusItem=null; + index--; + } + return focusItem; + } + + this.ProcessKeys = function(e) + { + if (e.type == "keydown") + { + this.repeatOn = false; + this.lastKey = e.keyCode; + } + else if (e.type == "keypress") + { + if (!this.repeatOn) + { + if (this.lastKey) this.repeatOn = true; + return false; // ignore first keypress after keydown + } + } + else if (e.type == "keyup") + { + this.lastKey = 0; + this.repeatOn = false; + } + return this.lastKey!=0; + } + + this.Nav = function(evt,itemIndex) + { + var e = (evt) ? evt : window.event; // for IE + if (e.keyCode==13) return true; + if (!this.ProcessKeys(e)) return false; + + if (this.lastKey==38) // Up + { + var newIndex = itemIndex-1; + var focusItem = this.NavPrev(newIndex); + if (focusItem) + { + var child = this.FindChildElement(focusItem.parentNode.parentNode.id); + if (child && child.style.display == 'block') // children visible + { + var n=0; + var tmpElem; + while (1) // search for last child + { + tmpElem = document.getElementById('Item'+newIndex+'_c'+n); + if (tmpElem) + { + focusItem = tmpElem; + } + else // found it! + { + break; + } + n++; + } + } + } + if (focusItem) + { + focusItem.focus(); + } + else // return focus to search field + { + parent.document.getElementById("MSearchField").focus(); + } + } + else if (this.lastKey==40) // Down + { + var newIndex = itemIndex+1; + var focusItem; + var item = document.getElementById('Item'+itemIndex); + var elem = this.FindChildElement(item.parentNode.parentNode.id); + if (elem && elem.style.display == 'block') // children visible + { + focusItem = document.getElementById('Item'+itemIndex+'_c0'); + } + if (!focusItem) focusItem = this.NavNext(newIndex); + if (focusItem) focusItem.focus(); + } + else if (this.lastKey==39) // Right + { + var item = document.getElementById('Item'+itemIndex); + var elem = this.FindChildElement(item.parentNode.parentNode.id); + if (elem) elem.style.display = 'block'; + } + else if (this.lastKey==37) // Left + { + var item = document.getElementById('Item'+itemIndex); + var elem = this.FindChildElement(item.parentNode.parentNode.id); + if (elem) elem.style.display = 'none'; + } + else if (this.lastKey==27) // Escape + { + parent.searchBox.CloseResultsWindow(); + parent.document.getElementById("MSearchField").focus(); + } + else if (this.lastKey==13) // Enter + { + return true; + } + return false; + } + + this.NavChild = function(evt,itemIndex,childIndex) + { + var e = (evt) ? evt : window.event; // for IE + if (e.keyCode==13) return true; + if (!this.ProcessKeys(e)) return false; + + if (this.lastKey==38) // Up + { + if (childIndex>0) + { + var newIndex = childIndex-1; + document.getElementById('Item'+itemIndex+'_c'+newIndex).focus(); + } + else // already at first child, jump to parent + { + document.getElementById('Item'+itemIndex).focus(); + } + } + else if (this.lastKey==40) // Down + { + var newIndex = childIndex+1; + var elem = document.getElementById('Item'+itemIndex+'_c'+newIndex); + if (!elem) // last child, jump to parent next parent + { + elem = this.NavNext(itemIndex+1); + } + if (elem) + { + elem.focus(); + } + } + else if (this.lastKey==27) // Escape + { + parent.searchBox.CloseResultsWindow(); + parent.document.getElementById("MSearchField").focus(); + } + else if (this.lastKey==13) // Enter + { + return true; + } + return false; + } +} diff --git a/lib/lpp/doc/latex/Makefile b/lib/lpp/doc/latex/Makefile new file mode 100644 --- /dev/null +++ b/lib/lpp/doc/latex/Makefile @@ -0,0 +1,19 @@ +all: clean refman.pdf + +pdf: refman.pdf + +refman.pdf: refman.tex + pdflatex refman.tex + makeindex refman.idx + pdflatex refman.tex + latex_count=5 ; \ + while egrep -s 'Rerun (LaTeX|to get cross-references right)' refman.log && [ $$latex_count -gt 0 ] ;\ + do \ + echo "Rerunning latex...." ;\ + pdflatex refman.tex ;\ + latex_count=`expr $$latex_count - 1` ;\ + done + + +clean: + rm -f *.ps *.dvi *.aux *.toc *.idx *.ind *.ilg *.log *.out refman.pdf diff --git a/lib/lpp/doc/latex/doxygen.sty b/lib/lpp/doc/latex/doxygen.sty new file mode 100644 --- /dev/null +++ b/lib/lpp/doc/latex/doxygen.sty @@ -0,0 +1,356 @@ +\NeedsTeXFormat{LaTeX2e} +\ProvidesPackage{doxygen} + +% Packages used by this style file +\RequirePackage{alltt} +\RequirePackage{array} +\RequirePackage{calc} +\RequirePackage{color} +\RequirePackage{fancyhdr} +\RequirePackage{verbatim} + +% Setup fancy headings +\pagestyle{fancyplain} +\newcommand{\clearemptydoublepage}{% + \newpage{\pagestyle{empty}\cleardoublepage}% +} +\renewcommand{\chaptermark}[1]{% + \markboth{#1}{}% +} +\renewcommand{\sectionmark}[1]{% + \markright{\thesection\ #1}% +} +\lhead[\fancyplain{}{\bfseries\thepage}]{% + \fancyplain{}{\bfseries\rightmark}% +} +\rhead[\fancyplain{}{\bfseries\leftmark}]{% + \fancyplain{}{\bfseries\thepage}% +} +\rfoot[\fancyplain{}{\bfseries\scriptsize% + Generated on Tue Oct 26 2010 19:42:42 for lib-\/lpp by Doxygen }]{} +\lfoot[]{\fancyplain{}{\bfseries\scriptsize% + Generated on Tue Oct 26 2010 19:42:42 for lib-\/lpp by Doxygen }} +\cfoot{} + +%---------- Internal commands used in this style file ---------------- + +% Generic environment used by all paragraph-based environments defined +% below. Note that the command \title{...} needs to be defined inside +% those environments! +\newenvironment{DoxyDesc}[1]{% + \begin{list}{}% + {% + \settowidth{\labelwidth}{40pt}% + \setlength{\leftmargin}{\labelwidth}% + \setlength{\parsep}{0pt}% + \setlength{\itemsep}{-4pt}% + \renewcommand{\makelabel}{\entrylabel}% + }% + \item[#1]% +}{% + \end{list}% +} + +%---------- Commands used by doxygen LaTeX output generator ---------- + +% Used by
 ... 
+\newenvironment{DoxyPre}{% + \small% + \begin{alltt}% +}{% + \end{alltt}% + \normalsize% +} + +% Used by @code ... @endcode +\newenvironment{DoxyCode}{% + \footnotesize% + \verbatim% +}{% + \endverbatim% + \normalsize% +} + +% Used by @example, @include, @includelineno and @dontinclude +\newenvironment{DoxyCodeInclude}{% + \DoxyCode% +}{% + \endDoxyCode% +} + +% Used by @verbatim ... @endverbatim +\newenvironment{DoxyVerb}{% + \footnotesize% + \verbatim% +}{% + \endverbatim% + \normalsize% +} + +% Used by @verbinclude +\newenvironment{DoxyVerbInclude}{% + \DoxyVerb% +}{% + \endDoxyVerb% +} + +% Used by numbered lists (using '-#' or
    ...
) +\newenvironment{DoxyEnumerate}{% + \enumerate% +}{% + \endenumerate% +} + +% Used by bullet lists (using '-', @li, @arg, or
    ...
) +\newenvironment{DoxyItemize}{% + \itemize% +}{% + \enditemize% +} + +% Used by description lists (using
...
) +\newenvironment{DoxyDescription}{% + \description% +}{% + \enddescription% +} + +% Used by @image, @dotfile, and @dot ... @enddot +% (only if caption is specified) +\newenvironment{DoxyImage}{% + \begin{figure}[H]% + \begin{center}% +}{% + \end{center}% + \end{figure}% +} + +% Used by @image, @dotfile, @dot ... @enddot, and @msc ... @endmsc +% (only if no caption is specified) +\newenvironment{DoxyImageNoCaption}{% +}{% +} + +% Used by @attention +\newenvironment{DoxyAttention}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @author and @authors +\newenvironment{DoxyAuthor}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @date +\newenvironment{DoxyDate}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @invariant +\newenvironment{DoxyInvariant}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @note +\newenvironment{DoxyNote}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @post +\newenvironment{DoxyPostcond}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @pre +\newenvironment{DoxyPrecond}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @remark +\newenvironment{DoxyRemark}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @return +\newenvironment{DoxyReturn}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @since +\newenvironment{DoxySince}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @see +\newenvironment{DoxySeeAlso}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @version +\newenvironment{DoxyVersion}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @warning +\newenvironment{DoxyWarning}[1]{% + \begin{DoxyDesc}{#1}% +}{% + \end{DoxyDesc}% +} + +% Used by @internal +\newenvironment{DoxyInternal}[1]{% + \paragraph*{#1}% +}{% +} + +% Used by @par and @paragraph +\newenvironment{DoxyParagraph}[1]{% + \begin{list}{}% + {% + \settowidth{\labelwidth}{40pt}% + \setlength{\leftmargin}{\labelwidth}% + \setlength{\parsep}{0pt}% + \setlength{\itemsep}{-4pt}% + \renewcommand{\makelabel}{\entrylabel}% + }% + \item[#1]% +}{% + \end{list}% +} + +% Used by parameter lists +\newenvironment{DoxyParams}[1]{% + \begin{DoxyDesc}{#1}% + \begin{description}% +}{% + \end{description}% + \end{DoxyDesc}% +} + +% is used for parameters within a detailed function description +\newenvironment{DoxyParamCaption}{% + \renewcommand{\item}[2][]{##1 {\em ##2}}% + }{% +} + +% Used by return value lists +\newenvironment{DoxyRetVals}[1]{% + \begin{DoxyDesc}{#1}% + \begin{description}% +}{% + \end{description}% + \end{DoxyDesc}% +} + +% Used by exception lists +\newenvironment{DoxyExceptions}[1]{% + \begin{DoxyDesc}{#1}% + \begin{description}% +}{% + \end{description}% + \end{DoxyDesc}% +} + +% Used by template parameter lists +\newenvironment{DoxyTemplParams}[1]{% + \begin{DoxyDesc}{#1}% + \begin{description}% +}{% + \end{description}% + \end{DoxyDesc}% +} + +\newcommand{\doxyref}[3]{\textbf{#1} (\textnormal{#2}\,\pageref{#3})} +\newenvironment{DoxyCompactList} +{\begin{list}{}{ + \setlength{\leftmargin}{0.5cm} + \setlength{\itemsep}{0pt} + \setlength{\parsep}{0pt} + \setlength{\topsep}{0pt} + \renewcommand{\makelabel}{\hfill}}} +{\end{list}} +\newenvironment{DoxyCompactItemize} +{ + \begin{itemize} + \setlength{\itemsep}{-3pt} + \setlength{\parsep}{0pt} + \setlength{\topsep}{0pt} + \setlength{\partopsep}{0pt} +} +{\end{itemize}} +\newcommand{\PBS}[1]{\let\temp=\\#1\let\\=\temp} +\newlength{\tmplength} +\newenvironment{TabularC}[1] +{ +\setlength{\tmplength} + {\linewidth/(#1)-\tabcolsep*2-\arrayrulewidth*(#1+1)/(#1)} + \par\begin{tabular*}{\linewidth} + {*{#1}{|>{\PBS\raggedright\hspace{0pt}}p{\the\tmplength}}|} +} +{\end{tabular*}\par} +\newcommand{\entrylabel}[1]{ + {\parbox[b]{\labelwidth-4pt}{\makebox[0pt][l]{\textbf{#1}}\vspace{1.5\baselineskip}}}} +\newenvironment{Desc} +{\begin{list}{} + { + \settowidth{\labelwidth}{40pt} + \setlength{\leftmargin}{\labelwidth} + \setlength{\parsep}{0pt} + \setlength{\itemsep}{-4pt} + \renewcommand{\makelabel}{\entrylabel} + } +} +{\end{list}} +\newenvironment{Indent} + {\begin{list}{}{\setlength{\leftmargin}{0.5cm}} + \item[]\ignorespaces} + {\unskip\end{list}} +\setlength{\parindent}{0cm} +\setlength{\parskip}{0.2cm} +\addtocounter{secnumdepth}{1} +\sloppy +\usepackage[T1]{fontenc} +\makeatletter +\renewcommand{\paragraph}{\@startsection{paragraph}{4}{0ex}% + {-3.25ex plus -1ex minus -0.2ex}% + {1.5ex plus 0.2ex}% + {\normalfont\normalsize\bfseries}} +\makeatother +\stepcounter{secnumdepth} +\stepcounter{tocdepth} +\definecolor{comment}{rgb}{0.5,0.0,0.0} +\definecolor{keyword}{rgb}{0.0,0.5,0.0} +\definecolor{keywordtype}{rgb}{0.38,0.25,0.125} +\definecolor{keywordflow}{rgb}{0.88,0.5,0.0} +\definecolor{preprocessor}{rgb}{0.5,0.38,0.125} +\definecolor{stringliteral}{rgb}{0.0,0.125,0.25} +\definecolor{charliteral}{rgb}{0.0,0.5,0.5} +\definecolor{vhdldigit}{rgb}{1.0,0.0,1.0} +\definecolor{vhdlkeyword}{rgb}{0.43,0.0,0.43} +\definecolor{vhdllogic}{rgb}{1.0,0.0,0.0} +\definecolor{vhdlchar}{rgb}{0.0,0.0,0.0} diff --git a/lib/lpp/doc/latex/refman.aux b/lib/lpp/doc/latex/refman.aux new file mode 100644 --- /dev/null +++ b/lib/lpp/doc/latex/refman.aux @@ -0,0 +1,1866 @@ +\relax +\ifx\hyper@anchor\@undefined +\global \let \oldcontentsline\contentsline +\gdef \contentsline#1#2#3#4{\oldcontentsline{#1}{#2}{#3}} +\global \let \oldnewlabel\newlabel +\gdef \newlabel#1#2{\newlabelxx{#1}#2} +\gdef \newlabelxx#1#2#3#4#5#6{\oldnewlabel{#1}{{#2}{#3}}} +\AtEndDocument{\let \contentsline\oldcontentsline +\let \newlabel\oldnewlabel} +\else +\global \let \hyper@last\relax +\fi + +\@writefile{toc}{\contentsline {chapter}{\numberline {1}Design Unit Index}{1}{chapter.1}} +\@writefile{lof}{\addvspace {10\p@ }} +\@writefile{lot}{\addvspace {10\p@ }} +\@writefile{toc}{\contentsline {section}{\numberline {1.1}Design Unit Hierarchy}{1}{section.1.1}} +\@writefile{toc}{\contentsline {chapter}{\numberline {2}Design Unit Index}{3}{chapter.2}} +\@writefile{lof}{\addvspace {10\p@ }} +\@writefile{lot}{\addvspace {10\p@ }} +\@writefile{toc}{\contentsline {section}{\numberline {2.1}Design Unit List}{3}{section.2.1}} +\@writefile{toc}{\contentsline {chapter}{\numberline {3}File Index}{5}{chapter.3}} +\@writefile{lof}{\addvspace {10\p@ }} +\@writefile{lot}{\addvspace {10\p@ }} +\@writefile{toc}{\contentsline {section}{\numberline {3.1}File List}{5}{section.3.1}} +\@writefile{toc}{\contentsline {chapter}{\numberline {4}Class Documentation}{7}{chapter.4}} +\@writefile{lof}{\addvspace {10\p@ }} +\@writefile{lot}{\addvspace {10\p@ }} +\@writefile{toc}{\contentsline {section}{\numberline {4.1}Adder Entity Reference}{7}{section.4.1}} +\newlabel{class_adder}{{4.1}{7}{Adder Entity Reference\relax }{section.4.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.1.1}Member Data Documentation}{9}{subsection.4.1.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.1}add}{9}{subsubsection.4.1.1.1}} +\newlabel{class_adder_a32a6650da83710066530c5095b459fac}{{4.1.1.1}{9}{add\relax }{subsubsection.4.1.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.2}clk}{9}{subsubsection.4.1.1.2}} +\newlabel{class_adder_aeada434ddff982265d4a93768632e621}{{4.1.1.2}{9}{clk\relax }{subsubsection.4.1.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.3}clr}{9}{subsubsection.4.1.1.3}} +\newlabel{class_adder_a6d72cbcfbbdab33537a5c43b160bf0f4}{{4.1.1.3}{9}{clr\relax }{subsubsection.4.1.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.4}general\_\discretionary {-}{}{}purpose}{9}{subsubsection.4.1.1.4}} +\newlabel{class_adder_a63d2766a6c866f862cdeed31c8a0bdab}{{4.1.1.4}{9}{general\_\-purpose\relax }{subsubsection.4.1.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.5}IEEE}{9}{subsubsection.4.1.1.5}} +\newlabel{class_adder_adc40931273a8420e6fb52edf643d8434}{{4.1.1.5}{9}{IEEE\relax }{subsubsection.4.1.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.6}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}{9}{subsubsection.4.1.1.6}} +\newlabel{class_adder_a809074e1b4c24cd7e29cdbccb59fbab5}{{4.1.1.6}{9}{Input\_\-SZ\_\-A\relax }{subsubsection.4.1.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.7}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}{9}{subsubsection.4.1.1.7}} +\newlabel{class_adder_a413a38e47e4d8bebbf888f22ad7df386}{{4.1.1.7}{9}{Input\_\-SZ\_\-B\relax }{subsubsection.4.1.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.8}lpp}{9}{subsubsection.4.1.1.8}} +\newlabel{class_adder_aa7b2d89e49a7157b58499684cc228990}{{4.1.1.8}{9}{lpp\relax }{subsubsection.4.1.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.9}numeric\_\discretionary {-}{}{}std}{9}{subsubsection.4.1.1.9}} +\newlabel{class_adder_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.1.1.9}{9}{numeric\_\-std\relax }{subsubsection.4.1.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.10}OP1}{9}{subsubsection.4.1.1.10}} +\newlabel{class_adder_ab8883692d01c5ade42186be33ff8f7bf}{{4.1.1.10}{9}{OP1\relax }{subsubsection.4.1.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.11}OP2}{9}{subsubsection.4.1.1.11}} +\newlabel{class_adder_a3843d580ed2e3b8d95d7f006aa13cca2}{{4.1.1.11}{9}{OP2\relax }{subsubsection.4.1.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.12}RES}{9}{subsubsection.4.1.1.12}} +\newlabel{class_adder_a4211bbb7f2f7e3ec13767ee342235ecb}{{4.1.1.12}{9}{RES\relax }{subsubsection.4.1.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.13}reset}{9}{subsubsection.4.1.1.13}} +\newlabel{class_adder_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.1.1.13}{9}{reset\relax }{subsubsection.4.1.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.1.1.14}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{9}{subsubsection.4.1.1.14}} +\newlabel{class_adder_a75f07bd8bde6f849270ce9de65573a4f}{{4.1.1.14}{9}{std\_\-logic\_\-1164\relax }{subsubsection.4.1.1.14}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.2}ADDRcntr Entity Reference}{9}{section.4.2}} +\newlabel{class_a_d_d_rcntr}{{4.2}{9}{ADDRcntr Entity Reference\relax }{section.4.2}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.2.1}Member Data Documentation}{11}{subsection.4.2.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.2.1.1}clk}{11}{subsubsection.4.2.1.1}} +\newlabel{class_a_d_d_rcntr_aeada434ddff982265d4a93768632e621}{{4.2.1.1}{11}{clk\relax }{subsubsection.4.2.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.2.1.2}clr}{11}{subsubsection.4.2.1.2}} +\newlabel{class_a_d_d_rcntr_a6d72cbcfbbdab33537a5c43b160bf0f4}{{4.2.1.2}{11}{clr\relax }{subsubsection.4.2.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.2.1.3}count}{11}{subsubsection.4.2.1.3}} +\newlabel{class_a_d_d_rcntr_a6d8304cc75185e2e68fc119663625566}{{4.2.1.3}{11}{count\relax }{subsubsection.4.2.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.2.1.4}general\_\discretionary {-}{}{}purpose}{11}{subsubsection.4.2.1.4}} +\newlabel{class_a_d_d_rcntr_a63d2766a6c866f862cdeed31c8a0bdab}{{4.2.1.4}{11}{general\_\-purpose\relax }{subsubsection.4.2.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.2.1.5}IEEE}{11}{subsubsection.4.2.1.5}} +\newlabel{class_a_d_d_rcntr_adc40931273a8420e6fb52edf643d8434}{{4.2.1.5}{11}{IEEE\relax }{subsubsection.4.2.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.2.1.6}lpp}{11}{subsubsection.4.2.1.6}} +\newlabel{class_a_d_d_rcntr_aa7b2d89e49a7157b58499684cc228990}{{4.2.1.6}{11}{lpp\relax }{subsubsection.4.2.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.2.1.7}numeric\_\discretionary {-}{}{}std}{11}{subsubsection.4.2.1.7}} +\newlabel{class_a_d_d_rcntr_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.2.1.7}{11}{numeric\_\-std\relax }{subsubsection.4.2.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.2.1.8}Q}{11}{subsubsection.4.2.1.8}} +\newlabel{class_a_d_d_rcntr_a622e70188a6af674d68c45cc512aa904}{{4.2.1.8}{11}{Q\relax }{subsubsection.4.2.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.2.1.9}reset}{11}{subsubsection.4.2.1.9}} +\newlabel{class_a_d_d_rcntr_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.2.1.9}{11}{reset\relax }{subsubsection.4.2.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.2.1.10}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{11}{subsubsection.4.2.1.10}} +\newlabel{class_a_d_d_rcntr_a75f07bd8bde6f849270ce9de65573a4f}{{4.2.1.10}{11}{std\_\-logic\_\-1164\relax }{subsubsection.4.2.1.10}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.3}ALU Entity Reference}{11}{section.4.3}} +\newlabel{class_a_l_u}{{4.3}{11}{ALU Entity Reference\relax }{section.4.3}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.3.1}Member Data Documentation}{12}{subsection.4.3.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.1}Arith\_\discretionary {-}{}{}en}{12}{subsubsection.4.3.1.1}} +\newlabel{class_a_l_u_acd87e26579fc853ce38969938bdf6ee4}{{4.3.1.1}{12}{Arith\_\-en\relax }{subsubsection.4.3.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.2}clk}{12}{subsubsection.4.3.1.2}} +\newlabel{class_a_l_u_aeada434ddff982265d4a93768632e621}{{4.3.1.2}{12}{clk\relax }{subsubsection.4.3.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.3}ctrl}{12}{subsubsection.4.3.1.3}} +\newlabel{class_a_l_u_a6133dd6f5b63b439fdce2c3e9bc8b14f}{{4.3.1.3}{12}{ctrl\relax }{subsubsection.4.3.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.4}general\_\discretionary {-}{}{}purpose}{12}{subsubsection.4.3.1.4}} +\newlabel{class_a_l_u_a63d2766a6c866f862cdeed31c8a0bdab}{{4.3.1.4}{12}{general\_\-purpose\relax }{subsubsection.4.3.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.5}IEEE}{13}{subsubsection.4.3.1.5}} +\newlabel{class_a_l_u_adc40931273a8420e6fb52edf643d8434}{{4.3.1.5}{13}{IEEE\relax }{subsubsection.4.3.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.6}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}1}{13}{subsubsection.4.3.1.6}} +\newlabel{class_a_l_u_a4a74a3f66468d11a29cb904a646c2a26}{{4.3.1.6}{13}{Input\_\-SZ\_\-1\relax }{subsubsection.4.3.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.7}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}2}{13}{subsubsection.4.3.1.7}} +\newlabel{class_a_l_u_a091ee048451e1f6ab9731e886388388c}{{4.3.1.7}{13}{Input\_\-SZ\_\-2\relax }{subsubsection.4.3.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.8}Logic\_\discretionary {-}{}{}en}{13}{subsubsection.4.3.1.8}} +\newlabel{class_a_l_u_aded2e5b9650b00363ae917fb2559bd5e}{{4.3.1.8}{13}{Logic\_\-en\relax }{subsubsection.4.3.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.9}lpp}{13}{subsubsection.4.3.1.9}} +\newlabel{class_a_l_u_aa7b2d89e49a7157b58499684cc228990}{{4.3.1.9}{13}{lpp\relax }{subsubsection.4.3.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.10}numeric\_\discretionary {-}{}{}std}{13}{subsubsection.4.3.1.10}} +\newlabel{class_a_l_u_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.3.1.10}{13}{numeric\_\-std\relax }{subsubsection.4.3.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.11}OP1}{13}{subsubsection.4.3.1.11}} +\newlabel{class_a_l_u_af6dca8350b46a37245b15397d11ad7a5}{{4.3.1.11}{13}{OP1\relax }{subsubsection.4.3.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.12}OP2}{13}{subsubsection.4.3.1.12}} +\newlabel{class_a_l_u_a144eb373df99dbd1e2bd2efea74c295e}{{4.3.1.12}{13}{OP2\relax }{subsubsection.4.3.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.13}RES}{13}{subsubsection.4.3.1.13}} +\newlabel{class_a_l_u_a5b41ffb675e6138339b4329879ff8c47}{{4.3.1.13}{13}{RES\relax }{subsubsection.4.3.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.14}reset}{13}{subsubsection.4.3.1.14}} +\newlabel{class_a_l_u_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.3.1.14}{13}{reset\relax }{subsubsection.4.3.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.3.1.15}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{13}{subsubsection.4.3.1.15}} +\newlabel{class_a_l_u_a75f07bd8bde6f849270ce9de65573a4f}{{4.3.1.15}{13}{std\_\-logic\_\-1164\relax }{subsubsection.4.3.1.15}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.4}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr Package Reference}{14}{section.4.4}} +\newlabel{classamba__lcd__16x2__ctrlr}{{4.4}{14}{amba\_\-lcd\_\-16x2\_\-ctrlr Package Reference\relax }{section.4.4}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.4.1}Member Data Documentation}{14}{subsection.4.4.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.4.1.1}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}driver}{14}{subsubsection.4.4.1.1}} +\newlabel{classamba__lcd__16x2__ctrlr_abc9b95b68cb05d1a94c46b1946250dcb}{{4.4.1.1}{14}{amba\_\-lcd\_\-16x2\_\-driver\relax }{subsubsection.4.4.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.4.1.2}AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}{14}{subsubsection.4.4.1.2}} +\newlabel{classamba__lcd__16x2__ctrlr_a31e0ad823732b29eb5957a7c1f5f625e}{{4.4.1.2}{14}{AMBA\_\-LCD\_\-16x2\_\-DRIVER\relax }{subsubsection.4.4.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.4.1.3}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}{14}{subsubsection.4.4.1.3}} +\newlabel{classamba__lcd__16x2__ctrlr_a1f8c565a26c2e5f6a3a646a200db7050}{{4.4.1.3}{14}{FRAME\_\-CLK\_\-GEN\relax }{subsubsection.4.4.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.4.1.4}ieee}{14}{subsubsection.4.4.1.4}} +\newlabel{classamba__lcd__16x2__ctrlr_acbe0bfecfa56fa4103ea80a491bfdbc8}{{4.4.1.4}{14}{ieee\relax }{subsubsection.4.4.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.4.1.5}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}{14}{subsubsection.4.4.1.5}} +\newlabel{classamba__lcd__16x2__ctrlr_af128e3c29ed018eff841f93d8d45757d}{{4.4.1.5}{14}{LCD\_\-16x2\_\-ENGINE\relax }{subsubsection.4.4.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.4.1.6}LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}{14}{subsubsection.4.4.1.6}} +\newlabel{classamba__lcd__16x2__ctrlr_a27e0c0d79d51badbb9931877abfe2b7d}{{4.4.1.6}{14}{LCD\_\-2x16\_\-DRIVER\relax }{subsubsection.4.4.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.4.1.7}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}{14}{subsubsection.4.4.1.7}} +\newlabel{classamba__lcd__16x2__ctrlr_a994d05e575cf55881d2aa86ae9193e8e}{{4.4.1.7}{14}{LCD\_\-CLK\_\-GENERATOR\relax }{subsubsection.4.4.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.4.1.8}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{14}{subsubsection.4.4.1.8}} +\newlabel{classamba__lcd__16x2__ctrlr_a75f07bd8bde6f849270ce9de65573a4f}{{4.4.1.8}{14}{std\_\-logic\_\-1164\relax }{subsubsection.4.4.1.8}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.5}AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER Entity Reference}{15}{section.4.5}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r}{{4.5}{15}{AMBA\_\-LCD\_\-16x2\_\-DRIVER Entity Reference\relax }{section.4.5}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.5.1}Member Data Documentation}{16}{subsection.4.5.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.1}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}{16}{subsubsection.4.5.1.1}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a5edd5ccbb5989d9869ba1d6f7f24307a}{{4.5.1.1}{16}{amba\_\-lcd\_\-16x2\_\-ctrlr\relax }{subsubsection.4.5.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.2}Bp0}{16}{subsubsection.4.5.1.2}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_accf9bde07e1154cc9e1985cafb3545d5}{{4.5.1.2}{16}{Bp0\relax }{subsubsection.4.5.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.3}Bp1}{16}{subsubsection.4.5.1.3}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a6da7cfcda5e395bb643b2d5d54607bed}{{4.5.1.3}{16}{Bp1\relax }{subsubsection.4.5.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.4}Bp2}{16}{subsubsection.4.5.1.4}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a789bb2dbad8d0cedeecc54e4df404e60}{{4.5.1.4}{16}{Bp2\relax }{subsubsection.4.5.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.5}clk}{16}{subsubsection.4.5.1.5}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_aeada434ddff982265d4a93768632e621}{{4.5.1.5}{16}{clk\relax }{subsubsection.4.5.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.6}IEEE}{16}{subsubsection.4.5.1.6}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_adc40931273a8420e6fb52edf643d8434}{{4.5.1.6}{16}{IEEE\relax }{subsubsection.4.5.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.7}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}{16}{subsubsection.4.5.1.7}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a7bc4923cedc8356856341544e9035ce8}{{4.5.1.7}{16}{LCD\_\-16x2\_\-CFG\relax }{subsubsection.4.5.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.8}LCD\_\discretionary {-}{}{}CS1}{16}{subsubsection.4.5.1.8}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a1ddeb7c7a1990a7bc1920192c72991e8}{{4.5.1.8}{16}{LCD\_\-CS1\relax }{subsubsection.4.5.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.9}LCD\_\discretionary {-}{}{}CS2}{16}{subsubsection.4.5.1.9}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a0819bbdcf3cb50abf81b7b0ceb4eb85e}{{4.5.1.9}{16}{LCD\_\-CS2\relax }{subsubsection.4.5.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.10}LCD\_\discretionary {-}{}{}data}{16}{subsubsection.4.5.1.10}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a857b8dcc6889b0f69f7af556ad6da73c}{{4.5.1.10}{16}{LCD\_\-data\relax }{subsubsection.4.5.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.11}LCD\_\discretionary {-}{}{}E}{16}{subsubsection.4.5.1.11}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_aab2d383a3ca2516bacb42bfd6c353be7}{{4.5.1.11}{16}{LCD\_\-E\relax }{subsubsection.4.5.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.12}LCD\_\discretionary {-}{}{}RET}{16}{subsubsection.4.5.1.12}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_aadc4f7e415e4523798a91a8ca481fe4d}{{4.5.1.12}{16}{LCD\_\-RET\relax }{subsubsection.4.5.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.13}LCD\_\discretionary {-}{}{}RS}{16}{subsubsection.4.5.1.13}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a5c1f25805e631289502b60ed4fb05b91}{{4.5.1.13}{16}{LCD\_\-RS\relax }{subsubsection.4.5.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.14}LCD\_\discretionary {-}{}{}RW}{16}{subsubsection.4.5.1.14}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a94059487edf42fa0917d979cb89653d3}{{4.5.1.14}{16}{LCD\_\-RW\relax }{subsubsection.4.5.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.15}lpp}{16}{subsubsection.4.5.1.15}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_aa7b2d89e49a7157b58499684cc228990}{{4.5.1.15}{16}{lpp\relax }{subsubsection.4.5.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.16}reset}{16}{subsubsection.4.5.1.16}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.5.1.16}{16}{reset\relax }{subsubsection.4.5.1.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.17}SF\_\discretionary {-}{}{}CE0}{17}{subsubsection.4.5.1.17}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a8b1e71a6f960edb0779accb089d72e3a}{{4.5.1.17}{17}{SF\_\-CE0\relax }{subsubsection.4.5.1.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.5.1.18}STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}{17}{subsubsection.4.5.1.18}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_a6e6dbf4edd4b5ccd41b622bf4fb719b1}{{4.5.1.18}{17}{STD\_\-LOGIC\_\-1164\relax }{subsubsection.4.5.1.18}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.6}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL Entity Reference}{17}{section.4.6}} +\newlabel{class_a_p_b___i_i_r___c_e_l}{{4.6}{17}{APB\_\-IIR\_\-CEL Entity Reference\relax }{section.4.6}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.6.1}Member Data Documentation}{18}{subsection.4.6.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.1}abits}{18}{subsubsection.4.6.1.1}} +\newlabel{class_a_p_b___i_i_r___c_e_l_abcf1c366c2479f1b35a960102ba71849}{{4.6.1.1}{18}{abits\relax }{subsubsection.4.6.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.2}amba}{18}{subsubsection.4.6.1.2}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a74bf6821ebcfc09b446adaf9b3f109f3}{{4.6.1.2}{18}{amba\relax }{subsubsection.4.6.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.3}apbi}{18}{subsubsection.4.6.1.3}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a8fa0b2dc5db63b734235b2f20508f8dc}{{4.6.1.3}{18}{apbi\relax }{subsubsection.4.6.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.4}apbo}{18}{subsubsection.4.6.1.4}} +\newlabel{class_a_p_b___i_i_r___c_e_l_abec6815be02a2d550f331ab9229263cb}{{4.6.1.4}{18}{apbo\relax }{subsubsection.4.6.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.5}clk}{18}{subsubsection.4.6.1.5}} +\newlabel{class_a_p_b___i_i_r___c_e_l_aeada434ddff982265d4a93768632e621}{{4.6.1.5}{18}{clk\relax }{subsubsection.4.6.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.6}devices}{18}{subsubsection.4.6.1.6}} +\newlabel{class_a_p_b___i_i_r___c_e_l_afd6272454684cd4a50d71d6a41dc7ce4}{{4.6.1.6}{18}{devices\relax }{subsubsection.4.6.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.7}FILTERcfg}{18}{subsubsection.4.6.1.7}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a0e24e86edc9f8cb840d2dcd83a25b7a8}{{4.6.1.7}{18}{FILTERcfg\relax }{subsubsection.4.6.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.8}general\_\discretionary {-}{}{}purpose}{18}{subsubsection.4.6.1.8}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a63d2766a6c866f862cdeed31c8a0bdab}{{4.6.1.8}{18}{general\_\-purpose\relax }{subsubsection.4.6.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.9}grlib}{19}{subsubsection.4.6.1.9}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a100f0749fc379026d641257d58977466}{{4.6.1.9}{19}{grlib\relax }{subsubsection.4.6.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.10}ieee}{19}{subsubsection.4.6.1.10}} +\newlabel{class_a_p_b___i_i_r___c_e_l_acbe0bfecfa56fa4103ea80a491bfdbc8}{{4.6.1.10}{19}{ieee\relax }{subsubsection.4.6.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.11}iir\_\discretionary {-}{}{}filter}{19}{subsubsection.4.6.1.11}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a44bda5c2949d467e4e3620bf261086e9}{{4.6.1.11}{19}{iir\_\-filter\relax }{subsubsection.4.6.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.12}lpp}{19}{subsubsection.4.6.1.12}} +\newlabel{class_a_p_b___i_i_r___c_e_l_aa7b2d89e49a7157b58499684cc228990}{{4.6.1.12}{19}{lpp\relax }{subsubsection.4.6.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.13}numeric\_\discretionary {-}{}{}std}{19}{subsubsection.4.6.1.13}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.6.1.13}{19}{numeric\_\-std\relax }{subsubsection.4.6.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.14}paddr}{19}{subsubsection.4.6.1.14}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a33fb2d72a47bdf3f23989d788050b5d2}{{4.6.1.14}{19}{paddr\relax }{subsubsection.4.6.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.15}pindex}{19}{subsubsection.4.6.1.15}} +\newlabel{class_a_p_b___i_i_r___c_e_l_ac351a5c89371771265cc89c4ae931a71}{{4.6.1.15}{19}{pindex\relax }{subsubsection.4.6.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.16}pirq}{19}{subsubsection.4.6.1.16}} +\newlabel{class_a_p_b___i_i_r___c_e_l_ae321f539950f4bb0627d5040e954fa6e}{{4.6.1.16}{19}{pirq\relax }{subsubsection.4.6.1.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.17}pmask}{19}{subsubsection.4.6.1.17}} +\newlabel{class_a_p_b___i_i_r___c_e_l_aa5119fab552c6a270ad4a6264d46b61a}{{4.6.1.17}{19}{pmask\relax }{subsubsection.4.6.1.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.18}rst}{19}{subsubsection.4.6.1.18}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a5c07746e8c55ba775d935273348f97a0}{{4.6.1.18}{19}{rst\relax }{subsubsection.4.6.1.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.19}sample\_\discretionary {-}{}{}clk}{19}{subsubsection.4.6.1.19}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a3f829af24345b861dfbbeeccf5c5370d}{{4.6.1.19}{19}{sample\_\-clk\relax }{subsubsection.4.6.1.19}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.20}sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}out}{19}{subsubsection.4.6.1.20}} +\newlabel{class_a_p_b___i_i_r___c_e_l_aa11336df1a929c125530d37f6731206d}{{4.6.1.20}{19}{sample\_\-clk\_\-out\relax }{subsubsection.4.6.1.20}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.21}sample\_\discretionary {-}{}{}in}{19}{subsubsection.4.6.1.21}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a006f0f67fe9334974d32fa429eaa9209}{{4.6.1.21}{19}{sample\_\-in\relax }{subsubsection.4.6.1.21}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.22}sample\_\discretionary {-}{}{}out}{19}{subsubsection.4.6.1.22}} +\newlabel{class_a_p_b___i_i_r___c_e_l_acae30f45eaa8b0b405b98d7db9e6cf6a}{{4.6.1.22}{19}{sample\_\-out\relax }{subsubsection.4.6.1.22}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.23}Sample\_\discretionary {-}{}{}SZ}{19}{subsubsection.4.6.1.23}} +\newlabel{class_a_p_b___i_i_r___c_e_l_ad38c7f474b7daf80ba16d38c1edcb9f7}{{4.6.1.23}{19}{Sample\_\-SZ\relax }{subsubsection.4.6.1.23}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.24}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{19}{subsubsection.4.6.1.24}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a75f07bd8bde6f849270ce9de65573a4f}{{4.6.1.24}{19}{std\_\-logic\_\-1164\relax }{subsubsection.4.6.1.24}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.6.1.25}stdlib}{20}{subsubsection.4.6.1.25}} +\newlabel{class_a_p_b___i_i_r___c_e_l_a643f904cc1d14440675a3a0935190247}{{4.6.1.25}{20}{stdlib\relax }{subsubsection.4.6.1.25}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.7}ar\_\discretionary {-}{}{}Adder Architecture Reference}{20}{section.4.7}} +\newlabel{class_adder_1_1ar___adder}{{4.7}{20}{ar\_\-Adder Architecture Reference\relax }{section.4.7}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.7.1}Member Function Documentation}{21}{subsection.4.7.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.7.1.1}PROCESS\_\discretionary {-}{}{}11}{21}{subsubsection.4.7.1.1}} +\newlabel{class_adder_1_1ar___adder_ace828b17e54c6ecdd0437631c42774ce}{{4.7.1.1}{21}{PROCESS\_\-11\relax }{subsubsection.4.7.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.7.2}Member Data Documentation}{21}{subsection.4.7.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.7.2.1}REG}{21}{subsubsection.4.7.2.1}} +\newlabel{class_adder_1_1ar___adder_a03956d3124b4f44ef83d698f747033e1}{{4.7.2.1}{21}{REG\relax }{subsubsection.4.7.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.7.2.2}RESADD}{21}{subsubsection.4.7.2.2}} +\newlabel{class_adder_1_1ar___adder_a6b18e2b52f7162c42d19e4ce81436748}{{4.7.2.2}{21}{RESADD\relax }{subsubsection.4.7.2.2}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.8}ar\_\discretionary {-}{}{}ADDRcntr Architecture Reference}{21}{section.4.8}} +\newlabel{class_a_d_d_rcntr_1_1ar___a_d_d_rcntr}{{4.8}{21}{ar\_\-ADDRcntr Architecture Reference\relax }{section.4.8}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.8.1}Member Function Documentation}{22}{subsection.4.8.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.8.1.1}PROCESS\_\discretionary {-}{}{}12}{22}{subsubsection.4.8.1.1}} +\newlabel{class_a_d_d_rcntr_1_1ar___a_d_d_rcntr_aa1e5987c307cb7f8b8df2437ef9ceb24}{{4.8.1.1}{22}{PROCESS\_\-12\relax }{subsubsection.4.8.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.8.2}Member Data Documentation}{22}{subsection.4.8.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.8.2.1}reg}{22}{subsubsection.4.8.2.1}} +\newlabel{class_a_d_d_rcntr_1_1ar___a_d_d_rcntr_a8ce415d2f8ed0211f3f7612aee0ad79d}{{4.8.2.1}{22}{reg\relax }{subsubsection.4.8.2.1}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.9}ar\_\discretionary {-}{}{}ALU Architecture Reference}{22}{section.4.9}} +\newlabel{class_a_l_u_1_1ar___a_l_u}{{4.9}{22}{ar\_\-ALU Architecture Reference\relax }{section.4.9}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.9.1}Member Function Documentation}{23}{subsection.4.9.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.9.1.1}PROCESS\_\discretionary {-}{}{}13}{23}{subsubsection.4.9.1.1}} +\newlabel{class_a_l_u_1_1ar___a_l_u_a7185c829fe2d2359d230e6d391a2519d}{{4.9.1.1}{23}{PROCESS\_\-13\relax }{subsubsection.4.9.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.9.2}Member Data Documentation}{23}{subsection.4.9.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.9.2.1}clr\_\discretionary {-}{}{}MAC}{23}{subsubsection.4.9.2.1}} +\newlabel{class_a_l_u_1_1ar___a_l_u_a3e36de28d8620fd33b8dade7aff2c2a0}{{4.9.2.1}{23}{clr\_\-MAC\relax }{subsubsection.4.9.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.9.2.2}MACinst}{23}{subsubsection.4.9.2.2}} +\newlabel{class_a_l_u_1_1ar___a_l_u_a63064e6fe996da05a560038b1431fe55}{{4.9.2.2}{23}{MACinst\relax }{subsubsection.4.9.2.2}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.10}AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL Architecture Reference}{23}{section.4.10}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l}{{4.10}{23}{AR\_\-APB\_\-IIR\_\-CEL Architecture Reference\relax }{section.4.10}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.10.1}Member Function Documentation}{24}{subsection.4.10.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.1.1}PROCESS\_\discretionary {-}{}{}4}{24}{subsubsection.4.10.1.1}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_aa0228b37148eb8424f7300c0252b45bd}{{4.10.1.1}{24}{PROCESS\_\-4\relax }{subsubsection.4.10.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.1.2}PROCESS\_\discretionary {-}{}{}5}{24}{subsubsection.4.10.1.2}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_a92477c45e435ccdc0e91d48a19a7eb2e}{{4.10.1.2}{24}{PROCESS\_\-5\relax }{subsubsection.4.10.1.2}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.10.2}Member Data Documentation}{24}{subsection.4.10.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.1}regin}{24}{subsubsection.4.10.2.1}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_ae0044cdb756978b9f30551672725317c}{{4.10.2.1}{24}{regin\relax }{subsubsection.4.10.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.2}regout}{24}{subsubsection.4.10.2.2}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_a6b476ada6cb258cb26f5a72e637002c0}{{4.10.2.2}{24}{regout\relax }{subsubsection.4.10.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.3}bootmsg}{24}{subsubsection.4.10.2.3}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_aacc63eb449e3c35837fb19ce752b01c8}{{4.10.2.3}{24}{bootmsg\relax }{subsubsection.4.10.2.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.4}filter}{24}{subsubsection.4.10.2.4}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_a5e0bf77cda906f369a02771053fc8195}{{4.10.2.4}{24}{filter\relax }{subsubsection.4.10.2.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.5}filter\_\discretionary {-}{}{}reset}{24}{subsubsection.4.10.2.5}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_a13159dc3a721b78db1bbec6575f53b98}{{4.10.2.5}{24}{filter\_\-reset\relax }{subsubsection.4.10.2.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.6}FILTERreg}{24}{subsubsection.4.10.2.6}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_a5646a9b33628cec703190611df97ee06}{{4.10.2.6}{24}{FILTERreg\relax }{subsubsection.4.10.2.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.7}pconfig}{24}{subsubsection.4.10.2.7}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_a16847e3266baaea5b55899e704e2bd82}{{4.10.2.7}{24}{pconfig\relax }{subsubsection.4.10.2.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.8}r}{24}{subsubsection.4.10.2.8}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_a5c33d23d805ed8d454d76b96132c2d69}{{4.10.2.8}{24}{r\relax }{subsubsection.4.10.2.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.9}REVISION}{24}{subsubsection.4.10.2.9}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_a3ad818c9b67f160af4f8af590aee61bc}{{4.10.2.9}{24}{REVISION\relax }{subsubsection.4.10.2.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.10}sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}out\_\discretionary {-}{}{}R}{24}{subsubsection.4.10.2.10}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_abd26f34210fdc2d4c486d64ba60f546d}{{4.10.2.10}{24}{sample\_\-clk\_\-out\_\-R\relax }{subsubsection.4.10.2.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.10.2.11}smp\_\discretionary {-}{}{}cnt}{24}{subsubsection.4.10.2.11}} +\newlabel{class_a_p_b___i_i_r___c_e_l_1_1_a_r___a_p_b___i_i_r___c_e_l_ac750d7354155a7ea5cf5efa832268217}{{4.10.2.11}{24}{smp\_\-cnt\relax }{subsubsection.4.10.2.11}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.11}ar\_\discretionary {-}{}{}FILTER Architecture Reference}{25}{section.4.11}} +\newlabel{class_f_i_l_t_e_r_1_1ar___f_i_l_t_e_r}{{4.11}{25}{ar\_\-FILTER Architecture Reference\relax }{section.4.11}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.11.1}Member Data Documentation}{25}{subsection.4.11.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.11.1.1}ALU1}{25}{subsubsection.4.11.1.1}} +\newlabel{class_f_i_l_t_e_r_1_1ar___f_i_l_t_e_r_a35349e29564c441a9f99ae0424bee5a3}{{4.11.1.1}{25}{ALU1\relax }{subsubsection.4.11.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.11.1.2}ALU\_\discretionary {-}{}{}ctrl}{25}{subsubsection.4.11.1.2}} +\newlabel{class_f_i_l_t_e_r_1_1ar___f_i_l_t_e_r_a0d589e711944eda8bc928453be789a59}{{4.11.1.2}{25}{ALU\_\-ctrl\relax }{subsubsection.4.11.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.11.1.3}ALU\_\discretionary {-}{}{}OUT}{25}{subsubsection.4.11.1.3}} +\newlabel{class_f_i_l_t_e_r_1_1ar___f_i_l_t_e_r_a8e03f3e0900ec761d5c767cf183826ee}{{4.11.1.3}{25}{ALU\_\-OUT\relax }{subsubsection.4.11.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.11.1.4}Coef}{25}{subsubsection.4.11.1.4}} +\newlabel{class_f_i_l_t_e_r_1_1ar___f_i_l_t_e_r_a69d55eadf61e6772de1071e99415bcd5}{{4.11.1.4}{25}{Coef\relax }{subsubsection.4.11.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.11.1.5}filterctrlr1}{25}{subsubsection.4.11.1.5}} +\newlabel{class_f_i_l_t_e_r_1_1ar___f_i_l_t_e_r_a06a2eab106068f2e79f46d7e4f613ed3}{{4.11.1.5}{25}{filterctrlr1\relax }{subsubsection.4.11.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.11.1.6}Sample}{25}{subsubsection.4.11.1.6}} +\newlabel{class_f_i_l_t_e_r_1_1ar___f_i_l_t_e_r_a40815a9435a4b6569ab3a593dd1f7d6d}{{4.11.1.6}{25}{Sample\relax }{subsubsection.4.11.1.6}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.12}ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR Architecture Reference}{26}{section.4.12}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r}{{4.12}{26}{ar\_\-FILTER\_\-RAM\_\-CTRLR Architecture Reference\relax }{section.4.12}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.12.1}Member Data Documentation}{28}{subsection.4.12.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.1}ADDRcntr\_\discretionary {-}{}{}inst}{28}{subsubsection.4.12.1.1}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_aa8bdcc855eb974a366b4d6e6d128afe8}{{4.12.1.1}{28}{ADDRcntr\_\-inst\relax }{subsubsection.4.12.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.2}ADDRreg}{28}{subsubsection.4.12.1.2}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a48f0a002c7a834cc9049a3f4e9bf6319}{{4.12.1.2}{28}{ADDRreg\relax }{subsubsection.4.12.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.3}MUX2\_\discretionary {-}{}{}inst1}{28}{subsubsection.4.12.1.3}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_ad01e70c78bc8c60385fe6e969c0d9a17}{{4.12.1.3}{28}{MUX2\_\-inst1\relax }{subsubsection.4.12.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.4}MUX2\_\discretionary {-}{}{}inst1\_\discretionary {-}{}{}sel}{28}{subsubsection.4.12.1.4}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a0b3956ab72e67d0d2a118c85501b9534}{{4.12.1.4}{28}{MUX2\_\-inst1\_\-sel\relax }{subsubsection.4.12.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.5}MUX2\_\discretionary {-}{}{}inst2}{28}{subsubsection.4.12.1.5}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_ab3318df94ad3e752ed165301663ddeeb}{{4.12.1.5}{28}{MUX2\_\-inst2\relax }{subsubsection.4.12.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.6}next\_\discretionary {-}{}{}blk\_\discretionary {-}{}{}D}{28}{subsubsection.4.12.1.6}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a82cce83cefa7b8318ce9494d8932f3db}{{4.12.1.6}{28}{next\_\-blk\_\-D\relax }{subsubsection.4.12.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.7}next\_\discretionary {-}{}{}blkRreg}{28}{subsubsection.4.12.1.7}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_ac1e9b394b6f0d2f65de5f9560d32622d}{{4.12.1.7}{28}{next\_\-blkRreg\relax }{subsubsection.4.12.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.8}RADDR}{28}{subsubsection.4.12.1.8}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_afce0b1942f1fb2d670ac4e88dd2f8ee1}{{4.12.1.8}{28}{RADDR\relax }{subsubsection.4.12.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.9}RAMblk}{28}{subsubsection.4.12.1.9}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a0c0627ff9279d2f20a9aa37c708a1fce}{{4.12.1.9}{28}{RAMblk\relax }{subsubsection.4.12.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.10}RAMblk}{28}{subsubsection.4.12.1.10}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a7b220dfca351f40fe4282aa364e6e88f}{{4.12.1.10}{28}{RAMblk\relax }{subsubsection.4.12.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.11}RD}{28}{subsubsection.4.12.1.11}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a5ae6195ef0445d5a4239c9a2f65350b8}{{4.12.1.11}{28}{RD\relax }{subsubsection.4.12.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.12}REN}{28}{subsubsection.4.12.1.12}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_af99bfeb78cd019d20fca71852431e13c}{{4.12.1.12}{28}{REN\relax }{subsubsection.4.12.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.13}run\_\discretionary {-}{}{}D}{28}{subsubsection.4.12.1.13}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a18e53b0ec6218f2fbeb0aa77322ff099}{{4.12.1.13}{28}{run\_\-D\relax }{subsubsection.4.12.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.14}run\_\discretionary {-}{}{}D\_\discretionary {-}{}{}inv}{28}{subsubsection.4.12.1.14}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a0c99c4466e290c19ec1f38df1cbf1108}{{4.12.1.14}{28}{run\_\-D\_\-inv\relax }{subsubsection.4.12.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.15}run\_\discretionary {-}{}{}inv}{28}{subsubsection.4.12.1.15}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a30c3f11e11b06b73267b0ea7ce5c8b73}{{4.12.1.15}{28}{run\_\-inv\relax }{subsubsection.4.12.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.16}RunRreg}{28}{subsubsection.4.12.1.16}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a244b5cf2a1b4a6d50d8a3a3eb9b9e6b1}{{4.12.1.16}{28}{RunRreg\relax }{subsubsection.4.12.1.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.17}WADDR}{28}{subsubsection.4.12.1.17}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a6cacd639bb552ce777ef7f56d893b616}{{4.12.1.17}{28}{WADDR\relax }{subsubsection.4.12.1.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.18}WADDR\_\discretionary {-}{}{}back}{28}{subsubsection.4.12.1.18}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a85768e491b99226f113e6f720ad7fbca}{{4.12.1.18}{28}{WADDR\_\-back\relax }{subsubsection.4.12.1.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.19}WADDR\_\discretionary {-}{}{}back\_\discretionary {-}{}{}D}{28}{subsubsection.4.12.1.19}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_af35e788ee9fcb9b4c205039a5855d915}{{4.12.1.19}{28}{WADDR\_\-back\_\-D\relax }{subsubsection.4.12.1.19}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.20}WADDR\_\discretionary {-}{}{}backreg}{28}{subsubsection.4.12.1.20}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a2bec9bf4a6426987d63f44fd27652be4}{{4.12.1.20}{28}{WADDR\_\-backreg\relax }{subsubsection.4.12.1.20}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.21}WADDR\_\discretionary {-}{}{}backreg2}{28}{subsubsection.4.12.1.21}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a3873d0c58217a9fce0ffddbcc6ea9229}{{4.12.1.21}{28}{WADDR\_\-backreg2\relax }{subsubsection.4.12.1.21}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.22}WADDR\_\discretionary {-}{}{}D}{28}{subsubsection.4.12.1.22}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a4b81441ffdf73538dd5c4500889c22cc}{{4.12.1.22}{28}{WADDR\_\-D\relax }{subsubsection.4.12.1.22}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.23}WD}{28}{subsubsection.4.12.1.23}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a98abc3faa240dd88874482c580a804c9}{{4.12.1.23}{28}{WD\relax }{subsubsection.4.12.1.23}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.24}WD\_\discretionary {-}{}{}D}{28}{subsubsection.4.12.1.24}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_ab66e95dafe32ebdc79e44c92b5955c97}{{4.12.1.24}{28}{WD\_\-D\relax }{subsubsection.4.12.1.24}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.25}WDRreg}{28}{subsubsection.4.12.1.25}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_ae64f1bf83f17e303768e1ddc43760bd4}{{4.12.1.25}{28}{WDRreg\relax }{subsubsection.4.12.1.25}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.12.1.26}WEN}{28}{subsubsection.4.12.1.26}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_1_1ar___f_i_l_t_e_r___r_a_m___c_t_r_l_r_a30582c93a2164e4392905c4edcdb0d9d}{{4.12.1.26}{28}{WEN\relax }{subsubsection.4.12.1.26}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.13}ar\_\discretionary {-}{}{}FilterCTRLR Architecture Reference}{29}{section.4.13}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r}{{4.13}{29}{ar\_\-FilterCTRLR Architecture Reference\relax }{section.4.13}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.13.1}Member Function Documentation}{30}{subsection.4.13.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.1.1}PROCESS\_\discretionary {-}{}{}6}{30}{subsubsection.4.13.1.1}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a9b10fc49c09463d117a167968546a161}{{4.13.1.1}{30}{PROCESS\_\-6\relax }{subsubsection.4.13.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.13.2}Member Data Documentation}{30}{subsection.4.13.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.1}ADDR}{30}{subsubsection.4.13.2.1}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_ad8e3998869965cad14790890d33c1e0d}{{4.13.2.1}{30}{ADDR\relax }{subsubsection.4.13.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.2}ADDR\_\discretionary {-}{}{}D}{30}{subsubsection.4.13.2.2}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a86bd2cba097e462de7bc94f2db0918c0}{{4.13.2.2}{30}{ADDR\_\-D\relax }{subsubsection.4.13.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.3}ADDRreg}{30}{subsubsection.4.13.2.3}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a48f0a002c7a834cc9049a3f4e9bf6319}{{4.13.2.3}{30}{ADDRreg\relax }{subsubsection.4.13.2.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.4}chanelCnt}{30}{subsubsection.4.13.2.4}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a3811ebc5ed9caa426b0655eb3a358d7f}{{4.13.2.4}{30}{chanelCnt\relax }{subsubsection.4.13.2.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.5}clk\_\discretionary {-}{}{}inv}{30}{subsubsection.4.13.2.5}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a6a6a0b266b37e9a2be2b868c235e00f9}{{4.13.2.5}{30}{clk\_\-inv\relax }{subsubsection.4.13.2.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.6}DcoefCnt}{30}{subsubsection.4.13.2.6}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_ae70af5de83024d67903b5ac22d040e63}{{4.13.2.6}{30}{DcoefCnt\relax }{subsubsection.4.13.2.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.7}DENCoefsCnt}{30}{subsubsection.4.13.2.7}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a0a904ffa174dbaeedd459065c911adfa}{{4.13.2.7}{30}{DENCoefsCnt\relax }{subsubsection.4.13.2.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.8}in\_\discretionary {-}{}{}Rotate\_\discretionary {-}{}{}Buff}{30}{subsubsection.4.13.2.8}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a445640560ee26948acffdab07d7d70d1}{{4.13.2.8}{30}{in\_\-Rotate\_\-Buff\relax }{subsubsection.4.13.2.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.9}NcoefCnt}{30}{subsubsection.4.13.2.9}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_ae589cf04b9d7e3db192eaa1289a84961}{{4.13.2.9}{30}{NcoefCnt\relax }{subsubsection.4.13.2.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.10}NUMCoefsCnt}{30}{subsubsection.4.13.2.10}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a406d0eb12d4380f36270aab4bf6f6207}{{4.13.2.10}{30}{NUMCoefsCnt\relax }{subsubsection.4.13.2.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.11}out\_\discretionary {-}{}{}Rotate\_\discretionary {-}{}{}Buff}{30}{subsubsection.4.13.2.11}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a535d87e80b0031881e3dc1dc44a970d9}{{4.13.2.11}{30}{out\_\-Rotate\_\-Buff\relax }{subsubsection.4.13.2.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.12}RAMblk}{30}{subsubsection.4.13.2.12}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a0c0627ff9279d2f20a9aa37c708a1fce}{{4.13.2.12}{30}{RAMblk\relax }{subsubsection.4.13.2.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.13}RD}{30}{subsubsection.4.13.2.13}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a5ae6195ef0445d5a4239c9a2f65350b8}{{4.13.2.13}{30}{RD\relax }{subsubsection.4.13.2.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.14}REN}{31}{subsubsection.4.13.2.14}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_af99bfeb78cd019d20fca71852431e13c}{{4.13.2.14}{31}{REN\relax }{subsubsection.4.13.2.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.15}Rotate\_\discretionary {-}{}{}BuffT}{31}{subsubsection.4.13.2.15}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a0973f78cd2a24750df6263c8b82f3e06}{{4.13.2.15}{31}{Rotate\_\-BuffT\relax }{subsubsection.4.13.2.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.16}sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}old}{31}{subsubsection.4.13.2.16}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a6b0bda2058d2f3633b999eb2c8047a6d}{{4.13.2.16}{31}{sample\_\-clk\_\-old\relax }{subsubsection.4.13.2.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.17}state}{31}{subsubsection.4.13.2.17}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a7ffb9715f48dde4d55b5c403d47f1d8b}{{4.13.2.17}{31}{state\relax }{subsubsection.4.13.2.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.18}stateT}{31}{subsubsection.4.13.2.18}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_ac1ef9230c399af69ed8007a8db6119e6}{{4.13.2.18}{31}{stateT\relax }{subsubsection.4.13.2.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.19}WADDR\_\discretionary {-}{}{}back}{31}{subsubsection.4.13.2.19}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a85768e491b99226f113e6f720ad7fbca}{{4.13.2.19}{31}{WADDR\_\-back\relax }{subsubsection.4.13.2.19}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.20}WD}{31}{subsubsection.4.13.2.20}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a98abc3faa240dd88874482c580a804c9}{{4.13.2.20}{31}{WD\relax }{subsubsection.4.13.2.20}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.21}WD\_\discretionary {-}{}{}D}{31}{subsubsection.4.13.2.21}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_ab66e95dafe32ebdc79e44c92b5955c97}{{4.13.2.21}{31}{WD\_\-D\relax }{subsubsection.4.13.2.21}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.22}WDreg}{31}{subsubsection.4.13.2.22}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_af8aff8eb29bd027d9af7aa16319924c9}{{4.13.2.22}{31}{WDreg\relax }{subsubsection.4.13.2.22}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.23}WEN}{31}{subsubsection.4.13.2.23}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a30582c93a2164e4392905c4edcdb0d9d}{{4.13.2.23}{31}{WEN\relax }{subsubsection.4.13.2.23}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.24}WEN\_\discretionary {-}{}{}D}{31}{subsubsection.4.13.2.24}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a22fc0d93cbdf2461f48a980ef97cc873}{{4.13.2.24}{31}{WEN\_\-D\relax }{subsubsection.4.13.2.24}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.13.2.25}WRreg}{31}{subsubsection.4.13.2.25}} +\newlabel{class_filter_c_t_r_l_r_1_1ar___filter_c_t_r_l_r_a0ae621d363ade41258886e48af080c5e}{{4.13.2.25}{31}{WRreg\relax }{subsubsection.4.13.2.25}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.14}ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR Architecture Reference}{31}{section.4.14}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r}{{4.14}{31}{ar\_\-IIR\_\-CEL\_\-CTRLR Architecture Reference\relax }{section.4.14}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.14.1}Member Function Documentation}{34}{subsection.4.14.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.1.1}PROCESS\_\discretionary {-}{}{}7}{34}{subsubsection.4.14.1.1}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a64dc74fae30624876c5f5fd7c1c5bbb5}{{4.14.1.1}{34}{PROCESS\_\-7\relax }{subsubsection.4.14.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.14.2}Member Data Documentation}{34}{subsection.4.14.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.1}ALU\_\discretionary {-}{}{}Coef\_\discretionary {-}{}{}in}{34}{subsubsection.4.14.2.1}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a0858cebcc74f9552e65b13ad504669ad}{{4.14.2.1}{34}{ALU\_\-Coef\_\-in\relax }{subsubsection.4.14.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.2}ALU\_\discretionary {-}{}{}ctrl}{34}{subsubsection.4.14.2.2}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a0d589e711944eda8bc928453be789a59}{{4.14.2.2}{34}{ALU\_\-ctrl\relax }{subsubsection.4.14.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.3}ALU\_\discretionary {-}{}{}inst}{34}{subsubsection.4.14.2.3}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_abef8a586b1971fd35bb7a7f9bcdd98c0}{{4.14.2.3}{34}{ALU\_\-inst\relax }{subsubsection.4.14.2.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.4}ALU\_\discretionary {-}{}{}out}{34}{subsubsection.4.14.2.4}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a673f076745ddb5717d141ffa1e687a1c}{{4.14.2.4}{34}{ALU\_\-out\relax }{subsubsection.4.14.2.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.5}ALU\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in}{34}{subsubsection.4.14.2.5}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_afe5d96c9c2dad2374842f5730b545620}{{4.14.2.5}{34}{ALU\_\-sample\_\-in\relax }{subsubsection.4.14.2.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.6}count}{34}{subsubsection.4.14.2.6}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a417d68086e9c4a7c9b9a7ee48db3d184}{{4.14.2.6}{34}{count\relax }{subsubsection.4.14.2.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.7}curentCel}{34}{subsubsection.4.14.2.7}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a113c091eb64ca913a1675698b8ce1ddf}{{4.14.2.7}{34}{curentCel\relax }{subsubsection.4.14.2.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.8}curentChan}{34}{subsubsection.4.14.2.8}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a79896254b79d6545a1fdbdd5a3442c5a}{{4.14.2.8}{34}{curentChan\relax }{subsubsection.4.14.2.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.9}fsmIIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}T}{34}{subsubsection.4.14.2.9}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_ad64978ce2a783c3c146a9420213e9f31}{{4.14.2.9}{34}{fsmIIR\_\-CEL\_\-T\relax }{subsubsection.4.14.2.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.10}GO\_\discretionary {-}{}{}0}{34}{subsubsection.4.14.2.10}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_abea50efcab5b7d9d6bf403252cad8589}{{4.14.2.10}{34}{GO\_\-0\relax }{subsubsection.4.14.2.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.11}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}STATE}{34}{subsubsection.4.14.2.11}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a1d133d9ae2c9ea776c6543bf43557a05}{{4.14.2.11}{34}{IIR\_\-CEL\_\-STATE\relax }{subsubsection.4.14.2.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.12}RAM\_\discretionary {-}{}{}CTRLR2inst}{34}{subsubsection.4.14.2.12}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a71532d6c744550aab43c2ea3626b939f}{{4.14.2.12}{34}{RAM\_\-CTRLR2inst\relax }{subsubsection.4.14.2.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.13}RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in}{34}{subsubsection.4.14.2.13}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_ab7cfb14a461472ce188a2202f3e68670}{{4.14.2.13}{34}{RAM\_\-sample\_\-in\relax }{subsubsection.4.14.2.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.14}RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in\_\discretionary {-}{}{}bk}{34}{subsubsection.4.14.2.14}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a10f1c2326c703bc6bb82e6023939c4e7}{{4.14.2.14}{34}{RAM\_\-sample\_\-in\_\-bk\relax }{subsubsection.4.14.2.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.15}RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}out}{34}{subsubsection.4.14.2.15}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a7519ab288642130c0e3fc667987228d2}{{4.14.2.15}{34}{RAM\_\-sample\_\-out\relax }{subsubsection.4.14.2.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.16}Read}{34}{subsubsection.4.14.2.16}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_ae094a492c5a9c5ed6cd13c623ebd5f18}{{4.14.2.16}{34}{Read\relax }{subsubsection.4.14.2.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.17}sample\_\discretionary {-}{}{}in\_\discretionary {-}{}{}BUFF}{34}{subsubsection.4.14.2.17}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a00951324332647cd2879475576230394}{{4.14.2.17}{34}{sample\_\-in\_\-BUFF\relax }{subsubsection.4.14.2.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.18}sample\_\discretionary {-}{}{}out\_\discretionary {-}{}{}BUFF}{34}{subsubsection.4.14.2.18}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_ac0981e31085ed73af23943d90d7e01b8}{{4.14.2.18}{34}{sample\_\-out\_\-BUFF\relax }{subsubsection.4.14.2.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.19}smpl\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}old}{34}{subsubsection.4.14.2.19}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_aea2f41caadc8b602515f76389cece15d}{{4.14.2.19}{34}{smpl\_\-clk\_\-old\relax }{subsubsection.4.14.2.19}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.20}SVG\_\discretionary {-}{}{}ADDR}{34}{subsubsection.4.14.2.20}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a2ada40bdb88c0d986fa58191d1982a34}{{4.14.2.20}{34}{SVG\_\-ADDR\relax }{subsubsection.4.14.2.20}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.21}WADDR\_\discretionary {-}{}{}sel}{34}{subsubsection.4.14.2.21}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_abc5e3696b3f55205bb677652cd350b89}{{4.14.2.21}{34}{WADDR\_\-sel\relax }{subsubsection.4.14.2.21}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.22}WD\_\discretionary {-}{}{}sel}{34}{subsubsection.4.14.2.22}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a21130724ac0c1b17186826b656b1002c}{{4.14.2.22}{34}{WD\_\-sel\relax }{subsubsection.4.14.2.22}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.14.2.23}Write}{34}{subsubsection.4.14.2.23}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_1_1ar___i_i_r___c_e_l___c_t_r_l_r_a2c35980e39c5efeb1b29bc4a090dff3c}{{4.14.2.23}{34}{Write\relax }{subsubsection.4.14.2.23}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.15}ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER Architecture Reference}{35}{section.4.15}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_1_1ar___i_i_r___c_e_l___f_i_l_t_e_r}{{4.15}{35}{ar\_\-IIR\_\-CEL\_\-FILTER Architecture Reference\relax }{section.4.15}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.15.1}Member Data Documentation}{35}{subsection.4.15.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.15.1.1}CTRLR}{35}{subsubsection.4.15.1.1}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_1_1ar___i_i_r___c_e_l___f_i_l_t_e_r_acdc3321b72ac8a705754587c86465201}{{4.15.1.1}{35}{CTRLR\relax }{subsubsection.4.15.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.15.1.2}virg\_\discretionary {-}{}{}pos}{35}{subsubsection.4.15.1.2}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_1_1ar___i_i_r___c_e_l___f_i_l_t_e_r_a89a808ac480903b21f83055f1cd32f69}{{4.15.1.2}{35}{virg\_\-pos\relax }{subsubsection.4.15.1.2}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.16}ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE Architecture Reference}{35}{section.4.16}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e}{{4.16}{35}{ar\_\-LCD\_\-16x2\_\-ENGINE Architecture Reference\relax }{section.4.16}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.16.1}Member Function Documentation}{37}{subsection.4.16.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.1.1}PROCESS\_\discretionary {-}{}{}1}{37}{subsubsection.4.16.1.1}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_ae5f1357d369aa40a577e9ebbd28bc47f}{{4.16.1.1}{37}{PROCESS\_\-1\relax }{subsubsection.4.16.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.16.2}Member Data Documentation}{37}{subsection.4.16.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.1}CMD\_\discretionary {-}{}{}Flag}{37}{subsubsection.4.16.2.1}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_a70c9be1a671e788a9eec6b5e63819282}{{4.16.2.1}{37}{CMD\_\-Flag\relax }{subsubsection.4.16.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.2}ConfigTbl}{37}{subsubsection.4.16.2.2}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_a0c6f1028690042a5e63e406dd7b4fcd0}{{4.16.2.2}{37}{ConfigTbl\relax }{subsubsection.4.16.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.3}Driver0}{37}{subsubsection.4.16.2.3}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_a6cac5a237cf73b3e2f633f05c45582ed}{{4.16.2.3}{37}{Driver0\relax }{subsubsection.4.16.2.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.4}DRIVER\_\discretionary {-}{}{}CMD}{37}{subsubsection.4.16.2.4}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_ae67e044b2a70650f9a01571fad96e6a1}{{4.16.2.4}{37}{DRIVER\_\-CMD\relax }{subsubsection.4.16.2.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.5}Exec\_\discretionary {-}{}{}Reg}{37}{subsubsection.4.16.2.5}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_a83a5101fa410aecbb131b64410cfcd70}{{4.16.2.5}{37}{Exec\_\-Reg\relax }{subsubsection.4.16.2.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.6}FRAME\_\discretionary {-}{}{}CLK}{37}{subsubsection.4.16.2.6}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_a3681bbd9c7eafbebf1d57ad87155a9b4}{{4.16.2.6}{37}{FRAME\_\-CLK\relax }{subsubsection.4.16.2.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.7}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN0}{37}{subsubsection.4.16.2.7}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_aff3dfb84952a1eadf7772d12454318e5}{{4.16.2.7}{37}{FRAME\_\-CLK\_\-GEN0\relax }{subsubsection.4.16.2.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.8}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}reg}{37}{subsubsection.4.16.2.8}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_af8eb331419187a1877306bf7b3d3bd68}{{4.16.2.8}{37}{FRAME\_\-CLK\_\-reg\relax }{subsubsection.4.16.2.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.9}i}{37}{subsubsection.4.16.2.9}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_a110aa762e51ae5c38643115f450576b6}{{4.16.2.9}{37}{i\relax }{subsubsection.4.16.2.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.10}RefreshFlag}{37}{subsubsection.4.16.2.10}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_a32ccd8b56f2e961e875f4f32e328b3b5}{{4.16.2.10}{37}{RefreshFlag\relax }{subsubsection.4.16.2.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.11}state}{37}{subsubsection.4.16.2.11}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_a9eb934037f0890b3bb6976d8faa6b8ae}{{4.16.2.11}{37}{state\relax }{subsubsection.4.16.2.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.12}state\_\discretionary {-}{}{}t}{37}{subsubsection.4.16.2.12}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_a3d09e0ab47a3effcb1c7095e4f082b90}{{4.16.2.12}{37}{state\_\-t\relax }{subsubsection.4.16.2.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.16.2.13}SYNCH}{37}{subsubsection.4.16.2.13}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_1_1ar___l_c_d__16x2___e_n_g_i_n_e_af5bb5dabc001ab5d213eeb62a4fd6ea3}{{4.16.2.13}{37}{SYNCH\relax }{subsubsection.4.16.2.13}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.17}ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR Architecture Reference}{37}{section.4.17}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_1_1ar___l_c_d___c_l_k___g_e_n_e_r_a_t_o_r}{{4.17}{37}{ar\_\-LCD\_\-CLK\_\-GENERATOR Architecture Reference\relax }{section.4.17}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.17.1}Member Function Documentation}{38}{subsection.4.17.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.17.1.1}PROCESS\_\discretionary {-}{}{}3}{38}{subsubsection.4.17.1.1}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_1_1ar___l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_ad3d99f8eaa9b0e8e43fe5d87abed6f74}{{4.17.1.1}{38}{PROCESS\_\-3\relax }{subsubsection.4.17.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.17.2}Member Data Documentation}{38}{subsection.4.17.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.17.2.1}clk\_\discretionary {-}{}{}1us\_\discretionary {-}{}{}int}{38}{subsubsection.4.17.2.1}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_1_1ar___l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_a3667faf2d118320e209feecdb1df6ff7}{{4.17.2.1}{38}{clk\_\-1us\_\-int\relax }{subsubsection.4.17.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.17.2.2}clk\_\discretionary {-}{}{}1usTRIGER}{38}{subsubsection.4.17.2.2}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_1_1ar___l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_a6f47d1b1a9f39cfbbb0e8261cdcb8a3b}{{4.17.2.2}{38}{clk\_\-1usTRIGER\relax }{subsubsection.4.17.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.17.2.3}cpt1}{38}{subsubsection.4.17.2.3}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_1_1ar___l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_a96048ce6e33cc812a251a2513f3d53c7}{{4.17.2.3}{38}{cpt1\relax }{subsubsection.4.17.2.3}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.18}ar\_\discretionary {-}{}{}MAC Architecture Reference}{38}{section.4.18}} +\newlabel{class_m_a_c_1_1ar___m_a_c}{{4.18}{38}{ar\_\-MAC Architecture Reference\relax }{section.4.18}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.18.1}Member Data Documentation}{42}{subsection.4.18.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.1}add}{42}{subsubsection.4.18.1.1}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a3638674254a536c03b5f2e624b009099}{{4.18.1.1}{42}{add\relax }{subsubsection.4.18.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.2}add\_\discretionary {-}{}{}D}{42}{subsubsection.4.18.1.2}} +\newlabel{class_m_a_c_1_1ar___m_a_c_ad5a684ce4aba022cd3112caa0bb3e2f0}{{4.18.1.2}{42}{add\_\-D\relax }{subsubsection.4.18.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.3}adder\_\discretionary {-}{}{}inst}{42}{subsubsection.4.18.1.3}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a762c7adf70d3f8d448b24f0059772721}{{4.18.1.3}{42}{adder\_\-inst\relax }{subsubsection.4.18.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.4}ADDERinA}{42}{subsubsection.4.18.1.4}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a5e4ccc188d57b534eb314144abc0a560}{{4.18.1.4}{42}{ADDERinA\relax }{subsubsection.4.18.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.5}ADDERinB}{42}{subsubsection.4.18.1.5}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a51c2b0f3d7c9c90dc274616a7f029194}{{4.18.1.5}{42}{ADDERinB\relax }{subsubsection.4.18.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.6}ADDERout}{42}{subsubsection.4.18.1.6}} +\newlabel{class_m_a_c_1_1ar___m_a_c_ab99bb65c26bf425891971b4227e9281d}{{4.18.1.6}{42}{ADDERout\relax }{subsubsection.4.18.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.7}addREG}{42}{subsubsection.4.18.1.7}} +\newlabel{class_m_a_c_1_1ar___m_a_c_abe0fc49fe1ff342b1f947fdce495c728}{{4.18.1.7}{42}{addREG\relax }{subsubsection.4.18.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.8}clr\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}D}{42}{subsubsection.4.18.1.8}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a73c2c57cbbd6787eeba6d829897c2057}{{4.18.1.8}{42}{clr\_\-MAC\_\-D\relax }{subsubsection.4.18.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.9}clr\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}D\_\discretionary {-}{}{}D}{42}{subsubsection.4.18.1.9}} +\newlabel{class_m_a_c_1_1ar___m_a_c_ae010272a9e89faf5948c639455f74609}{{4.18.1.9}{42}{clr\_\-MAC\_\-D\_\-D\relax }{subsubsection.4.18.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.10}clr\_\discretionary {-}{}{}MACREG1}{42}{subsubsection.4.18.1.10}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a1d1ca68f3eadce7a9a7d2483a932603e}{{4.18.1.10}{42}{clr\_\-MACREG1\relax }{subsubsection.4.18.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.11}clr\_\discretionary {-}{}{}MACREG2}{42}{subsubsection.4.18.1.11}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a88c2fd4e833b90dc8383bcd7c6d5247b}{{4.18.1.11}{42}{clr\_\-MACREG2\relax }{subsubsection.4.18.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.12}MAC\_\discretionary {-}{}{}CONTROLER1}{42}{subsubsection.4.18.1.12}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a92259892398ea98cc1d2226d17df3765}{{4.18.1.12}{42}{MAC\_\-CONTROLER1\relax }{subsubsection.4.18.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.13}MAC\_\discretionary {-}{}{}MUX2\_\discretionary {-}{}{}inst}{42}{subsubsection.4.18.1.13}} +\newlabel{class_m_a_c_1_1ar___m_a_c_adac1722997c6351c2f184ba86d087165}{{4.18.1.13}{42}{MAC\_\-MUX2\_\-inst\relax }{subsubsection.4.18.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.14}MACMUX2sel}{42}{subsubsection.4.18.1.14}} +\newlabel{class_m_a_c_1_1ar___m_a_c_acf3494f22459d676d2e3fd1a6d480dbb}{{4.18.1.14}{42}{MACMUX2sel\relax }{subsubsection.4.18.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.15}MACMUX2sel\_\discretionary {-}{}{}D}{42}{subsubsection.4.18.1.15}} +\newlabel{class_m_a_c_1_1ar___m_a_c_ae8ee465da12efd143e005cf0617845f2}{{4.18.1.15}{42}{MACMUX2sel\_\-D\relax }{subsubsection.4.18.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.16}MACMUX2sel\_\discretionary {-}{}{}D\_\discretionary {-}{}{}D}{42}{subsubsection.4.18.1.16}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a8e1722d88b9dde5e68d011fe460ee144}{{4.18.1.16}{42}{MACMUX2sel\_\-D\_\-D\relax }{subsubsection.4.18.1.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.17}MACMUX2selREG}{42}{subsubsection.4.18.1.17}} +\newlabel{class_m_a_c_1_1ar___m_a_c_ae77efb290b1c354c401f942702a0ea38}{{4.18.1.17}{42}{MACMUX2selREG\relax }{subsubsection.4.18.1.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.18}MACMUX2selREG2}{42}{subsubsection.4.18.1.18}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a9aadc53c5bfa0513ff4767f601cd7605}{{4.18.1.18}{42}{MACMUX2selREG2\relax }{subsubsection.4.18.1.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.19}MACMUX\_\discretionary {-}{}{}inst}{42}{subsubsection.4.18.1.19}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a9ce3577e24d0b673f93f80f9e98ba1b5}{{4.18.1.19}{42}{MACMUX\_\-inst\relax }{subsubsection.4.18.1.19}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.20}MACMUXsel}{42}{subsubsection.4.18.1.20}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a43e114f3bb87ab18088268253f7baba1}{{4.18.1.20}{42}{MACMUXsel\relax }{subsubsection.4.18.1.20}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.21}MACMUXsel\_\discretionary {-}{}{}D}{42}{subsubsection.4.18.1.21}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a87bcfd6997ca16de1bd2166492b8b16d}{{4.18.1.21}{42}{MACMUXsel\_\-D\relax }{subsubsection.4.18.1.21}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.22}MACMUXselREG}{42}{subsubsection.4.18.1.22}} +\newlabel{class_m_a_c_1_1ar___m_a_c_ad2be7ab988e08406c311152f2a1c986b}{{4.18.1.22}{42}{MACMUXselREG\relax }{subsubsection.4.18.1.22}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.23}mult}{42}{subsubsection.4.18.1.23}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a663aa3d729f557d5ad1b438c30b29f1f}{{4.18.1.23}{42}{mult\relax }{subsubsection.4.18.1.23}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.24}Multiplieri\_\discretionary {-}{}{}nst}{42}{subsubsection.4.18.1.24}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a3f44a8c2a44699acc60fa03e49331a54}{{4.18.1.24}{42}{Multiplieri\_\-nst\relax }{subsubsection.4.18.1.24}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.25}MULTout}{42}{subsubsection.4.18.1.25}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a3ff8f6cf3c34c7f6f6633e5bda1f26e9}{{4.18.1.25}{42}{MULTout\relax }{subsubsection.4.18.1.25}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.26}MULTout\_\discretionary {-}{}{}D}{42}{subsubsection.4.18.1.26}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a6a679cc15a2a8cfa37d0171cbc081f12}{{4.18.1.26}{42}{MULTout\_\-D\relax }{subsubsection.4.18.1.26}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.27}MULToutREG}{42}{subsubsection.4.18.1.27}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a6348573df251f2ccf75c4f2c303c7eba}{{4.18.1.27}{42}{MULToutREG\relax }{subsubsection.4.18.1.27}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.28}OP1\_\discretionary {-}{}{}D}{42}{subsubsection.4.18.1.28}} +\newlabel{class_m_a_c_1_1ar___m_a_c_abef0413592649ff24d67494f4e8af76c}{{4.18.1.28}{42}{OP1\_\-D\relax }{subsubsection.4.18.1.28}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.29}OP1\_\discretionary {-}{}{}D\_\discretionary {-}{}{}Resz}{42}{subsubsection.4.18.1.29}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a50b056ff6f894e691771978e69d52e34}{{4.18.1.29}{42}{OP1\_\-D\_\-Resz\relax }{subsubsection.4.18.1.29}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.30}OP1REG}{42}{subsubsection.4.18.1.30}} +\newlabel{class_m_a_c_1_1ar___m_a_c_abd1334350316c8af4e976087f09de8e5}{{4.18.1.30}{42}{OP1REG\relax }{subsubsection.4.18.1.30}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.31}OP2\_\discretionary {-}{}{}D}{42}{subsubsection.4.18.1.31}} +\newlabel{class_m_a_c_1_1ar___m_a_c_a03e095d0360d757de03eb6995fdf5607}{{4.18.1.31}{42}{OP2\_\-D\relax }{subsubsection.4.18.1.31}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.32}OP2\_\discretionary {-}{}{}D\_\discretionary {-}{}{}Resz}{42}{subsubsection.4.18.1.32}} +\newlabel{class_m_a_c_1_1ar___m_a_c_ac36f886a0e47e92b4ffb8a277fb77553}{{4.18.1.32}{42}{OP2\_\-D\_\-Resz\relax }{subsubsection.4.18.1.32}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.18.1.33}OP2REG}{42}{subsubsection.4.18.1.33}} +\newlabel{class_m_a_c_1_1ar___m_a_c_ad8c040732a2650365dfcd83c2b187374}{{4.18.1.33}{42}{OP2REG\relax }{subsubsection.4.18.1.33}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.19}ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}CONTROLER Architecture Reference}{43}{section.4.19}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_1_1ar___m_a_c___c_o_n_t_r_o_l_e_r}{{4.19}{43}{ar\_\-MAC\_\-CONTROLER Architecture Reference\relax }{section.4.19}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.20}ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}MUX Architecture Reference}{43}{section.4.20}} +\newlabel{class_m_a_c___m_u_x_1_1ar___m_a_c___m_u_x}{{4.20}{43}{ar\_\-MAC\_\-MUX Architecture Reference\relax }{section.4.20}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.21}ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}MUX2 Architecture Reference}{44}{section.4.21}} +\newlabel{class_m_a_c___m_u_x2_1_1ar___m_a_c___m_u_x2}{{4.21}{44}{ar\_\-MAC\_\-MUX2 Architecture Reference\relax }{section.4.21}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.22}ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}REG Architecture Reference}{45}{section.4.22}} +\newlabel{class_m_a_c___r_e_g_1_1ar___m_a_c___r_e_g}{{4.22}{45}{ar\_\-MAC\_\-REG Architecture Reference\relax }{section.4.22}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.22.1}Member Function Documentation}{46}{subsection.4.22.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.22.1.1}PROCESS\_\discretionary {-}{}{}14}{46}{subsubsection.4.22.1.1}} +\newlabel{class_m_a_c___r_e_g_1_1ar___m_a_c___r_e_g_a611c87673cdbbce0e2f1707f6ef913a1}{{4.22.1.1}{46}{PROCESS\_\-14\relax }{subsubsection.4.22.1.1}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.23}ar\_\discretionary {-}{}{}Multiplier Architecture Reference}{46}{section.4.23}} +\newlabel{class_multiplier_1_1ar___multiplier}{{4.23}{46}{ar\_\-Multiplier Architecture Reference\relax }{section.4.23}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.23.1}Member Function Documentation}{47}{subsection.4.23.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.23.1.1}PROCESS\_\discretionary {-}{}{}15}{47}{subsubsection.4.23.1.1}} +\newlabel{class_multiplier_1_1ar___multiplier_a96190f818f4f51f339fada68fe9b41ef}{{4.23.1.1}{47}{PROCESS\_\-15\relax }{subsubsection.4.23.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.23.2}Member Data Documentation}{47}{subsection.4.23.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.23.2.1}REG}{47}{subsubsection.4.23.2.1}} +\newlabel{class_multiplier_1_1ar___multiplier_acc590bf568208e0fa2e7a3bfc833fd94}{{4.23.2.1}{47}{REG\relax }{subsubsection.4.23.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.23.2.2}RESMULT}{47}{subsubsection.4.23.2.2}} +\newlabel{class_multiplier_1_1ar___multiplier_a287d0bc043ef386f54c6382018077c9d}{{4.23.2.2}{47}{RESMULT\relax }{subsubsection.4.23.2.2}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.24}ar\_\discretionary {-}{}{}MUX2 Architecture Reference}{48}{section.4.24}} +\newlabel{class_m_u_x2_1_1ar___m_u_x2}{{4.24}{48}{ar\_\-MUX2 Architecture Reference\relax }{section.4.24}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.25}ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL Architecture Reference}{48}{section.4.25}} +\newlabel{class_r_a_m___c_e_l_1_1ar___r_a_m___c_e_l}{{4.25}{48}{ar\_\-RAM\_\-CEL Architecture Reference\relax }{section.4.25}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.25.1}Member Function Documentation}{49}{subsection.4.25.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.25.1.1}PROCESS\_\discretionary {-}{}{}9}{49}{subsubsection.4.25.1.1}} +\newlabel{class_r_a_m___c_e_l_1_1ar___r_a_m___c_e_l_a2891ab9023f6b231b1e1a18fbd26cdee}{{4.25.1.1}{49}{PROCESS\_\-9\relax }{subsubsection.4.25.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.25.2}Member Data Documentation}{49}{subsection.4.25.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.25.2.1}RAMarray}{49}{subsubsection.4.25.2.1}} +\newlabel{class_r_a_m___c_e_l_1_1ar___r_a_m___c_e_l_ae0bbd484d175023d2c8be16f1f273c0c}{{4.25.2.1}{49}{RAMarray\relax }{subsubsection.4.25.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.25.2.2}RAMarrayT}{49}{subsubsection.4.25.2.2}} +\newlabel{class_r_a_m___c_e_l_1_1ar___r_a_m___c_e_l_a9a13c3b5d5f510cd371e11a6b4386e94}{{4.25.2.2}{49}{RAMarrayT\relax }{subsubsection.4.25.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.25.2.3}RD\_\discretionary {-}{}{}int}{49}{subsubsection.4.25.2.3}} +\newlabel{class_r_a_m___c_e_l_1_1ar___r_a_m___c_e_l_a77842eaeddfcb7cd44a0b6568caf0f54}{{4.25.2.3}{49}{RD\_\-int\relax }{subsubsection.4.25.2.3}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.26}ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2 Architecture Reference}{50}{section.4.26}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2}{{4.26}{50}{ar\_\-RAM\_\-CTRLR2 Architecture Reference\relax }{section.4.26}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.26.1}Member Data Documentation}{51}{subsection.4.26.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.1}ADDRcntr\_\discretionary {-}{}{}inst}{51}{subsubsection.4.26.1.1}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_aa8bdcc855eb974a366b4d6e6d128afe8}{{4.26.1.1}{51}{ADDRcntr\_\-inst\relax }{subsubsection.4.26.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.2}ADDRreg}{51}{subsubsection.4.26.1.2}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a48f0a002c7a834cc9049a3f4e9bf6319}{{4.26.1.2}{51}{ADDRreg\relax }{subsubsection.4.26.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.3}MUX2\_\discretionary {-}{}{}inst1}{51}{subsubsection.4.26.1.3}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_ad01e70c78bc8c60385fe6e969c0d9a17}{{4.26.1.3}{51}{MUX2\_\-inst1\relax }{subsubsection.4.26.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.4}MUX2\_\discretionary {-}{}{}inst2}{51}{subsubsection.4.26.1.4}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_ab3318df94ad3e752ed165301663ddeeb}{{4.26.1.4}{51}{MUX2\_\-inst2\relax }{subsubsection.4.26.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.5}RADDR}{51}{subsubsection.4.26.1.5}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_afce0b1942f1fb2d670ac4e88dd2f8ee1}{{4.26.1.5}{51}{RADDR\relax }{subsubsection.4.26.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.6}RAMblk}{51}{subsubsection.4.26.1.6}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a0c0627ff9279d2f20a9aa37c708a1fce}{{4.26.1.6}{51}{RAMblk\relax }{subsubsection.4.26.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.7}RAMblk}{51}{subsubsection.4.26.1.7}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a7b220dfca351f40fe4282aa364e6e88f}{{4.26.1.7}{51}{RAMblk\relax }{subsubsection.4.26.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.8}RD}{51}{subsubsection.4.26.1.8}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a5ae6195ef0445d5a4239c9a2f65350b8}{{4.26.1.8}{51}{RD\relax }{subsubsection.4.26.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.9}REN}{51}{subsubsection.4.26.1.9}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_af99bfeb78cd019d20fca71852431e13c}{{4.26.1.9}{51}{REN\relax }{subsubsection.4.26.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.10}WADDR}{51}{subsubsection.4.26.1.10}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a6cacd639bb552ce777ef7f56d893b616}{{4.26.1.10}{51}{WADDR\relax }{subsubsection.4.26.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.11}WADDR\_\discretionary {-}{}{}back}{51}{subsubsection.4.26.1.11}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a85768e491b99226f113e6f720ad7fbca}{{4.26.1.11}{51}{WADDR\_\-back\relax }{subsubsection.4.26.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.12}WADDR\_\discretionary {-}{}{}back\_\discretionary {-}{}{}D}{51}{subsubsection.4.26.1.12}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_af35e788ee9fcb9b4c205039a5855d915}{{4.26.1.12}{51}{WADDR\_\-back\_\-D\relax }{subsubsection.4.26.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.13}WADDR\_\discretionary {-}{}{}backreg}{51}{subsubsection.4.26.1.13}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a2bec9bf4a6426987d63f44fd27652be4}{{4.26.1.13}{51}{WADDR\_\-backreg\relax }{subsubsection.4.26.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.14}WADDR\_\discretionary {-}{}{}backreg2}{51}{subsubsection.4.26.1.14}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a3873d0c58217a9fce0ffddbcc6ea9229}{{4.26.1.14}{51}{WADDR\_\-backreg2\relax }{subsubsection.4.26.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.15}WADDR\_\discretionary {-}{}{}D}{51}{subsubsection.4.26.1.15}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a4b81441ffdf73538dd5c4500889c22cc}{{4.26.1.15}{51}{WADDR\_\-D\relax }{subsubsection.4.26.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.16}WD}{51}{subsubsection.4.26.1.16}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a98abc3faa240dd88874482c580a804c9}{{4.26.1.16}{51}{WD\relax }{subsubsection.4.26.1.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.17}WD\_\discretionary {-}{}{}D}{51}{subsubsection.4.26.1.17}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_ab66e95dafe32ebdc79e44c92b5955c97}{{4.26.1.17}{51}{WD\_\-D\relax }{subsubsection.4.26.1.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.18}WDRreg}{51}{subsubsection.4.26.1.18}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_ae64f1bf83f17e303768e1ddc43760bd4}{{4.26.1.18}{51}{WDRreg\relax }{subsubsection.4.26.1.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.26.1.19}WEN}{51}{subsubsection.4.26.1.19}} +\newlabel{class_r_a_m___c_t_r_l_r2_1_1ar___r_a_m___c_t_r_l_r2_a30582c93a2164e4392905c4edcdb0d9d}{{4.26.1.19}{51}{WEN\relax }{subsubsection.4.26.1.19}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.27}ar\_\discretionary {-}{}{}REG Architecture Reference}{52}{section.4.27}} +\newlabel{class_r_e_g_1_1ar___r_e_g}{{4.27}{52}{ar\_\-REG Architecture Reference\relax }{section.4.27}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.27.1}Member Function Documentation}{52}{subsection.4.27.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.27.1.1}PROCESS\_\discretionary {-}{}{}16}{52}{subsubsection.4.27.1.1}} +\newlabel{class_r_e_g_1_1ar___r_e_g_a902f7fd67375fb1386a594d3917de268}{{4.27.1.1}{52}{PROCESS\_\-16\relax }{subsubsection.4.27.1.1}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.28}ar\_\discretionary {-}{}{}RShifter Architecture Reference}{52}{section.4.28}} +\newlabel{class_r_shifter_1_1ar___r_shifter}{{4.28}{52}{ar\_\-RShifter Architecture Reference\relax }{section.4.28}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.28.1}Member Function Documentation}{53}{subsection.4.28.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.28.1.1}PROCESS\_\discretionary {-}{}{}17}{53}{subsubsection.4.28.1.1}} +\newlabel{class_r_shifter_1_1ar___r_shifter_ad89c42ce2f0084cadfe923763e784e30}{{4.28.1.1}{53}{PROCESS\_\-17\relax }{subsubsection.4.28.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.28.2}Member Data Documentation}{53}{subsection.4.28.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.28.2.1}REG}{53}{subsubsection.4.28.2.1}} +\newlabel{class_r_shifter_1_1ar___r_shifter_a7e1d6814d495b6d78df6e6fb2b550989}{{4.28.2.1}{53}{REG\relax }{subsubsection.4.28.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.28.2.2}RESSHIFT}{53}{subsubsection.4.28.2.2}} +\newlabel{class_r_shifter_1_1ar___r_shifter_a8379751974701483ed707c988cbd43f7}{{4.28.2.2}{53}{RESSHIFT\relax }{subsubsection.4.28.2.2}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.29}ar\_\discretionary {-}{}{}TestbenshALU Architecture Reference}{53}{section.4.29}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u}{{4.29}{53}{ar\_\-TestbenshALU Architecture Reference\relax }{section.4.29}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.29.1}Member Function Documentation}{54}{subsection.4.29.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.1.1}PROCESS\_\discretionary {-}{}{}18}{54}{subsubsection.4.29.1.1}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_a0c05f2855033783ea448f3c93d274a33}{{4.29.1.1}{54}{PROCESS\_\-18\relax }{subsubsection.4.29.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.29.2}Member Data Documentation}{54}{subsection.4.29.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.1}ADD}{54}{subsubsection.4.29.2.1}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_aecb3f18c8a2c8a1679f2c6bf637bc033}{{4.29.2.1}{54}{ADD\relax }{subsubsection.4.29.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.2}ALU1}{54}{subsubsection.4.29.2.2}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_a35349e29564c441a9f99ae0424bee5a3}{{4.29.2.2}{54}{ALU1\relax }{subsubsection.4.29.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.3}clk}{54}{subsubsection.4.29.2.3}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_a4414d4571c4c1eb4b67c5dd29eee217a}{{4.29.2.3}{54}{clk\relax }{subsubsection.4.29.2.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.4}clr\_\discretionary {-}{}{}mac}{54}{subsubsection.4.29.2.4}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_a7623f2dff22f3f6ddbb2d840e7634f23}{{4.29.2.4}{54}{clr\_\-mac\relax }{subsubsection.4.29.2.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.5}ctrl}{54}{subsubsection.4.29.2.5}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_a6fd2807edffc5ec9613503ce109fc9de}{{4.29.2.5}{54}{ctrl\relax }{subsubsection.4.29.2.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.6}IDLE}{55}{subsubsection.4.29.2.6}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_abe9dc56420a8414332bc5c193ae8523c}{{4.29.2.6}{55}{IDLE\relax }{subsubsection.4.29.2.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.7}MAC}{55}{subsubsection.4.29.2.7}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_a87e88e982b36437946e39c183700b58d}{{4.29.2.7}{55}{MAC\relax }{subsubsection.4.29.2.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.8}MULT}{55}{subsubsection.4.29.2.8}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_ad988aaa30656f240d9affcf791515523}{{4.29.2.8}{55}{MULT\relax }{subsubsection.4.29.2.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.9}OP1sz}{55}{subsubsection.4.29.2.9}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_ab791cc6621a569d0b695daf410cebbc9}{{4.29.2.9}{55}{OP1sz\relax }{subsubsection.4.29.2.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.10}OP2sz}{55}{subsubsection.4.29.2.10}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_afde676373b5c9bac605c7f8b4b69d6dc}{{4.29.2.10}{55}{OP2sz\relax }{subsubsection.4.29.2.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.11}Operand1}{55}{subsubsection.4.29.2.11}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_af77eeb437acf7361f1c2d61918ef9acf}{{4.29.2.11}{55}{Operand1\relax }{subsubsection.4.29.2.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.12}Operand2}{55}{subsubsection.4.29.2.12}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_a9ce8fc9a9999bf8e656710992b57f37c}{{4.29.2.12}{55}{Operand2\relax }{subsubsection.4.29.2.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.13}reset}{55}{subsubsection.4.29.2.13}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_af4c00e6d8dee9359ec8b0e2881d3932a}{{4.29.2.13}{55}{reset\relax }{subsubsection.4.29.2.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.29.2.14}Resultat}{55}{subsubsection.4.29.2.14}} +\newlabel{class_testbensh_a_l_u_1_1ar___testbensh_a_l_u_a2d5f62b1afedc59e85cb36fbc62d51f3}{{4.29.2.14}{55}{Resultat\relax }{subsubsection.4.29.2.14}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.30}ar\_\discretionary {-}{}{}TestbenshMAC Architecture Reference}{55}{section.4.30}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c}{{4.30}{55}{ar\_\-TestbenshMAC Architecture Reference\relax }{section.4.30}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.30.1}Member Function Documentation}{56}{subsection.4.30.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.1.1}PROCESS\_\discretionary {-}{}{}10}{56}{subsubsection.4.30.1.1}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_a540bc359264f67aaa23f43b6f97a026c}{{4.30.1.1}{56}{PROCESS\_\-10\relax }{subsubsection.4.30.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.30.2}Member Data Documentation}{56}{subsection.4.30.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.1}ADD}{56}{subsubsection.4.30.2.1}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_aa3434ff0d904cb7b90f4b1525cc7b31d}{{4.30.2.1}{56}{ADD\relax }{subsubsection.4.30.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.2}clk}{56}{subsubsection.4.30.2.2}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_a4414d4571c4c1eb4b67c5dd29eee217a}{{4.30.2.2}{56}{clk\relax }{subsubsection.4.30.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.3}clrMAC}{56}{subsubsection.4.30.2.3}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_af493ae01c6912195daff69116a996e07}{{4.30.2.3}{56}{clrMAC\relax }{subsubsection.4.30.2.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.4}IDLE}{56}{subsubsection.4.30.2.4}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_a88a7bccf575849d3b704e49cc594f3df}{{4.30.2.4}{56}{IDLE\relax }{subsubsection.4.30.2.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.5}MAC}{56}{subsubsection.4.30.2.5}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_a30ff9df8758b9fb83f06e5dbc57eb497}{{4.30.2.5}{56}{MAC\relax }{subsubsection.4.30.2.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.6}MAC1}{56}{subsubsection.4.30.2.6}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_a80359a0b39b1236098c07f8e7e145ca7}{{4.30.2.6}{56}{MAC1\relax }{subsubsection.4.30.2.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.7}MAC\_\discretionary {-}{}{}MUL\_\discretionary {-}{}{}ADD}{56}{subsubsection.4.30.2.7}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_ab37ab3bbee7a1d9e67357b2c14264aea}{{4.30.2.7}{56}{MAC\_\-MUL\_\-ADD\relax }{subsubsection.4.30.2.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.8}MULT}{57}{subsubsection.4.30.2.8}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_a7af7515532b4cc6f24d30ce57951cd77}{{4.30.2.8}{57}{MULT\relax }{subsubsection.4.30.2.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.9}OP1sz}{57}{subsubsection.4.30.2.9}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_ab791cc6621a569d0b695daf410cebbc9}{{4.30.2.9}{57}{OP1sz\relax }{subsubsection.4.30.2.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.10}OP2sz}{57}{subsubsection.4.30.2.10}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_afde676373b5c9bac605c7f8b4b69d6dc}{{4.30.2.10}{57}{OP2sz\relax }{subsubsection.4.30.2.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.11}Operand1}{57}{subsubsection.4.30.2.11}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_af77eeb437acf7361f1c2d61918ef9acf}{{4.30.2.11}{57}{Operand1\relax }{subsubsection.4.30.2.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.12}Operand2}{57}{subsubsection.4.30.2.12}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_a9ce8fc9a9999bf8e656710992b57f37c}{{4.30.2.12}{57}{Operand2\relax }{subsubsection.4.30.2.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.13}reset}{57}{subsubsection.4.30.2.13}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_af4c00e6d8dee9359ec8b0e2881d3932a}{{4.30.2.13}{57}{reset\relax }{subsubsection.4.30.2.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.30.2.14}Resultat}{57}{subsubsection.4.30.2.14}} +\newlabel{class_testbensh_m_a_c_1_1ar___testbensh_m_a_c_a2d5f62b1afedc59e85cb36fbc62d51f3}{{4.30.2.14}{57}{Resultat\relax }{subsubsection.4.30.2.14}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.31}Behavioral Architecture Reference}{57}{section.4.31}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_1_1_behavioral}{{4.31}{57}{Behavioral Architecture Reference\relax }{section.4.31}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.31.1}Member Data Documentation}{58}{subsection.4.31.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.31.1.1}CMD}{58}{subsubsection.4.31.1.1}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_1_1_behavioral_a0d436dcde8d0299b80d5f220d073e415}{{4.31.1.1}{58}{CMD\relax }{subsubsection.4.31.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.31.1.2}Driver0}{58}{subsubsection.4.31.1.2}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_1_1_behavioral_a17166e602ee5906f33b7fa0a162a829d}{{4.31.1.2}{58}{Driver0\relax }{subsubsection.4.31.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.31.1.3}Exec}{58}{subsubsection.4.31.1.3}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_1_1_behavioral_a35ba96cbf43df7cd8bb748bb7e3390d4}{{4.31.1.3}{58}{Exec\relax }{subsubsection.4.31.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.31.1.4}FramBUFF}{58}{subsubsection.4.31.1.4}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_1_1_behavioral_a4fe828642a250b193afc62ada9008786}{{4.31.1.4}{58}{FramBUFF\relax }{subsubsection.4.31.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.31.1.5}LCD\_\discretionary {-}{}{}CTRL}{58}{subsubsection.4.31.1.5}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_1_1_behavioral_a0a0b031728c0d140dc8415af289e07cb}{{4.31.1.5}{58}{LCD\_\-CTRL\relax }{subsubsection.4.31.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.31.1.6}Ready}{58}{subsubsection.4.31.1.6}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_1_1_behavioral_a70850bb5b9a78fa89cc7c98651049d7f}{{4.31.1.6}{58}{Ready\relax }{subsubsection.4.31.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.31.1.7}rst}{58}{subsubsection.4.31.1.7}} +\newlabel{class_a_m_b_a___l_c_d__16x2___d_r_i_v_e_r_1_1_behavioral_a31081299d356cae394f520d862a3de4b}{{4.31.1.7}{58}{rst\relax }{subsubsection.4.31.1.7}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.32}Behavioral Architecture Reference}{58}{section.4.32}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral}{{4.32}{58}{Behavioral Architecture Reference\relax }{section.4.32}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.32.1}Member Function Documentation}{60}{subsection.4.32.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.1.1}PROCESS\_\discretionary {-}{}{}2}{60}{subsubsection.4.32.1.1}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_af05183900c81433fa8afa20d91ef0a0d}{{4.32.1.1}{60}{PROCESS\_\-2\relax }{subsubsection.4.32.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.32.2}Member Data Documentation}{60}{subsection.4.32.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.1}CFGM\_\discretionary {-}{}{}completed}{60}{subsubsection.4.32.2.1}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a618ccfa8a6160d75428558633779f22c}{{4.32.2.1}{60}{CFGM\_\-completed\relax }{subsubsection.4.32.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.2}CFGM\_\discretionary {-}{}{}Enable}{60}{subsubsection.4.32.2.2}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a9655aa813fb6e37481fcebc3b79b5199}{{4.32.2.2}{60}{CFGM\_\-Enable\relax }{subsubsection.4.32.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.3}CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}DATA}{60}{subsubsection.4.32.2.3}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_ad416596d08ccadf4c98fee3add198c5e}{{4.32.2.3}{60}{CFGM\_\-LCD\_\-DATA\relax }{subsubsection.4.32.2.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.4}CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}E}{60}{subsubsection.4.32.2.4}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a16e067477b611f246a6304593d7291cf}{{4.32.2.4}{60}{CFGM\_\-LCD\_\-E\relax }{subsubsection.4.32.2.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.5}CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RS}{60}{subsubsection.4.32.2.5}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_ac1261d37769d5d806471201fb087595d}{{4.32.2.5}{60}{CFGM\_\-LCD\_\-RS\relax }{subsubsection.4.32.2.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.6}CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RW}{60}{subsubsection.4.32.2.6}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_ad7affdc342d28437c5284ccf41daa233}{{4.32.2.6}{60}{CFGM\_\-LCD\_\-RW\relax }{subsubsection.4.32.2.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.7}ConfigModule}{60}{subsubsection.4.32.2.7}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a3fb4828410116e605e1f7e1388f705ea}{{4.32.2.7}{60}{ConfigModule\relax }{subsubsection.4.32.2.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.8}Counter}{60}{subsubsection.4.32.2.8}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a7106e6551c18274f32b4c24f0b7fbc95}{{4.32.2.8}{60}{Counter\relax }{subsubsection.4.32.2.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.9}FrameWriter}{60}{subsubsection.4.32.2.9}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_ad3620ed74358eb262aa6bf3eae331d52}{{4.32.2.9}{60}{FrameWriter\relax }{subsubsection.4.32.2.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.10}FRMW\_\discretionary {-}{}{}completed}{60}{subsubsection.4.32.2.10}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a2052da58488e53e78437347491af095d}{{4.32.2.10}{60}{FRMW\_\-completed\relax }{subsubsection.4.32.2.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.11}FRMW\_\discretionary {-}{}{}Enable}{60}{subsubsection.4.32.2.11}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a498ec66cc69f48a102fbb47e3e48fd37}{{4.32.2.11}{60}{FRMW\_\-Enable\relax }{subsubsection.4.32.2.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.12}FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}DATA}{60}{subsubsection.4.32.2.12}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_af48062e8e58d8322f40b350f73c4cfdd}{{4.32.2.12}{60}{FRMW\_\-LCD\_\-DATA\relax }{subsubsection.4.32.2.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.13}FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}E}{60}{subsubsection.4.32.2.13}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a88f112c2b66367fed2be34c564769d67}{{4.32.2.13}{60}{FRMW\_\-LCD\_\-E\relax }{subsubsection.4.32.2.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.14}FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RS}{60}{subsubsection.4.32.2.14}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a485af659714dbe6e385212101402fe03}{{4.32.2.14}{60}{FRMW\_\-LCD\_\-RS\relax }{subsubsection.4.32.2.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.15}FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RW}{60}{subsubsection.4.32.2.15}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a5266e93607d4bc0b69c368d709325326}{{4.32.2.15}{60}{FRMW\_\-LCD\_\-RW\relax }{subsubsection.4.32.2.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.16}MidleTimePulse}{60}{subsubsection.4.32.2.16}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a563d9d1c510bf5f5d23b1651cf0e5b65}{{4.32.2.16}{60}{MidleTimePulse\relax }{subsubsection.4.32.2.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.17}Refresh\_\discretionary {-}{}{}RatePulse}{60}{subsubsection.4.32.2.17}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a103fab8989a6dcba70d2dad1d2f53768}{{4.32.2.17}{60}{Refresh\_\-RatePulse\relax }{subsubsection.4.32.2.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.18}ShortTimePulse}{60}{subsubsection.4.32.2.18}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a3cc0f747f1f149a8dd5254e88e579530}{{4.32.2.18}{60}{ShortTimePulse\relax }{subsubsection.4.32.2.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.19}Start}{60}{subsubsection.4.32.2.19}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a2ac84a13f05ab2ce02d43b0e565a9fa3}{{4.32.2.19}{60}{Start\relax }{subsubsection.4.32.2.19}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.20}state}{60}{subsubsection.4.32.2.20}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a28e95e3736821beaa52832d177c82b2a}{{4.32.2.20}{60}{state\relax }{subsubsection.4.32.2.20}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.32.2.21}stateT}{60}{subsubsection.4.32.2.21}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_1_1_behavioral_a21e53f02bca1fc18d20ce2344f0dd666}{{4.32.2.21}{60}{stateT\relax }{subsubsection.4.32.2.21}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.33}Behavioral Architecture Reference}{61}{section.4.33}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_1_1_behavioral}{{4.33}{61}{Behavioral Architecture Reference\relax }{section.4.33}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.33.1}Member Function Documentation}{61}{subsection.4.33.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.33.1.1}PROCESS\_\discretionary {-}{}{}0}{61}{subsubsection.4.33.1.1}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_1_1_behavioral_a8f916377b31eb1a22a411092f5979e1b}{{4.33.1.1}{61}{PROCESS\_\-0\relax }{subsubsection.4.33.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.33.2}Member Data Documentation}{61}{subsection.4.33.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.33.2.1}CPT}{61}{subsubsection.4.33.2.1}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_1_1_behavioral_a44c9b203274d4e1ef1997b991425fefd}{{4.33.2.1}{61}{CPT\relax }{subsubsection.4.33.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.33.2.2}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}reg}{61}{subsubsection.4.33.2.2}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_1_1_behavioral_a32fda2ad2195010bd37fbd45c0bc3d15}{{4.33.2.2}{61}{FRAME\_\-CLK\_\-reg\relax }{subsubsection.4.33.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.33.2.3}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}TRIG}{62}{subsubsection.4.33.2.3}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_1_1_behavioral_a7b92c31630ca095e25c0993322890ef5}{{4.33.2.3}{62}{FRAME\_\-CLK\_\-TRIG\relax }{subsubsection.4.33.2.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.33.2.4}Goal\_\discretionary {-}{}{}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}FREQ}{62}{subsubsection.4.33.2.4}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_1_1_behavioral_a874fc966759edfbd5d0e6b5d54e3cfec}{{4.33.2.4}{62}{Goal\_\-FRAME\_\-CLK\_\-FREQ\relax }{subsubsection.4.33.2.4}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.34}DEF\_\discretionary {-}{}{}ARCH Architecture Reference}{62}{section.4.34}} +\newlabel{class_r_a_m_1_1_d_e_f___a_r_c_h}{{4.34}{62}{DEF\_\-ARCH Architecture Reference\relax }{section.4.34}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.34.1}Member Function Documentation}{63}{subsection.4.34.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.34.1.1}PROCESS\_\discretionary {-}{}{}8}{63}{subsubsection.4.34.1.1}} +\newlabel{class_r_a_m_1_1_d_e_f___a_r_c_h_a229d01e1672e93466c844082f9f9e3a1}{{4.34.1.1}{63}{PROCESS\_\-8\relax }{subsubsection.4.34.1.1}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.34.2}Member Data Documentation}{63}{subsection.4.34.2}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.34.2.1}RAMarray}{63}{subsubsection.4.34.2.1}} +\newlabel{class_r_a_m_1_1_d_e_f___a_r_c_h_ae0bbd484d175023d2c8be16f1f273c0c}{{4.34.2.1}{63}{RAMarray\relax }{subsubsection.4.34.2.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.34.2.2}RAMarrayT}{63}{subsubsection.4.34.2.2}} +\newlabel{class_r_a_m_1_1_d_e_f___a_r_c_h_a9a13c3b5d5f510cd371e11a6b4386e94}{{4.34.2.2}{63}{RAMarrayT\relax }{subsubsection.4.34.2.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.34.2.3}RD\_\discretionary {-}{}{}int}{63}{subsubsection.4.34.2.3}} +\newlabel{class_r_a_m_1_1_d_e_f___a_r_c_h_a77842eaeddfcb7cd44a0b6568caf0f54}{{4.34.2.3}{63}{RD\_\-int\relax }{subsubsection.4.34.2.3}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.35}FILTER Entity Reference}{63}{section.4.35}} +\newlabel{class_f_i_l_t_e_r}{{4.35}{63}{FILTER Entity Reference\relax }{section.4.35}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.35.1}Member Data Documentation}{64}{subsection.4.35.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.1}clk}{64}{subsubsection.4.35.1.1}} +\newlabel{class_f_i_l_t_e_r_aeada434ddff982265d4a93768632e621}{{4.35.1.1}{64}{clk\relax }{subsubsection.4.35.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.2}FILTERcfg}{64}{subsubsection.4.35.1.2}} +\newlabel{class_f_i_l_t_e_r_a0e24e86edc9f8cb840d2dcd83a25b7a8}{{4.35.1.2}{64}{FILTERcfg\relax }{subsubsection.4.35.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.3}general\_\discretionary {-}{}{}purpose}{64}{subsubsection.4.35.1.3}} +\newlabel{class_f_i_l_t_e_r_a63d2766a6c866f862cdeed31c8a0bdab}{{4.35.1.3}{64}{general\_\-purpose\relax }{subsubsection.4.35.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.4}IEEE}{64}{subsubsection.4.35.1.4}} +\newlabel{class_f_i_l_t_e_r_adc40931273a8420e6fb52edf643d8434}{{4.35.1.4}{64}{IEEE\relax }{subsubsection.4.35.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.5}iir\_\discretionary {-}{}{}filter}{64}{subsubsection.4.35.1.5}} +\newlabel{class_f_i_l_t_e_r_a44bda5c2949d467e4e3620bf261086e9}{{4.35.1.5}{64}{iir\_\-filter\relax }{subsubsection.4.35.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.6}lpp}{64}{subsubsection.4.35.1.6}} +\newlabel{class_f_i_l_t_e_r_aa7b2d89e49a7157b58499684cc228990}{{4.35.1.6}{64}{lpp\relax }{subsubsection.4.35.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.7}numeric\_\discretionary {-}{}{}std}{64}{subsubsection.4.35.1.7}} +\newlabel{class_f_i_l_t_e_r_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.35.1.7}{64}{numeric\_\-std\relax }{subsubsection.4.35.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.8}reset}{64}{subsubsection.4.35.1.8}} +\newlabel{class_f_i_l_t_e_r_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.35.1.8}{64}{reset\relax }{subsubsection.4.35.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.9}sample\_\discretionary {-}{}{}clk}{65}{subsubsection.4.35.1.9}} +\newlabel{class_f_i_l_t_e_r_a3f829af24345b861dfbbeeccf5c5370d}{{4.35.1.9}{65}{sample\_\-clk\relax }{subsubsection.4.35.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.10}Sample\_\discretionary {-}{}{}IN}{65}{subsubsection.4.35.1.10}} +\newlabel{class_f_i_l_t_e_r_aaf888b02b3cd055084aca015e1e32249}{{4.35.1.10}{65}{Sample\_\-IN\relax }{subsubsection.4.35.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.11}Sample\_\discretionary {-}{}{}OUT}{65}{subsubsection.4.35.1.11}} +\newlabel{class_f_i_l_t_e_r_afcb186323a05182637d6b7faab5eb8cb}{{4.35.1.11}{65}{Sample\_\-OUT\relax }{subsubsection.4.35.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.35.1.12}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{65}{subsubsection.4.35.1.12}} +\newlabel{class_f_i_l_t_e_r_a75f07bd8bde6f849270ce9de65573a4f}{{4.35.1.12}{65}{std\_\-logic\_\-1164\relax }{subsubsection.4.35.1.12}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.36}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR Entity Reference}{65}{section.4.36}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r}{{4.36}{65}{FILTER\_\-RAM\_\-CTRLR Entity Reference\relax }{section.4.36}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.36.1}Member Data Documentation}{66}{subsection.4.36.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.1}B\_\discretionary {-}{}{}A}{66}{subsubsection.4.36.1.1}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_a844a7845e6f7a9575f138e2cb6510fdb}{{4.36.1.1}{66}{B\_\-A\relax }{subsubsection.4.36.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.2}clk}{66}{subsubsection.4.36.1.2}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_aeada434ddff982265d4a93768632e621}{{4.36.1.2}{66}{clk\relax }{subsubsection.4.36.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.3}FILTERcfg}{66}{subsubsection.4.36.1.3}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_a0e24e86edc9f8cb840d2dcd83a25b7a8}{{4.36.1.3}{66}{FILTERcfg\relax }{subsubsection.4.36.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.4}general\_\discretionary {-}{}{}purpose}{66}{subsubsection.4.36.1.4}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_a63d2766a6c866f862cdeed31c8a0bdab}{{4.36.1.4}{66}{general\_\-purpose\relax }{subsubsection.4.36.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.5}GO\_\discretionary {-}{}{}0}{66}{subsubsection.4.36.1.5}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_aa55e19fd9c823d38b9948f849e8275f2}{{4.36.1.5}{66}{GO\_\-0\relax }{subsubsection.4.36.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.6}IEEE}{66}{subsubsection.4.36.1.6}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_adc40931273a8420e6fb52edf643d8434}{{4.36.1.6}{66}{IEEE\relax }{subsubsection.4.36.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.7}iir\_\discretionary {-}{}{}filter}{66}{subsubsection.4.36.1.7}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_a44bda5c2949d467e4e3620bf261086e9}{{4.36.1.7}{66}{iir\_\-filter\relax }{subsubsection.4.36.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.8}lpp}{66}{subsubsection.4.36.1.8}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_aa7b2d89e49a7157b58499684cc228990}{{4.36.1.8}{66}{lpp\relax }{subsubsection.4.36.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.9}next\_\discretionary {-}{}{}blk}{66}{subsubsection.4.36.1.9}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_ad6805de77b51c23ea7cc5b1acd3f9012}{{4.36.1.9}{66}{next\_\-blk\relax }{subsubsection.4.36.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.10}numeric\_\discretionary {-}{}{}std}{66}{subsubsection.4.36.1.10}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.36.1.10}{66}{numeric\_\-std\relax }{subsubsection.4.36.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.11}reset}{66}{subsubsection.4.36.1.11}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.36.1.11}{66}{reset\relax }{subsubsection.4.36.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.12}run}{67}{subsubsection.4.36.1.12}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_a36185f0191a80586ee429034c677a83c}{{4.36.1.12}{67}{run\relax }{subsubsection.4.36.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.13}sample\_\discretionary {-}{}{}in}{67}{subsubsection.4.36.1.13}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_ab0d8de0d4b2612e1ed39f562bbcb2c04}{{4.36.1.13}{67}{sample\_\-in\relax }{subsubsection.4.36.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.14}sample\_\discretionary {-}{}{}out}{67}{subsubsection.4.36.1.14}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_a4dd5206fb146a5672bcee68ee7ed38a3}{{4.36.1.14}{67}{sample\_\-out\relax }{subsubsection.4.36.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.15}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{67}{subsubsection.4.36.1.15}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_a75f07bd8bde6f849270ce9de65573a4f}{{4.36.1.15}{67}{std\_\-logic\_\-1164\relax }{subsubsection.4.36.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.36.1.16}writeForce}{67}{subsubsection.4.36.1.16}} +\newlabel{class_f_i_l_t_e_r___r_a_m___c_t_r_l_r_af85c01bd159c889f1c8c797c0b313db5}{{4.36.1.16}{67}{writeForce\relax }{subsubsection.4.36.1.16}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.37}FILTERcfg Package Reference}{67}{section.4.37}} +\newlabel{class_f_i_l_t_e_rcfg}{{4.37}{67}{FILTERcfg Package Reference\relax }{section.4.37}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.37.1}Member Data Documentation}{71}{subsection.4.37.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.1}NumCoefs}{71}{subsubsection.4.37.1.1}} +\newlabel{class_f_i_l_t_e_rcfg_aee700113f93d8a1944c938b282d7d8aa}{{4.37.1.1}{71}{NumCoefs\relax }{subsubsection.4.37.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.2}DenCoefs}{71}{subsubsection.4.37.1.2}} +\newlabel{class_f_i_l_t_e_rcfg_aba378cf9d634165341374d123ca8c301}{{4.37.1.2}{71}{DenCoefs\relax }{subsubsection.4.37.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.3}config}{71}{subsubsection.4.37.1.3}} +\newlabel{class_f_i_l_t_e_rcfg_a9d52f26080d8e2fa6db8241a70e4229f}{{4.37.1.3}{71}{config\relax }{subsubsection.4.37.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.4}coefsTB}{71}{subsubsection.4.37.1.4}} +\newlabel{class_f_i_l_t_e_rcfg_a9326fbff5dc4fe5f5205ec085eafafd5}{{4.37.1.4}{71}{coefsTB\relax }{subsubsection.4.37.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.5}virgPos}{71}{subsubsection.4.37.1.5}} +\newlabel{class_f_i_l_t_e_rcfg_adc3f59bc7a190057974bd52e8f1ad712}{{4.37.1.5}{71}{virgPos\relax }{subsubsection.4.37.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.6}config}{71}{subsubsection.4.37.1.6}} +\newlabel{class_f_i_l_t_e_rcfg_a21fbafbf7ea5f7081ee582433e914084}{{4.37.1.6}{71}{config\relax }{subsubsection.4.37.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.7}status}{71}{subsubsection.4.37.1.7}} +\newlabel{class_f_i_l_t_e_rcfg_a4bb5c10d9dfffe20636e0b50d9474f9c}{{4.37.1.7}{71}{status\relax }{subsubsection.4.37.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.8}a0}{71}{subsubsection.4.37.1.8}} +\newlabel{class_f_i_l_t_e_rcfg_adfee6ebf47eb2b09faf15d01fd77871d}{{4.37.1.8}{71}{a0\relax }{subsubsection.4.37.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.9}a0\_\discretionary {-}{}{}0}{71}{subsubsection.4.37.1.9}} +\newlabel{class_f_i_l_t_e_rcfg_ae1df7d6f81a900af20014fc9c2142512}{{4.37.1.9}{71}{a0\_\-0\relax }{subsubsection.4.37.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.10}a0\_\discretionary {-}{}{}1}{71}{subsubsection.4.37.1.10}} +\newlabel{class_f_i_l_t_e_rcfg_ae84487a80ceae0f1238cfd4d4cbdf2d8}{{4.37.1.10}{71}{a0\_\-1\relax }{subsubsection.4.37.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.11}a0\_\discretionary {-}{}{}2}{71}{subsubsection.4.37.1.11}} +\newlabel{class_f_i_l_t_e_rcfg_a2ea83f93550f6c60d61f59830f9eadf7}{{4.37.1.11}{71}{a0\_\-2\relax }{subsubsection.4.37.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.12}a1}{71}{subsubsection.4.37.1.12}} +\newlabel{class_f_i_l_t_e_rcfg_a71a6f23cacf7359b79bbe1d33cbff98a}{{4.37.1.12}{71}{a1\relax }{subsubsection.4.37.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.13}a1\_\discretionary {-}{}{}0}{71}{subsubsection.4.37.1.13}} +\newlabel{class_f_i_l_t_e_rcfg_a1292e140f39f7dd82a3cffcbb46b6be5}{{4.37.1.13}{71}{a1\_\-0\relax }{subsubsection.4.37.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.14}a1\_\discretionary {-}{}{}1}{71}{subsubsection.4.37.1.14}} +\newlabel{class_f_i_l_t_e_rcfg_a62bdece60184f87e3fdda6ad66ca420f}{{4.37.1.14}{71}{a1\_\-1\relax }{subsubsection.4.37.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.15}a1\_\discretionary {-}{}{}2}{71}{subsubsection.4.37.1.15}} +\newlabel{class_f_i_l_t_e_rcfg_a55f2012e694439d7ec013a5f0a2c80cf}{{4.37.1.15}{71}{a1\_\-2\relax }{subsubsection.4.37.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.16}a2}{71}{subsubsection.4.37.1.16}} +\newlabel{class_f_i_l_t_e_rcfg_a4177e1bc01305978aa82a1ef18ed682c}{{4.37.1.16}{71}{a2\relax }{subsubsection.4.37.1.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.17}a2\_\discretionary {-}{}{}0}{71}{subsubsection.4.37.1.17}} +\newlabel{class_f_i_l_t_e_rcfg_acec5f9aa4ca0bb6706b1798e187bb435}{{4.37.1.17}{71}{a2\_\-0\relax }{subsubsection.4.37.1.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.18}a2\_\discretionary {-}{}{}1}{71}{subsubsection.4.37.1.18}} +\newlabel{class_f_i_l_t_e_rcfg_a117122d78af30d00b7e65154b713e0ce}{{4.37.1.18}{71}{a2\_\-1\relax }{subsubsection.4.37.1.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.19}a2\_\discretionary {-}{}{}2}{71}{subsubsection.4.37.1.19}} +\newlabel{class_f_i_l_t_e_rcfg_aa9e21768a98e0814242fb0d9713e350c}{{4.37.1.19}{71}{a2\_\-2\relax }{subsubsection.4.37.1.19}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.20}a3}{71}{subsubsection.4.37.1.20}} +\newlabel{class_f_i_l_t_e_rcfg_a9b1eb4cada51480dd1fcdf2354b3d5db}{{4.37.1.20}{71}{a3\relax }{subsubsection.4.37.1.20}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.21}a3\_\discretionary {-}{}{}0}{71}{subsubsection.4.37.1.21}} +\newlabel{class_f_i_l_t_e_rcfg_aa02ce969eb82a194a186cb3b704525fb}{{4.37.1.21}{71}{a3\_\-0\relax }{subsubsection.4.37.1.21}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.22}a3\_\discretionary {-}{}{}1}{71}{subsubsection.4.37.1.22}} +\newlabel{class_f_i_l_t_e_rcfg_aea70a0c519c48592d954e297c68680c1}{{4.37.1.22}{71}{a3\_\-1\relax }{subsubsection.4.37.1.22}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.23}a3\_\discretionary {-}{}{}2}{71}{subsubsection.4.37.1.23}} +\newlabel{class_f_i_l_t_e_rcfg_a0110e0278c7e37a2b3e242eb3f4e80c0}{{4.37.1.23}{71}{a3\_\-2\relax }{subsubsection.4.37.1.23}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.24}a4}{71}{subsubsection.4.37.1.24}} +\newlabel{class_f_i_l_t_e_rcfg_a317c992701b9cf475301149577cfaff4}{{4.37.1.24}{71}{a4\relax }{subsubsection.4.37.1.24}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.25}a4\_\discretionary {-}{}{}0}{71}{subsubsection.4.37.1.25}} +\newlabel{class_f_i_l_t_e_rcfg_aa7043738f70a472be857f9e274402124}{{4.37.1.25}{71}{a4\_\-0\relax }{subsubsection.4.37.1.25}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.26}a4\_\discretionary {-}{}{}1}{71}{subsubsection.4.37.1.26}} +\newlabel{class_f_i_l_t_e_rcfg_a7ea4d63a235a1d5c0c817cba870bd0ad}{{4.37.1.26}{71}{a4\_\-1\relax }{subsubsection.4.37.1.26}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.27}a4\_\discretionary {-}{}{}2}{71}{subsubsection.4.37.1.27}} +\newlabel{class_f_i_l_t_e_rcfg_ab4ef98f49b1e1407319e378bf43e7ebb}{{4.37.1.27}{71}{a4\_\-2\relax }{subsubsection.4.37.1.27}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.28}a5\_\discretionary {-}{}{}0}{71}{subsubsection.4.37.1.28}} +\newlabel{class_f_i_l_t_e_rcfg_a3466a17d21dcc0a983e69422ada70b28}{{4.37.1.28}{71}{a5\_\-0\relax }{subsubsection.4.37.1.28}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.29}a5\_\discretionary {-}{}{}1}{71}{subsubsection.4.37.1.29}} +\newlabel{class_f_i_l_t_e_rcfg_a5fe140797515c795972e4cd8e0c0c5a2}{{4.37.1.29}{71}{a5\_\-1\relax }{subsubsection.4.37.1.29}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.30}a5\_\discretionary {-}{}{}2}{71}{subsubsection.4.37.1.30}} +\newlabel{class_f_i_l_t_e_rcfg_a64f211e3877917863a37e03ab58104e9}{{4.37.1.30}{71}{a5\_\-2\relax }{subsubsection.4.37.1.30}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.31}a6\_\discretionary {-}{}{}0}{71}{subsubsection.4.37.1.31}} +\newlabel{class_f_i_l_t_e_rcfg_aed9f9aebf2a227a5ba7e7b400e0f3bed}{{4.37.1.31}{71}{a6\_\-0\relax }{subsubsection.4.37.1.31}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.32}a6\_\discretionary {-}{}{}1}{71}{subsubsection.4.37.1.32}} +\newlabel{class_f_i_l_t_e_rcfg_a8aec2b01160d8b0e5ecb45f547b32402}{{4.37.1.32}{71}{a6\_\-1\relax }{subsubsection.4.37.1.32}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.33}a6\_\discretionary {-}{}{}2}{71}{subsubsection.4.37.1.33}} +\newlabel{class_f_i_l_t_e_rcfg_ae2085ad34a8b53ec11141564d12667cf}{{4.37.1.33}{71}{a6\_\-2\relax }{subsubsection.4.37.1.33}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.34}ADD}{71}{subsubsection.4.37.1.34}} +\newlabel{class_f_i_l_t_e_rcfg_aecb3f18c8a2c8a1679f2c6bf637bc033}{{4.37.1.34}{71}{ADD\relax }{subsubsection.4.37.1.34}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.35}b0}{71}{subsubsection.4.37.1.35}} +\newlabel{class_f_i_l_t_e_rcfg_ac6eb894917af6722c5c58abc2c5cc1e7}{{4.37.1.35}{71}{b0\relax }{subsubsection.4.37.1.35}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.36}b0\_\discretionary {-}{}{}0}{73}{subsubsection.4.37.1.36}} +\newlabel{class_f_i_l_t_e_rcfg_a73cc5f4542ce69e6d257db1af1fb98a2}{{4.37.1.36}{73}{b0\_\-0\relax }{subsubsection.4.37.1.36}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.37}b0\_\discretionary {-}{}{}1}{73}{subsubsection.4.37.1.37}} +\newlabel{class_f_i_l_t_e_rcfg_a8745409aaa8015535108b01c3899b8d8}{{4.37.1.37}{73}{b0\_\-1\relax }{subsubsection.4.37.1.37}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.38}b0\_\discretionary {-}{}{}2}{73}{subsubsection.4.37.1.38}} +\newlabel{class_f_i_l_t_e_rcfg_a283d97ee115a9c2bc108c95196b7f5bb}{{4.37.1.38}{73}{b0\_\-2\relax }{subsubsection.4.37.1.38}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.39}b1}{73}{subsubsection.4.37.1.39}} +\newlabel{class_f_i_l_t_e_rcfg_a5b486fde262ca0299292ed9671167132}{{4.37.1.39}{73}{b1\relax }{subsubsection.4.37.1.39}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.40}b1\_\discretionary {-}{}{}0}{73}{subsubsection.4.37.1.40}} +\newlabel{class_f_i_l_t_e_rcfg_ac0c07178b3b859644ec3a2f69e253d32}{{4.37.1.40}{73}{b1\_\-0\relax }{subsubsection.4.37.1.40}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.41}b1\_\discretionary {-}{}{}1}{73}{subsubsection.4.37.1.41}} +\newlabel{class_f_i_l_t_e_rcfg_ac1bf0c3a2d7625f6ade883d6bbfe55ce}{{4.37.1.41}{73}{b1\_\-1\relax }{subsubsection.4.37.1.41}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.42}b1\_\discretionary {-}{}{}2}{73}{subsubsection.4.37.1.42}} +\newlabel{class_f_i_l_t_e_rcfg_ae8b5ca6e449ae41937859813a2dc9bdb}{{4.37.1.42}{73}{b1\_\-2\relax }{subsubsection.4.37.1.42}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.43}b2}{73}{subsubsection.4.37.1.43}} +\newlabel{class_f_i_l_t_e_rcfg_a6af8e90ce77355fd0c00836a8ba81cc9}{{4.37.1.43}{73}{b2\relax }{subsubsection.4.37.1.43}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.44}b2\_\discretionary {-}{}{}0}{73}{subsubsection.4.37.1.44}} +\newlabel{class_f_i_l_t_e_rcfg_a2ed8a69bd4e6f09f13685f3691fcb969}{{4.37.1.44}{73}{b2\_\-0\relax }{subsubsection.4.37.1.44}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.45}b2\_\discretionary {-}{}{}1}{73}{subsubsection.4.37.1.45}} +\newlabel{class_f_i_l_t_e_rcfg_a4cc30356d74e24e2feb9be72fab0a7c9}{{4.37.1.45}{73}{b2\_\-1\relax }{subsubsection.4.37.1.45}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.46}b2\_\discretionary {-}{}{}2}{73}{subsubsection.4.37.1.46}} +\newlabel{class_f_i_l_t_e_rcfg_a31ce14e79e767dd6bbb3bea9fd1e2d98}{{4.37.1.46}{73}{b2\_\-2\relax }{subsubsection.4.37.1.46}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.47}b3}{73}{subsubsection.4.37.1.47}} +\newlabel{class_f_i_l_t_e_rcfg_a70650076408810fec6f7878d0507f53a}{{4.37.1.47}{73}{b3\relax }{subsubsection.4.37.1.47}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.48}b3\_\discretionary {-}{}{}0}{73}{subsubsection.4.37.1.48}} +\newlabel{class_f_i_l_t_e_rcfg_af9cb5092aa50447a0ac25c62442ae4e0}{{4.37.1.48}{73}{b3\_\-0\relax }{subsubsection.4.37.1.48}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.49}b3\_\discretionary {-}{}{}1}{73}{subsubsection.4.37.1.49}} +\newlabel{class_f_i_l_t_e_rcfg_a283c1a09a4012e3a0906565381367dbd}{{4.37.1.49}{73}{b3\_\-1\relax }{subsubsection.4.37.1.49}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.50}b3\_\discretionary {-}{}{}2}{73}{subsubsection.4.37.1.50}} +\newlabel{class_f_i_l_t_e_rcfg_a35cf81ce7b69162162ec573b485830dc}{{4.37.1.50}{73}{b3\_\-2\relax }{subsubsection.4.37.1.50}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.51}b4}{73}{subsubsection.4.37.1.51}} +\newlabel{class_f_i_l_t_e_rcfg_a9a9a02013b837cb786356906a0974bc8}{{4.37.1.51}{73}{b4\relax }{subsubsection.4.37.1.51}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.52}b4\_\discretionary {-}{}{}0}{73}{subsubsection.4.37.1.52}} +\newlabel{class_f_i_l_t_e_rcfg_adf5fe95ce1cc593ea41383495c8381c7}{{4.37.1.52}{73}{b4\_\-0\relax }{subsubsection.4.37.1.52}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.53}b4\_\discretionary {-}{}{}1}{73}{subsubsection.4.37.1.53}} +\newlabel{class_f_i_l_t_e_rcfg_a2af3efab228bbd37b7eb8d326884d1be}{{4.37.1.53}{73}{b4\_\-1\relax }{subsubsection.4.37.1.53}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.54}b4\_\discretionary {-}{}{}2}{73}{subsubsection.4.37.1.54}} +\newlabel{class_f_i_l_t_e_rcfg_adb96f4267431384be60972d082311c8a}{{4.37.1.54}{73}{b4\_\-2\relax }{subsubsection.4.37.1.54}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.55}b5}{73}{subsubsection.4.37.1.55}} +\newlabel{class_f_i_l_t_e_rcfg_ab8684284720c01844952e6626684490a}{{4.37.1.55}{73}{b5\relax }{subsubsection.4.37.1.55}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.56}b5\_\discretionary {-}{}{}0}{73}{subsubsection.4.37.1.56}} +\newlabel{class_f_i_l_t_e_rcfg_aed225e3a6fb173faa8076984d9ff744c}{{4.37.1.56}{73}{b5\_\-0\relax }{subsubsection.4.37.1.56}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.57}b5\_\discretionary {-}{}{}1}{73}{subsubsection.4.37.1.57}} +\newlabel{class_f_i_l_t_e_rcfg_a3f7c0b9338b8f41a6b0adbb6a4d3eec5}{{4.37.1.57}{73}{b5\_\-1\relax }{subsubsection.4.37.1.57}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.58}b5\_\discretionary {-}{}{}2}{73}{subsubsection.4.37.1.58}} +\newlabel{class_f_i_l_t_e_rcfg_ab7cbf336176de53fedfe53cb3277d09a}{{4.37.1.58}{73}{b5\_\-2\relax }{subsubsection.4.37.1.58}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.59}b6}{73}{subsubsection.4.37.1.59}} +\newlabel{class_f_i_l_t_e_rcfg_a0d6aeb51d1ee048295d3279784c60b9e}{{4.37.1.59}{73}{b6\relax }{subsubsection.4.37.1.59}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.60}b6\_\discretionary {-}{}{}0}{73}{subsubsection.4.37.1.60}} +\newlabel{class_f_i_l_t_e_rcfg_a17c2924a9a6d3334fa36317a22424fbc}{{4.37.1.60}{73}{b6\_\-0\relax }{subsubsection.4.37.1.60}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.61}b6\_\discretionary {-}{}{}1}{73}{subsubsection.4.37.1.61}} +\newlabel{class_f_i_l_t_e_rcfg_aa2623d8553d3d01dc0a43df5def8a32d}{{4.37.1.61}{73}{b6\_\-1\relax }{subsubsection.4.37.1.61}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.62}b6\_\discretionary {-}{}{}2}{73}{subsubsection.4.37.1.62}} +\newlabel{class_f_i_l_t_e_rcfg_aeb62f60902d9381e7aedbc72a02c8c76}{{4.37.1.62}{73}{b6\_\-2\relax }{subsubsection.4.37.1.62}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.63}cela0}{73}{subsubsection.4.37.1.63}} +\newlabel{class_f_i_l_t_e_rcfg_a24d04da26c98bf1627478a2ac3d02db6}{{4.37.1.63}{73}{cela0\relax }{subsubsection.4.37.1.63}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.64}cela1}{73}{subsubsection.4.37.1.64}} +\newlabel{class_f_i_l_t_e_rcfg_a166bc24ddc0e03352cdf133f8492657d}{{4.37.1.64}{73}{cela1\relax }{subsubsection.4.37.1.64}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.65}cela2}{73}{subsubsection.4.37.1.65}} +\newlabel{class_f_i_l_t_e_rcfg_a4a8d3bc05b7c304d6a713fe618ae7da8}{{4.37.1.65}{73}{cela2\relax }{subsubsection.4.37.1.65}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.66}cela3}{73}{subsubsection.4.37.1.66}} +\newlabel{class_f_i_l_t_e_rcfg_aeb166376c699d59cb5afbe2aa75b5b8d}{{4.37.1.66}{73}{cela3\relax }{subsubsection.4.37.1.66}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.67}cela4}{73}{subsubsection.4.37.1.67}} +\newlabel{class_f_i_l_t_e_rcfg_a64f400a8646063de764653ae2acb97ac}{{4.37.1.67}{73}{cela4\relax }{subsubsection.4.37.1.67}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.68}cela5}{73}{subsubsection.4.37.1.68}} +\newlabel{class_f_i_l_t_e_rcfg_a8f871aac2324b1a870cbde663330f7c8}{{4.37.1.68}{73}{cela5\relax }{subsubsection.4.37.1.68}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.69}cela6}{73}{subsubsection.4.37.1.69}} +\newlabel{class_f_i_l_t_e_rcfg_a28669fef1fa22d964f64a9a7bab2963d}{{4.37.1.69}{73}{cela6\relax }{subsubsection.4.37.1.69}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.70}celb0}{73}{subsubsection.4.37.1.70}} +\newlabel{class_f_i_l_t_e_rcfg_ae2d69b3f3087523f955eebb31daea7d1}{{4.37.1.70}{73}{celb0\relax }{subsubsection.4.37.1.70}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.71}celb1}{73}{subsubsection.4.37.1.71}} +\newlabel{class_f_i_l_t_e_rcfg_ac23dfee78b10a67a66dc168d4252616c}{{4.37.1.71}{73}{celb1\relax }{subsubsection.4.37.1.71}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.72}celb2}{73}{subsubsection.4.37.1.72}} +\newlabel{class_f_i_l_t_e_rcfg_af70191e693a0319372ba413e3f6985b8}{{4.37.1.72}{73}{celb2\relax }{subsubsection.4.37.1.72}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.73}celb3}{73}{subsubsection.4.37.1.73}} +\newlabel{class_f_i_l_t_e_rcfg_a688937398ccce71761f9566eb1425c54}{{4.37.1.73}{73}{celb3\relax }{subsubsection.4.37.1.73}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.74}celb4}{73}{subsubsection.4.37.1.74}} +\newlabel{class_f_i_l_t_e_rcfg_a518266b1fd540f6db814d993edd1ccbe}{{4.37.1.74}{73}{celb4\relax }{subsubsection.4.37.1.74}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.75}celb5}{73}{subsubsection.4.37.1.75}} +\newlabel{class_f_i_l_t_e_rcfg_ab81d413a4b3ccb105f0a83c6e1a5c0ad}{{4.37.1.75}{73}{celb5\relax }{subsubsection.4.37.1.75}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.76}celb6}{73}{subsubsection.4.37.1.76}} +\newlabel{class_f_i_l_t_e_rcfg_ac8daf8f178da2b44a8cfcae095a7c640}{{4.37.1.76}{73}{celb6\relax }{subsubsection.4.37.1.76}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.77}Cels\_\discretionary {-}{}{}count}{73}{subsubsection.4.37.1.77}} +\newlabel{class_f_i_l_t_e_rcfg_ad8672be8a99e044f4a8b92541a439b5a}{{4.37.1.77}{73}{Cels\_\-count\relax }{subsubsection.4.37.1.77}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.78}ChanelsCNT}{73}{subsubsection.4.37.1.78}} +\newlabel{class_f_i_l_t_e_rcfg_a3e097fdc03f7506aa3fcf2b7768044df}{{4.37.1.78}{73}{ChanelsCNT\relax }{subsubsection.4.37.1.78}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.79}clr\_\discretionary {-}{}{}mac}{73}{subsubsection.4.37.1.79}} +\newlabel{class_f_i_l_t_e_rcfg_a7623f2dff22f3f6ddbb2d840e7634f23}{{4.37.1.79}{73}{clr\_\-mac\relax }{subsubsection.4.37.1.79}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.80}coef\_\discretionary {-}{}{}celT}{73}{subsubsection.4.37.1.80}} +\newlabel{class_f_i_l_t_e_rcfg_a3476a290194cb52fa0fd82981d065944}{{4.37.1.80}{73}{coef\_\-celT\relax }{subsubsection.4.37.1.80}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.81}Coef\_\discretionary {-}{}{}SZ}{73}{subsubsection.4.37.1.81}} +\newlabel{class_f_i_l_t_e_rcfg_a157226e8d35baa60fb1bc58aa6201174}{{4.37.1.81}{73}{Coef\_\-SZ\relax }{subsubsection.4.37.1.81}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.82}coefs\_\discretionary {-}{}{}celsT}{73}{subsubsection.4.37.1.82}} +\newlabel{class_f_i_l_t_e_rcfg_a375474a6001db96c61da436d35dbe686}{{4.37.1.82}{73}{coefs\_\-celsT\relax }{subsubsection.4.37.1.82}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.83}coefs\_\discretionary {-}{}{}celT}{73}{subsubsection.4.37.1.83}} +\newlabel{class_f_i_l_t_e_rcfg_a28cc0d70f2bc9080d2eac47e55e4843a}{{4.37.1.83}{73}{coefs\_\-celT\relax }{subsubsection.4.37.1.83}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.84}coefsT}{73}{subsubsection.4.37.1.84}} +\newlabel{class_f_i_l_t_e_rcfg_a93bdcf1be43fcdfa9d094ab273460d68}{{4.37.1.84}{73}{coefsT\relax }{subsubsection.4.37.1.84}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.85}coefT}{73}{subsubsection.4.37.1.85}} +\newlabel{class_f_i_l_t_e_rcfg_a916a95e04a52c51c0772245cee0b8b74}{{4.37.1.85}{73}{coefT\relax }{subsubsection.4.37.1.85}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.86}DenCoefs\_\discretionary {-}{}{}cel}{73}{subsubsection.4.37.1.86}} +\newlabel{class_f_i_l_t_e_rcfg_abea54d64ec39d93f81e65247759cd61b}{{4.37.1.86}{73}{DenCoefs\_\-cel\relax }{subsubsection.4.37.1.86}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.87}DenominatorCoefs}{73}{subsubsection.4.37.1.87}} +\newlabel{class_f_i_l_t_e_rcfg_afc53b4eeb57fc1d398354e7b29af7b18}{{4.37.1.87}{73}{DenominatorCoefs\relax }{subsubsection.4.37.1.87}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.88}IDLE}{73}{subsubsection.4.37.1.88}} +\newlabel{class_f_i_l_t_e_rcfg_abe9dc56420a8414332bc5c193ae8523c}{{4.37.1.88}{73}{IDLE\relax }{subsubsection.4.37.1.88}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.89}IEEE}{73}{subsubsection.4.37.1.89}} +\newlabel{class_f_i_l_t_e_rcfg_adc40931273a8420e6fb52edf643d8434}{{4.37.1.89}{73}{IEEE\relax }{subsubsection.4.37.1.89}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.90}in\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}reg}{73}{subsubsection.4.37.1.90}} +\newlabel{class_f_i_l_t_e_rcfg_adac17eea27bdc8fab4accd48e3a74ad3}{{4.37.1.90}{73}{in\_\-IIR\_\-CEL\_\-reg\relax }{subsubsection.4.37.1.90}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.91}MAC\_\discretionary {-}{}{}op}{73}{subsubsection.4.37.1.91}} +\newlabel{class_f_i_l_t_e_rcfg_ac876f55a24b15f093469481623c7579c}{{4.37.1.91}{73}{MAC\_\-op\relax }{subsubsection.4.37.1.91}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.92}Mem\_\discretionary {-}{}{}use}{73}{subsubsection.4.37.1.92}} +\newlabel{class_f_i_l_t_e_rcfg_af8c0142946d8e22d9089dd3f7316f9bf}{{4.37.1.92}{73}{Mem\_\-use\relax }{subsubsection.4.37.1.92}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.93}MULT}{73}{subsubsection.4.37.1.93}} +\newlabel{class_f_i_l_t_e_rcfg_ad988aaa30656f240d9affcf791515523}{{4.37.1.93}{73}{MULT\relax }{subsubsection.4.37.1.93}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.94}NumCoefs\_\discretionary {-}{}{}cel}{73}{subsubsection.4.37.1.94}} +\newlabel{class_f_i_l_t_e_rcfg_a5c41515d86d12aace5d1d550195cbb15}{{4.37.1.94}{73}{NumCoefs\_\-cel\relax }{subsubsection.4.37.1.94}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.95}NumeratorCoefs}{73}{subsubsection.4.37.1.95}} +\newlabel{class_f_i_l_t_e_rcfg_ac9b35122edc44d176a2885aa5c57fa8a}{{4.37.1.95}{73}{NumeratorCoefs\relax }{subsubsection.4.37.1.95}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.96}numeric\_\discretionary {-}{}{}std}{73}{subsubsection.4.37.1.96}} +\newlabel{class_f_i_l_t_e_rcfg_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.37.1.96}{73}{numeric\_\-std\relax }{subsubsection.4.37.1.96}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.97}out\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}reg}{73}{subsubsection.4.37.1.97}} +\newlabel{class_f_i_l_t_e_rcfg_aae3403ab9b7e5dca6bb1f8dbe3f21f42}{{4.37.1.97}{73}{out\_\-IIR\_\-CEL\_\-reg\relax }{subsubsection.4.37.1.97}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.98}sample\_\discretionary {-}{}{}Tbl}{73}{subsubsection.4.37.1.98}} +\newlabel{class_f_i_l_t_e_rcfg_a4a3c0014af8fda8b4c23f2c0149bbf09}{{4.37.1.98}{73}{sample\_\-Tbl\relax }{subsubsection.4.37.1.98}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.99}samplT}{73}{subsubsection.4.37.1.99}} +\newlabel{class_f_i_l_t_e_rcfg_ae2b3f47c4736f30daa2c63bf43e3298b}{{4.37.1.99}{73}{samplT\relax }{subsubsection.4.37.1.99}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.100}Scalefac\_\discretionary {-}{}{}SZ}{73}{subsubsection.4.37.1.100}} +\newlabel{class_f_i_l_t_e_rcfg_a7045bec5b12efdeffe2bbb95435fc221}{{4.37.1.100}{73}{Scalefac\_\-SZ\relax }{subsubsection.4.37.1.100}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.101}scaleValT}{73}{subsubsection.4.37.1.101}} +\newlabel{class_f_i_l_t_e_rcfg_a92e19174cba266338a5fd865b13c845a}{{4.37.1.101}{73}{scaleValT\relax }{subsubsection.4.37.1.101}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.102}Smpl\_\discretionary {-}{}{}SZ}{73}{subsubsection.4.37.1.102}} +\newlabel{class_f_i_l_t_e_rcfg_acbd796c7263f48231edea1cea4a92223}{{4.37.1.102}{73}{Smpl\_\-SZ\relax }{subsubsection.4.37.1.102}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.103}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{73}{subsubsection.4.37.1.103}} +\newlabel{class_f_i_l_t_e_rcfg_a75f07bd8bde6f849270ce9de65573a4f}{{4.37.1.103}{73}{std\_\-logic\_\-1164\relax }{subsubsection.4.37.1.103}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.104}use\_\discretionary {-}{}{}CEL}{73}{subsubsection.4.37.1.104}} +\newlabel{class_f_i_l_t_e_rcfg_a3d3b4cd92f20bf580d5ef6ab0e78f8d8}{{4.37.1.104}{73}{use\_\-CEL\relax }{subsubsection.4.37.1.104}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.105}use\_\discretionary {-}{}{}RAM}{73}{subsubsection.4.37.1.105}} +\newlabel{class_f_i_l_t_e_rcfg_a5be46fe4fab802d65e128471932cf529}{{4.37.1.105}{73}{use\_\-RAM\relax }{subsubsection.4.37.1.105}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.37.1.106}virgPos}{73}{subsubsection.4.37.1.106}} +\newlabel{class_f_i_l_t_e_rcfg_a4e753b3eb245299cbba3e2d86871a4a5}{{4.37.1.106}{73}{virgPos\relax }{subsubsection.4.37.1.106}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.38}FilterCTRLR Entity Reference}{74}{section.4.38}} +\newlabel{class_filter_c_t_r_l_r}{{4.38}{74}{FilterCTRLR Entity Reference\relax }{section.4.38}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.38.1}Member Data Documentation}{75}{subsection.4.38.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.1}ALU\_\discretionary {-}{}{}Ctrl}{75}{subsubsection.4.38.1.1}} +\newlabel{class_filter_c_t_r_l_r_aab5d400c8d449ef5a306d848bdc51ca3}{{4.38.1.1}{75}{ALU\_\-Ctrl\relax }{subsubsection.4.38.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.2}clk}{75}{subsubsection.4.38.1.2}} +\newlabel{class_filter_c_t_r_l_r_aeada434ddff982265d4a93768632e621}{{4.38.1.2}{75}{clk\relax }{subsubsection.4.38.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.3}coef}{75}{subsubsection.4.38.1.3}} +\newlabel{class_filter_c_t_r_l_r_adf80ef761cf610322a9c26ae8d90c3fe}{{4.38.1.3}{75}{coef\relax }{subsubsection.4.38.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.4}FILTERcfg}{75}{subsubsection.4.38.1.4}} +\newlabel{class_filter_c_t_r_l_r_a0e24e86edc9f8cb840d2dcd83a25b7a8}{{4.38.1.4}{75}{FILTERcfg\relax }{subsubsection.4.38.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.5}general\_\discretionary {-}{}{}purpose}{75}{subsubsection.4.38.1.5}} +\newlabel{class_filter_c_t_r_l_r_a63d2766a6c866f862cdeed31c8a0bdab}{{4.38.1.5}{75}{general\_\-purpose\relax }{subsubsection.4.38.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.6}IEEE}{75}{subsubsection.4.38.1.6}} +\newlabel{class_filter_c_t_r_l_r_adc40931273a8420e6fb52edf643d8434}{{4.38.1.6}{75}{IEEE\relax }{subsubsection.4.38.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.7}iir\_\discretionary {-}{}{}filter}{75}{subsubsection.4.38.1.7}} +\newlabel{class_filter_c_t_r_l_r_a44bda5c2949d467e4e3620bf261086e9}{{4.38.1.7}{75}{iir\_\-filter\relax }{subsubsection.4.38.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.8}lpp}{75}{subsubsection.4.38.1.8}} +\newlabel{class_filter_c_t_r_l_r_aa7b2d89e49a7157b58499684cc228990}{{4.38.1.8}{75}{lpp\relax }{subsubsection.4.38.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.9}numeric\_\discretionary {-}{}{}std}{75}{subsubsection.4.38.1.9}} +\newlabel{class_filter_c_t_r_l_r_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.38.1.9}{75}{numeric\_\-std\relax }{subsubsection.4.38.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.10}reset}{75}{subsubsection.4.38.1.10}} +\newlabel{class_filter_c_t_r_l_r_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.38.1.10}{75}{reset\relax }{subsubsection.4.38.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.11}sample}{76}{subsubsection.4.38.1.11}} +\newlabel{class_filter_c_t_r_l_r_a0913e5767835765249ce487f769690a7}{{4.38.1.11}{76}{sample\relax }{subsubsection.4.38.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.12}sample\_\discretionary {-}{}{}clk}{76}{subsubsection.4.38.1.12}} +\newlabel{class_filter_c_t_r_l_r_a3f829af24345b861dfbbeeccf5c5370d}{{4.38.1.12}{76}{sample\_\-clk\relax }{subsubsection.4.38.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.13}sample\_\discretionary {-}{}{}in}{76}{subsubsection.4.38.1.13}} +\newlabel{class_filter_c_t_r_l_r_a006f0f67fe9334974d32fa429eaa9209}{{4.38.1.13}{76}{sample\_\-in\relax }{subsubsection.4.38.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.38.1.14}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{76}{subsubsection.4.38.1.14}} +\newlabel{class_filter_c_t_r_l_r_a75f07bd8bde6f849270ce9de65573a4f}{{4.38.1.14}{76}{std\_\-logic\_\-1164\relax }{subsubsection.4.38.1.14}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.39}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN Entity Reference}{76}{section.4.39}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n}{{4.39}{76}{FRAME\_\-CLK\_\-GEN Entity Reference\relax }{section.4.39}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.39.1}Member Data Documentation}{77}{subsection.4.39.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.39.1.1}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}{77}{subsubsection.4.39.1.1}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_a5edd5ccbb5989d9869ba1d6f7f24307a}{{4.39.1.1}{77}{amba\_\-lcd\_\-16x2\_\-ctrlr\relax }{subsubsection.4.39.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.39.1.2}clk}{77}{subsubsection.4.39.1.2}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_aeada434ddff982265d4a93768632e621}{{4.39.1.2}{77}{clk\relax }{subsubsection.4.39.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.39.1.3}FRAME\_\discretionary {-}{}{}CLK}{77}{subsubsection.4.39.1.3}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_a663be46cde81a573bfa630d7d83b2fb8}{{4.39.1.3}{77}{FRAME\_\-CLK\relax }{subsubsection.4.39.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.39.1.4}IEEE}{77}{subsubsection.4.39.1.4}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_adc40931273a8420e6fb52edf643d8434}{{4.39.1.4}{77}{IEEE\relax }{subsubsection.4.39.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.39.1.5}lpp}{77}{subsubsection.4.39.1.5}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_aa7b2d89e49a7157b58499684cc228990}{{4.39.1.5}{77}{lpp\relax }{subsubsection.4.39.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.39.1.6}NUMERIC\_\discretionary {-}{}{}STD}{77}{subsubsection.4.39.1.6}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_a1e61bc47f00cd334923e39274a78319b}{{4.39.1.6}{77}{NUMERIC\_\-STD\relax }{subsubsection.4.39.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.39.1.7}OSC\_\discretionary {-}{}{}freqKHz}{77}{subsubsection.4.39.1.7}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_afad7208516d27782f4e358cb2f78965a}{{4.39.1.7}{77}{OSC\_\-freqKHz\relax }{subsubsection.4.39.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.39.1.8}reset}{78}{subsubsection.4.39.1.8}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.39.1.8}{78}{reset\relax }{subsubsection.4.39.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.39.1.9}STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}{78}{subsubsection.4.39.1.9}} +\newlabel{class_f_r_a_m_e___c_l_k___g_e_n_a6e6dbf4edd4b5ccd41b622bf4fb719b1}{{4.39.1.9}{78}{STD\_\-LOGIC\_\-1164\relax }{subsubsection.4.39.1.9}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.40}general\_\discretionary {-}{}{}purpose Package Reference}{78}{section.4.40}} +\newlabel{classgeneral__purpose}{{4.40}{78}{general\_\-purpose Package Reference\relax }{section.4.40}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.40.1}Member Data Documentation}{79}{subsection.4.40.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.1}Adder}{79}{subsubsection.4.40.1.1}} +\newlabel{classgeneral__purpose_af8e0d17c9a451cdb4af0a71d815351f3}{{4.40.1.1}{79}{Adder\relax }{subsubsection.4.40.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.2}ADDRcntr}{79}{subsubsection.4.40.1.2}} +\newlabel{classgeneral__purpose_a038bdf3717b472b8b6548b69c9540696}{{4.40.1.2}{79}{ADDRcntr\relax }{subsubsection.4.40.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.3}ALU}{79}{subsubsection.4.40.1.3}} +\newlabel{classgeneral__purpose_a4e4eb2ee28b3f972d6571cc4d82bf6aa}{{4.40.1.3}{79}{ALU\relax }{subsubsection.4.40.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.4}ieee}{79}{subsubsection.4.40.1.4}} +\newlabel{classgeneral__purpose_acbe0bfecfa56fa4103ea80a491bfdbc8}{{4.40.1.4}{79}{ieee\relax }{subsubsection.4.40.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.5}MAC}{79}{subsubsection.4.40.1.5}} +\newlabel{classgeneral__purpose_a529fe7e993ae7d69dfcd5bb297deaef9}{{4.40.1.5}{79}{MAC\relax }{subsubsection.4.40.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.6}MAC\_\discretionary {-}{}{}CONTROLER}{79}{subsubsection.4.40.1.6}} +\newlabel{classgeneral__purpose_af2b8d653ac101b833871dc5239343758}{{4.40.1.6}{79}{MAC\_\-CONTROLER\relax }{subsubsection.4.40.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.7}MAC\_\discretionary {-}{}{}MUX}{79}{subsubsection.4.40.1.7}} +\newlabel{classgeneral__purpose_a18d3350c72652fd2aedabee0521c3767}{{4.40.1.7}{79}{MAC\_\-MUX\relax }{subsubsection.4.40.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.8}MAC\_\discretionary {-}{}{}MUX2}{79}{subsubsection.4.40.1.8}} +\newlabel{classgeneral__purpose_a0006ad72a2fbd32221bc87d57569de82}{{4.40.1.8}{79}{MAC\_\-MUX2\relax }{subsubsection.4.40.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.9}MAC\_\discretionary {-}{}{}REG}{79}{subsubsection.4.40.1.9}} +\newlabel{classgeneral__purpose_a7a08622fb804ec69c6b9f4b2d3a68919}{{4.40.1.9}{79}{MAC\_\-REG\relax }{subsubsection.4.40.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.10}Multiplier}{79}{subsubsection.4.40.1.10}} +\newlabel{classgeneral__purpose_a1a8b9004cb2b52bf797ef95d403e6656}{{4.40.1.10}{79}{Multiplier\relax }{subsubsection.4.40.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.11}MUX2}{79}{subsubsection.4.40.1.11}} +\newlabel{classgeneral__purpose_afac93ba1b0f6b0f984fdaaa2a593f5e0}{{4.40.1.11}{79}{MUX2\relax }{subsubsection.4.40.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.12}REG}{79}{subsubsection.4.40.1.12}} +\newlabel{classgeneral__purpose_a8f899d7ad1af070aae505a85cc998fa5}{{4.40.1.12}{79}{REG\relax }{subsubsection.4.40.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.13}RShifter}{80}{subsubsection.4.40.1.13}} +\newlabel{classgeneral__purpose_acfabec5a906b29c2ec6222a7f6dac226}{{4.40.1.13}{80}{RShifter\relax }{subsubsection.4.40.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.40.1.14}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{80}{subsubsection.4.40.1.14}} +\newlabel{classgeneral__purpose_a75f07bd8bde6f849270ce9de65573a4f}{{4.40.1.14}{80}{std\_\-logic\_\-1164\relax }{subsubsection.4.40.1.14}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.41}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR Entity Reference}{80}{section.4.41}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r}{{4.41}{80}{IIR\_\-CEL\_\-CTRLR Entity Reference\relax }{section.4.41}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.41.1}Member Data Documentation}{81}{subsection.4.41.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.1}clk}{81}{subsubsection.4.41.1.1}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_aeada434ddff982265d4a93768632e621}{{4.41.1.1}{81}{clk\relax }{subsubsection.4.41.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.2}coefs}{81}{subsubsection.4.41.1.2}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_a5c25404a71477a1bd2acc9aa73194094}{{4.41.1.2}{81}{coefs\relax }{subsubsection.4.41.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.3}FILTERcfg}{81}{subsubsection.4.41.1.3}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_a0e24e86edc9f8cb840d2dcd83a25b7a8}{{4.41.1.3}{81}{FILTERcfg\relax }{subsubsection.4.41.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.4}general\_\discretionary {-}{}{}purpose}{81}{subsubsection.4.41.1.4}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_a63d2766a6c866f862cdeed31c8a0bdab}{{4.41.1.4}{81}{general\_\-purpose\relax }{subsubsection.4.41.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.5}IEEE}{81}{subsubsection.4.41.1.5}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_adc40931273a8420e6fb52edf643d8434}{{4.41.1.5}{81}{IEEE\relax }{subsubsection.4.41.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.6}iir\_\discretionary {-}{}{}filter}{81}{subsubsection.4.41.1.6}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_a44bda5c2949d467e4e3620bf261086e9}{{4.41.1.6}{81}{iir\_\-filter\relax }{subsubsection.4.41.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.7}lpp}{81}{subsubsection.4.41.1.7}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_aa7b2d89e49a7157b58499684cc228990}{{4.41.1.7}{81}{lpp\relax }{subsubsection.4.41.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.8}numeric\_\discretionary {-}{}{}std}{81}{subsubsection.4.41.1.8}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.41.1.8}{81}{numeric\_\-std\relax }{subsubsection.4.41.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.9}reset}{82}{subsubsection.4.41.1.9}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.41.1.9}{82}{reset\relax }{subsubsection.4.41.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.10}sample\_\discretionary {-}{}{}clk}{82}{subsubsection.4.41.1.10}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_a3f829af24345b861dfbbeeccf5c5370d}{{4.41.1.10}{82}{sample\_\-clk\relax }{subsubsection.4.41.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.11}sample\_\discretionary {-}{}{}in}{82}{subsubsection.4.41.1.11}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_a006f0f67fe9334974d32fa429eaa9209}{{4.41.1.11}{82}{sample\_\-in\relax }{subsubsection.4.41.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.12}sample\_\discretionary {-}{}{}out}{82}{subsubsection.4.41.1.12}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_afcdaee2d5243c58e092ee8f34fd4b7d3}{{4.41.1.12}{82}{sample\_\-out\relax }{subsubsection.4.41.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.13}Sample\_\discretionary {-}{}{}SZ}{82}{subsubsection.4.41.1.13}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_a8b5b10a5d21a53514b141c6a2ec09810}{{4.41.1.13}{82}{Sample\_\-SZ\relax }{subsubsection.4.41.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.14}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{82}{subsubsection.4.41.1.14}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_a75f07bd8bde6f849270ce9de65573a4f}{{4.41.1.14}{82}{std\_\-logic\_\-1164\relax }{subsubsection.4.41.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.41.1.15}virg\_\discretionary {-}{}{}pos}{82}{subsubsection.4.41.1.15}} +\newlabel{class_i_i_r___c_e_l___c_t_r_l_r_aa06653db55f827c2eb56d94497648fb3}{{4.41.1.15}{82}{virg\_\-pos\relax }{subsubsection.4.41.1.15}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.42}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER Entity Reference}{82}{section.4.42}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r}{{4.42}{82}{IIR\_\-CEL\_\-FILTER Entity Reference\relax }{section.4.42}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.42.1}Member Data Documentation}{84}{subsection.4.42.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.1}clk}{84}{subsubsection.4.42.1.1}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_aeada434ddff982265d4a93768632e621}{{4.42.1.1}{84}{clk\relax }{subsubsection.4.42.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.2}FILTERcfg}{84}{subsubsection.4.42.1.2}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_a0e24e86edc9f8cb840d2dcd83a25b7a8}{{4.42.1.2}{84}{FILTERcfg\relax }{subsubsection.4.42.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.3}general\_\discretionary {-}{}{}purpose}{84}{subsubsection.4.42.1.3}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_a63d2766a6c866f862cdeed31c8a0bdab}{{4.42.1.3}{84}{general\_\-purpose\relax }{subsubsection.4.42.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.4}IEEE}{84}{subsubsection.4.42.1.4}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_adc40931273a8420e6fb52edf643d8434}{{4.42.1.4}{84}{IEEE\relax }{subsubsection.4.42.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.5}iir\_\discretionary {-}{}{}filter}{84}{subsubsection.4.42.1.5}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_a44bda5c2949d467e4e3620bf261086e9}{{4.42.1.5}{84}{iir\_\-filter\relax }{subsubsection.4.42.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.6}lpp}{84}{subsubsection.4.42.1.6}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_aa7b2d89e49a7157b58499684cc228990}{{4.42.1.6}{84}{lpp\relax }{subsubsection.4.42.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.7}numeric\_\discretionary {-}{}{}std}{84}{subsubsection.4.42.1.7}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.42.1.7}{84}{numeric\_\-std\relax }{subsubsection.4.42.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.8}regs\_\discretionary {-}{}{}in}{84}{subsubsection.4.42.1.8}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_a7116f9978281dfc299b80fcd11357d79}{{4.42.1.8}{84}{regs\_\-in\relax }{subsubsection.4.42.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.9}regs\_\discretionary {-}{}{}out}{84}{subsubsection.4.42.1.9}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_a8757d74e6485d6ac5e544dd39e2e111d}{{4.42.1.9}{84}{regs\_\-out\relax }{subsubsection.4.42.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.10}reset}{84}{subsubsection.4.42.1.10}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.42.1.10}{84}{reset\relax }{subsubsection.4.42.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.11}sample\_\discretionary {-}{}{}clk}{85}{subsubsection.4.42.1.11}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_a3f829af24345b861dfbbeeccf5c5370d}{{4.42.1.11}{85}{sample\_\-clk\relax }{subsubsection.4.42.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.12}sample\_\discretionary {-}{}{}in}{85}{subsubsection.4.42.1.12}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_a006f0f67fe9334974d32fa429eaa9209}{{4.42.1.12}{85}{sample\_\-in\relax }{subsubsection.4.42.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.13}sample\_\discretionary {-}{}{}out}{85}{subsubsection.4.42.1.13}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_ae6c7524353edb664d0a96fd25721df69}{{4.42.1.13}{85}{sample\_\-out\relax }{subsubsection.4.42.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.14}Sample\_\discretionary {-}{}{}SZ}{85}{subsubsection.4.42.1.14}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_a8b5b10a5d21a53514b141c6a2ec09810}{{4.42.1.14}{85}{Sample\_\-SZ\relax }{subsubsection.4.42.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.42.1.15}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{85}{subsubsection.4.42.1.15}} +\newlabel{class_i_i_r___c_e_l___f_i_l_t_e_r_a75f07bd8bde6f849270ce9de65573a4f}{{4.42.1.15}{85}{std\_\-logic\_\-1164\relax }{subsubsection.4.42.1.15}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.43}iir\_\discretionary {-}{}{}filter Package Reference}{85}{section.4.43}} +\newlabel{classiir__filter}{{4.43}{85}{iir\_\-filter Package Reference\relax }{section.4.43}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.43.1}Member Data Documentation}{87}{subsection.4.43.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.1}amba}{87}{subsubsection.4.43.1.1}} +\newlabel{classiir__filter_a74bf6821ebcfc09b446adaf9b3f109f3}{{4.43.1.1}{87}{amba\relax }{subsubsection.4.43.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.2}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}{87}{subsubsection.4.43.1.2}} +\newlabel{classiir__filter_a63a920d5ad8100b650606ea0a36c183c}{{4.43.1.2}{87}{APB\_\-IIR\_\-CEL\relax }{subsubsection.4.43.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.3}devices}{87}{subsubsection.4.43.1.3}} +\newlabel{classiir__filter_afd6272454684cd4a50d71d6a41dc7ce4}{{4.43.1.3}{87}{devices\relax }{subsubsection.4.43.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.4}FILTER}{87}{subsubsection.4.43.1.4}} +\newlabel{classiir__filter_a3df85f50e35a5c9e95e28306caa14c8c}{{4.43.1.4}{87}{FILTER\relax }{subsubsection.4.43.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.5}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}{87}{subsubsection.4.43.1.5}} +\newlabel{classiir__filter_ad63e5dab39332b8f3ca970e3cfb4afea}{{4.43.1.5}{87}{FILTER\_\-RAM\_\-CTRLR\relax }{subsubsection.4.43.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.6}FILTERcfg}{87}{subsubsection.4.43.1.6}} +\newlabel{classiir__filter_a0e24e86edc9f8cb840d2dcd83a25b7a8}{{4.43.1.6}{87}{FILTERcfg\relax }{subsubsection.4.43.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.7}FilterCTRLR}{87}{subsubsection.4.43.1.7}} +\newlabel{classiir__filter_a4184063f0bbc02f8b3db7dbd33860e85}{{4.43.1.7}{87}{FilterCTRLR\relax }{subsubsection.4.43.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.8}grlib}{87}{subsubsection.4.43.1.8}} +\newlabel{classiir__filter_a100f0749fc379026d641257d58977466}{{4.43.1.8}{87}{grlib\relax }{subsubsection.4.43.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.9}ieee}{87}{subsubsection.4.43.1.9}} +\newlabel{classiir__filter_acbe0bfecfa56fa4103ea80a491bfdbc8}{{4.43.1.9}{87}{ieee\relax }{subsubsection.4.43.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.10}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}{87}{subsubsection.4.43.1.10}} +\newlabel{classiir__filter_acf622a2d11bf206a11641864d94d2566}{{4.43.1.10}{87}{IIR\_\-CEL\_\-CTRLR\relax }{subsubsection.4.43.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.11}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}{87}{subsubsection.4.43.1.11}} +\newlabel{classiir__filter_aaaa07e98477bba76f64aebd331cfb09a}{{4.43.1.11}{87}{IIR\_\-CEL\_\-FILTER\relax }{subsubsection.4.43.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.12}lpp}{87}{subsubsection.4.43.1.12}} +\newlabel{classiir__filter_aa7b2d89e49a7157b58499684cc228990}{{4.43.1.12}{87}{lpp\relax }{subsubsection.4.43.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.13}RAM}{87}{subsubsection.4.43.1.13}} +\newlabel{classiir__filter_a06cd04bb2408c3ec5fbd2fa262fcf6aa}{{4.43.1.13}{87}{RAM\relax }{subsubsection.4.43.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.14}RAM\_\discretionary {-}{}{}CEL}{87}{subsubsection.4.43.1.14}} +\newlabel{classiir__filter_ab2669593acb5608375bb76024bca5316}{{4.43.1.14}{87}{RAM\_\-CEL\relax }{subsubsection.4.43.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.15}RAM\_\discretionary {-}{}{}CTRLR2}{87}{subsubsection.4.43.1.15}} +\newlabel{classiir__filter_af650d1999df11885e149125def1c5713}{{4.43.1.15}{87}{RAM\_\-CTRLR2\relax }{subsubsection.4.43.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.16}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{87}{subsubsection.4.43.1.16}} +\newlabel{classiir__filter_a75f07bd8bde6f849270ce9de65573a4f}{{4.43.1.16}{87}{std\_\-logic\_\-1164\relax }{subsubsection.4.43.1.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.43.1.17}stdlib}{87}{subsubsection.4.43.1.17}} +\newlabel{classiir__filter_a643f904cc1d14440675a3a0935190247}{{4.43.1.17}{87}{stdlib\relax }{subsubsection.4.43.1.17}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.44}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG Package Reference}{88}{section.4.44}} +\newlabel{class_l_c_d__16x2___c_f_g}{{4.44}{88}{LCD\_\-16x2\_\-CFG Package Reference\relax }{section.4.44}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.44.1}Member Data Documentation}{90}{subsection.4.44.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.1}LCD\_\discretionary {-}{}{}RW}{90}{subsubsection.4.44.1.1}} +\newlabel{class_l_c_d__16x2___c_f_g_a11aa08cda01d202d509e816e3c5f7c88}{{4.44.1.1}{90}{LCD\_\-RW\relax }{subsubsection.4.44.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.2}LCD\_\discretionary {-}{}{}RS}{90}{subsubsection.4.44.1.2}} +\newlabel{class_l_c_d__16x2___c_f_g_ad4a04c19cc7f455fbbc3ccdbcbc959e1}{{4.44.1.2}{90}{LCD\_\-RS\relax }{subsubsection.4.44.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.3}LCD\_\discretionary {-}{}{}E}{90}{subsubsection.4.44.1.3}} +\newlabel{class_l_c_d__16x2___c_f_g_aff7b53edf7f520b18456223cfe213e9d}{{4.44.1.3}{90}{LCD\_\-E\relax }{subsubsection.4.44.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.4}LCD\_\discretionary {-}{}{}DATA}{90}{subsubsection.4.44.1.4}} +\newlabel{class_l_c_d__16x2___c_f_g_aeecb1991fbe7e67e2f599e6e3dbc196a}{{4.44.1.4}{90}{LCD\_\-DATA\relax }{subsubsection.4.44.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.5}DRVR\_\discretionary {-}{}{}READY}{90}{subsubsection.4.44.1.5}} +\newlabel{class_l_c_d__16x2___c_f_g_a63910f719a331b98fc175918b3e1ce11}{{4.44.1.5}{90}{DRVR\_\-READY\relax }{subsubsection.4.44.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.6}LCD\_\discretionary {-}{}{}INITIALISED}{90}{subsubsection.4.44.1.6}} +\newlabel{class_l_c_d__16x2___c_f_g_a6ce40e4443215cfb6f051c76fd667046}{{4.44.1.6}{90}{LCD\_\-INITIALISED\relax }{subsubsection.4.44.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.7}Word}{90}{subsubsection.4.44.1.7}} +\newlabel{class_l_c_d__16x2___c_f_g_ab8a3a6e6a0b33e454d4d785b8cd43b2c}{{4.44.1.7}{90}{Word\relax }{subsubsection.4.44.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.8}CMD\_\discretionary {-}{}{}Data}{90}{subsubsection.4.44.1.8}} +\newlabel{class_l_c_d__16x2___c_f_g_abcce5b6011017aaf453297bec49f8330}{{4.44.1.8}{90}{CMD\_\-Data\relax }{subsubsection.4.44.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.9}Exec}{90}{subsubsection.4.44.1.9}} +\newlabel{class_l_c_d__16x2___c_f_g_ab028431fba9bf2ecfefdd8e06a1c3a73}{{4.44.1.9}{90}{Exec\relax }{subsubsection.4.44.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.10}Duration}{90}{subsubsection.4.44.1.10}} +\newlabel{class_l_c_d__16x2___c_f_g_a7e91d99c900a93ade60e3c60fd37d689}{{4.44.1.10}{90}{Duration\relax }{subsubsection.4.44.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.11}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}{90}{subsubsection.4.44.1.11}} +\newlabel{class_l_c_d__16x2___c_f_g_a5edd5ccbb5989d9869ba1d6f7f24307a}{{4.44.1.11}{90}{amba\_\-lcd\_\-16x2\_\-ctrlr\relax }{subsubsection.4.44.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.12}ClearDSPLY}{90}{subsubsection.4.44.1.12}} +\newlabel{class_l_c_d__16x2___c_f_g_aa53a33ed5209637f05d3d48be6b65046}{{4.44.1.12}{90}{ClearDSPLY\relax }{subsubsection.4.44.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.13}CursorOFF}{90}{subsubsection.4.44.1.13}} +\newlabel{class_l_c_d__16x2___c_f_g_a0daf4fb20d059c08a8e19dea02d4c446}{{4.44.1.13}{90}{CursorOFF\relax }{subsubsection.4.44.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.14}CursorON}{90}{subsubsection.4.44.1.14}} +\newlabel{class_l_c_d__16x2___c_f_g_a5838186fe759ce2ff7e11326500075fc}{{4.44.1.14}{90}{CursorON\relax }{subsubsection.4.44.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.15}DSPL\_\discretionary {-}{}{}CTRL}{90}{subsubsection.4.44.1.15}} +\newlabel{class_l_c_d__16x2___c_f_g_a71e4427bbffc70f4dd1b088034c660ed}{{4.44.1.15}{90}{DSPL\_\-CTRL\relax }{subsubsection.4.44.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.16}Duration\_\discretionary {-}{}{}100us}{90}{subsubsection.4.44.1.16}} +\newlabel{class_l_c_d__16x2___c_f_g_a92e9432e1fd529b8227b0306a488c518}{{4.44.1.16}{90}{Duration\_\-100us\relax }{subsubsection.4.44.1.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.17}Duration\_\discretionary {-}{}{}20ms}{90}{subsubsection.4.44.1.17}} +\newlabel{class_l_c_d__16x2___c_f_g_a3afcb98e921de10fb0ee24bc8d9049ba}{{4.44.1.17}{90}{Duration\_\-20ms\relax }{subsubsection.4.44.1.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.18}Duration\_\discretionary {-}{}{}4ms}{90}{subsubsection.4.44.1.18}} +\newlabel{class_l_c_d__16x2___c_f_g_a475a91a7765de021cb37beffaca49854}{{4.44.1.18}{90}{Duration\_\-4ms\relax }{subsubsection.4.44.1.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.19}Duration\_\discretionary {-}{}{}4us}{90}{subsubsection.4.44.1.19}} +\newlabel{class_l_c_d__16x2___c_f_g_a6fb9c700f1fbc706a9fc6f7a6deb1bfb}{{4.44.1.19}{90}{Duration\_\-4us\relax }{subsubsection.4.44.1.19}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.20}FunctionSet}{90}{subsubsection.4.44.1.20}} +\newlabel{class_l_c_d__16x2___c_f_g_ae460e22702a20b1b6307edeaa8fd1354}{{4.44.1.20}{90}{FunctionSet\relax }{subsubsection.4.44.1.20}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.21}IEEE}{90}{subsubsection.4.44.1.21}} +\newlabel{class_l_c_d__16x2___c_f_g_adc40931273a8420e6fb52edf643d8434}{{4.44.1.21}{90}{IEEE\relax }{subsubsection.4.44.1.21}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.22}LCD\_\discretionary {-}{}{}CFG\_\discretionary {-}{}{}Tbl}{90}{subsubsection.4.44.1.22}} +\newlabel{class_l_c_d__16x2___c_f_g_afbfacaea2b60b056d217bb82bf1d7222}{{4.44.1.22}{90}{LCD\_\-CFG\_\-Tbl\relax }{subsubsection.4.44.1.22}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.23}LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}CMD\_\discretionary {-}{}{}BUSS}{90}{subsubsection.4.44.1.23}} +\newlabel{class_l_c_d__16x2___c_f_g_a6e503af8f0303fc37e1595b8e7411c4c}{{4.44.1.23}{90}{LCD\_\-DRVR\_\-CMD\_\-BUSS\relax }{subsubsection.4.44.1.23}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.24}LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}CTRL\_\discretionary {-}{}{}BUSS}{90}{subsubsection.4.44.1.24}} +\newlabel{class_l_c_d__16x2___c_f_g_a3fa67258ac75b2243ea7bedba92b8722}{{4.44.1.24}{90}{LCD\_\-DRVR\_\-CTRL\_\-BUSS\relax }{subsubsection.4.44.1.24}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.25}LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}SYNCH\_\discretionary {-}{}{}BUSS}{90}{subsubsection.4.44.1.25}} +\newlabel{class_l_c_d__16x2___c_f_g_a794fcf7a137fce9ec896be68894ba6bf}{{4.44.1.25}{90}{LCD\_\-DRVR\_\-SYNCH\_\-BUSS\relax }{subsubsection.4.44.1.25}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.26}lpp}{90}{subsubsection.4.44.1.26}} +\newlabel{class_l_c_d__16x2___c_f_g_aa7b2d89e49a7157b58499684cc228990}{{4.44.1.26}{90}{lpp\relax }{subsubsection.4.44.1.26}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.27}RetHome}{90}{subsubsection.4.44.1.27}} +\newlabel{class_l_c_d__16x2___c_f_g_acb49f4274fabc356a0f178159156a670}{{4.44.1.27}{90}{RetHome\relax }{subsubsection.4.44.1.27}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.28}SetEntryMode}{90}{subsubsection.4.44.1.28}} +\newlabel{class_l_c_d__16x2___c_f_g_a852e9068eb1e257bed26c484b42c969e}{{4.44.1.28}{90}{SetEntryMode\relax }{subsubsection.4.44.1.28}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.44.1.29}STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}{90}{subsubsection.4.44.1.29}} +\newlabel{class_l_c_d__16x2___c_f_g_a6e6dbf4edd4b5ccd41b622bf4fb719b1}{{4.44.1.29}{90}{STD\_\-LOGIC\_\-1164\relax }{subsubsection.4.44.1.29}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.45}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE Entity Reference}{91}{section.4.45}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e}{{4.45}{91}{LCD\_\-16x2\_\-ENGINE Entity Reference\relax }{section.4.45}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.45.1}Member Data Documentation}{92}{subsection.4.45.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.1}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}{92}{subsubsection.4.45.1.1}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_a5edd5ccbb5989d9869ba1d6f7f24307a}{{4.45.1.1}{92}{amba\_\-lcd\_\-16x2\_\-ctrlr\relax }{subsubsection.4.45.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.2}clk}{92}{subsubsection.4.45.1.2}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_aeada434ddff982265d4a93768632e621}{{4.45.1.2}{92}{clk\relax }{subsubsection.4.45.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.3}CMD}{92}{subsubsection.4.45.1.3}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_a014cfe4d0acdd5d8a6dabe1014dbae09}{{4.45.1.3}{92}{CMD\relax }{subsubsection.4.45.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.4}DATA}{92}{subsubsection.4.45.1.4}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_a388970399380574a3f8d00ac4b9a59fb}{{4.45.1.4}{92}{DATA\relax }{subsubsection.4.45.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.5}Exec}{92}{subsubsection.4.45.1.5}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_a83d566628f3fbb071ad3014bba1fb2df}{{4.45.1.5}{92}{Exec\relax }{subsubsection.4.45.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.6}IEEE}{92}{subsubsection.4.45.1.6}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_adc40931273a8420e6fb52edf643d8434}{{4.45.1.6}{92}{IEEE\relax }{subsubsection.4.45.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.7}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}{92}{subsubsection.4.45.1.7}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_a7bc4923cedc8356856341544e9035ce8}{{4.45.1.7}{92}{LCD\_\-16x2\_\-CFG\relax }{subsubsection.4.45.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.8}LCD\_\discretionary {-}{}{}CTRL}{92}{subsubsection.4.45.1.8}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_a0e435c05cbe8fbcba08ff3b49c6e13f1}{{4.45.1.8}{92}{LCD\_\-CTRL\relax }{subsubsection.4.45.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.9}lpp}{93}{subsubsection.4.45.1.9}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_aa7b2d89e49a7157b58499684cc228990}{{4.45.1.9}{93}{lpp\relax }{subsubsection.4.45.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.10}NUMERIC\_\discretionary {-}{}{}STD}{93}{subsubsection.4.45.1.10}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_a1e61bc47f00cd334923e39274a78319b}{{4.45.1.10}{93}{NUMERIC\_\-STD\relax }{subsubsection.4.45.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.11}OSC\_\discretionary {-}{}{}freqKHz}{93}{subsubsection.4.45.1.11}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_afad7208516d27782f4e358cb2f78965a}{{4.45.1.11}{93}{OSC\_\-freqKHz\relax }{subsubsection.4.45.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.12}Ready}{93}{subsubsection.4.45.1.12}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_a0feea85d64d2df0c6d9378085d5fac00}{{4.45.1.12}{93}{Ready\relax }{subsubsection.4.45.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.13}reset}{93}{subsubsection.4.45.1.13}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.45.1.13}{93}{reset\relax }{subsubsection.4.45.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.45.1.14}STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}{93}{subsubsection.4.45.1.14}} +\newlabel{class_l_c_d__16x2___e_n_g_i_n_e_a6e6dbf4edd4b5ccd41b622bf4fb719b1}{{4.45.1.14}{93}{STD\_\-LOGIC\_\-1164\relax }{subsubsection.4.45.1.14}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.46}LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER Entity Reference}{93}{section.4.46}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r}{{4.46}{93}{LCD\_\-2x16\_\-DRIVER Entity Reference\relax }{section.4.46}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.46.1}Member Data Documentation}{95}{subsection.4.46.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.1}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}{95}{subsubsection.4.46.1.1}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a5edd5ccbb5989d9869ba1d6f7f24307a}{{4.46.1.1}{95}{amba\_\-lcd\_\-16x2\_\-ctrlr\relax }{subsubsection.4.46.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.2}clk}{95}{subsubsection.4.46.1.2}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_aeada434ddff982265d4a93768632e621}{{4.46.1.2}{95}{clk\relax }{subsubsection.4.46.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.3}FramBUFF}{95}{subsubsection.4.46.1.3}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a9e78ad17d55cb349bb39b3c8fb2b3213}{{4.46.1.3}{95}{FramBUFF\relax }{subsubsection.4.46.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.4}IEEE}{95}{subsubsection.4.46.1.4}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_adc40931273a8420e6fb52edf643d8434}{{4.46.1.4}{95}{IEEE\relax }{subsubsection.4.46.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.5}LCD\_\discretionary {-}{}{}CS1}{95}{subsubsection.4.46.1.5}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a1ddeb7c7a1990a7bc1920192c72991e8}{{4.46.1.5}{95}{LCD\_\-CS1\relax }{subsubsection.4.46.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.6}LCD\_\discretionary {-}{}{}CS2}{95}{subsubsection.4.46.1.6}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a0819bbdcf3cb50abf81b7b0ceb4eb85e}{{4.46.1.6}{95}{LCD\_\-CS2\relax }{subsubsection.4.46.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.7}LCD\_\discretionary {-}{}{}data}{95}{subsubsection.4.46.1.7}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a857b8dcc6889b0f69f7af556ad6da73c}{{4.46.1.7}{95}{LCD\_\-data\relax }{subsubsection.4.46.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.8}LCD\_\discretionary {-}{}{}E}{95}{subsubsection.4.46.1.8}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_aab2d383a3ca2516bacb42bfd6c353be7}{{4.46.1.8}{95}{LCD\_\-E\relax }{subsubsection.4.46.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.9}LCD\_\discretionary {-}{}{}RET}{95}{subsubsection.4.46.1.9}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_aadc4f7e415e4523798a91a8ca481fe4d}{{4.46.1.9}{95}{LCD\_\-RET\relax }{subsubsection.4.46.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.10}LCD\_\discretionary {-}{}{}RS}{95}{subsubsection.4.46.1.10}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a5c1f25805e631289502b60ed4fb05b91}{{4.46.1.10}{95}{LCD\_\-RS\relax }{subsubsection.4.46.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.11}LCD\_\discretionary {-}{}{}RW}{95}{subsubsection.4.46.1.11}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a94059487edf42fa0917d979cb89653d3}{{4.46.1.11}{95}{LCD\_\-RW\relax }{subsubsection.4.46.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.12}lpp}{95}{subsubsection.4.46.1.12}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_aa7b2d89e49a7157b58499684cc228990}{{4.46.1.12}{95}{lpp\relax }{subsubsection.4.46.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.13}NUMERIC\_\discretionary {-}{}{}STD}{95}{subsubsection.4.46.1.13}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a1e61bc47f00cd334923e39274a78319b}{{4.46.1.13}{95}{NUMERIC\_\-STD\relax }{subsubsection.4.46.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.14}OSC\_\discretionary {-}{}{}Freq\_\discretionary {-}{}{}MHz}{95}{subsubsection.4.46.1.14}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a9b086ed8f17baf443598e8a2e83bccb1}{{4.46.1.14}{95}{OSC\_\-Freq\_\-MHz\relax }{subsubsection.4.46.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.15}Refresh\_\discretionary {-}{}{}RateHz}{95}{subsubsection.4.46.1.15}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a8361819acdcd76fd1942d94a32b38218}{{4.46.1.15}{95}{Refresh\_\-RateHz\relax }{subsubsection.4.46.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.16}refreshPulse}{95}{subsubsection.4.46.1.16}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_ac5820f67f41a9116128dbcbfef175eb4}{{4.46.1.16}{95}{refreshPulse\relax }{subsubsection.4.46.1.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.17}reset}{95}{subsubsection.4.46.1.17}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.46.1.17}{95}{reset\relax }{subsubsection.4.46.1.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.18}STATEOUT}{95}{subsubsection.4.46.1.18}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a654a8e2c9d599d1a5ae5d26fd425390f}{{4.46.1.18}{95}{STATEOUT\relax }{subsubsection.4.46.1.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.46.1.19}STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}{95}{subsubsection.4.46.1.19}} +\newlabel{class_l_c_d__2x16___d_r_i_v_e_r_a6e6dbf4edd4b5ccd41b622bf4fb719b1}{{4.46.1.19}{95}{STD\_\-LOGIC\_\-1164\relax }{subsubsection.4.46.1.19}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.47}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR Entity Reference}{95}{section.4.47}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r}{{4.47}{95}{LCD\_\-CLK\_\-GENERATOR Entity Reference\relax }{section.4.47}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.47.1}Member Data Documentation}{97}{subsection.4.47.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.47.1.1}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}{97}{subsubsection.4.47.1.1}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_a5edd5ccbb5989d9869ba1d6f7f24307a}{{4.47.1.1}{97}{amba\_\-lcd\_\-16x2\_\-ctrlr\relax }{subsubsection.4.47.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.47.1.2}clk}{97}{subsubsection.4.47.1.2}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_aeada434ddff982265d4a93768632e621}{{4.47.1.2}{97}{clk\relax }{subsubsection.4.47.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.47.1.3}clk\_\discretionary {-}{}{}1us}{97}{subsubsection.4.47.1.3}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_ad34014015897de4341d7c9a24e24a83a}{{4.47.1.3}{97}{clk\_\-1us\relax }{subsubsection.4.47.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.47.1.4}IEEE}{97}{subsubsection.4.47.1.4}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_adc40931273a8420e6fb52edf643d8434}{{4.47.1.4}{97}{IEEE\relax }{subsubsection.4.47.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.47.1.5}lpp}{97}{subsubsection.4.47.1.5}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_aa7b2d89e49a7157b58499684cc228990}{{4.47.1.5}{97}{lpp\relax }{subsubsection.4.47.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.47.1.6}NUMERIC\_\discretionary {-}{}{}STD}{97}{subsubsection.4.47.1.6}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_a1e61bc47f00cd334923e39274a78319b}{{4.47.1.6}{97}{NUMERIC\_\-STD\relax }{subsubsection.4.47.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.47.1.7}OSC\_\discretionary {-}{}{}freqKHz}{97}{subsubsection.4.47.1.7}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_afad7208516d27782f4e358cb2f78965a}{{4.47.1.7}{97}{OSC\_\-freqKHz\relax }{subsubsection.4.47.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.47.1.8}reset}{97}{subsubsection.4.47.1.8}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.47.1.8}{97}{reset\relax }{subsubsection.4.47.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.47.1.9}STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}{97}{subsubsection.4.47.1.9}} +\newlabel{class_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_a6e6dbf4edd4b5ccd41b622bf4fb719b1}{{4.47.1.9}{97}{STD\_\-LOGIC\_\-1164\relax }{subsubsection.4.47.1.9}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.48}MAC Entity Reference}{97}{section.4.48}} +\newlabel{class_m_a_c}{{4.48}{97}{MAC Entity Reference\relax }{section.4.48}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.48.1}Member Data Documentation}{98}{subsection.4.48.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.1}clk}{98}{subsubsection.4.48.1.1}} +\newlabel{class_m_a_c_aeada434ddff982265d4a93768632e621}{{4.48.1.1}{98}{clk\relax }{subsubsection.4.48.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.2}clr\_\discretionary {-}{}{}MAC}{98}{subsubsection.4.48.1.2}} +\newlabel{class_m_a_c_a991879287ebb39846d788cc9bb14d51d}{{4.48.1.2}{98}{clr\_\-MAC\relax }{subsubsection.4.48.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.3}general\_\discretionary {-}{}{}purpose}{98}{subsubsection.4.48.1.3}} +\newlabel{class_m_a_c_a63d2766a6c866f862cdeed31c8a0bdab}{{4.48.1.3}{98}{general\_\-purpose\relax }{subsubsection.4.48.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.4}IEEE}{99}{subsubsection.4.48.1.4}} +\newlabel{class_m_a_c_adc40931273a8420e6fb52edf643d8434}{{4.48.1.4}{99}{IEEE\relax }{subsubsection.4.48.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.5}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}{99}{subsubsection.4.48.1.5}} +\newlabel{class_m_a_c_a57c3d493261aad00734ba5828fc92e7a}{{4.48.1.5}{99}{Input\_\-SZ\_\-A\relax }{subsubsection.4.48.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.6}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}{99}{subsubsection.4.48.1.6}} +\newlabel{class_m_a_c_a3a30d1d9c2d0d8fe615d81ba28079675}{{4.48.1.6}{99}{Input\_\-SZ\_\-B\relax }{subsubsection.4.48.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.7}lpp}{99}{subsubsection.4.48.1.7}} +\newlabel{class_m_a_c_aa7b2d89e49a7157b58499684cc228990}{{4.48.1.7}{99}{lpp\relax }{subsubsection.4.48.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.8}MAC\_\discretionary {-}{}{}MUL\_\discretionary {-}{}{}ADD}{99}{subsubsection.4.48.1.8}} +\newlabel{class_m_a_c_a5b3bccd86b662be267e5ec5cc5cf714d}{{4.48.1.8}{99}{MAC\_\-MUL\_\-ADD\relax }{subsubsection.4.48.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.9}numeric\_\discretionary {-}{}{}std}{99}{subsubsection.4.48.1.9}} +\newlabel{class_m_a_c_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.48.1.9}{99}{numeric\_\-std\relax }{subsubsection.4.48.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.10}OP1}{99}{subsubsection.4.48.1.10}} +\newlabel{class_m_a_c_ab8883692d01c5ade42186be33ff8f7bf}{{4.48.1.10}{99}{OP1\relax }{subsubsection.4.48.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.11}OP2}{99}{subsubsection.4.48.1.11}} +\newlabel{class_m_a_c_a3843d580ed2e3b8d95d7f006aa13cca2}{{4.48.1.11}{99}{OP2\relax }{subsubsection.4.48.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.12}RES}{99}{subsubsection.4.48.1.12}} +\newlabel{class_m_a_c_a70d5d6a2d4cafe308823ddb94b7d2ed0}{{4.48.1.12}{99}{RES\relax }{subsubsection.4.48.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.13}reset}{99}{subsubsection.4.48.1.13}} +\newlabel{class_m_a_c_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.48.1.13}{99}{reset\relax }{subsubsection.4.48.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.48.1.14}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{100}{subsubsection.4.48.1.14}} +\newlabel{class_m_a_c_a75f07bd8bde6f849270ce9de65573a4f}{{4.48.1.14}{100}{std\_\-logic\_\-1164\relax }{subsubsection.4.48.1.14}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.49}MAC\_\discretionary {-}{}{}CONTROLER Entity Reference}{100}{section.4.49}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r}{{4.49}{100}{MAC\_\-CONTROLER Entity Reference\relax }{section.4.49}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.49.1}Member Data Documentation}{101}{subsection.4.49.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.49.1.1}ADD}{101}{subsubsection.4.49.1.1}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_a41a0144cb30a6fc1fcfaca6ea1cd344f}{{4.49.1.1}{101}{ADD\relax }{subsubsection.4.49.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.49.1.2}ctrl}{101}{subsubsection.4.49.1.2}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_a311aeab97068e5f4cc456f62b43e0f29}{{4.49.1.2}{101}{ctrl\relax }{subsubsection.4.49.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.49.1.3}general\_\discretionary {-}{}{}purpose}{101}{subsubsection.4.49.1.3}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_a63d2766a6c866f862cdeed31c8a0bdab}{{4.49.1.3}{101}{general\_\-purpose\relax }{subsubsection.4.49.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.49.1.4}IEEE}{101}{subsubsection.4.49.1.4}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_adc40931273a8420e6fb52edf643d8434}{{4.49.1.4}{101}{IEEE\relax }{subsubsection.4.49.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.49.1.5}lpp}{101}{subsubsection.4.49.1.5}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_aa7b2d89e49a7157b58499684cc228990}{{4.49.1.5}{101}{lpp\relax }{subsubsection.4.49.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.49.1.6}MACMUX2\_\discretionary {-}{}{}sel}{101}{subsubsection.4.49.1.6}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_a4da08d86f9df23bbcd2228cab4f49f53}{{4.49.1.6}{101}{MACMUX2\_\-sel\relax }{subsubsection.4.49.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.49.1.7}MACMUX\_\discretionary {-}{}{}sel}{101}{subsubsection.4.49.1.7}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_ac90dd36a910424e744927f7a9881b819}{{4.49.1.7}{101}{MACMUX\_\-sel\relax }{subsubsection.4.49.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.49.1.8}MULT}{101}{subsubsection.4.49.1.8}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_ad15b83dd021422d46bf1c7de0e1b53c7}{{4.49.1.8}{101}{MULT\relax }{subsubsection.4.49.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.49.1.9}numeric\_\discretionary {-}{}{}std}{101}{subsubsection.4.49.1.9}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.49.1.9}{101}{numeric\_\-std\relax }{subsubsection.4.49.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.49.1.10}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{101}{subsubsection.4.49.1.10}} +\newlabel{class_m_a_c___c_o_n_t_r_o_l_e_r_a75f07bd8bde6f849270ce9de65573a4f}{{4.49.1.10}{101}{std\_\-logic\_\-1164\relax }{subsubsection.4.49.1.10}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.50}MAC\_\discretionary {-}{}{}MUX Entity Reference}{101}{section.4.50}} +\newlabel{class_m_a_c___m_u_x}{{4.50}{101}{MAC\_\-MUX Entity Reference\relax }{section.4.50}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.50.1}Member Data Documentation}{103}{subsection.4.50.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.1}general\_\discretionary {-}{}{}purpose}{103}{subsubsection.4.50.1.1}} +\newlabel{class_m_a_c___m_u_x_a63d2766a6c866f862cdeed31c8a0bdab}{{4.50.1.1}{103}{general\_\-purpose\relax }{subsubsection.4.50.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.2}IEEE}{103}{subsubsection.4.50.1.2}} +\newlabel{class_m_a_c___m_u_x_adc40931273a8420e6fb52edf643d8434}{{4.50.1.2}{103}{IEEE\relax }{subsubsection.4.50.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.3}INA1}{103}{subsubsection.4.50.1.3}} +\newlabel{class_m_a_c___m_u_x_a8fc7a5b8dcb1731776647e2476d2b0f3}{{4.50.1.3}{103}{INA1\relax }{subsubsection.4.50.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.4}INA2}{103}{subsubsection.4.50.1.4}} +\newlabel{class_m_a_c___m_u_x_a2eaf38471a8d022b97affb4a8880bc50}{{4.50.1.4}{103}{INA2\relax }{subsubsection.4.50.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.5}INB1}{103}{subsubsection.4.50.1.5}} +\newlabel{class_m_a_c___m_u_x_a85d3723be0d064e177353ed539a68976}{{4.50.1.5}{103}{INB1\relax }{subsubsection.4.50.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.6}INB2}{103}{subsubsection.4.50.1.6}} +\newlabel{class_m_a_c___m_u_x_a57de7a39aa0a64cc9c0d4ffe02a673ea}{{4.50.1.6}{103}{INB2\relax }{subsubsection.4.50.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.7}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}{103}{subsubsection.4.50.1.7}} +\newlabel{class_m_a_c___m_u_x_a809074e1b4c24cd7e29cdbccb59fbab5}{{4.50.1.7}{103}{Input\_\-SZ\_\-A\relax }{subsubsection.4.50.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.8}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}{103}{subsubsection.4.50.1.8}} +\newlabel{class_m_a_c___m_u_x_a413a38e47e4d8bebbf888f22ad7df386}{{4.50.1.8}{103}{Input\_\-SZ\_\-B\relax }{subsubsection.4.50.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.9}lpp}{103}{subsubsection.4.50.1.9}} +\newlabel{class_m_a_c___m_u_x_aa7b2d89e49a7157b58499684cc228990}{{4.50.1.9}{103}{lpp\relax }{subsubsection.4.50.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.10}numeric\_\discretionary {-}{}{}std}{103}{subsubsection.4.50.1.10}} +\newlabel{class_m_a_c___m_u_x_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.50.1.10}{103}{numeric\_\-std\relax }{subsubsection.4.50.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.11}OUTA}{103}{subsubsection.4.50.1.11}} +\newlabel{class_m_a_c___m_u_x_a43f3aa4370676752b5df3f074ea6cee3}{{4.50.1.11}{103}{OUTA\relax }{subsubsection.4.50.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.12}OUTB}{103}{subsubsection.4.50.1.12}} +\newlabel{class_m_a_c___m_u_x_aa92f1253785c747aeda00f4e529d393a}{{4.50.1.12}{103}{OUTB\relax }{subsubsection.4.50.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.13}sel}{103}{subsubsection.4.50.1.13}} +\newlabel{class_m_a_c___m_u_x_a0bafca15f54703bc84ec308996d4a7ce}{{4.50.1.13}{103}{sel\relax }{subsubsection.4.50.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.50.1.14}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{103}{subsubsection.4.50.1.14}} +\newlabel{class_m_a_c___m_u_x_a75f07bd8bde6f849270ce9de65573a4f}{{4.50.1.14}{103}{std\_\-logic\_\-1164\relax }{subsubsection.4.50.1.14}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.51}MAC\_\discretionary {-}{}{}MUX2 Entity Reference}{103}{section.4.51}} +\newlabel{class_m_a_c___m_u_x2}{{4.51}{103}{MAC\_\-MUX2 Entity Reference\relax }{section.4.51}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.51.1}Member Data Documentation}{105}{subsection.4.51.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.51.1.1}general\_\discretionary {-}{}{}purpose}{105}{subsubsection.4.51.1.1}} +\newlabel{class_m_a_c___m_u_x2_a63d2766a6c866f862cdeed31c8a0bdab}{{4.51.1.1}{105}{general\_\-purpose\relax }{subsubsection.4.51.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.51.1.2}IEEE}{105}{subsubsection.4.51.1.2}} +\newlabel{class_m_a_c___m_u_x2_adc40931273a8420e6fb52edf643d8434}{{4.51.1.2}{105}{IEEE\relax }{subsubsection.4.51.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.51.1.3}Input\_\discretionary {-}{}{}SZ}{105}{subsubsection.4.51.1.3}} +\newlabel{class_m_a_c___m_u_x2_a89f886bbc48746735c0fc68f296fba6b}{{4.51.1.3}{105}{Input\_\-SZ\relax }{subsubsection.4.51.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.51.1.4}lpp}{105}{subsubsection.4.51.1.4}} +\newlabel{class_m_a_c___m_u_x2_aa7b2d89e49a7157b58499684cc228990}{{4.51.1.4}{105}{lpp\relax }{subsubsection.4.51.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.51.1.5}numeric\_\discretionary {-}{}{}std}{105}{subsubsection.4.51.1.5}} +\newlabel{class_m_a_c___m_u_x2_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.51.1.5}{105}{numeric\_\-std\relax }{subsubsection.4.51.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.51.1.6}RES}{105}{subsubsection.4.51.1.6}} +\newlabel{class_m_a_c___m_u_x2_af33edd15af07efce9311bf8ad8f13b44}{{4.51.1.6}{105}{RES\relax }{subsubsection.4.51.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.51.1.7}RES1}{105}{subsubsection.4.51.1.7}} +\newlabel{class_m_a_c___m_u_x2_ae1af13a7faa6ecb5b3eb049cf2388b50}{{4.51.1.7}{105}{RES1\relax }{subsubsection.4.51.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.51.1.8}RES2}{105}{subsubsection.4.51.1.8}} +\newlabel{class_m_a_c___m_u_x2_ac2af154e9c16d7cd617b459cfaeccb54}{{4.51.1.8}{105}{RES2\relax }{subsubsection.4.51.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.51.1.9}sel}{105}{subsubsection.4.51.1.9}} +\newlabel{class_m_a_c___m_u_x2_a0bafca15f54703bc84ec308996d4a7ce}{{4.51.1.9}{105}{sel\relax }{subsubsection.4.51.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.51.1.10}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{105}{subsubsection.4.51.1.10}} +\newlabel{class_m_a_c___m_u_x2_a75f07bd8bde6f849270ce9de65573a4f}{{4.51.1.10}{105}{std\_\-logic\_\-1164\relax }{subsubsection.4.51.1.10}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.52}MAC\_\discretionary {-}{}{}REG Entity Reference}{106}{section.4.52}} +\newlabel{class_m_a_c___r_e_g}{{4.52}{106}{MAC\_\-REG Entity Reference\relax }{section.4.52}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.52.1}Member Data Documentation}{107}{subsection.4.52.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.52.1.1}clk}{107}{subsubsection.4.52.1.1}} +\newlabel{class_m_a_c___r_e_g_aeada434ddff982265d4a93768632e621}{{4.52.1.1}{107}{clk\relax }{subsubsection.4.52.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.52.1.2}D}{107}{subsubsection.4.52.1.2}} +\newlabel{class_m_a_c___r_e_g_ae947bb828b71f45d219c8887e736bd5b}{{4.52.1.2}{107}{D\relax }{subsubsection.4.52.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.52.1.3}general\_\discretionary {-}{}{}purpose}{107}{subsubsection.4.52.1.3}} +\newlabel{class_m_a_c___r_e_g_a63d2766a6c866f862cdeed31c8a0bdab}{{4.52.1.3}{107}{general\_\-purpose\relax }{subsubsection.4.52.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.52.1.4}IEEE}{107}{subsubsection.4.52.1.4}} +\newlabel{class_m_a_c___r_e_g_adc40931273a8420e6fb52edf643d8434}{{4.52.1.4}{107}{IEEE\relax }{subsubsection.4.52.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.52.1.5}lpp}{107}{subsubsection.4.52.1.5}} +\newlabel{class_m_a_c___r_e_g_aa7b2d89e49a7157b58499684cc228990}{{4.52.1.5}{107}{lpp\relax }{subsubsection.4.52.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.52.1.6}numeric\_\discretionary {-}{}{}std}{107}{subsubsection.4.52.1.6}} +\newlabel{class_m_a_c___r_e_g_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.52.1.6}{107}{numeric\_\-std\relax }{subsubsection.4.52.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.52.1.7}Q}{107}{subsubsection.4.52.1.7}} +\newlabel{class_m_a_c___r_e_g_a76e09a5abf83a0f4545ff1ea841336a5}{{4.52.1.7}{107}{Q\relax }{subsubsection.4.52.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.52.1.8}reset}{107}{subsubsection.4.52.1.8}} +\newlabel{class_m_a_c___r_e_g_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.52.1.8}{107}{reset\relax }{subsubsection.4.52.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.52.1.9}size}{107}{subsubsection.4.52.1.9}} +\newlabel{class_m_a_c___r_e_g_ad2405179acb44d7a63c65d2f69fb10ed}{{4.52.1.9}{107}{size\relax }{subsubsection.4.52.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.52.1.10}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{107}{subsubsection.4.52.1.10}} +\newlabel{class_m_a_c___r_e_g_a75f07bd8bde6f849270ce9de65573a4f}{{4.52.1.10}{107}{std\_\-logic\_\-1164\relax }{subsubsection.4.52.1.10}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.53}Multiplier Entity Reference}{107}{section.4.53}} +\newlabel{class_multiplier}{{4.53}{107}{Multiplier Entity Reference\relax }{section.4.53}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.53.1}Member Data Documentation}{109}{subsection.4.53.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.1}clk}{109}{subsubsection.4.53.1.1}} +\newlabel{class_multiplier_aeada434ddff982265d4a93768632e621}{{4.53.1.1}{109}{clk\relax }{subsubsection.4.53.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.2}general\_\discretionary {-}{}{}purpose}{109}{subsubsection.4.53.1.2}} +\newlabel{class_multiplier_a63d2766a6c866f862cdeed31c8a0bdab}{{4.53.1.2}{109}{general\_\-purpose\relax }{subsubsection.4.53.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.3}IEEE}{109}{subsubsection.4.53.1.3}} +\newlabel{class_multiplier_adc40931273a8420e6fb52edf643d8434}{{4.53.1.3}{109}{IEEE\relax }{subsubsection.4.53.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.4}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}{109}{subsubsection.4.53.1.4}} +\newlabel{class_multiplier_a809074e1b4c24cd7e29cdbccb59fbab5}{{4.53.1.4}{109}{Input\_\-SZ\_\-A\relax }{subsubsection.4.53.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.5}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}{109}{subsubsection.4.53.1.5}} +\newlabel{class_multiplier_a413a38e47e4d8bebbf888f22ad7df386}{{4.53.1.5}{109}{Input\_\-SZ\_\-B\relax }{subsubsection.4.53.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.6}lpp}{109}{subsubsection.4.53.1.6}} +\newlabel{class_multiplier_aa7b2d89e49a7157b58499684cc228990}{{4.53.1.6}{109}{lpp\relax }{subsubsection.4.53.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.7}mult}{109}{subsubsection.4.53.1.7}} +\newlabel{class_multiplier_ad88ca9dbe7dd871be2ddfc2053d0c31a}{{4.53.1.7}{109}{mult\relax }{subsubsection.4.53.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.8}numeric\_\discretionary {-}{}{}std}{109}{subsubsection.4.53.1.8}} +\newlabel{class_multiplier_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.53.1.8}{109}{numeric\_\-std\relax }{subsubsection.4.53.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.9}OP1}{109}{subsubsection.4.53.1.9}} +\newlabel{class_multiplier_ab8883692d01c5ade42186be33ff8f7bf}{{4.53.1.9}{109}{OP1\relax }{subsubsection.4.53.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.10}OP2}{109}{subsubsection.4.53.1.10}} +\newlabel{class_multiplier_a3843d580ed2e3b8d95d7f006aa13cca2}{{4.53.1.10}{109}{OP2\relax }{subsubsection.4.53.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.11}RES}{109}{subsubsection.4.53.1.11}} +\newlabel{class_multiplier_a70d5d6a2d4cafe308823ddb94b7d2ed0}{{4.53.1.11}{109}{RES\relax }{subsubsection.4.53.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.12}reset}{109}{subsubsection.4.53.1.12}} +\newlabel{class_multiplier_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.53.1.12}{109}{reset\relax }{subsubsection.4.53.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.53.1.13}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{109}{subsubsection.4.53.1.13}} +\newlabel{class_multiplier_a75f07bd8bde6f849270ce9de65573a4f}{{4.53.1.13}{109}{std\_\-logic\_\-1164\relax }{subsubsection.4.53.1.13}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.54}MUX2 Entity Reference}{109}{section.4.54}} +\newlabel{class_m_u_x2}{{4.54}{109}{MUX2 Entity Reference\relax }{section.4.54}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.54.1}Member Data Documentation}{111}{subsection.4.54.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.54.1.1}general\_\discretionary {-}{}{}purpose}{111}{subsubsection.4.54.1.1}} +\newlabel{class_m_u_x2_a63d2766a6c866f862cdeed31c8a0bdab}{{4.54.1.1}{111}{general\_\-purpose\relax }{subsubsection.4.54.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.54.1.2}IEEE}{111}{subsubsection.4.54.1.2}} +\newlabel{class_m_u_x2_adc40931273a8420e6fb52edf643d8434}{{4.54.1.2}{111}{IEEE\relax }{subsubsection.4.54.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.54.1.3}IN1}{111}{subsubsection.4.54.1.3}} +\newlabel{class_m_u_x2_a5f3c0dd268ccef172f8120aa9895744d}{{4.54.1.3}{111}{IN1\relax }{subsubsection.4.54.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.54.1.4}IN2}{111}{subsubsection.4.54.1.4}} +\newlabel{class_m_u_x2_a9f5ce27ac3c9e8dc028e68e3807a4d67}{{4.54.1.4}{111}{IN2\relax }{subsubsection.4.54.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.54.1.5}Input\_\discretionary {-}{}{}SZ}{111}{subsubsection.4.54.1.5}} +\newlabel{class_m_u_x2_a89f886bbc48746735c0fc68f296fba6b}{{4.54.1.5}{111}{Input\_\-SZ\relax }{subsubsection.4.54.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.54.1.6}lpp}{111}{subsubsection.4.54.1.6}} +\newlabel{class_m_u_x2_aa7b2d89e49a7157b58499684cc228990}{{4.54.1.6}{111}{lpp\relax }{subsubsection.4.54.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.54.1.7}numeric\_\discretionary {-}{}{}std}{111}{subsubsection.4.54.1.7}} +\newlabel{class_m_u_x2_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.54.1.7}{111}{numeric\_\-std\relax }{subsubsection.4.54.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.54.1.8}RES}{111}{subsubsection.4.54.1.8}} +\newlabel{class_m_u_x2_af33edd15af07efce9311bf8ad8f13b44}{{4.54.1.8}{111}{RES\relax }{subsubsection.4.54.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.54.1.9}sel}{111}{subsubsection.4.54.1.9}} +\newlabel{class_m_u_x2_a0bafca15f54703bc84ec308996d4a7ce}{{4.54.1.9}{111}{sel\relax }{subsubsection.4.54.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.54.1.10}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{111}{subsubsection.4.54.1.10}} +\newlabel{class_m_u_x2_a75f07bd8bde6f849270ce9de65573a4f}{{4.54.1.10}{111}{std\_\-logic\_\-1164\relax }{subsubsection.4.54.1.10}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.55}RAM Entity Reference}{111}{section.4.55}} +\newlabel{class_r_a_m}{{4.55}{111}{RAM Entity Reference\relax }{section.4.55}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.55.1}Member Data Documentation}{112}{subsection.4.55.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.1}ieee}{112}{subsubsection.4.55.1.1}} +\newlabel{class_r_a_m_acbe0bfecfa56fa4103ea80a491bfdbc8}{{4.55.1.1}{112}{ieee\relax }{subsubsection.4.55.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.2}numeric\_\discretionary {-}{}{}std}{112}{subsubsection.4.55.1.2}} +\newlabel{class_r_a_m_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.55.1.2}{112}{numeric\_\-std\relax }{subsubsection.4.55.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.3}RADDR}{112}{subsubsection.4.55.1.3}} +\newlabel{class_r_a_m_ac520854814e400c0a5aba734b32cea8d}{{4.55.1.3}{112}{RADDR\relax }{subsubsection.4.55.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.4}RD}{112}{subsubsection.4.55.1.4}} +\newlabel{class_r_a_m_a28409074c9f40f7c132c0756ca995597}{{4.55.1.4}{112}{RD\relax }{subsubsection.4.55.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.5}REN}{112}{subsubsection.4.55.1.5}} +\newlabel{class_r_a_m_ac6950d10892932d6ceb63f865b49d143}{{4.55.1.5}{112}{REN\relax }{subsubsection.4.55.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.6}RESET}{112}{subsubsection.4.55.1.6}} +\newlabel{class_r_a_m_a9d1cec65a2551d7dbbb37d0a0c96fda0}{{4.55.1.6}{112}{RESET\relax }{subsubsection.4.55.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.7}RWCLK}{112}{subsubsection.4.55.1.7}} +\newlabel{class_r_a_m_a6d67e53053673ba2a9f41d488bdaea6e}{{4.55.1.7}{112}{RWCLK\relax }{subsubsection.4.55.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.8}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{112}{subsubsection.4.55.1.8}} +\newlabel{class_r_a_m_a75f07bd8bde6f849270ce9de65573a4f}{{4.55.1.8}{112}{std\_\-logic\_\-1164\relax }{subsubsection.4.55.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.9}WADDR}{113}{subsubsection.4.55.1.9}} +\newlabel{class_r_a_m_a73e438e4b28c56b876a6d177064fbafd}{{4.55.1.9}{113}{WADDR\relax }{subsubsection.4.55.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.10}WD}{113}{subsubsection.4.55.1.10}} +\newlabel{class_r_a_m_a8191525e0e5bf15784437befc2aaf7d4}{{4.55.1.10}{113}{WD\relax }{subsubsection.4.55.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.55.1.11}WEN}{113}{subsubsection.4.55.1.11}} +\newlabel{class_r_a_m_ae78f29c90cad430a83cf28ca87f71974}{{4.55.1.11}{113}{WEN\relax }{subsubsection.4.55.1.11}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.56}RAM\_\discretionary {-}{}{}CEL Entity Reference}{113}{section.4.56}} +\newlabel{class_r_a_m___c_e_l}{{4.56}{113}{RAM\_\-CEL Entity Reference\relax }{section.4.56}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.56.1}Member Data Documentation}{114}{subsection.4.56.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.1}ieee}{114}{subsubsection.4.56.1.1}} +\newlabel{class_r_a_m___c_e_l_acbe0bfecfa56fa4103ea80a491bfdbc8}{{4.56.1.1}{114}{ieee\relax }{subsubsection.4.56.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.2}numeric\_\discretionary {-}{}{}std}{114}{subsubsection.4.56.1.2}} +\newlabel{class_r_a_m___c_e_l_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.56.1.2}{114}{numeric\_\-std\relax }{subsubsection.4.56.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.3}RADDR}{114}{subsubsection.4.56.1.3}} +\newlabel{class_r_a_m___c_e_l_ac520854814e400c0a5aba734b32cea8d}{{4.56.1.3}{114}{RADDR\relax }{subsubsection.4.56.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.4}RD}{114}{subsubsection.4.56.1.4}} +\newlabel{class_r_a_m___c_e_l_a28409074c9f40f7c132c0756ca995597}{{4.56.1.4}{114}{RD\relax }{subsubsection.4.56.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.5}REN}{114}{subsubsection.4.56.1.5}} +\newlabel{class_r_a_m___c_e_l_ac6950d10892932d6ceb63f865b49d143}{{4.56.1.5}{114}{REN\relax }{subsubsection.4.56.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.6}RESET}{114}{subsubsection.4.56.1.6}} +\newlabel{class_r_a_m___c_e_l_a9d1cec65a2551d7dbbb37d0a0c96fda0}{{4.56.1.6}{114}{RESET\relax }{subsubsection.4.56.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.7}RWCLK}{114}{subsubsection.4.56.1.7}} +\newlabel{class_r_a_m___c_e_l_a6d67e53053673ba2a9f41d488bdaea6e}{{4.56.1.7}{114}{RWCLK\relax }{subsubsection.4.56.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.8}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{114}{subsubsection.4.56.1.8}} +\newlabel{class_r_a_m___c_e_l_a75f07bd8bde6f849270ce9de65573a4f}{{4.56.1.8}{114}{std\_\-logic\_\-1164\relax }{subsubsection.4.56.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.9}WADDR}{114}{subsubsection.4.56.1.9}} +\newlabel{class_r_a_m___c_e_l_a73e438e4b28c56b876a6d177064fbafd}{{4.56.1.9}{114}{WADDR\relax }{subsubsection.4.56.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.10}WD}{114}{subsubsection.4.56.1.10}} +\newlabel{class_r_a_m___c_e_l_a8191525e0e5bf15784437befc2aaf7d4}{{4.56.1.10}{114}{WD\relax }{subsubsection.4.56.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.56.1.11}WEN}{114}{subsubsection.4.56.1.11}} +\newlabel{class_r_a_m___c_e_l_ae78f29c90cad430a83cf28ca87f71974}{{4.56.1.11}{114}{WEN\relax }{subsubsection.4.56.1.11}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.57}RAM\_\discretionary {-}{}{}CTRLR2 Entity Reference}{114}{section.4.57}} +\newlabel{class_r_a_m___c_t_r_l_r2}{{4.57}{114}{RAM\_\-CTRLR2 Entity Reference\relax }{section.4.57}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.57.1}Member Data Documentation}{116}{subsection.4.57.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.1}clk}{116}{subsubsection.4.57.1.1}} +\newlabel{class_r_a_m___c_t_r_l_r2_aeada434ddff982265d4a93768632e621}{{4.57.1.1}{116}{clk\relax }{subsubsection.4.57.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.2}count}{116}{subsubsection.4.57.1.2}} +\newlabel{class_r_a_m___c_t_r_l_r2_a6d8304cc75185e2e68fc119663625566}{{4.57.1.2}{116}{count\relax }{subsubsection.4.57.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.3}FILTERcfg}{116}{subsubsection.4.57.1.3}} +\newlabel{class_r_a_m___c_t_r_l_r2_a0e24e86edc9f8cb840d2dcd83a25b7a8}{{4.57.1.3}{116}{FILTERcfg\relax }{subsubsection.4.57.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.4}general\_\discretionary {-}{}{}purpose}{116}{subsubsection.4.57.1.4}} +\newlabel{class_r_a_m___c_t_r_l_r2_a63d2766a6c866f862cdeed31c8a0bdab}{{4.57.1.4}{116}{general\_\-purpose\relax }{subsubsection.4.57.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.5}GO\_\discretionary {-}{}{}0}{116}{subsubsection.4.57.1.5}} +\newlabel{class_r_a_m___c_t_r_l_r2_aa55e19fd9c823d38b9948f849e8275f2}{{4.57.1.5}{116}{GO\_\-0\relax }{subsubsection.4.57.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.6}IEEE}{116}{subsubsection.4.57.1.6}} +\newlabel{class_r_a_m___c_t_r_l_r2_adc40931273a8420e6fb52edf643d8434}{{4.57.1.6}{116}{IEEE\relax }{subsubsection.4.57.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.7}iir\_\discretionary {-}{}{}filter}{116}{subsubsection.4.57.1.7}} +\newlabel{class_r_a_m___c_t_r_l_r2_a44bda5c2949d467e4e3620bf261086e9}{{4.57.1.7}{116}{iir\_\-filter\relax }{subsubsection.4.57.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.8}Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}1}{116}{subsubsection.4.57.1.8}} +\newlabel{class_r_a_m___c_t_r_l_r2_ad81ae4922312634a1ac199a838922739}{{4.57.1.8}{116}{Input\_\-SZ\_\-1\relax }{subsubsection.4.57.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.9}lpp}{116}{subsubsection.4.57.1.9}} +\newlabel{class_r_a_m___c_t_r_l_r2_aa7b2d89e49a7157b58499684cc228990}{{4.57.1.9}{116}{lpp\relax }{subsubsection.4.57.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.10}numeric\_\discretionary {-}{}{}std}{116}{subsubsection.4.57.1.10}} +\newlabel{class_r_a_m___c_t_r_l_r2_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.57.1.10}{116}{numeric\_\-std\relax }{subsubsection.4.57.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.11}Read}{117}{subsubsection.4.57.1.11}} +\newlabel{class_r_a_m___c_t_r_l_r2_a06e77f04ecc216294732dbb1a0ace8cd}{{4.57.1.11}{117}{Read\relax }{subsubsection.4.57.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.12}reset}{117}{subsubsection.4.57.1.12}} +\newlabel{class_r_a_m___c_t_r_l_r2_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.57.1.12}{117}{reset\relax }{subsubsection.4.57.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.13}sample\_\discretionary {-}{}{}in}{117}{subsubsection.4.57.1.13}} +\newlabel{class_r_a_m___c_t_r_l_r2_a0aec18d0fee46680054dccb679edd898}{{4.57.1.13}{117}{sample\_\-in\relax }{subsubsection.4.57.1.13}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.14}sample\_\discretionary {-}{}{}out}{117}{subsubsection.4.57.1.14}} +\newlabel{class_r_a_m___c_t_r_l_r2_ad5e333f81f0c224f89572dafd93c6848}{{4.57.1.14}{117}{sample\_\-out\relax }{subsubsection.4.57.1.14}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.15}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{117}{subsubsection.4.57.1.15}} +\newlabel{class_r_a_m___c_t_r_l_r2_a75f07bd8bde6f849270ce9de65573a4f}{{4.57.1.15}{117}{std\_\-logic\_\-1164\relax }{subsubsection.4.57.1.15}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.16}SVG\_\discretionary {-}{}{}ADDR}{117}{subsubsection.4.57.1.16}} +\newlabel{class_r_a_m___c_t_r_l_r2_aa34d216f142ab8f4208ae087812828b2}{{4.57.1.16}{117}{SVG\_\-ADDR\relax }{subsubsection.4.57.1.16}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.17}WADDR\_\discretionary {-}{}{}sel}{117}{subsubsection.4.57.1.17}} +\newlabel{class_r_a_m___c_t_r_l_r2_a5840802e74fbe4c26c3ce3f53d29b1b5}{{4.57.1.17}{117}{WADDR\_\-sel\relax }{subsubsection.4.57.1.17}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.18}WD\_\discretionary {-}{}{}sel}{117}{subsubsection.4.57.1.18}} +\newlabel{class_r_a_m___c_t_r_l_r2_a318022cb72a5fcd36a083a35fd595cd0}{{4.57.1.18}{117}{WD\_\-sel\relax }{subsubsection.4.57.1.18}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.57.1.19}Write}{117}{subsubsection.4.57.1.19}} +\newlabel{class_r_a_m___c_t_r_l_r2_a9e28b49e78f12dc4823468319cc361ab}{{4.57.1.19}{117}{Write\relax }{subsubsection.4.57.1.19}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.58}REG Entity Reference}{117}{section.4.58}} +\newlabel{class_r_e_g}{{4.58}{117}{REG Entity Reference\relax }{section.4.58}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.58.1}Member Data Documentation}{118}{subsection.4.58.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.1}clk}{118}{subsubsection.4.58.1.1}} +\newlabel{class_r_e_g_aeada434ddff982265d4a93768632e621}{{4.58.1.1}{118}{clk\relax }{subsubsection.4.58.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.2}D}{118}{subsubsection.4.58.1.2}} +\newlabel{class_r_e_g_ae947bb828b71f45d219c8887e736bd5b}{{4.58.1.2}{118}{D\relax }{subsubsection.4.58.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.3}general\_\discretionary {-}{}{}purpose}{118}{subsubsection.4.58.1.3}} +\newlabel{class_r_e_g_a63d2766a6c866f862cdeed31c8a0bdab}{{4.58.1.3}{118}{general\_\-purpose\relax }{subsubsection.4.58.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.4}IEEE}{118}{subsubsection.4.58.1.4}} +\newlabel{class_r_e_g_adc40931273a8420e6fb52edf643d8434}{{4.58.1.4}{118}{IEEE\relax }{subsubsection.4.58.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.5}initial\_\discretionary {-}{}{}VALUE}{118}{subsubsection.4.58.1.5}} +\newlabel{class_r_e_g_a9e73cdec29c571bd492d2c1cc75261b6}{{4.58.1.5}{118}{initial\_\-VALUE\relax }{subsubsection.4.58.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.6}lpp}{118}{subsubsection.4.58.1.6}} +\newlabel{class_r_e_g_aa7b2d89e49a7157b58499684cc228990}{{4.58.1.6}{118}{lpp\relax }{subsubsection.4.58.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.7}numeric\_\discretionary {-}{}{}std}{119}{subsubsection.4.58.1.7}} +\newlabel{class_r_e_g_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.58.1.7}{119}{numeric\_\-std\relax }{subsubsection.4.58.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.8}Q}{119}{subsubsection.4.58.1.8}} +\newlabel{class_r_e_g_a76e09a5abf83a0f4545ff1ea841336a5}{{4.58.1.8}{119}{Q\relax }{subsubsection.4.58.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.9}reset}{119}{subsubsection.4.58.1.9}} +\newlabel{class_r_e_g_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.58.1.9}{119}{reset\relax }{subsubsection.4.58.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.10}size}{119}{subsubsection.4.58.1.10}} +\newlabel{class_r_e_g_a5feb56a78999adc451b1d36e3c62a7bc}{{4.58.1.10}{119}{size\relax }{subsubsection.4.58.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.58.1.11}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{119}{subsubsection.4.58.1.11}} +\newlabel{class_r_e_g_a75f07bd8bde6f849270ce9de65573a4f}{{4.58.1.11}{119}{std\_\-logic\_\-1164\relax }{subsubsection.4.58.1.11}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.59}RShifter Entity Reference}{119}{section.4.59}} +\newlabel{class_r_shifter}{{4.59}{119}{RShifter Entity Reference\relax }{section.4.59}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.59.1}Member Data Documentation}{120}{subsection.4.59.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.1}clk}{120}{subsubsection.4.59.1.1}} +\newlabel{class_r_shifter_aeada434ddff982265d4a93768632e621}{{4.59.1.1}{120}{clk\relax }{subsubsection.4.59.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.2}cnt}{120}{subsubsection.4.59.1.2}} +\newlabel{class_r_shifter_a52d38d8b3c8395f61e19239fbff4903c}{{4.59.1.2}{120}{cnt\relax }{subsubsection.4.59.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.3}general\_\discretionary {-}{}{}purpose}{120}{subsubsection.4.59.1.3}} +\newlabel{class_r_shifter_a63d2766a6c866f862cdeed31c8a0bdab}{{4.59.1.3}{120}{general\_\-purpose\relax }{subsubsection.4.59.1.3}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.4}IEEE}{120}{subsubsection.4.59.1.4}} +\newlabel{class_r_shifter_adc40931273a8420e6fb52edf643d8434}{{4.59.1.4}{120}{IEEE\relax }{subsubsection.4.59.1.4}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.5}Input\_\discretionary {-}{}{}SZ}{120}{subsubsection.4.59.1.5}} +\newlabel{class_r_shifter_a89f886bbc48746735c0fc68f296fba6b}{{4.59.1.5}{120}{Input\_\-SZ\relax }{subsubsection.4.59.1.5}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.6}lpp}{120}{subsubsection.4.59.1.6}} +\newlabel{class_r_shifter_aa7b2d89e49a7157b58499684cc228990}{{4.59.1.6}{120}{lpp\relax }{subsubsection.4.59.1.6}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.7}numeric\_\discretionary {-}{}{}std}{120}{subsubsection.4.59.1.7}} +\newlabel{class_r_shifter_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.59.1.7}{120}{numeric\_\-std\relax }{subsubsection.4.59.1.7}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.8}OP}{120}{subsubsection.4.59.1.8}} +\newlabel{class_r_shifter_a39bab7aa1cf164924f89b830648293df}{{4.59.1.8}{120}{OP\relax }{subsubsection.4.59.1.8}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.9}RES}{120}{subsubsection.4.59.1.9}} +\newlabel{class_r_shifter_af33edd15af07efce9311bf8ad8f13b44}{{4.59.1.9}{120}{RES\relax }{subsubsection.4.59.1.9}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.10}reset}{120}{subsubsection.4.59.1.10}} +\newlabel{class_r_shifter_aa9fd4b95000e48d95a261c3e2fa9076e}{{4.59.1.10}{120}{reset\relax }{subsubsection.4.59.1.10}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.11}shift}{120}{subsubsection.4.59.1.11}} +\newlabel{class_r_shifter_a79d89d4077d9b1c81edbe088e4631a29}{{4.59.1.11}{120}{shift\relax }{subsubsection.4.59.1.11}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.12}shift\_\discretionary {-}{}{}SZ}{120}{subsubsection.4.59.1.12}} +\newlabel{class_r_shifter_a8bea94a1d91ab3a2404d51727e4e3ca6}{{4.59.1.12}{120}{shift\_\-SZ\relax }{subsubsection.4.59.1.12}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.59.1.13}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{120}{subsubsection.4.59.1.13}} +\newlabel{class_r_shifter_a75f07bd8bde6f849270ce9de65573a4f}{{4.59.1.13}{120}{std\_\-logic\_\-1164\relax }{subsubsection.4.59.1.13}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.60}TestbenshALU Entity Reference}{121}{section.4.60}} +\newlabel{class_testbensh_a_l_u}{{4.60}{121}{TestbenshALU Entity Reference\relax }{section.4.60}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.60.1}Member Data Documentation}{121}{subsection.4.60.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.60.1.1}IEEE}{121}{subsubsection.4.60.1.1}} +\newlabel{class_testbensh_a_l_u_adc40931273a8420e6fb52edf643d8434}{{4.60.1.1}{121}{IEEE\relax }{subsubsection.4.60.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.60.1.2}numeric\_\discretionary {-}{}{}std}{121}{subsubsection.4.60.1.2}} +\newlabel{class_testbensh_a_l_u_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.60.1.2}{121}{numeric\_\-std\relax }{subsubsection.4.60.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.60.1.3}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{121}{subsubsection.4.60.1.3}} +\newlabel{class_testbensh_a_l_u_a75f07bd8bde6f849270ce9de65573a4f}{{4.60.1.3}{121}{std\_\-logic\_\-1164\relax }{subsubsection.4.60.1.3}{}} +\@writefile{toc}{\contentsline {section}{\numberline {4.61}TestbenshMAC Entity Reference}{122}{section.4.61}} +\newlabel{class_testbensh_m_a_c}{{4.61}{122}{TestbenshMAC Entity Reference\relax }{section.4.61}{}} +\@writefile{toc}{\contentsline {subsection}{\numberline {4.61.1}Member Data Documentation}{122}{subsection.4.61.1}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.61.1.1}IEEE}{122}{subsubsection.4.61.1.1}} +\newlabel{class_testbensh_m_a_c_adc40931273a8420e6fb52edf643d8434}{{4.61.1.1}{122}{IEEE\relax }{subsubsection.4.61.1.1}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.61.1.2}numeric\_\discretionary {-}{}{}std}{122}{subsubsection.4.61.1.2}} +\newlabel{class_testbensh_m_a_c_a7ce3e69c14fd4b9f7dd9b646f23d3508}{{4.61.1.2}{122}{numeric\_\-std\relax }{subsubsection.4.61.1.2}{}} +\@writefile{toc}{\contentsline {subsubsection}{\numberline {4.61.1.3}std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}{122}{subsubsection.4.61.1.3}} +\newlabel{class_testbensh_m_a_c_a75f07bd8bde6f849270ce9de65573a4f}{{4.61.1.3}{122}{std\_\-logic\_\-1164\relax }{subsubsection.4.61.1.3}{}} +\@writefile{toc}{\contentsline {chapter}{\numberline {5}File Documentation}{123}{chapter.5}} +\@writefile{lof}{\addvspace {10\p@ }} +\@writefile{lot}{\addvspace {10\p@ }} +\@writefile{toc}{\contentsline {section}{\numberline {5.1}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr.vhd File Reference}{123}{section.5.1}} +\newlabel{amba__lcd__16x2__ctrlr_8vhd}{{5.1}{123}{amba\_\-lcd\_\-16x2\_\-ctrlr/amba\_\-lcd\_\-16x2\_\-ctrlr.vhd File Reference\relax }{section.5.1}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.2}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/FRAME\_\discretionary {-}{}{}CLK.vhd File Reference}{123}{section.5.2}} +\newlabel{_f_r_a_m_e___c_l_k_8vhd}{{5.2}{123}{amba\_\-lcd\_\-16x2\_\-ctrlr/FRAME\_\-CLK.vhd File Reference\relax }{section.5.2}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.3}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG.vhd File Reference}{123}{section.5.3}} +\newlabel{_l_c_d__16x2___c_f_g_8vhd}{{5.3}{123}{amba\_\-lcd\_\-16x2\_\-ctrlr/LCD\_\-16x2\_\-CFG.vhd File Reference\relax }{section.5.3}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.4}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE.vhd File Reference}{123}{section.5.4}} +\newlabel{_l_c_d__16x2___e_n_g_i_n_e_8vhd}{{5.4}{123}{amba\_\-lcd\_\-16x2\_\-ctrlr/LCD\_\-16x2\_\-ENGINE.vhd File Reference\relax }{section.5.4}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.5}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER.vhd File Reference}{124}{section.5.5}} +\newlabel{_l_c_d__2x16___d_r_i_v_e_r_8vhd}{{5.5}{124}{amba\_\-lcd\_\-16x2\_\-ctrlr/LCD\_\-2x16\_\-DRIVER.vhd File Reference\relax }{section.5.5}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.6}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR.vhd File Reference}{124}{section.5.6}} +\newlabel{_l_c_d___c_l_k___g_e_n_e_r_a_t_o_r_8vhd}{{5.6}{124}{amba\_\-lcd\_\-16x2\_\-ctrlr/LCD\_\-CLK\_\-GENERATOR.vhd File Reference\relax }{section.5.6}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.7}amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/Top\_\discretionary {-}{}{}LCD.vhd File Reference}{124}{section.5.7}} +\newlabel{_top___l_c_d_8vhd}{{5.7}{124}{amba\_\-lcd\_\-16x2\_\-ctrlr/Top\_\-LCD.vhd File Reference\relax }{section.5.7}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.8}dsp/iir\_\discretionary {-}{}{}filter/APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL.vhd File Reference}{124}{section.5.8}} +\newlabel{_a_p_b___i_i_r___c_e_l_8vhd}{{5.8}{124}{dsp/iir\_\-filter/APB\_\-IIR\_\-CEL.vhd File Reference\relax }{section.5.8}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.9}dsp/iir\_\discretionary {-}{}{}filter/FILTER.vhd File Reference}{124}{section.5.9}} +\newlabel{_f_i_l_t_e_r_8vhd}{{5.9}{124}{dsp/iir\_\-filter/FILTER.vhd File Reference\relax }{section.5.9}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.10}dsp/iir\_\discretionary {-}{}{}filter/FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR.vhd File Reference}{124}{section.5.10}} +\newlabel{_f_i_l_t_e_r___r_a_m___c_t_r_l_r_8vhd}{{5.10}{124}{dsp/iir\_\-filter/FILTER\_\-RAM\_\-CTRLR.vhd File Reference\relax }{section.5.10}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.11}dsp/iir\_\discretionary {-}{}{}filter/FILTERcfg.vhd File Reference}{125}{section.5.11}} +\newlabel{_f_i_l_t_e_rcfg_8vhd}{{5.11}{125}{dsp/iir\_\-filter/FILTERcfg.vhd File Reference\relax }{section.5.11}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.12}dsp/iir\_\discretionary {-}{}{}filter/FilterCTRLR.vhd File Reference}{125}{section.5.12}} +\newlabel{_filter_c_t_r_l_r_8vhd}{{5.12}{125}{dsp/iir\_\-filter/FilterCTRLR.vhd File Reference\relax }{section.5.12}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.13}dsp/iir\_\discretionary {-}{}{}filter/IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR.vhd File Reference}{125}{section.5.13}} +\newlabel{_i_i_r___c_e_l___c_t_r_l_r_8vhd}{{5.13}{125}{dsp/iir\_\-filter/IIR\_\-CEL\_\-CTRLR.vhd File Reference\relax }{section.5.13}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.14}dsp/iir\_\discretionary {-}{}{}filter/IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER.vhd File Reference}{125}{section.5.14}} +\newlabel{_i_i_r___c_e_l___f_i_l_t_e_r_8vhd}{{5.14}{125}{dsp/iir\_\-filter/IIR\_\-CEL\_\-FILTER.vhd File Reference\relax }{section.5.14}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.15}dsp/iir\_\discretionary {-}{}{}filter/iir\_\discretionary {-}{}{}filter.vhd File Reference}{125}{section.5.15}} +\newlabel{iir__filter_8vhd}{{5.15}{125}{dsp/iir\_\-filter/iir\_\-filter.vhd File Reference\relax }{section.5.15}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.16}dsp/iir\_\discretionary {-}{}{}filter/RAM.vhd File Reference}{125}{section.5.16}} +\newlabel{_r_a_m_8vhd}{{5.16}{125}{dsp/iir\_\-filter/RAM.vhd File Reference\relax }{section.5.16}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.17}dsp/iir\_\discretionary {-}{}{}filter/RAM\_\discretionary {-}{}{}CEL.vhd File Reference}{126}{section.5.17}} +\newlabel{_r_a_m___c_e_l_8vhd}{{5.17}{126}{dsp/iir\_\-filter/RAM\_\-CEL.vhd File Reference\relax }{section.5.17}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.18}dsp/iir\_\discretionary {-}{}{}filter/RAM\_\discretionary {-}{}{}CTRLR2.vhd File Reference}{126}{section.5.18}} +\newlabel{_r_a_m___c_t_r_l_r2_8vhd}{{5.18}{126}{dsp/iir\_\-filter/RAM\_\-CTRLR2.vhd File Reference\relax }{section.5.18}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.19}dsp/iir\_\discretionary {-}{}{}filter/TestbenshMAC.vhd File Reference}{126}{section.5.19}} +\newlabel{_testbensh_m_a_c_8vhd}{{5.19}{126}{dsp/iir\_\-filter/TestbenshMAC.vhd File Reference\relax }{section.5.19}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.20}dsp/iir\_\discretionary {-}{}{}filter/Top\_\discretionary {-}{}{}Filtre\_\discretionary {-}{}{}IIR.vhd File Reference}{126}{section.5.20}} +\newlabel{_top___filtre___i_i_r_8vhd}{{5.20}{126}{dsp/iir\_\-filter/Top\_\-Filtre\_\-IIR.vhd File Reference\relax }{section.5.20}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.21}general\_\discretionary {-}{}{}purpose/Adder.vhd File Reference}{126}{section.5.21}} +\newlabel{_adder_8vhd}{{5.21}{126}{general\_\-purpose/Adder.vhd File Reference\relax }{section.5.21}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.22}general\_\discretionary {-}{}{}purpose/ADDRcntr.vhd File Reference}{126}{section.5.22}} +\newlabel{_a_d_d_rcntr_8vhd}{{5.22}{126}{general\_\-purpose/ADDRcntr.vhd File Reference\relax }{section.5.22}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.23}general\_\discretionary {-}{}{}purpose/ALU.vhd File Reference}{126}{section.5.23}} +\newlabel{_a_l_u_8vhd}{{5.23}{126}{general\_\-purpose/ALU.vhd File Reference\relax }{section.5.23}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.24}general\_\discretionary {-}{}{}purpose/general\_\discretionary {-}{}{}purpose.vhd File Reference}{127}{section.5.24}} +\newlabel{general__purpose_8vhd}{{5.24}{127}{general\_\-purpose/general\_\-purpose.vhd File Reference\relax }{section.5.24}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.25}general\_\discretionary {-}{}{}purpose/MAC.vhd File Reference}{127}{section.5.25}} +\newlabel{_m_a_c_8vhd}{{5.25}{127}{general\_\-purpose/MAC.vhd File Reference\relax }{section.5.25}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.26}general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}CONTROLER.vhd File Reference}{127}{section.5.26}} +\newlabel{_m_a_c___c_o_n_t_r_o_l_e_r_8vhd}{{5.26}{127}{general\_\-purpose/MAC\_\-CONTROLER.vhd File Reference\relax }{section.5.26}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.27}general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}MUX.vhd File Reference}{127}{section.5.27}} +\newlabel{_m_a_c___m_u_x_8vhd}{{5.27}{127}{general\_\-purpose/MAC\_\-MUX.vhd File Reference\relax }{section.5.27}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.28}general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}MUX2.vhd File Reference}{127}{section.5.28}} +\newlabel{_m_a_c___m_u_x2_8vhd}{{5.28}{127}{general\_\-purpose/MAC\_\-MUX2.vhd File Reference\relax }{section.5.28}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.29}general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}REG.vhd File Reference}{127}{section.5.29}} +\newlabel{_m_a_c___r_e_g_8vhd}{{5.29}{127}{general\_\-purpose/MAC\_\-REG.vhd File Reference\relax }{section.5.29}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.30}general\_\discretionary {-}{}{}purpose/Multiplier.vhd File Reference}{128}{section.5.30}} +\newlabel{_multiplier_8vhd}{{5.30}{128}{general\_\-purpose/Multiplier.vhd File Reference\relax }{section.5.30}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.31}general\_\discretionary {-}{}{}purpose/MUX2.vhd File Reference}{128}{section.5.31}} +\newlabel{_m_u_x2_8vhd}{{5.31}{128}{general\_\-purpose/MUX2.vhd File Reference\relax }{section.5.31}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.32}general\_\discretionary {-}{}{}purpose/REG.vhd File Reference}{128}{section.5.32}} +\newlabel{_r_e_g_8vhd}{{5.32}{128}{general\_\-purpose/REG.vhd File Reference\relax }{section.5.32}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.33}general\_\discretionary {-}{}{}purpose/Shifter.vhd File Reference}{128}{section.5.33}} +\newlabel{_shifter_8vhd}{{5.33}{128}{general\_\-purpose/Shifter.vhd File Reference\relax }{section.5.33}{}} +\@writefile{toc}{\contentsline {section}{\numberline {5.34}general\_\discretionary {-}{}{}purpose/TestbenshALU.vhd File Reference}{128}{section.5.34}} +\newlabel{_testbensh_a_l_u_8vhd}{{5.34}{128}{general\_\-purpose/TestbenshALU.vhd File Reference\relax }{section.5.34}{}} diff --git a/lib/lpp/doc/latex/refman.idx b/lib/lpp/doc/latex/refman.idx new file mode 100644 --- /dev/null +++ b/lib/lpp/doc/latex/refman.idx @@ -0,0 +1,1667 @@ +\indexentry{Adder@{Adder}|hyperpage}{7} +\indexentry{Adder@{Adder}!add@{add}|hyperpage}{9} +\indexentry{add@{add}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!clk@{clk}|hyperpage}{9} +\indexentry{clk@{clk}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!clr@{clr}|hyperpage}{9} +\indexentry{clr@{clr}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{9} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!IEEE@{IEEE}|hyperpage}{9} +\indexentry{IEEE@{IEEE}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}|hyperpage}{9} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}|hyperpage}{9} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!lpp@{lpp}|hyperpage}{9} +\indexentry{lpp@{lpp}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{9} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!OP1@{OP1}|hyperpage}{9} +\indexentry{OP1@{OP1}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!OP2@{OP2}|hyperpage}{9} +\indexentry{OP2@{OP2}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!RES@{RES}|hyperpage}{9} +\indexentry{RES@{RES}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!reset@{reset}|hyperpage}{9} +\indexentry{reset@{reset}!Adder@{Adder}|hyperpage}{9} +\indexentry{Adder@{Adder}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{9} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!Adder@{Adder}|hyperpage}{9} +\indexentry{ADDRcntr@{ADDRcntr}|hyperpage}{9} +\indexentry{ADDRcntr@{ADDRcntr}!clk@{clk}|hyperpage}{11} +\indexentry{clk@{clk}!ADDRcntr@{ADDRcntr}|hyperpage}{11} +\indexentry{ADDRcntr@{ADDRcntr}!clr@{clr}|hyperpage}{11} +\indexentry{clr@{clr}!ADDRcntr@{ADDRcntr}|hyperpage}{11} +\indexentry{ADDRcntr@{ADDRcntr}!count@{count}|hyperpage}{11} +\indexentry{count@{count}!ADDRcntr@{ADDRcntr}|hyperpage}{11} +\indexentry{ADDRcntr@{ADDRcntr}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{11} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!ADDRcntr@{ADDRcntr}|hyperpage}{11} +\indexentry{ADDRcntr@{ADDRcntr}!IEEE@{IEEE}|hyperpage}{11} +\indexentry{IEEE@{IEEE}!ADDRcntr@{ADDRcntr}|hyperpage}{11} +\indexentry{ADDRcntr@{ADDRcntr}!lpp@{lpp}|hyperpage}{11} +\indexentry{lpp@{lpp}!ADDRcntr@{ADDRcntr}|hyperpage}{11} +\indexentry{ADDRcntr@{ADDRcntr}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{11} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!ADDRcntr@{ADDRcntr}|hyperpage}{11} +\indexentry{ADDRcntr@{ADDRcntr}!Q@{Q}|hyperpage}{11} +\indexentry{Q@{Q}!ADDRcntr@{ADDRcntr}|hyperpage}{11} +\indexentry{ADDRcntr@{ADDRcntr}!reset@{reset}|hyperpage}{11} +\indexentry{reset@{reset}!ADDRcntr@{ADDRcntr}|hyperpage}{11} +\indexentry{ADDRcntr@{ADDRcntr}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{11} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!ADDRcntr@{ADDRcntr}|hyperpage}{11} +\indexentry{ALU@{ALU}|hyperpage}{11} +\indexentry{ALU@{ALU}!Arith\_\discretionary {-}{}{}en@{Arith\_\discretionary {-}{}{}en}|hyperpage}{12} +\indexentry{Arith\_\discretionary {-}{}{}en@{Arith\_\discretionary {-}{}{}en}!ALU@{ALU}|hyperpage}{12} +\indexentry{ALU@{ALU}!clk@{clk}|hyperpage}{12} +\indexentry{clk@{clk}!ALU@{ALU}|hyperpage}{12} +\indexentry{ALU@{ALU}!ctrl@{ctrl}|hyperpage}{12} +\indexentry{ctrl@{ctrl}!ALU@{ALU}|hyperpage}{12} +\indexentry{ALU@{ALU}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{12} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!ALU@{ALU}|hyperpage}{12} +\indexentry{ALU@{ALU}!IEEE@{IEEE}|hyperpage}{12} +\indexentry{IEEE@{IEEE}!ALU@{ALU}|hyperpage}{12} +\indexentry{ALU@{ALU}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}1@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}1}|hyperpage}{13} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}1@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}1}!ALU@{ALU}|hyperpage}{13} +\indexentry{ALU@{ALU}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}2@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}2}|hyperpage}{13} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}2@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}2}!ALU@{ALU}|hyperpage}{13} +\indexentry{ALU@{ALU}!Logic\_\discretionary {-}{}{}en@{Logic\_\discretionary {-}{}{}en}|hyperpage}{13} +\indexentry{Logic\_\discretionary {-}{}{}en@{Logic\_\discretionary {-}{}{}en}!ALU@{ALU}|hyperpage}{13} +\indexentry{ALU@{ALU}!lpp@{lpp}|hyperpage}{13} +\indexentry{lpp@{lpp}!ALU@{ALU}|hyperpage}{13} +\indexentry{ALU@{ALU}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{13} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!ALU@{ALU}|hyperpage}{13} +\indexentry{ALU@{ALU}!OP1@{OP1}|hyperpage}{13} +\indexentry{OP1@{OP1}!ALU@{ALU}|hyperpage}{13} +\indexentry{ALU@{ALU}!OP2@{OP2}|hyperpage}{13} +\indexentry{OP2@{OP2}!ALU@{ALU}|hyperpage}{13} +\indexentry{ALU@{ALU}!RES@{RES}|hyperpage}{13} +\indexentry{RES@{RES}!ALU@{ALU}|hyperpage}{13} +\indexentry{ALU@{ALU}!reset@{reset}|hyperpage}{13} +\indexentry{reset@{reset}!ALU@{ALU}|hyperpage}{13} +\indexentry{ALU@{ALU}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{13} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!ALU@{ALU}|hyperpage}{13} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{14} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}driver@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}driver}|hyperpage}{14} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}driver@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}driver}!amba_lcd_16x2_ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{14} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{14} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!amba_lcd_16x2_ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{14} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{14} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}!amba_lcd_16x2_ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{14} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!ieee@{ieee}|hyperpage}{14} +\indexentry{ieee@{ieee}!amba_lcd_16x2_ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{14} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{14} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!amba_lcd_16x2_ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{14} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{14} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!amba_lcd_16x2_ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{14} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{14} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!amba_lcd_16x2_ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{14} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{14} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!amba_lcd_16x2_ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{14} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{15} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{16} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!Bp0@{Bp0}|hyperpage}{16} +\indexentry{Bp0@{Bp0}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!Bp1@{Bp1}|hyperpage}{16} +\indexentry{Bp1@{Bp1}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!Bp2@{Bp2}|hyperpage}{16} +\indexentry{Bp2@{Bp2}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!clk@{clk}|hyperpage}{16} +\indexentry{clk@{clk}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!IEEE@{IEEE}|hyperpage}{16} +\indexentry{IEEE@{IEEE}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{16} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}CS1@{LCD\_\discretionary {-}{}{}CS1}|hyperpage}{16} +\indexentry{LCD\_\discretionary {-}{}{}CS1@{LCD\_\discretionary {-}{}{}CS1}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}CS2@{LCD\_\discretionary {-}{}{}CS2}|hyperpage}{16} +\indexentry{LCD\_\discretionary {-}{}{}CS2@{LCD\_\discretionary {-}{}{}CS2}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}data@{LCD\_\discretionary {-}{}{}data}|hyperpage}{16} +\indexentry{LCD\_\discretionary {-}{}{}data@{LCD\_\discretionary {-}{}{}data}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}E@{LCD\_\discretionary {-}{}{}E}|hyperpage}{16} +\indexentry{LCD\_\discretionary {-}{}{}E@{LCD\_\discretionary {-}{}{}E}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}RET@{LCD\_\discretionary {-}{}{}RET}|hyperpage}{16} +\indexentry{LCD\_\discretionary {-}{}{}RET@{LCD\_\discretionary {-}{}{}RET}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}RS@{LCD\_\discretionary {-}{}{}RS}|hyperpage}{16} +\indexentry{LCD\_\discretionary {-}{}{}RS@{LCD\_\discretionary {-}{}{}RS}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}RW@{LCD\_\discretionary {-}{}{}RW}|hyperpage}{16} +\indexentry{LCD\_\discretionary {-}{}{}RW@{LCD\_\discretionary {-}{}{}RW}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!lpp@{lpp}|hyperpage}{16} +\indexentry{lpp@{lpp}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!reset@{reset}|hyperpage}{16} +\indexentry{reset@{reset}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!SF\_\discretionary {-}{}{}CE0@{SF\_\discretionary {-}{}{}CE0}|hyperpage}{16} +\indexentry{SF\_\discretionary {-}{}{}CE0@{SF\_\discretionary {-}{}{}CE0}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{16} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}!STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}|hyperpage}{17} +\indexentry{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}!AMBA_LCD_16x2_DRIVER@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER}|hyperpage}{17} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{17} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!abits@{abits}|hyperpage}{18} +\indexentry{abits@{abits}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{18} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!amba@{amba}|hyperpage}{18} +\indexentry{amba@{amba}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{18} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!apbi@{apbi}|hyperpage}{18} +\indexentry{apbi@{apbi}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{18} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!apbo@{apbo}|hyperpage}{18} +\indexentry{apbo@{apbo}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{18} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!clk@{clk}|hyperpage}{18} +\indexentry{clk@{clk}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{18} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!devices@{devices}|hyperpage}{18} +\indexentry{devices@{devices}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{18} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!FILTERcfg@{FILTERcfg}|hyperpage}{18} +\indexentry{FILTERcfg@{FILTERcfg}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{18} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{18} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{18} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!grlib@{grlib}|hyperpage}{18} +\indexentry{grlib@{grlib}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{18} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!ieee@{ieee}|hyperpage}{19} +\indexentry{ieee@{ieee}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{19} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!lpp@{lpp}|hyperpage}{19} +\indexentry{lpp@{lpp}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{19} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!paddr@{paddr}|hyperpage}{19} +\indexentry{paddr@{paddr}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!pindex@{pindex}|hyperpage}{19} +\indexentry{pindex@{pindex}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!pirq@{pirq}|hyperpage}{19} +\indexentry{pirq@{pirq}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!pmask@{pmask}|hyperpage}{19} +\indexentry{pmask@{pmask}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!rst@{rst}|hyperpage}{19} +\indexentry{rst@{rst}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!sample\_\discretionary {-}{}{}clk@{sample\_\discretionary {-}{}{}clk}|hyperpage}{19} +\indexentry{sample\_\discretionary {-}{}{}clk@{sample\_\discretionary {-}{}{}clk}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}out}|hyperpage}{19} +\indexentry{sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}out}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}|hyperpage}{19} +\indexentry{sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!sample\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}out}|hyperpage}{19} +\indexentry{sample\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}out}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!Sample\_\discretionary {-}{}{}SZ@{Sample\_\discretionary {-}{}{}SZ}|hyperpage}{19} +\indexentry{Sample\_\discretionary {-}{}{}SZ@{Sample\_\discretionary {-}{}{}SZ}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{19} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!stdlib@{stdlib}|hyperpage}{19} +\indexentry{stdlib@{stdlib}!APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{19} +\indexentry{Adder::ar\_\discretionary {-}{}{}Adder@{Adder::ar\_\discretionary {-}{}{}Adder}|hyperpage}{20} +\indexentry{Adder::ar\_\discretionary {-}{}{}Adder@{Adder::ar\_\discretionary {-}{}{}Adder}!PROCESS\_\discretionary {-}{}{}11@{PROCESS\_\discretionary {-}{}{}11}|hyperpage}{21} +\indexentry{PROCESS\_\discretionary {-}{}{}11@{PROCESS\_\discretionary {-}{}{}11}!Adder::ar_Adder@{Adder::ar\_\discretionary {-}{}{}Adder}|hyperpage}{21} +\indexentry{Adder::ar\_\discretionary {-}{}{}Adder@{Adder::ar\_\discretionary {-}{}{}Adder}!REG@{REG}|hyperpage}{21} +\indexentry{REG@{REG}!Adder::ar_Adder@{Adder::ar\_\discretionary {-}{}{}Adder}|hyperpage}{21} +\indexentry{Adder::ar\_\discretionary {-}{}{}Adder@{Adder::ar\_\discretionary {-}{}{}Adder}!RESADD@{RESADD}|hyperpage}{21} +\indexentry{RESADD@{RESADD}!Adder::ar_Adder@{Adder::ar\_\discretionary {-}{}{}Adder}|hyperpage}{21} +\indexentry{ADDRcntr::ar\_\discretionary {-}{}{}ADDRcntr@{ADDRcntr::ar\_\discretionary {-}{}{}ADDRcntr}|hyperpage}{21} +\indexentry{ADDRcntr::ar\_\discretionary {-}{}{}ADDRcntr@{ADDRcntr::ar\_\discretionary {-}{}{}ADDRcntr}!PROCESS\_\discretionary {-}{}{}12@{PROCESS\_\discretionary {-}{}{}12}|hyperpage}{22} +\indexentry{PROCESS\_\discretionary {-}{}{}12@{PROCESS\_\discretionary {-}{}{}12}!ADDRcntr::ar_ADDRcntr@{ADDRcntr::ar\_\discretionary {-}{}{}ADDRcntr}|hyperpage}{22} +\indexentry{ADDRcntr::ar\_\discretionary {-}{}{}ADDRcntr@{ADDRcntr::ar\_\discretionary {-}{}{}ADDRcntr}!reg@{reg}|hyperpage}{22} +\indexentry{reg@{reg}!ADDRcntr::ar_ADDRcntr@{ADDRcntr::ar\_\discretionary {-}{}{}ADDRcntr}|hyperpage}{22} +\indexentry{ALU::ar\_\discretionary {-}{}{}ALU@{ALU::ar\_\discretionary {-}{}{}ALU}|hyperpage}{22} +\indexentry{ALU::ar\_\discretionary {-}{}{}ALU@{ALU::ar\_\discretionary {-}{}{}ALU}!PROCESS\_\discretionary {-}{}{}13@{PROCESS\_\discretionary {-}{}{}13}|hyperpage}{23} +\indexentry{PROCESS\_\discretionary {-}{}{}13@{PROCESS\_\discretionary {-}{}{}13}!ALU::ar_ALU@{ALU::ar\_\discretionary {-}{}{}ALU}|hyperpage}{23} +\indexentry{ALU::ar\_\discretionary {-}{}{}ALU@{ALU::ar\_\discretionary {-}{}{}ALU}!clr\_\discretionary {-}{}{}MAC@{clr\_\discretionary {-}{}{}MAC}|hyperpage}{23} +\indexentry{clr\_\discretionary {-}{}{}MAC@{clr\_\discretionary {-}{}{}MAC}!ALU::ar_ALU@{ALU::ar\_\discretionary {-}{}{}ALU}|hyperpage}{23} +\indexentry{ALU::ar\_\discretionary {-}{}{}ALU@{ALU::ar\_\discretionary {-}{}{}ALU}!MACinst@{MACinst}|hyperpage}{23} +\indexentry{MACinst@{MACinst}!ALU::ar_ALU@{ALU::ar\_\discretionary {-}{}{}ALU}|hyperpage}{23} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{23} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!PROCESS\_\discretionary {-}{}{}4@{PROCESS\_\discretionary {-}{}{}4}|hyperpage}{24} +\indexentry{PROCESS\_\discretionary {-}{}{}4@{PROCESS\_\discretionary {-}{}{}4}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!PROCESS\_\discretionary {-}{}{}5@{PROCESS\_\discretionary {-}{}{}5}|hyperpage}{24} +\indexentry{PROCESS\_\discretionary {-}{}{}5@{PROCESS\_\discretionary {-}{}{}5}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!regin@{regin}|hyperpage}{24} +\indexentry{regin@{regin}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!regout@{regout}|hyperpage}{24} +\indexentry{regout@{regout}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!bootmsg@{bootmsg}|hyperpage}{24} +\indexentry{bootmsg@{bootmsg}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!filter@{filter}|hyperpage}{24} +\indexentry{filter@{filter}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!filter\_\discretionary {-}{}{}reset@{filter\_\discretionary {-}{}{}reset}|hyperpage}{24} +\indexentry{filter\_\discretionary {-}{}{}reset@{filter\_\discretionary {-}{}{}reset}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!FILTERreg@{FILTERreg}|hyperpage}{24} +\indexentry{FILTERreg@{FILTERreg}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!pconfig@{pconfig}|hyperpage}{24} +\indexentry{pconfig@{pconfig}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!r@{r}|hyperpage}{24} +\indexentry{r@{r}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!REVISION@{REVISION}|hyperpage}{24} +\indexentry{REVISION@{REVISION}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}out\_\discretionary {-}{}{}R@{sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}out\_\discretionary {-}{}{}R}|hyperpage}{24} +\indexentry{sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}out\_\discretionary {-}{}{}R@{sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}out\_\discretionary {-}{}{}R}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!smp\_\discretionary {-}{}{}cnt@{smp\_\discretionary {-}{}{}cnt}|hyperpage}{24} +\indexentry{smp\_\discretionary {-}{}{}cnt@{smp\_\discretionary {-}{}{}cnt}!APB_IIR_CEL::AR_APB_IIR_CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL::AR\_\discretionary {-}{}{}APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{24} +\indexentry{FILTER::ar\_\discretionary {-}{}{}FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}|hyperpage}{25} +\indexentry{FILTER::ar\_\discretionary {-}{}{}FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}!ALU1@{ALU1}|hyperpage}{25} +\indexentry{ALU1@{ALU1}!FILTER::ar_FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}|hyperpage}{25} +\indexentry{FILTER::ar\_\discretionary {-}{}{}FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}!ALU\_\discretionary {-}{}{}ctrl@{ALU\_\discretionary {-}{}{}ctrl}|hyperpage}{25} +\indexentry{ALU\_\discretionary {-}{}{}ctrl@{ALU\_\discretionary {-}{}{}ctrl}!FILTER::ar_FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}|hyperpage}{25} +\indexentry{FILTER::ar\_\discretionary {-}{}{}FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}!ALU\_\discretionary {-}{}{}OUT@{ALU\_\discretionary {-}{}{}OUT}|hyperpage}{25} +\indexentry{ALU\_\discretionary {-}{}{}OUT@{ALU\_\discretionary {-}{}{}OUT}!FILTER::ar_FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}|hyperpage}{25} +\indexentry{FILTER::ar\_\discretionary {-}{}{}FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}!Coef@{Coef}|hyperpage}{25} +\indexentry{Coef@{Coef}!FILTER::ar_FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}|hyperpage}{25} +\indexentry{FILTER::ar\_\discretionary {-}{}{}FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}!filterctrlr1@{filterctrlr1}|hyperpage}{25} +\indexentry{filterctrlr1@{filterctrlr1}!FILTER::ar_FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}|hyperpage}{25} +\indexentry{FILTER::ar\_\discretionary {-}{}{}FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}!Sample@{Sample}|hyperpage}{25} +\indexentry{Sample@{Sample}!FILTER::ar_FILTER@{FILTER::ar\_\discretionary {-}{}{}FILTER}|hyperpage}{25} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{26} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!ADDRcntr\_\discretionary {-}{}{}inst@{ADDRcntr\_\discretionary {-}{}{}inst}|hyperpage}{28} +\indexentry{ADDRcntr\_\discretionary {-}{}{}inst@{ADDRcntr\_\discretionary {-}{}{}inst}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!ADDRreg@{ADDRreg}|hyperpage}{28} +\indexentry{ADDRreg@{ADDRreg}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!MUX2\_\discretionary {-}{}{}inst1@{MUX2\_\discretionary {-}{}{}inst1}|hyperpage}{28} +\indexentry{MUX2\_\discretionary {-}{}{}inst1@{MUX2\_\discretionary {-}{}{}inst1}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!MUX2\_\discretionary {-}{}{}inst1\_\discretionary {-}{}{}sel@{MUX2\_\discretionary {-}{}{}inst1\_\discretionary {-}{}{}sel}|hyperpage}{28} +\indexentry{MUX2\_\discretionary {-}{}{}inst1\_\discretionary {-}{}{}sel@{MUX2\_\discretionary {-}{}{}inst1\_\discretionary {-}{}{}sel}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!MUX2\_\discretionary {-}{}{}inst2@{MUX2\_\discretionary {-}{}{}inst2}|hyperpage}{28} +\indexentry{MUX2\_\discretionary {-}{}{}inst2@{MUX2\_\discretionary {-}{}{}inst2}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!next\_\discretionary {-}{}{}blk\_\discretionary {-}{}{}D@{next\_\discretionary {-}{}{}blk\_\discretionary {-}{}{}D}|hyperpage}{28} +\indexentry{next\_\discretionary {-}{}{}blk\_\discretionary {-}{}{}D@{next\_\discretionary {-}{}{}blk\_\discretionary {-}{}{}D}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!next\_\discretionary {-}{}{}blkRreg@{next\_\discretionary {-}{}{}blkRreg}|hyperpage}{28} +\indexentry{next\_\discretionary {-}{}{}blkRreg@{next\_\discretionary {-}{}{}blkRreg}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!RADDR@{RADDR}|hyperpage}{28} +\indexentry{RADDR@{RADDR}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!RAMblk@{RAMblk}|hyperpage}{28} +\indexentry{RAMblk@{RAMblk}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!RAMblk@{RAMblk}|hyperpage}{28} +\indexentry{RAMblk@{RAMblk}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!RD@{RD}|hyperpage}{28} +\indexentry{RD@{RD}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!REN@{REN}|hyperpage}{28} +\indexentry{REN@{REN}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!run\_\discretionary {-}{}{}D@{run\_\discretionary {-}{}{}D}|hyperpage}{28} +\indexentry{run\_\discretionary {-}{}{}D@{run\_\discretionary {-}{}{}D}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!run\_\discretionary {-}{}{}D\_\discretionary {-}{}{}inv@{run\_\discretionary {-}{}{}D\_\discretionary {-}{}{}inv}|hyperpage}{28} +\indexentry{run\_\discretionary {-}{}{}D\_\discretionary {-}{}{}inv@{run\_\discretionary {-}{}{}D\_\discretionary {-}{}{}inv}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!run\_\discretionary {-}{}{}inv@{run\_\discretionary {-}{}{}inv}|hyperpage}{28} +\indexentry{run\_\discretionary {-}{}{}inv@{run\_\discretionary {-}{}{}inv}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!RunRreg@{RunRreg}|hyperpage}{28} +\indexentry{RunRreg@{RunRreg}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!WADDR@{WADDR}|hyperpage}{28} +\indexentry{WADDR@{WADDR}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!WADDR\_\discretionary {-}{}{}back@{WADDR\_\discretionary {-}{}{}back}|hyperpage}{28} +\indexentry{WADDR\_\discretionary {-}{}{}back@{WADDR\_\discretionary {-}{}{}back}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!WADDR\_\discretionary {-}{}{}back\_\discretionary {-}{}{}D@{WADDR\_\discretionary {-}{}{}back\_\discretionary {-}{}{}D}|hyperpage}{28} +\indexentry{WADDR\_\discretionary {-}{}{}back\_\discretionary {-}{}{}D@{WADDR\_\discretionary {-}{}{}back\_\discretionary {-}{}{}D}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!WADDR\_\discretionary {-}{}{}backreg@{WADDR\_\discretionary {-}{}{}backreg}|hyperpage}{28} +\indexentry{WADDR\_\discretionary {-}{}{}backreg@{WADDR\_\discretionary {-}{}{}backreg}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!WADDR\_\discretionary {-}{}{}backreg2@{WADDR\_\discretionary {-}{}{}backreg2}|hyperpage}{28} +\indexentry{WADDR\_\discretionary {-}{}{}backreg2@{WADDR\_\discretionary {-}{}{}backreg2}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!WADDR\_\discretionary {-}{}{}D@{WADDR\_\discretionary {-}{}{}D}|hyperpage}{28} +\indexentry{WADDR\_\discretionary {-}{}{}D@{WADDR\_\discretionary {-}{}{}D}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!WD@{WD}|hyperpage}{28} +\indexentry{WD@{WD}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!WD\_\discretionary {-}{}{}D@{WD\_\discretionary {-}{}{}D}|hyperpage}{28} +\indexentry{WD\_\discretionary {-}{}{}D@{WD\_\discretionary {-}{}{}D}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!WDRreg@{WDRreg}|hyperpage}{28} +\indexentry{WDRreg@{WDRreg}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!WEN@{WEN}|hyperpage}{28} +\indexentry{WEN@{WEN}!FILTER_RAM_CTRLR::ar_FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{28} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{29} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!PROCESS\_\discretionary {-}{}{}6@{PROCESS\_\discretionary {-}{}{}6}|hyperpage}{30} +\indexentry{PROCESS\_\discretionary {-}{}{}6@{PROCESS\_\discretionary {-}{}{}6}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!ADDR@{ADDR}|hyperpage}{30} +\indexentry{ADDR@{ADDR}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!ADDR\_\discretionary {-}{}{}D@{ADDR\_\discretionary {-}{}{}D}|hyperpage}{30} +\indexentry{ADDR\_\discretionary {-}{}{}D@{ADDR\_\discretionary {-}{}{}D}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!ADDRreg@{ADDRreg}|hyperpage}{30} +\indexentry{ADDRreg@{ADDRreg}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!chanelCnt@{chanelCnt}|hyperpage}{30} +\indexentry{chanelCnt@{chanelCnt}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!clk\_\discretionary {-}{}{}inv@{clk\_\discretionary {-}{}{}inv}|hyperpage}{30} +\indexentry{clk\_\discretionary {-}{}{}inv@{clk\_\discretionary {-}{}{}inv}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!DcoefCnt@{DcoefCnt}|hyperpage}{30} +\indexentry{DcoefCnt@{DcoefCnt}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!DENCoefsCnt@{DENCoefsCnt}|hyperpage}{30} +\indexentry{DENCoefsCnt@{DENCoefsCnt}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!in\_\discretionary {-}{}{}Rotate\_\discretionary {-}{}{}Buff@{in\_\discretionary {-}{}{}Rotate\_\discretionary {-}{}{}Buff}|hyperpage}{30} +\indexentry{in\_\discretionary {-}{}{}Rotate\_\discretionary {-}{}{}Buff@{in\_\discretionary {-}{}{}Rotate\_\discretionary {-}{}{}Buff}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!NcoefCnt@{NcoefCnt}|hyperpage}{30} +\indexentry{NcoefCnt@{NcoefCnt}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!NUMCoefsCnt@{NUMCoefsCnt}|hyperpage}{30} +\indexentry{NUMCoefsCnt@{NUMCoefsCnt}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!out\_\discretionary {-}{}{}Rotate\_\discretionary {-}{}{}Buff@{out\_\discretionary {-}{}{}Rotate\_\discretionary {-}{}{}Buff}|hyperpage}{30} +\indexentry{out\_\discretionary {-}{}{}Rotate\_\discretionary {-}{}{}Buff@{out\_\discretionary {-}{}{}Rotate\_\discretionary {-}{}{}Buff}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!RAMblk@{RAMblk}|hyperpage}{30} +\indexentry{RAMblk@{RAMblk}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!RD@{RD}|hyperpage}{30} +\indexentry{RD@{RD}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!REN@{REN}|hyperpage}{30} +\indexentry{REN@{REN}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{30} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!Rotate\_\discretionary {-}{}{}BuffT@{Rotate\_\discretionary {-}{}{}BuffT}|hyperpage}{31} +\indexentry{Rotate\_\discretionary {-}{}{}BuffT@{Rotate\_\discretionary {-}{}{}BuffT}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}old@{sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}old}|hyperpage}{31} +\indexentry{sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}old@{sample\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}old}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!state@{state}|hyperpage}{31} +\indexentry{state@{state}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!stateT@{stateT}|hyperpage}{31} +\indexentry{stateT@{stateT}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!WADDR\_\discretionary {-}{}{}back@{WADDR\_\discretionary {-}{}{}back}|hyperpage}{31} +\indexentry{WADDR\_\discretionary {-}{}{}back@{WADDR\_\discretionary {-}{}{}back}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!WD@{WD}|hyperpage}{31} +\indexentry{WD@{WD}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!WD\_\discretionary {-}{}{}D@{WD\_\discretionary {-}{}{}D}|hyperpage}{31} +\indexentry{WD\_\discretionary {-}{}{}D@{WD\_\discretionary {-}{}{}D}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!WDreg@{WDreg}|hyperpage}{31} +\indexentry{WDreg@{WDreg}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!WEN@{WEN}|hyperpage}{31} +\indexentry{WEN@{WEN}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!WEN\_\discretionary {-}{}{}D@{WEN\_\discretionary {-}{}{}D}|hyperpage}{31} +\indexentry{WEN\_\discretionary {-}{}{}D@{WEN\_\discretionary {-}{}{}D}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}!WRreg@{WRreg}|hyperpage}{31} +\indexentry{WRreg@{WRreg}!FilterCTRLR::ar_FilterCTRLR@{FilterCTRLR::ar\_\discretionary {-}{}{}FilterCTRLR}|hyperpage}{31} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{31} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!PROCESS\_\discretionary {-}{}{}7@{PROCESS\_\discretionary {-}{}{}7}|hyperpage}{34} +\indexentry{PROCESS\_\discretionary {-}{}{}7@{PROCESS\_\discretionary {-}{}{}7}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!ALU\_\discretionary {-}{}{}Coef\_\discretionary {-}{}{}in@{ALU\_\discretionary {-}{}{}Coef\_\discretionary {-}{}{}in}|hyperpage}{34} +\indexentry{ALU\_\discretionary {-}{}{}Coef\_\discretionary {-}{}{}in@{ALU\_\discretionary {-}{}{}Coef\_\discretionary {-}{}{}in}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!ALU\_\discretionary {-}{}{}ctrl@{ALU\_\discretionary {-}{}{}ctrl}|hyperpage}{34} +\indexentry{ALU\_\discretionary {-}{}{}ctrl@{ALU\_\discretionary {-}{}{}ctrl}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!ALU\_\discretionary {-}{}{}inst@{ALU\_\discretionary {-}{}{}inst}|hyperpage}{34} +\indexentry{ALU\_\discretionary {-}{}{}inst@{ALU\_\discretionary {-}{}{}inst}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!ALU\_\discretionary {-}{}{}out@{ALU\_\discretionary {-}{}{}out}|hyperpage}{34} +\indexentry{ALU\_\discretionary {-}{}{}out@{ALU\_\discretionary {-}{}{}out}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!ALU\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in@{ALU\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in}|hyperpage}{34} +\indexentry{ALU\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in@{ALU\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!count@{count}|hyperpage}{34} +\indexentry{count@{count}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!curentCel@{curentCel}|hyperpage}{34} +\indexentry{curentCel@{curentCel}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!curentChan@{curentChan}|hyperpage}{34} +\indexentry{curentChan@{curentChan}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!fsmIIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}T@{fsmIIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}T}|hyperpage}{34} +\indexentry{fsmIIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}T@{fsmIIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}T}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!GO\_\discretionary {-}{}{}0@{GO\_\discretionary {-}{}{}0}|hyperpage}{34} +\indexentry{GO\_\discretionary {-}{}{}0@{GO\_\discretionary {-}{}{}0}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}STATE@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}STATE}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}STATE@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}STATE}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!RAM\_\discretionary {-}{}{}CTRLR2inst@{RAM\_\discretionary {-}{}{}CTRLR2inst}|hyperpage}{34} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2inst@{RAM\_\discretionary {-}{}{}CTRLR2inst}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in@{RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in}|hyperpage}{34} +\indexentry{RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in@{RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in\_\discretionary {-}{}{}bk@{RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in\_\discretionary {-}{}{}bk}|hyperpage}{34} +\indexentry{RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in\_\discretionary {-}{}{}bk@{RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}in\_\discretionary {-}{}{}bk}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}out@{RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}out}|hyperpage}{34} +\indexentry{RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}out@{RAM\_\discretionary {-}{}{}sample\_\discretionary {-}{}{}out}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!Read@{Read}|hyperpage}{34} +\indexentry{Read@{Read}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!sample\_\discretionary {-}{}{}in\_\discretionary {-}{}{}BUFF@{sample\_\discretionary {-}{}{}in\_\discretionary {-}{}{}BUFF}|hyperpage}{34} +\indexentry{sample\_\discretionary {-}{}{}in\_\discretionary {-}{}{}BUFF@{sample\_\discretionary {-}{}{}in\_\discretionary {-}{}{}BUFF}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!sample\_\discretionary {-}{}{}out\_\discretionary {-}{}{}BUFF@{sample\_\discretionary {-}{}{}out\_\discretionary {-}{}{}BUFF}|hyperpage}{34} +\indexentry{sample\_\discretionary {-}{}{}out\_\discretionary {-}{}{}BUFF@{sample\_\discretionary {-}{}{}out\_\discretionary {-}{}{}BUFF}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!smpl\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}old@{smpl\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}old}|hyperpage}{34} +\indexentry{smpl\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}old@{smpl\_\discretionary {-}{}{}clk\_\discretionary {-}{}{}old}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!SVG\_\discretionary {-}{}{}ADDR@{SVG\_\discretionary {-}{}{}ADDR}|hyperpage}{34} +\indexentry{SVG\_\discretionary {-}{}{}ADDR@{SVG\_\discretionary {-}{}{}ADDR}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!WADDR\_\discretionary {-}{}{}sel@{WADDR\_\discretionary {-}{}{}sel}|hyperpage}{34} +\indexentry{WADDR\_\discretionary {-}{}{}sel@{WADDR\_\discretionary {-}{}{}sel}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!WD\_\discretionary {-}{}{}sel@{WD\_\discretionary {-}{}{}sel}|hyperpage}{34} +\indexentry{WD\_\discretionary {-}{}{}sel@{WD\_\discretionary {-}{}{}sel}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!Write@{Write}|hyperpage}{34} +\indexentry{Write@{Write}!IIR_CEL_CTRLR::ar_IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{34} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{35} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!CTRLR@{CTRLR}|hyperpage}{35} +\indexentry{CTRLR@{CTRLR}!IIR_CEL_FILTER::ar_IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{35} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!virg\_\discretionary {-}{}{}pos@{virg\_\discretionary {-}{}{}pos}|hyperpage}{35} +\indexentry{virg\_\discretionary {-}{}{}pos@{virg\_\discretionary {-}{}{}pos}!IIR_CEL_FILTER::ar_IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER::ar\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{35} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{35} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!PROCESS\_\discretionary {-}{}{}1@{PROCESS\_\discretionary {-}{}{}1}|hyperpage}{37} +\indexentry{PROCESS\_\discretionary {-}{}{}1@{PROCESS\_\discretionary {-}{}{}1}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!CMD\_\discretionary {-}{}{}Flag@{CMD\_\discretionary {-}{}{}Flag}|hyperpage}{37} +\indexentry{CMD\_\discretionary {-}{}{}Flag@{CMD\_\discretionary {-}{}{}Flag}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!ConfigTbl@{ConfigTbl}|hyperpage}{37} +\indexentry{ConfigTbl@{ConfigTbl}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!Driver0@{Driver0}|hyperpage}{37} +\indexentry{Driver0@{Driver0}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!DRIVER\_\discretionary {-}{}{}CMD@{DRIVER\_\discretionary {-}{}{}CMD}|hyperpage}{37} +\indexentry{DRIVER\_\discretionary {-}{}{}CMD@{DRIVER\_\discretionary {-}{}{}CMD}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!Exec\_\discretionary {-}{}{}Reg@{Exec\_\discretionary {-}{}{}Reg}|hyperpage}{37} +\indexentry{Exec\_\discretionary {-}{}{}Reg@{Exec\_\discretionary {-}{}{}Reg}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!FRAME\_\discretionary {-}{}{}CLK@{FRAME\_\discretionary {-}{}{}CLK}|hyperpage}{37} +\indexentry{FRAME\_\discretionary {-}{}{}CLK@{FRAME\_\discretionary {-}{}{}CLK}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN0@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN0}|hyperpage}{37} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN0@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN0}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}reg@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}reg}|hyperpage}{37} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}reg@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}reg}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!i@{i}|hyperpage}{37} +\indexentry{i@{i}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!RefreshFlag@{RefreshFlag}|hyperpage}{37} +\indexentry{RefreshFlag@{RefreshFlag}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!state@{state}|hyperpage}{37} +\indexentry{state@{state}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!state\_\discretionary {-}{}{}t@{state\_\discretionary {-}{}{}t}|hyperpage}{37} +\indexentry{state\_\discretionary {-}{}{}t@{state\_\discretionary {-}{}{}t}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!SYNCH@{SYNCH}|hyperpage}{37} +\indexentry{SYNCH@{SYNCH}!LCD_16x2_ENGINE::ar_LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{37} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!PROCESS\_\discretionary {-}{}{}3@{PROCESS\_\discretionary {-}{}{}3}|hyperpage}{38} +\indexentry{PROCESS\_\discretionary {-}{}{}3@{PROCESS\_\discretionary {-}{}{}3}!LCD_CLK_GENERATOR::ar_LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{38} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!clk\_\discretionary {-}{}{}1us\_\discretionary {-}{}{}int@{clk\_\discretionary {-}{}{}1us\_\discretionary {-}{}{}int}|hyperpage}{38} +\indexentry{clk\_\discretionary {-}{}{}1us\_\discretionary {-}{}{}int@{clk\_\discretionary {-}{}{}1us\_\discretionary {-}{}{}int}!LCD_CLK_GENERATOR::ar_LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{38} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!clk\_\discretionary {-}{}{}1usTRIGER@{clk\_\discretionary {-}{}{}1usTRIGER}|hyperpage}{38} +\indexentry{clk\_\discretionary {-}{}{}1usTRIGER@{clk\_\discretionary {-}{}{}1usTRIGER}!LCD_CLK_GENERATOR::ar_LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{38} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!cpt1@{cpt1}|hyperpage}{38} +\indexentry{cpt1@{cpt1}!LCD_CLK_GENERATOR::ar_LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR::ar\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{38} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{38} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!add@{add}|hyperpage}{42} +\indexentry{add@{add}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!add\_\discretionary {-}{}{}D@{add\_\discretionary {-}{}{}D}|hyperpage}{42} +\indexentry{add\_\discretionary {-}{}{}D@{add\_\discretionary {-}{}{}D}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!adder\_\discretionary {-}{}{}inst@{adder\_\discretionary {-}{}{}inst}|hyperpage}{42} +\indexentry{adder\_\discretionary {-}{}{}inst@{adder\_\discretionary {-}{}{}inst}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!ADDERinA@{ADDERinA}|hyperpage}{42} +\indexentry{ADDERinA@{ADDERinA}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!ADDERinB@{ADDERinB}|hyperpage}{42} +\indexentry{ADDERinB@{ADDERinB}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!ADDERout@{ADDERout}|hyperpage}{42} +\indexentry{ADDERout@{ADDERout}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!addREG@{addREG}|hyperpage}{42} +\indexentry{addREG@{addREG}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!clr\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}D@{clr\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}D}|hyperpage}{42} +\indexentry{clr\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}D@{clr\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}D}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!clr\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}D\_\discretionary {-}{}{}D@{clr\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}D\_\discretionary {-}{}{}D}|hyperpage}{42} +\indexentry{clr\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}D\_\discretionary {-}{}{}D@{clr\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}D\_\discretionary {-}{}{}D}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!clr\_\discretionary {-}{}{}MACREG1@{clr\_\discretionary {-}{}{}MACREG1}|hyperpage}{42} +\indexentry{clr\_\discretionary {-}{}{}MACREG1@{clr\_\discretionary {-}{}{}MACREG1}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!clr\_\discretionary {-}{}{}MACREG2@{clr\_\discretionary {-}{}{}MACREG2}|hyperpage}{42} +\indexentry{clr\_\discretionary {-}{}{}MACREG2@{clr\_\discretionary {-}{}{}MACREG2}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MAC\_\discretionary {-}{}{}CONTROLER1@{MAC\_\discretionary {-}{}{}CONTROLER1}|hyperpage}{42} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER1@{MAC\_\discretionary {-}{}{}CONTROLER1}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MAC\_\discretionary {-}{}{}MUX2\_\discretionary {-}{}{}inst@{MAC\_\discretionary {-}{}{}MUX2\_\discretionary {-}{}{}inst}|hyperpage}{42} +\indexentry{MAC\_\discretionary {-}{}{}MUX2\_\discretionary {-}{}{}inst@{MAC\_\discretionary {-}{}{}MUX2\_\discretionary {-}{}{}inst}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MACMUX2sel@{MACMUX2sel}|hyperpage}{42} +\indexentry{MACMUX2sel@{MACMUX2sel}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MACMUX2sel\_\discretionary {-}{}{}D@{MACMUX2sel\_\discretionary {-}{}{}D}|hyperpage}{42} +\indexentry{MACMUX2sel\_\discretionary {-}{}{}D@{MACMUX2sel\_\discretionary {-}{}{}D}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MACMUX2sel\_\discretionary {-}{}{}D\_\discretionary {-}{}{}D@{MACMUX2sel\_\discretionary {-}{}{}D\_\discretionary {-}{}{}D}|hyperpage}{42} +\indexentry{MACMUX2sel\_\discretionary {-}{}{}D\_\discretionary {-}{}{}D@{MACMUX2sel\_\discretionary {-}{}{}D\_\discretionary {-}{}{}D}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MACMUX2selREG@{MACMUX2selREG}|hyperpage}{42} +\indexentry{MACMUX2selREG@{MACMUX2selREG}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MACMUX2selREG2@{MACMUX2selREG2}|hyperpage}{42} +\indexentry{MACMUX2selREG2@{MACMUX2selREG2}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MACMUX\_\discretionary {-}{}{}inst@{MACMUX\_\discretionary {-}{}{}inst}|hyperpage}{42} +\indexentry{MACMUX\_\discretionary {-}{}{}inst@{MACMUX\_\discretionary {-}{}{}inst}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MACMUXsel@{MACMUXsel}|hyperpage}{42} +\indexentry{MACMUXsel@{MACMUXsel}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MACMUXsel\_\discretionary {-}{}{}D@{MACMUXsel\_\discretionary {-}{}{}D}|hyperpage}{42} +\indexentry{MACMUXsel\_\discretionary {-}{}{}D@{MACMUXsel\_\discretionary {-}{}{}D}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MACMUXselREG@{MACMUXselREG}|hyperpage}{42} +\indexentry{MACMUXselREG@{MACMUXselREG}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!mult@{mult}|hyperpage}{42} +\indexentry{mult@{mult}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!Multiplieri\_\discretionary {-}{}{}nst@{Multiplieri\_\discretionary {-}{}{}nst}|hyperpage}{42} +\indexentry{Multiplieri\_\discretionary {-}{}{}nst@{Multiplieri\_\discretionary {-}{}{}nst}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MULTout@{MULTout}|hyperpage}{42} +\indexentry{MULTout@{MULTout}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MULTout\_\discretionary {-}{}{}D@{MULTout\_\discretionary {-}{}{}D}|hyperpage}{42} +\indexentry{MULTout\_\discretionary {-}{}{}D@{MULTout\_\discretionary {-}{}{}D}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!MULToutREG@{MULToutREG}|hyperpage}{42} +\indexentry{MULToutREG@{MULToutREG}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!OP1\_\discretionary {-}{}{}D@{OP1\_\discretionary {-}{}{}D}|hyperpage}{42} +\indexentry{OP1\_\discretionary {-}{}{}D@{OP1\_\discretionary {-}{}{}D}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!OP1\_\discretionary {-}{}{}D\_\discretionary {-}{}{}Resz@{OP1\_\discretionary {-}{}{}D\_\discretionary {-}{}{}Resz}|hyperpage}{42} +\indexentry{OP1\_\discretionary {-}{}{}D\_\discretionary {-}{}{}Resz@{OP1\_\discretionary {-}{}{}D\_\discretionary {-}{}{}Resz}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!OP1REG@{OP1REG}|hyperpage}{42} +\indexentry{OP1REG@{OP1REG}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!OP2\_\discretionary {-}{}{}D@{OP2\_\discretionary {-}{}{}D}|hyperpage}{42} +\indexentry{OP2\_\discretionary {-}{}{}D@{OP2\_\discretionary {-}{}{}D}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!OP2\_\discretionary {-}{}{}D\_\discretionary {-}{}{}Resz@{OP2\_\discretionary {-}{}{}D\_\discretionary {-}{}{}Resz}|hyperpage}{42} +\indexentry{OP2\_\discretionary {-}{}{}D\_\discretionary {-}{}{}Resz@{OP2\_\discretionary {-}{}{}D\_\discretionary {-}{}{}Resz}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC::ar\_\discretionary {-}{}{}MAC@{MAC::ar\_\discretionary {-}{}{}MAC}!OP2REG@{OP2REG}|hyperpage}{42} +\indexentry{OP2REG@{OP2REG}!MAC::ar_MAC@{MAC::ar\_\discretionary {-}{}{}MAC}|hyperpage}{42} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{43} +\indexentry{MAC\_\discretionary {-}{}{}MUX::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}MUX}|hyperpage}{43} +\indexentry{MAC\_\discretionary {-}{}{}MUX2::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{44} +\indexentry{MAC\_\discretionary {-}{}{}REG::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}REG}|hyperpage}{45} +\indexentry{MAC\_\discretionary {-}{}{}REG::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}REG}!PROCESS\_\discretionary {-}{}{}14@{PROCESS\_\discretionary {-}{}{}14}|hyperpage}{46} +\indexentry{PROCESS\_\discretionary {-}{}{}14@{PROCESS\_\discretionary {-}{}{}14}!MAC_REG::ar_MAC_REG@{MAC\_\discretionary {-}{}{}REG::ar\_\discretionary {-}{}{}MAC\_\discretionary {-}{}{}REG}|hyperpage}{46} +\indexentry{Multiplier::ar\_\discretionary {-}{}{}Multiplier@{Multiplier::ar\_\discretionary {-}{}{}Multiplier}|hyperpage}{46} +\indexentry{Multiplier::ar\_\discretionary {-}{}{}Multiplier@{Multiplier::ar\_\discretionary {-}{}{}Multiplier}!PROCESS\_\discretionary {-}{}{}15@{PROCESS\_\discretionary {-}{}{}15}|hyperpage}{47} +\indexentry{PROCESS\_\discretionary {-}{}{}15@{PROCESS\_\discretionary {-}{}{}15}!Multiplier::ar_Multiplier@{Multiplier::ar\_\discretionary {-}{}{}Multiplier}|hyperpage}{47} +\indexentry{Multiplier::ar\_\discretionary {-}{}{}Multiplier@{Multiplier::ar\_\discretionary {-}{}{}Multiplier}!REG@{REG}|hyperpage}{47} +\indexentry{REG@{REG}!Multiplier::ar_Multiplier@{Multiplier::ar\_\discretionary {-}{}{}Multiplier}|hyperpage}{47} +\indexentry{Multiplier::ar\_\discretionary {-}{}{}Multiplier@{Multiplier::ar\_\discretionary {-}{}{}Multiplier}!RESMULT@{RESMULT}|hyperpage}{47} +\indexentry{RESMULT@{RESMULT}!Multiplier::ar_Multiplier@{Multiplier::ar\_\discretionary {-}{}{}Multiplier}|hyperpage}{47} +\indexentry{MUX2::ar\_\discretionary {-}{}{}MUX2@{MUX2::ar\_\discretionary {-}{}{}MUX2}|hyperpage}{48} +\indexentry{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL}|hyperpage}{48} +\indexentry{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL}!PROCESS\_\discretionary {-}{}{}9@{PROCESS\_\discretionary {-}{}{}9}|hyperpage}{49} +\indexentry{PROCESS\_\discretionary {-}{}{}9@{PROCESS\_\discretionary {-}{}{}9}!RAM_CEL::ar_RAM_CEL@{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL}|hyperpage}{49} +\indexentry{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL}!RAMarray@{RAMarray}|hyperpage}{49} +\indexentry{RAMarray@{RAMarray}!RAM_CEL::ar_RAM_CEL@{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL}|hyperpage}{49} +\indexentry{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL}!RAMarrayT@{RAMarrayT}|hyperpage}{49} +\indexentry{RAMarrayT@{RAMarrayT}!RAM_CEL::ar_RAM_CEL@{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL}|hyperpage}{49} +\indexentry{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL}!RD\_\discretionary {-}{}{}int@{RD\_\discretionary {-}{}{}int}|hyperpage}{49} +\indexentry{RD\_\discretionary {-}{}{}int@{RD\_\discretionary {-}{}{}int}!RAM_CEL::ar_RAM_CEL@{RAM\_\discretionary {-}{}{}CEL::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CEL}|hyperpage}{49} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{50} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!ADDRcntr\_\discretionary {-}{}{}inst@{ADDRcntr\_\discretionary {-}{}{}inst}|hyperpage}{51} +\indexentry{ADDRcntr\_\discretionary {-}{}{}inst@{ADDRcntr\_\discretionary {-}{}{}inst}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!ADDRreg@{ADDRreg}|hyperpage}{51} +\indexentry{ADDRreg@{ADDRreg}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!MUX2\_\discretionary {-}{}{}inst1@{MUX2\_\discretionary {-}{}{}inst1}|hyperpage}{51} +\indexentry{MUX2\_\discretionary {-}{}{}inst1@{MUX2\_\discretionary {-}{}{}inst1}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!MUX2\_\discretionary {-}{}{}inst2@{MUX2\_\discretionary {-}{}{}inst2}|hyperpage}{51} +\indexentry{MUX2\_\discretionary {-}{}{}inst2@{MUX2\_\discretionary {-}{}{}inst2}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!RADDR@{RADDR}|hyperpage}{51} +\indexentry{RADDR@{RADDR}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!RAMblk@{RAMblk}|hyperpage}{51} +\indexentry{RAMblk@{RAMblk}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!RAMblk@{RAMblk}|hyperpage}{51} +\indexentry{RAMblk@{RAMblk}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!RD@{RD}|hyperpage}{51} +\indexentry{RD@{RD}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!REN@{REN}|hyperpage}{51} +\indexentry{REN@{REN}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!WADDR@{WADDR}|hyperpage}{51} +\indexentry{WADDR@{WADDR}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!WADDR\_\discretionary {-}{}{}back@{WADDR\_\discretionary {-}{}{}back}|hyperpage}{51} +\indexentry{WADDR\_\discretionary {-}{}{}back@{WADDR\_\discretionary {-}{}{}back}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!WADDR\_\discretionary {-}{}{}back\_\discretionary {-}{}{}D@{WADDR\_\discretionary {-}{}{}back\_\discretionary {-}{}{}D}|hyperpage}{51} +\indexentry{WADDR\_\discretionary {-}{}{}back\_\discretionary {-}{}{}D@{WADDR\_\discretionary {-}{}{}back\_\discretionary {-}{}{}D}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!WADDR\_\discretionary {-}{}{}backreg@{WADDR\_\discretionary {-}{}{}backreg}|hyperpage}{51} +\indexentry{WADDR\_\discretionary {-}{}{}backreg@{WADDR\_\discretionary {-}{}{}backreg}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!WADDR\_\discretionary {-}{}{}backreg2@{WADDR\_\discretionary {-}{}{}backreg2}|hyperpage}{51} +\indexentry{WADDR\_\discretionary {-}{}{}backreg2@{WADDR\_\discretionary {-}{}{}backreg2}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!WADDR\_\discretionary {-}{}{}D@{WADDR\_\discretionary {-}{}{}D}|hyperpage}{51} +\indexentry{WADDR\_\discretionary {-}{}{}D@{WADDR\_\discretionary {-}{}{}D}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!WD@{WD}|hyperpage}{51} +\indexentry{WD@{WD}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!WD\_\discretionary {-}{}{}D@{WD\_\discretionary {-}{}{}D}|hyperpage}{51} +\indexentry{WD\_\discretionary {-}{}{}D@{WD\_\discretionary {-}{}{}D}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!WDRreg@{WDRreg}|hyperpage}{51} +\indexentry{WDRreg@{WDRreg}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}!WEN@{WEN}|hyperpage}{51} +\indexentry{WEN@{WEN}!RAM_CTRLR2::ar_RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2::ar\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{51} +\indexentry{REG::ar\_\discretionary {-}{}{}REG@{REG::ar\_\discretionary {-}{}{}REG}|hyperpage}{52} +\indexentry{REG::ar\_\discretionary {-}{}{}REG@{REG::ar\_\discretionary {-}{}{}REG}!PROCESS\_\discretionary {-}{}{}16@{PROCESS\_\discretionary {-}{}{}16}|hyperpage}{52} +\indexentry{PROCESS\_\discretionary {-}{}{}16@{PROCESS\_\discretionary {-}{}{}16}!REG::ar_REG@{REG::ar\_\discretionary {-}{}{}REG}|hyperpage}{52} +\indexentry{RShifter::ar\_\discretionary {-}{}{}RShifter@{RShifter::ar\_\discretionary {-}{}{}RShifter}|hyperpage}{52} +\indexentry{RShifter::ar\_\discretionary {-}{}{}RShifter@{RShifter::ar\_\discretionary {-}{}{}RShifter}!PROCESS\_\discretionary {-}{}{}17@{PROCESS\_\discretionary {-}{}{}17}|hyperpage}{53} +\indexentry{PROCESS\_\discretionary {-}{}{}17@{PROCESS\_\discretionary {-}{}{}17}!RShifter::ar_RShifter@{RShifter::ar\_\discretionary {-}{}{}RShifter}|hyperpage}{53} +\indexentry{RShifter::ar\_\discretionary {-}{}{}RShifter@{RShifter::ar\_\discretionary {-}{}{}RShifter}!REG@{REG}|hyperpage}{53} +\indexentry{REG@{REG}!RShifter::ar_RShifter@{RShifter::ar\_\discretionary {-}{}{}RShifter}|hyperpage}{53} +\indexentry{RShifter::ar\_\discretionary {-}{}{}RShifter@{RShifter::ar\_\discretionary {-}{}{}RShifter}!RESSHIFT@{RESSHIFT}|hyperpage}{53} +\indexentry{RESSHIFT@{RESSHIFT}!RShifter::ar_RShifter@{RShifter::ar\_\discretionary {-}{}{}RShifter}|hyperpage}{53} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{53} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!PROCESS\_\discretionary {-}{}{}18@{PROCESS\_\discretionary {-}{}{}18}|hyperpage}{54} +\indexentry{PROCESS\_\discretionary {-}{}{}18@{PROCESS\_\discretionary {-}{}{}18}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{54} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!ADD@{ADD}|hyperpage}{54} +\indexentry{ADD@{ADD}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{54} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!ALU1@{ALU1}|hyperpage}{54} +\indexentry{ALU1@{ALU1}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{54} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!clk@{clk}|hyperpage}{54} +\indexentry{clk@{clk}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{54} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!clr\_\discretionary {-}{}{}mac@{clr\_\discretionary {-}{}{}mac}|hyperpage}{54} +\indexentry{clr\_\discretionary {-}{}{}mac@{clr\_\discretionary {-}{}{}mac}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{54} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!ctrl@{ctrl}|hyperpage}{54} +\indexentry{ctrl@{ctrl}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{54} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!IDLE@{IDLE}|hyperpage}{54} +\indexentry{IDLE@{IDLE}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{54} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!MAC@{MAC}|hyperpage}{55} +\indexentry{MAC@{MAC}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{55} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!MULT@{MULT}|hyperpage}{55} +\indexentry{MULT@{MULT}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{55} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!OP1sz@{OP1sz}|hyperpage}{55} +\indexentry{OP1sz@{OP1sz}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{55} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!OP2sz@{OP2sz}|hyperpage}{55} +\indexentry{OP2sz@{OP2sz}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{55} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!Operand1@{Operand1}|hyperpage}{55} +\indexentry{Operand1@{Operand1}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{55} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!Operand2@{Operand2}|hyperpage}{55} +\indexentry{Operand2@{Operand2}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{55} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!reset@{reset}|hyperpage}{55} +\indexentry{reset@{reset}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{55} +\indexentry{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}!Resultat@{Resultat}|hyperpage}{55} +\indexentry{Resultat@{Resultat}!TestbenshALU::ar_TestbenshALU@{TestbenshALU::ar\_\discretionary {-}{}{}TestbenshALU}|hyperpage}{55} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{55} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!PROCESS\_\discretionary {-}{}{}10@{PROCESS\_\discretionary {-}{}{}10}|hyperpage}{56} +\indexentry{PROCESS\_\discretionary {-}{}{}10@{PROCESS\_\discretionary {-}{}{}10}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{56} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!ADD@{ADD}|hyperpage}{56} +\indexentry{ADD@{ADD}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{56} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!clk@{clk}|hyperpage}{56} +\indexentry{clk@{clk}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{56} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!clrMAC@{clrMAC}|hyperpage}{56} +\indexentry{clrMAC@{clrMAC}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{56} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!IDLE@{IDLE}|hyperpage}{56} +\indexentry{IDLE@{IDLE}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{56} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!MAC@{MAC}|hyperpage}{56} +\indexentry{MAC@{MAC}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{56} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!MAC1@{MAC1}|hyperpage}{56} +\indexentry{MAC1@{MAC1}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{56} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!MAC\_\discretionary {-}{}{}MUL\_\discretionary {-}{}{}ADD@{MAC\_\discretionary {-}{}{}MUL\_\discretionary {-}{}{}ADD}|hyperpage}{56} +\indexentry{MAC\_\discretionary {-}{}{}MUL\_\discretionary {-}{}{}ADD@{MAC\_\discretionary {-}{}{}MUL\_\discretionary {-}{}{}ADD}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{56} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!MULT@{MULT}|hyperpage}{56} +\indexentry{MULT@{MULT}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{56} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!OP1sz@{OP1sz}|hyperpage}{57} +\indexentry{OP1sz@{OP1sz}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{57} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!OP2sz@{OP2sz}|hyperpage}{57} +\indexentry{OP2sz@{OP2sz}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{57} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!Operand1@{Operand1}|hyperpage}{57} +\indexentry{Operand1@{Operand1}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{57} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!Operand2@{Operand2}|hyperpage}{57} +\indexentry{Operand2@{Operand2}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{57} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!reset@{reset}|hyperpage}{57} +\indexentry{reset@{reset}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{57} +\indexentry{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}!Resultat@{Resultat}|hyperpage}{57} +\indexentry{Resultat@{Resultat}!TestbenshMAC::ar_TestbenshMAC@{TestbenshMAC::ar\_\discretionary {-}{}{}TestbenshMAC}|hyperpage}{57} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{57} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}!CMD@{CMD}|hyperpage}{58} +\indexentry{CMD@{CMD}!AMBA_LCD_16x2_DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{58} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}!Driver0@{Driver0}|hyperpage}{58} +\indexentry{Driver0@{Driver0}!AMBA_LCD_16x2_DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{58} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}!Exec@{Exec}|hyperpage}{58} +\indexentry{Exec@{Exec}!AMBA_LCD_16x2_DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{58} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}!FramBUFF@{FramBUFF}|hyperpage}{58} +\indexentry{FramBUFF@{FramBUFF}!AMBA_LCD_16x2_DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{58} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}!LCD\_\discretionary {-}{}{}CTRL@{LCD\_\discretionary {-}{}{}CTRL}|hyperpage}{58} +\indexentry{LCD\_\discretionary {-}{}{}CTRL@{LCD\_\discretionary {-}{}{}CTRL}!AMBA_LCD_16x2_DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{58} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}!Ready@{Ready}|hyperpage}{58} +\indexentry{Ready@{Ready}!AMBA_LCD_16x2_DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{58} +\indexentry{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}!rst@{rst}|hyperpage}{58} +\indexentry{rst@{rst}!AMBA_LCD_16x2_DRIVER::Behavioral@{AMBA\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{58} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{58} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!PROCESS\_\discretionary {-}{}{}2@{PROCESS\_\discretionary {-}{}{}2}|hyperpage}{60} +\indexentry{PROCESS\_\discretionary {-}{}{}2@{PROCESS\_\discretionary {-}{}{}2}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!CFGM\_\discretionary {-}{}{}completed@{CFGM\_\discretionary {-}{}{}completed}|hyperpage}{60} +\indexentry{CFGM\_\discretionary {-}{}{}completed@{CFGM\_\discretionary {-}{}{}completed}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!CFGM\_\discretionary {-}{}{}Enable@{CFGM\_\discretionary {-}{}{}Enable}|hyperpage}{60} +\indexentry{CFGM\_\discretionary {-}{}{}Enable@{CFGM\_\discretionary {-}{}{}Enable}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}DATA@{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}DATA}|hyperpage}{60} +\indexentry{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}DATA@{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}DATA}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}E@{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}E}|hyperpage}{60} +\indexentry{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}E@{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}E}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RS@{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RS}|hyperpage}{60} +\indexentry{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RS@{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RS}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RW@{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RW}|hyperpage}{60} +\indexentry{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RW@{CFGM\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RW}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!ConfigModule@{ConfigModule}|hyperpage}{60} +\indexentry{ConfigModule@{ConfigModule}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!Counter@{Counter}|hyperpage}{60} +\indexentry{Counter@{Counter}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!FrameWriter@{FrameWriter}|hyperpage}{60} +\indexentry{FrameWriter@{FrameWriter}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!FRMW\_\discretionary {-}{}{}completed@{FRMW\_\discretionary {-}{}{}completed}|hyperpage}{60} +\indexentry{FRMW\_\discretionary {-}{}{}completed@{FRMW\_\discretionary {-}{}{}completed}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!FRMW\_\discretionary {-}{}{}Enable@{FRMW\_\discretionary {-}{}{}Enable}|hyperpage}{60} +\indexentry{FRMW\_\discretionary {-}{}{}Enable@{FRMW\_\discretionary {-}{}{}Enable}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}DATA@{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}DATA}|hyperpage}{60} +\indexentry{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}DATA@{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}DATA}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}E@{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}E}|hyperpage}{60} +\indexentry{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}E@{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}E}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RS@{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RS}|hyperpage}{60} +\indexentry{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RS@{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RS}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RW@{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RW}|hyperpage}{60} +\indexentry{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RW@{FRMW\_\discretionary {-}{}{}LCD\_\discretionary {-}{}{}RW}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!MidleTimePulse@{MidleTimePulse}|hyperpage}{60} +\indexentry{MidleTimePulse@{MidleTimePulse}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!Refresh\_\discretionary {-}{}{}RatePulse@{Refresh\_\discretionary {-}{}{}RatePulse}|hyperpage}{60} +\indexentry{Refresh\_\discretionary {-}{}{}RatePulse@{Refresh\_\discretionary {-}{}{}RatePulse}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!ShortTimePulse@{ShortTimePulse}|hyperpage}{60} +\indexentry{ShortTimePulse@{ShortTimePulse}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!Start@{Start}|hyperpage}{60} +\indexentry{Start@{Start}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!state@{state}|hyperpage}{60} +\indexentry{state@{state}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}!stateT@{stateT}|hyperpage}{60} +\indexentry{stateT@{stateT}!LCD_2x16_DRIVER::Behavioral@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER::Behavioral}|hyperpage}{60} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}|hyperpage}{61} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}!PROCESS\_\discretionary {-}{}{}0@{PROCESS\_\discretionary {-}{}{}0}|hyperpage}{61} +\indexentry{PROCESS\_\discretionary {-}{}{}0@{PROCESS\_\discretionary {-}{}{}0}!FRAME_CLK_GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}|hyperpage}{61} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}!CPT@{CPT}|hyperpage}{61} +\indexentry{CPT@{CPT}!FRAME_CLK_GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}|hyperpage}{61} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}!FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}reg@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}reg}|hyperpage}{61} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}reg@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}reg}!FRAME_CLK_GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}|hyperpage}{61} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}!FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}TRIG@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}TRIG}|hyperpage}{61} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}TRIG@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}TRIG}!FRAME_CLK_GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}|hyperpage}{61} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}!Goal\_\discretionary {-}{}{}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}FREQ@{Goal\_\discretionary {-}{}{}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}FREQ}|hyperpage}{62} +\indexentry{Goal\_\discretionary {-}{}{}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}FREQ@{Goal\_\discretionary {-}{}{}FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}FREQ}!FRAME_CLK_GEN::Behavioral@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN::Behavioral}|hyperpage}{62} +\indexentry{RAM::DEF\_\discretionary {-}{}{}ARCH@{RAM::DEF\_\discretionary {-}{}{}ARCH}|hyperpage}{62} +\indexentry{RAM::DEF\_\discretionary {-}{}{}ARCH@{RAM::DEF\_\discretionary {-}{}{}ARCH}!PROCESS\_\discretionary {-}{}{}8@{PROCESS\_\discretionary {-}{}{}8}|hyperpage}{63} +\indexentry{PROCESS\_\discretionary {-}{}{}8@{PROCESS\_\discretionary {-}{}{}8}!RAM::DEF_ARCH@{RAM::DEF\_\discretionary {-}{}{}ARCH}|hyperpage}{63} +\indexentry{RAM::DEF\_\discretionary {-}{}{}ARCH@{RAM::DEF\_\discretionary {-}{}{}ARCH}!RAMarray@{RAMarray}|hyperpage}{63} +\indexentry{RAMarray@{RAMarray}!RAM::DEF_ARCH@{RAM::DEF\_\discretionary {-}{}{}ARCH}|hyperpage}{63} +\indexentry{RAM::DEF\_\discretionary {-}{}{}ARCH@{RAM::DEF\_\discretionary {-}{}{}ARCH}!RAMarrayT@{RAMarrayT}|hyperpage}{63} +\indexentry{RAMarrayT@{RAMarrayT}!RAM::DEF_ARCH@{RAM::DEF\_\discretionary {-}{}{}ARCH}|hyperpage}{63} +\indexentry{RAM::DEF\_\discretionary {-}{}{}ARCH@{RAM::DEF\_\discretionary {-}{}{}ARCH}!RD\_\discretionary {-}{}{}int@{RD\_\discretionary {-}{}{}int}|hyperpage}{63} +\indexentry{RD\_\discretionary {-}{}{}int@{RD\_\discretionary {-}{}{}int}!RAM::DEF_ARCH@{RAM::DEF\_\discretionary {-}{}{}ARCH}|hyperpage}{63} +\indexentry{FILTER@{FILTER}|hyperpage}{63} +\indexentry{FILTER@{FILTER}!clk@{clk}|hyperpage}{64} +\indexentry{clk@{clk}!FILTER@{FILTER}|hyperpage}{64} +\indexentry{FILTER@{FILTER}!FILTERcfg@{FILTERcfg}|hyperpage}{64} +\indexentry{FILTERcfg@{FILTERcfg}!FILTER@{FILTER}|hyperpage}{64} +\indexentry{FILTER@{FILTER}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{64} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!FILTER@{FILTER}|hyperpage}{64} +\indexentry{FILTER@{FILTER}!IEEE@{IEEE}|hyperpage}{64} +\indexentry{IEEE@{IEEE}!FILTER@{FILTER}|hyperpage}{64} +\indexentry{FILTER@{FILTER}!iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{64} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!FILTER@{FILTER}|hyperpage}{64} +\indexentry{FILTER@{FILTER}!lpp@{lpp}|hyperpage}{64} +\indexentry{lpp@{lpp}!FILTER@{FILTER}|hyperpage}{64} +\indexentry{FILTER@{FILTER}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{64} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!FILTER@{FILTER}|hyperpage}{64} +\indexentry{FILTER@{FILTER}!reset@{reset}|hyperpage}{64} +\indexentry{reset@{reset}!FILTER@{FILTER}|hyperpage}{64} +\indexentry{FILTER@{FILTER}!sample\_\discretionary {-}{}{}clk@{sample\_\discretionary {-}{}{}clk}|hyperpage}{64} +\indexentry{sample\_\discretionary {-}{}{}clk@{sample\_\discretionary {-}{}{}clk}!FILTER@{FILTER}|hyperpage}{64} +\indexentry{FILTER@{FILTER}!Sample\_\discretionary {-}{}{}IN@{Sample\_\discretionary {-}{}{}IN}|hyperpage}{65} +\indexentry{Sample\_\discretionary {-}{}{}IN@{Sample\_\discretionary {-}{}{}IN}!FILTER@{FILTER}|hyperpage}{65} +\indexentry{FILTER@{FILTER}!Sample\_\discretionary {-}{}{}OUT@{Sample\_\discretionary {-}{}{}OUT}|hyperpage}{65} +\indexentry{Sample\_\discretionary {-}{}{}OUT@{Sample\_\discretionary {-}{}{}OUT}!FILTER@{FILTER}|hyperpage}{65} +\indexentry{FILTER@{FILTER}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{65} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!FILTER@{FILTER}|hyperpage}{65} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{65} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!B\_\discretionary {-}{}{}A@{B\_\discretionary {-}{}{}A}|hyperpage}{66} +\indexentry{B\_\discretionary {-}{}{}A@{B\_\discretionary {-}{}{}A}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!clk@{clk}|hyperpage}{66} +\indexentry{clk@{clk}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!FILTERcfg@{FILTERcfg}|hyperpage}{66} +\indexentry{FILTERcfg@{FILTERcfg}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{66} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!GO\_\discretionary {-}{}{}0@{GO\_\discretionary {-}{}{}0}|hyperpage}{66} +\indexentry{GO\_\discretionary {-}{}{}0@{GO\_\discretionary {-}{}{}0}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!IEEE@{IEEE}|hyperpage}{66} +\indexentry{IEEE@{IEEE}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{66} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!lpp@{lpp}|hyperpage}{66} +\indexentry{lpp@{lpp}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!next\_\discretionary {-}{}{}blk@{next\_\discretionary {-}{}{}blk}|hyperpage}{66} +\indexentry{next\_\discretionary {-}{}{}blk@{next\_\discretionary {-}{}{}blk}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{66} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!reset@{reset}|hyperpage}{66} +\indexentry{reset@{reset}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!run@{run}|hyperpage}{66} +\indexentry{run@{run}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{66} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}|hyperpage}{67} +\indexentry{sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{67} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!sample\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}out}|hyperpage}{67} +\indexentry{sample\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}out}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{67} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{67} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{67} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!writeForce@{writeForce}|hyperpage}{67} +\indexentry{writeForce@{writeForce}!FILTER_RAM_CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{67} +\indexentry{FILTERcfg@{FILTERcfg}|hyperpage}{67} +\indexentry{FILTERcfg@{FILTERcfg}!NumCoefs@{NumCoefs}|hyperpage}{71} +\indexentry{NumCoefs@{NumCoefs}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!DenCoefs@{DenCoefs}|hyperpage}{71} +\indexentry{DenCoefs@{DenCoefs}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!config@{config}|hyperpage}{71} +\indexentry{config@{config}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!coefsTB@{coefsTB}|hyperpage}{71} +\indexentry{coefsTB@{coefsTB}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!virgPos@{virgPos}|hyperpage}{71} +\indexentry{virgPos@{virgPos}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!config@{config}|hyperpage}{71} +\indexentry{config@{config}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!status@{status}|hyperpage}{71} +\indexentry{status@{status}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a0@{a0}|hyperpage}{71} +\indexentry{a0@{a0}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a0\_\discretionary {-}{}{}0@{a0\_\discretionary {-}{}{}0}|hyperpage}{71} +\indexentry{a0\_\discretionary {-}{}{}0@{a0\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a0\_\discretionary {-}{}{}1@{a0\_\discretionary {-}{}{}1}|hyperpage}{71} +\indexentry{a0\_\discretionary {-}{}{}1@{a0\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a0\_\discretionary {-}{}{}2@{a0\_\discretionary {-}{}{}2}|hyperpage}{71} +\indexentry{a0\_\discretionary {-}{}{}2@{a0\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a1@{a1}|hyperpage}{71} +\indexentry{a1@{a1}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a1\_\discretionary {-}{}{}0@{a1\_\discretionary {-}{}{}0}|hyperpage}{71} +\indexentry{a1\_\discretionary {-}{}{}0@{a1\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a1\_\discretionary {-}{}{}1@{a1\_\discretionary {-}{}{}1}|hyperpage}{71} +\indexentry{a1\_\discretionary {-}{}{}1@{a1\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a1\_\discretionary {-}{}{}2@{a1\_\discretionary {-}{}{}2}|hyperpage}{71} +\indexentry{a1\_\discretionary {-}{}{}2@{a1\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a2@{a2}|hyperpage}{71} +\indexentry{a2@{a2}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a2\_\discretionary {-}{}{}0@{a2\_\discretionary {-}{}{}0}|hyperpage}{71} +\indexentry{a2\_\discretionary {-}{}{}0@{a2\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a2\_\discretionary {-}{}{}1@{a2\_\discretionary {-}{}{}1}|hyperpage}{71} +\indexentry{a2\_\discretionary {-}{}{}1@{a2\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a2\_\discretionary {-}{}{}2@{a2\_\discretionary {-}{}{}2}|hyperpage}{71} +\indexentry{a2\_\discretionary {-}{}{}2@{a2\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a3@{a3}|hyperpage}{71} +\indexentry{a3@{a3}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a3\_\discretionary {-}{}{}0@{a3\_\discretionary {-}{}{}0}|hyperpage}{71} +\indexentry{a3\_\discretionary {-}{}{}0@{a3\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a3\_\discretionary {-}{}{}1@{a3\_\discretionary {-}{}{}1}|hyperpage}{71} +\indexentry{a3\_\discretionary {-}{}{}1@{a3\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a3\_\discretionary {-}{}{}2@{a3\_\discretionary {-}{}{}2}|hyperpage}{71} +\indexentry{a3\_\discretionary {-}{}{}2@{a3\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a4@{a4}|hyperpage}{71} +\indexentry{a4@{a4}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a4\_\discretionary {-}{}{}0@{a4\_\discretionary {-}{}{}0}|hyperpage}{71} +\indexentry{a4\_\discretionary {-}{}{}0@{a4\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a4\_\discretionary {-}{}{}1@{a4\_\discretionary {-}{}{}1}|hyperpage}{71} +\indexentry{a4\_\discretionary {-}{}{}1@{a4\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a4\_\discretionary {-}{}{}2@{a4\_\discretionary {-}{}{}2}|hyperpage}{71} +\indexentry{a4\_\discretionary {-}{}{}2@{a4\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a5\_\discretionary {-}{}{}0@{a5\_\discretionary {-}{}{}0}|hyperpage}{71} +\indexentry{a5\_\discretionary {-}{}{}0@{a5\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a5\_\discretionary {-}{}{}1@{a5\_\discretionary {-}{}{}1}|hyperpage}{71} +\indexentry{a5\_\discretionary {-}{}{}1@{a5\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a5\_\discretionary {-}{}{}2@{a5\_\discretionary {-}{}{}2}|hyperpage}{71} +\indexentry{a5\_\discretionary {-}{}{}2@{a5\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a6\_\discretionary {-}{}{}0@{a6\_\discretionary {-}{}{}0}|hyperpage}{71} +\indexentry{a6\_\discretionary {-}{}{}0@{a6\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a6\_\discretionary {-}{}{}1@{a6\_\discretionary {-}{}{}1}|hyperpage}{71} +\indexentry{a6\_\discretionary {-}{}{}1@{a6\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!a6\_\discretionary {-}{}{}2@{a6\_\discretionary {-}{}{}2}|hyperpage}{71} +\indexentry{a6\_\discretionary {-}{}{}2@{a6\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!ADD@{ADD}|hyperpage}{71} +\indexentry{ADD@{ADD}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!b0@{b0}|hyperpage}{71} +\indexentry{b0@{b0}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!b0\_\discretionary {-}{}{}0@{b0\_\discretionary {-}{}{}0}|hyperpage}{71} +\indexentry{b0\_\discretionary {-}{}{}0@{b0\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{71} +\indexentry{FILTERcfg@{FILTERcfg}!b0\_\discretionary {-}{}{}1@{b0\_\discretionary {-}{}{}1}|hyperpage}{73} +\indexentry{b0\_\discretionary {-}{}{}1@{b0\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b0\_\discretionary {-}{}{}2@{b0\_\discretionary {-}{}{}2}|hyperpage}{73} +\indexentry{b0\_\discretionary {-}{}{}2@{b0\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b1@{b1}|hyperpage}{73} +\indexentry{b1@{b1}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b1\_\discretionary {-}{}{}0@{b1\_\discretionary {-}{}{}0}|hyperpage}{73} +\indexentry{b1\_\discretionary {-}{}{}0@{b1\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b1\_\discretionary {-}{}{}1@{b1\_\discretionary {-}{}{}1}|hyperpage}{73} +\indexentry{b1\_\discretionary {-}{}{}1@{b1\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b1\_\discretionary {-}{}{}2@{b1\_\discretionary {-}{}{}2}|hyperpage}{73} +\indexentry{b1\_\discretionary {-}{}{}2@{b1\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b2@{b2}|hyperpage}{73} +\indexentry{b2@{b2}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b2\_\discretionary {-}{}{}0@{b2\_\discretionary {-}{}{}0}|hyperpage}{73} +\indexentry{b2\_\discretionary {-}{}{}0@{b2\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b2\_\discretionary {-}{}{}1@{b2\_\discretionary {-}{}{}1}|hyperpage}{73} +\indexentry{b2\_\discretionary {-}{}{}1@{b2\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b2\_\discretionary {-}{}{}2@{b2\_\discretionary {-}{}{}2}|hyperpage}{73} +\indexentry{b2\_\discretionary {-}{}{}2@{b2\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b3@{b3}|hyperpage}{73} +\indexentry{b3@{b3}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b3\_\discretionary {-}{}{}0@{b3\_\discretionary {-}{}{}0}|hyperpage}{73} +\indexentry{b3\_\discretionary {-}{}{}0@{b3\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b3\_\discretionary {-}{}{}1@{b3\_\discretionary {-}{}{}1}|hyperpage}{73} +\indexentry{b3\_\discretionary {-}{}{}1@{b3\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b3\_\discretionary {-}{}{}2@{b3\_\discretionary {-}{}{}2}|hyperpage}{73} +\indexentry{b3\_\discretionary {-}{}{}2@{b3\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b4@{b4}|hyperpage}{73} +\indexentry{b4@{b4}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b4\_\discretionary {-}{}{}0@{b4\_\discretionary {-}{}{}0}|hyperpage}{73} +\indexentry{b4\_\discretionary {-}{}{}0@{b4\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b4\_\discretionary {-}{}{}1@{b4\_\discretionary {-}{}{}1}|hyperpage}{73} +\indexentry{b4\_\discretionary {-}{}{}1@{b4\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b4\_\discretionary {-}{}{}2@{b4\_\discretionary {-}{}{}2}|hyperpage}{73} +\indexentry{b4\_\discretionary {-}{}{}2@{b4\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b5@{b5}|hyperpage}{73} +\indexentry{b5@{b5}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b5\_\discretionary {-}{}{}0@{b5\_\discretionary {-}{}{}0}|hyperpage}{73} +\indexentry{b5\_\discretionary {-}{}{}0@{b5\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b5\_\discretionary {-}{}{}1@{b5\_\discretionary {-}{}{}1}|hyperpage}{73} +\indexentry{b5\_\discretionary {-}{}{}1@{b5\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b5\_\discretionary {-}{}{}2@{b5\_\discretionary {-}{}{}2}|hyperpage}{73} +\indexentry{b5\_\discretionary {-}{}{}2@{b5\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b6@{b6}|hyperpage}{73} +\indexentry{b6@{b6}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b6\_\discretionary {-}{}{}0@{b6\_\discretionary {-}{}{}0}|hyperpage}{73} +\indexentry{b6\_\discretionary {-}{}{}0@{b6\_\discretionary {-}{}{}0}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b6\_\discretionary {-}{}{}1@{b6\_\discretionary {-}{}{}1}|hyperpage}{73} +\indexentry{b6\_\discretionary {-}{}{}1@{b6\_\discretionary {-}{}{}1}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!b6\_\discretionary {-}{}{}2@{b6\_\discretionary {-}{}{}2}|hyperpage}{73} +\indexentry{b6\_\discretionary {-}{}{}2@{b6\_\discretionary {-}{}{}2}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!cela0@{cela0}|hyperpage}{73} +\indexentry{cela0@{cela0}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!cela1@{cela1}|hyperpage}{73} +\indexentry{cela1@{cela1}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!cela2@{cela2}|hyperpage}{73} +\indexentry{cela2@{cela2}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!cela3@{cela3}|hyperpage}{73} +\indexentry{cela3@{cela3}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!cela4@{cela4}|hyperpage}{73} +\indexentry{cela4@{cela4}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!cela5@{cela5}|hyperpage}{73} +\indexentry{cela5@{cela5}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!cela6@{cela6}|hyperpage}{73} +\indexentry{cela6@{cela6}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!celb0@{celb0}|hyperpage}{73} +\indexentry{celb0@{celb0}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!celb1@{celb1}|hyperpage}{73} +\indexentry{celb1@{celb1}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!celb2@{celb2}|hyperpage}{73} +\indexentry{celb2@{celb2}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!celb3@{celb3}|hyperpage}{73} +\indexentry{celb3@{celb3}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!celb4@{celb4}|hyperpage}{73} +\indexentry{celb4@{celb4}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!celb5@{celb5}|hyperpage}{73} +\indexentry{celb5@{celb5}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!celb6@{celb6}|hyperpage}{73} +\indexentry{celb6@{celb6}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!Cels\_\discretionary {-}{}{}count@{Cels\_\discretionary {-}{}{}count}|hyperpage}{73} +\indexentry{Cels\_\discretionary {-}{}{}count@{Cels\_\discretionary {-}{}{}count}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!ChanelsCNT@{ChanelsCNT}|hyperpage}{73} +\indexentry{ChanelsCNT@{ChanelsCNT}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!clr\_\discretionary {-}{}{}mac@{clr\_\discretionary {-}{}{}mac}|hyperpage}{73} +\indexentry{clr\_\discretionary {-}{}{}mac@{clr\_\discretionary {-}{}{}mac}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!coef\_\discretionary {-}{}{}celT@{coef\_\discretionary {-}{}{}celT}|hyperpage}{73} +\indexentry{coef\_\discretionary {-}{}{}celT@{coef\_\discretionary {-}{}{}celT}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!Coef\_\discretionary {-}{}{}SZ@{Coef\_\discretionary {-}{}{}SZ}|hyperpage}{73} +\indexentry{Coef\_\discretionary {-}{}{}SZ@{Coef\_\discretionary {-}{}{}SZ}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!coefs\_\discretionary {-}{}{}celsT@{coefs\_\discretionary {-}{}{}celsT}|hyperpage}{73} +\indexentry{coefs\_\discretionary {-}{}{}celsT@{coefs\_\discretionary {-}{}{}celsT}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!coefs\_\discretionary {-}{}{}celT@{coefs\_\discretionary {-}{}{}celT}|hyperpage}{73} +\indexentry{coefs\_\discretionary {-}{}{}celT@{coefs\_\discretionary {-}{}{}celT}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!coefsT@{coefsT}|hyperpage}{73} +\indexentry{coefsT@{coefsT}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!coefT@{coefT}|hyperpage}{73} +\indexentry{coefT@{coefT}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!DenCoefs\_\discretionary {-}{}{}cel@{DenCoefs\_\discretionary {-}{}{}cel}|hyperpage}{73} +\indexentry{DenCoefs\_\discretionary {-}{}{}cel@{DenCoefs\_\discretionary {-}{}{}cel}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!DenominatorCoefs@{DenominatorCoefs}|hyperpage}{73} +\indexentry{DenominatorCoefs@{DenominatorCoefs}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!IDLE@{IDLE}|hyperpage}{73} +\indexentry{IDLE@{IDLE}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!IEEE@{IEEE}|hyperpage}{73} +\indexentry{IEEE@{IEEE}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!in\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}reg@{in\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}reg}|hyperpage}{73} +\indexentry{in\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}reg@{in\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}reg}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!MAC\_\discretionary {-}{}{}op@{MAC\_\discretionary {-}{}{}op}|hyperpage}{73} +\indexentry{MAC\_\discretionary {-}{}{}op@{MAC\_\discretionary {-}{}{}op}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!Mem\_\discretionary {-}{}{}use@{Mem\_\discretionary {-}{}{}use}|hyperpage}{73} +\indexentry{Mem\_\discretionary {-}{}{}use@{Mem\_\discretionary {-}{}{}use}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!MULT@{MULT}|hyperpage}{73} +\indexentry{MULT@{MULT}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!NumCoefs\_\discretionary {-}{}{}cel@{NumCoefs\_\discretionary {-}{}{}cel}|hyperpage}{73} +\indexentry{NumCoefs\_\discretionary {-}{}{}cel@{NumCoefs\_\discretionary {-}{}{}cel}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!NumeratorCoefs@{NumeratorCoefs}|hyperpage}{73} +\indexentry{NumeratorCoefs@{NumeratorCoefs}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{73} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!out\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}reg@{out\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}reg}|hyperpage}{73} +\indexentry{out\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}reg@{out\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}reg}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!sample\_\discretionary {-}{}{}Tbl@{sample\_\discretionary {-}{}{}Tbl}|hyperpage}{73} +\indexentry{sample\_\discretionary {-}{}{}Tbl@{sample\_\discretionary {-}{}{}Tbl}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!samplT@{samplT}|hyperpage}{73} +\indexentry{samplT@{samplT}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!Scalefac\_\discretionary {-}{}{}SZ@{Scalefac\_\discretionary {-}{}{}SZ}|hyperpage}{73} +\indexentry{Scalefac\_\discretionary {-}{}{}SZ@{Scalefac\_\discretionary {-}{}{}SZ}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!scaleValT@{scaleValT}|hyperpage}{73} +\indexentry{scaleValT@{scaleValT}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!Smpl\_\discretionary {-}{}{}SZ@{Smpl\_\discretionary {-}{}{}SZ}|hyperpage}{73} +\indexentry{Smpl\_\discretionary {-}{}{}SZ@{Smpl\_\discretionary {-}{}{}SZ}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{73} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!use\_\discretionary {-}{}{}CEL@{use\_\discretionary {-}{}{}CEL}|hyperpage}{73} +\indexentry{use\_\discretionary {-}{}{}CEL@{use\_\discretionary {-}{}{}CEL}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!use\_\discretionary {-}{}{}RAM@{use\_\discretionary {-}{}{}RAM}|hyperpage}{73} +\indexentry{use\_\discretionary {-}{}{}RAM@{use\_\discretionary {-}{}{}RAM}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FILTERcfg@{FILTERcfg}!virgPos@{virgPos}|hyperpage}{73} +\indexentry{virgPos@{virgPos}!FILTERcfg@{FILTERcfg}|hyperpage}{73} +\indexentry{FilterCTRLR@{FilterCTRLR}|hyperpage}{74} +\indexentry{FilterCTRLR@{FilterCTRLR}!ALU\_\discretionary {-}{}{}Ctrl@{ALU\_\discretionary {-}{}{}Ctrl}|hyperpage}{75} +\indexentry{ALU\_\discretionary {-}{}{}Ctrl@{ALU\_\discretionary {-}{}{}Ctrl}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!clk@{clk}|hyperpage}{75} +\indexentry{clk@{clk}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!coef@{coef}|hyperpage}{75} +\indexentry{coef@{coef}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!FILTERcfg@{FILTERcfg}|hyperpage}{75} +\indexentry{FILTERcfg@{FILTERcfg}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{75} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!IEEE@{IEEE}|hyperpage}{75} +\indexentry{IEEE@{IEEE}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{75} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!lpp@{lpp}|hyperpage}{75} +\indexentry{lpp@{lpp}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{75} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!reset@{reset}|hyperpage}{75} +\indexentry{reset@{reset}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!sample@{sample}|hyperpage}{75} +\indexentry{sample@{sample}!FilterCTRLR@{FilterCTRLR}|hyperpage}{75} +\indexentry{FilterCTRLR@{FilterCTRLR}!sample\_\discretionary {-}{}{}clk@{sample\_\discretionary {-}{}{}clk}|hyperpage}{76} +\indexentry{sample\_\discretionary {-}{}{}clk@{sample\_\discretionary {-}{}{}clk}!FilterCTRLR@{FilterCTRLR}|hyperpage}{76} +\indexentry{FilterCTRLR@{FilterCTRLR}!sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}|hyperpage}{76} +\indexentry{sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}!FilterCTRLR@{FilterCTRLR}|hyperpage}{76} +\indexentry{FilterCTRLR@{FilterCTRLR}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{76} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!FilterCTRLR@{FilterCTRLR}|hyperpage}{76} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{76} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}!amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{77} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!FRAME_CLK_GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{77} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}!clk@{clk}|hyperpage}{77} +\indexentry{clk@{clk}!FRAME_CLK_GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{77} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}!FRAME\_\discretionary {-}{}{}CLK@{FRAME\_\discretionary {-}{}{}CLK}|hyperpage}{77} +\indexentry{FRAME\_\discretionary {-}{}{}CLK@{FRAME\_\discretionary {-}{}{}CLK}!FRAME_CLK_GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{77} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}!IEEE@{IEEE}|hyperpage}{77} +\indexentry{IEEE@{IEEE}!FRAME_CLK_GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{77} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}!lpp@{lpp}|hyperpage}{77} +\indexentry{lpp@{lpp}!FRAME_CLK_GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{77} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}!NUMERIC\_\discretionary {-}{}{}STD@{NUMERIC\_\discretionary {-}{}{}STD}|hyperpage}{77} +\indexentry{NUMERIC\_\discretionary {-}{}{}STD@{NUMERIC\_\discretionary {-}{}{}STD}!FRAME_CLK_GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{77} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}!OSC\_\discretionary {-}{}{}freqKHz@{OSC\_\discretionary {-}{}{}freqKHz}|hyperpage}{77} +\indexentry{OSC\_\discretionary {-}{}{}freqKHz@{OSC\_\discretionary {-}{}{}freqKHz}!FRAME_CLK_GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{77} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}!reset@{reset}|hyperpage}{77} +\indexentry{reset@{reset}!FRAME_CLK_GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{77} +\indexentry{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}!STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}|hyperpage}{78} +\indexentry{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}!FRAME_CLK_GEN@{FRAME\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GEN}|hyperpage}{78} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{78} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!Adder@{Adder}|hyperpage}{79} +\indexentry{Adder@{Adder}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!ADDRcntr@{ADDRcntr}|hyperpage}{79} +\indexentry{ADDRcntr@{ADDRcntr}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!ALU@{ALU}|hyperpage}{79} +\indexentry{ALU@{ALU}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!ieee@{ieee}|hyperpage}{79} +\indexentry{ieee@{ieee}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MAC@{MAC}|hyperpage}{79} +\indexentry{MAC@{MAC}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{79} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{79} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{79} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{79} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!Multiplier@{Multiplier}|hyperpage}{79} +\indexentry{Multiplier@{Multiplier}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MUX2@{MUX2}|hyperpage}{79} +\indexentry{MUX2@{MUX2}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!REG@{REG}|hyperpage}{79} +\indexentry{REG@{REG}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!RShifter@{RShifter}|hyperpage}{79} +\indexentry{RShifter@{RShifter}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{79} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{80} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!general_purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{80} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{80} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!clk@{clk}|hyperpage}{81} +\indexentry{clk@{clk}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{81} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!coefs@{coefs}|hyperpage}{81} +\indexentry{coefs@{coefs}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{81} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!FILTERcfg@{FILTERcfg}|hyperpage}{81} +\indexentry{FILTERcfg@{FILTERcfg}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{81} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{81} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{81} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!IEEE@{IEEE}|hyperpage}{81} +\indexentry{IEEE@{IEEE}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{81} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{81} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{81} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!lpp@{lpp}|hyperpage}{81} +\indexentry{lpp@{lpp}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{81} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{81} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{81} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!reset@{reset}|hyperpage}{81} +\indexentry{reset@{reset}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{81} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!sample\_\discretionary {-}{}{}clk@{sample\_\discretionary {-}{}{}clk}|hyperpage}{82} +\indexentry{sample\_\discretionary {-}{}{}clk@{sample\_\discretionary {-}{}{}clk}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{82} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}|hyperpage}{82} +\indexentry{sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{82} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!sample\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}out}|hyperpage}{82} +\indexentry{sample\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}out}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{82} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!Sample\_\discretionary {-}{}{}SZ@{Sample\_\discretionary {-}{}{}SZ}|hyperpage}{82} +\indexentry{Sample\_\discretionary {-}{}{}SZ@{Sample\_\discretionary {-}{}{}SZ}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{82} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{82} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{82} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!virg\_\discretionary {-}{}{}pos@{virg\_\discretionary {-}{}{}pos}|hyperpage}{82} +\indexentry{virg\_\discretionary {-}{}{}pos@{virg\_\discretionary {-}{}{}pos}!IIR_CEL_CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{82} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{82} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!clk@{clk}|hyperpage}{84} +\indexentry{clk@{clk}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!FILTERcfg@{FILTERcfg}|hyperpage}{84} +\indexentry{FILTERcfg@{FILTERcfg}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{84} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!IEEE@{IEEE}|hyperpage}{84} +\indexentry{IEEE@{IEEE}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{84} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!lpp@{lpp}|hyperpage}{84} +\indexentry{lpp@{lpp}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{84} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!regs\_\discretionary {-}{}{}in@{regs\_\discretionary {-}{}{}in}|hyperpage}{84} +\indexentry{regs\_\discretionary {-}{}{}in@{regs\_\discretionary {-}{}{}in}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!regs\_\discretionary {-}{}{}out@{regs\_\discretionary {-}{}{}out}|hyperpage}{84} +\indexentry{regs\_\discretionary {-}{}{}out@{regs\_\discretionary {-}{}{}out}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!reset@{reset}|hyperpage}{84} +\indexentry{reset@{reset}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!sample\_\discretionary {-}{}{}clk@{sample\_\discretionary {-}{}{}clk}|hyperpage}{84} +\indexentry{sample\_\discretionary {-}{}{}clk@{sample\_\discretionary {-}{}{}clk}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{84} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}|hyperpage}{85} +\indexentry{sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{85} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!sample\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}out}|hyperpage}{85} +\indexentry{sample\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}out}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{85} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!Sample\_\discretionary {-}{}{}SZ@{Sample\_\discretionary {-}{}{}SZ}|hyperpage}{85} +\indexentry{Sample\_\discretionary {-}{}{}SZ@{Sample\_\discretionary {-}{}{}SZ}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{85} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{85} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!IIR_CEL_FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{85} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{85} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!amba@{amba}|hyperpage}{87} +\indexentry{amba@{amba}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}|hyperpage}{87} +\indexentry{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL@{APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!devices@{devices}|hyperpage}{87} +\indexentry{devices@{devices}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!FILTER@{FILTER}|hyperpage}{87} +\indexentry{FILTER@{FILTER}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}|hyperpage}{87} +\indexentry{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR@{FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!FILTERcfg@{FILTERcfg}|hyperpage}{87} +\indexentry{FILTERcfg@{FILTERcfg}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!FilterCTRLR@{FilterCTRLR}|hyperpage}{87} +\indexentry{FilterCTRLR@{FilterCTRLR}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!grlib@{grlib}|hyperpage}{87} +\indexentry{grlib@{grlib}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!ieee@{ieee}|hyperpage}{87} +\indexentry{ieee@{ieee}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}|hyperpage}{87} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}|hyperpage}{87} +\indexentry{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER@{IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!lpp@{lpp}|hyperpage}{87} +\indexentry{lpp@{lpp}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!RAM@{RAM}|hyperpage}{87} +\indexentry{RAM@{RAM}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{87} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{87} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{87} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!stdlib@{stdlib}|hyperpage}{87} +\indexentry{stdlib@{stdlib}!iir_filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{87} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{88} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!LCD\_\discretionary {-}{}{}RW@{LCD\_\discretionary {-}{}{}RW}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}RW@{LCD\_\discretionary {-}{}{}RW}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!LCD\_\discretionary {-}{}{}RS@{LCD\_\discretionary {-}{}{}RS}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}RS@{LCD\_\discretionary {-}{}{}RS}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!LCD\_\discretionary {-}{}{}E@{LCD\_\discretionary {-}{}{}E}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}E@{LCD\_\discretionary {-}{}{}E}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!LCD\_\discretionary {-}{}{}DATA@{LCD\_\discretionary {-}{}{}DATA}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}DATA@{LCD\_\discretionary {-}{}{}DATA}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!DRVR\_\discretionary {-}{}{}READY@{DRVR\_\discretionary {-}{}{}READY}|hyperpage}{90} +\indexentry{DRVR\_\discretionary {-}{}{}READY@{DRVR\_\discretionary {-}{}{}READY}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!LCD\_\discretionary {-}{}{}INITIALISED@{LCD\_\discretionary {-}{}{}INITIALISED}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}INITIALISED@{LCD\_\discretionary {-}{}{}INITIALISED}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!Word@{Word}|hyperpage}{90} +\indexentry{Word@{Word}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!CMD\_\discretionary {-}{}{}Data@{CMD\_\discretionary {-}{}{}Data}|hyperpage}{90} +\indexentry{CMD\_\discretionary {-}{}{}Data@{CMD\_\discretionary {-}{}{}Data}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!Exec@{Exec}|hyperpage}{90} +\indexentry{Exec@{Exec}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!Duration@{Duration}|hyperpage}{90} +\indexentry{Duration@{Duration}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{90} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!ClearDSPLY@{ClearDSPLY}|hyperpage}{90} +\indexentry{ClearDSPLY@{ClearDSPLY}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!CursorOFF@{CursorOFF}|hyperpage}{90} +\indexentry{CursorOFF@{CursorOFF}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!CursorON@{CursorON}|hyperpage}{90} +\indexentry{CursorON@{CursorON}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!DSPL\_\discretionary {-}{}{}CTRL@{DSPL\_\discretionary {-}{}{}CTRL}|hyperpage}{90} +\indexentry{DSPL\_\discretionary {-}{}{}CTRL@{DSPL\_\discretionary {-}{}{}CTRL}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!Duration\_\discretionary {-}{}{}100us@{Duration\_\discretionary {-}{}{}100us}|hyperpage}{90} +\indexentry{Duration\_\discretionary {-}{}{}100us@{Duration\_\discretionary {-}{}{}100us}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!Duration\_\discretionary {-}{}{}20ms@{Duration\_\discretionary {-}{}{}20ms}|hyperpage}{90} +\indexentry{Duration\_\discretionary {-}{}{}20ms@{Duration\_\discretionary {-}{}{}20ms}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!Duration\_\discretionary {-}{}{}4ms@{Duration\_\discretionary {-}{}{}4ms}|hyperpage}{90} +\indexentry{Duration\_\discretionary {-}{}{}4ms@{Duration\_\discretionary {-}{}{}4ms}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!Duration\_\discretionary {-}{}{}4us@{Duration\_\discretionary {-}{}{}4us}|hyperpage}{90} +\indexentry{Duration\_\discretionary {-}{}{}4us@{Duration\_\discretionary {-}{}{}4us}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!FunctionSet@{FunctionSet}|hyperpage}{90} +\indexentry{FunctionSet@{FunctionSet}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!IEEE@{IEEE}|hyperpage}{90} +\indexentry{IEEE@{IEEE}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!LCD\_\discretionary {-}{}{}CFG\_\discretionary {-}{}{}Tbl@{LCD\_\discretionary {-}{}{}CFG\_\discretionary {-}{}{}Tbl}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}CFG\_\discretionary {-}{}{}Tbl@{LCD\_\discretionary {-}{}{}CFG\_\discretionary {-}{}{}Tbl}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}CMD\_\discretionary {-}{}{}BUSS@{LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}CMD\_\discretionary {-}{}{}BUSS}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}CMD\_\discretionary {-}{}{}BUSS@{LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}CMD\_\discretionary {-}{}{}BUSS}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}CTRL\_\discretionary {-}{}{}BUSS@{LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}CTRL\_\discretionary {-}{}{}BUSS}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}CTRL\_\discretionary {-}{}{}BUSS@{LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}CTRL\_\discretionary {-}{}{}BUSS}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}SYNCH\_\discretionary {-}{}{}BUSS@{LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}SYNCH\_\discretionary {-}{}{}BUSS}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}SYNCH\_\discretionary {-}{}{}BUSS@{LCD\_\discretionary {-}{}{}DRVR\_\discretionary {-}{}{}SYNCH\_\discretionary {-}{}{}BUSS}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!lpp@{lpp}|hyperpage}{90} +\indexentry{lpp@{lpp}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!RetHome@{RetHome}|hyperpage}{90} +\indexentry{RetHome@{RetHome}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!SetEntryMode@{SetEntryMode}|hyperpage}{90} +\indexentry{SetEntryMode@{SetEntryMode}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}|hyperpage}{90} +\indexentry{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}!LCD_16x2_CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{90} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{91} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{92} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!clk@{clk}|hyperpage}{92} +\indexentry{clk@{clk}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!CMD@{CMD}|hyperpage}{92} +\indexentry{CMD@{CMD}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!DATA@{DATA}|hyperpage}{92} +\indexentry{DATA@{DATA}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!Exec@{Exec}|hyperpage}{92} +\indexentry{Exec@{Exec}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!IEEE@{IEEE}|hyperpage}{92} +\indexentry{IEEE@{IEEE}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!LCD\_\discretionary {-}{}{}CTRL@{LCD\_\discretionary {-}{}{}CTRL}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}CTRL@{LCD\_\discretionary {-}{}{}CTRL}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!lpp@{lpp}|hyperpage}{92} +\indexentry{lpp@{lpp}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{92} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!NUMERIC\_\discretionary {-}{}{}STD@{NUMERIC\_\discretionary {-}{}{}STD}|hyperpage}{93} +\indexentry{NUMERIC\_\discretionary {-}{}{}STD@{NUMERIC\_\discretionary {-}{}{}STD}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{93} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!OSC\_\discretionary {-}{}{}freqKHz@{OSC\_\discretionary {-}{}{}freqKHz}|hyperpage}{93} +\indexentry{OSC\_\discretionary {-}{}{}freqKHz@{OSC\_\discretionary {-}{}{}freqKHz}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{93} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!Ready@{Ready}|hyperpage}{93} +\indexentry{Ready@{Ready}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{93} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!reset@{reset}|hyperpage}{93} +\indexentry{reset@{reset}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{93} +\indexentry{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}!STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}|hyperpage}{93} +\indexentry{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}!LCD_16x2_ENGINE@{LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE}|hyperpage}{93} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{93} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{95} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!clk@{clk}|hyperpage}{95} +\indexentry{clk@{clk}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!FramBUFF@{FramBUFF}|hyperpage}{95} +\indexentry{FramBUFF@{FramBUFF}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!IEEE@{IEEE}|hyperpage}{95} +\indexentry{IEEE@{IEEE}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}CS1@{LCD\_\discretionary {-}{}{}CS1}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}CS1@{LCD\_\discretionary {-}{}{}CS1}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}CS2@{LCD\_\discretionary {-}{}{}CS2}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}CS2@{LCD\_\discretionary {-}{}{}CS2}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}data@{LCD\_\discretionary {-}{}{}data}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}data@{LCD\_\discretionary {-}{}{}data}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}E@{LCD\_\discretionary {-}{}{}E}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}E@{LCD\_\discretionary {-}{}{}E}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}RET@{LCD\_\discretionary {-}{}{}RET}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}RET@{LCD\_\discretionary {-}{}{}RET}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}RS@{LCD\_\discretionary {-}{}{}RS}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}RS@{LCD\_\discretionary {-}{}{}RS}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!LCD\_\discretionary {-}{}{}RW@{LCD\_\discretionary {-}{}{}RW}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}RW@{LCD\_\discretionary {-}{}{}RW}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!lpp@{lpp}|hyperpage}{95} +\indexentry{lpp@{lpp}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!NUMERIC\_\discretionary {-}{}{}STD@{NUMERIC\_\discretionary {-}{}{}STD}|hyperpage}{95} +\indexentry{NUMERIC\_\discretionary {-}{}{}STD@{NUMERIC\_\discretionary {-}{}{}STD}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!OSC\_\discretionary {-}{}{}Freq\_\discretionary {-}{}{}MHz@{OSC\_\discretionary {-}{}{}Freq\_\discretionary {-}{}{}MHz}|hyperpage}{95} +\indexentry{OSC\_\discretionary {-}{}{}Freq\_\discretionary {-}{}{}MHz@{OSC\_\discretionary {-}{}{}Freq\_\discretionary {-}{}{}MHz}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!Refresh\_\discretionary {-}{}{}RateHz@{Refresh\_\discretionary {-}{}{}RateHz}|hyperpage}{95} +\indexentry{Refresh\_\discretionary {-}{}{}RateHz@{Refresh\_\discretionary {-}{}{}RateHz}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!refreshPulse@{refreshPulse}|hyperpage}{95} +\indexentry{refreshPulse@{refreshPulse}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!reset@{reset}|hyperpage}{95} +\indexentry{reset@{reset}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!STATEOUT@{STATEOUT}|hyperpage}{95} +\indexentry{STATEOUT@{STATEOUT}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}!STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}|hyperpage}{95} +\indexentry{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}!LCD_2x16_DRIVER@{LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{95} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}|hyperpage}{97} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr}!LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{97} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!clk@{clk}|hyperpage}{97} +\indexentry{clk@{clk}!LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{97} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!clk\_\discretionary {-}{}{}1us@{clk\_\discretionary {-}{}{}1us}|hyperpage}{97} +\indexentry{clk\_\discretionary {-}{}{}1us@{clk\_\discretionary {-}{}{}1us}!LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{97} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!IEEE@{IEEE}|hyperpage}{97} +\indexentry{IEEE@{IEEE}!LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{97} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!lpp@{lpp}|hyperpage}{97} +\indexentry{lpp@{lpp}!LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{97} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!NUMERIC\_\discretionary {-}{}{}STD@{NUMERIC\_\discretionary {-}{}{}STD}|hyperpage}{97} +\indexentry{NUMERIC\_\discretionary {-}{}{}STD@{NUMERIC\_\discretionary {-}{}{}STD}!LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{97} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!OSC\_\discretionary {-}{}{}freqKHz@{OSC\_\discretionary {-}{}{}freqKHz}|hyperpage}{97} +\indexentry{OSC\_\discretionary {-}{}{}freqKHz@{OSC\_\discretionary {-}{}{}freqKHz}!LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{97} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!reset@{reset}|hyperpage}{97} +\indexentry{reset@{reset}!LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{97} +\indexentry{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}!STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}|hyperpage}{97} +\indexentry{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164@{STD\_\discretionary {-}{}{}LOGIC\_\discretionary {-}{}{}1164}!LCD_CLK_GENERATOR@{LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR}|hyperpage}{97} +\indexentry{MAC@{MAC}|hyperpage}{97} +\indexentry{MAC@{MAC}!clk@{clk}|hyperpage}{98} +\indexentry{clk@{clk}!MAC@{MAC}|hyperpage}{98} +\indexentry{MAC@{MAC}!clr\_\discretionary {-}{}{}MAC@{clr\_\discretionary {-}{}{}MAC}|hyperpage}{98} +\indexentry{clr\_\discretionary {-}{}{}MAC@{clr\_\discretionary {-}{}{}MAC}!MAC@{MAC}|hyperpage}{98} +\indexentry{MAC@{MAC}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{98} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MAC@{MAC}|hyperpage}{98} +\indexentry{MAC@{MAC}!IEEE@{IEEE}|hyperpage}{98} +\indexentry{IEEE@{IEEE}!MAC@{MAC}|hyperpage}{98} +\indexentry{MAC@{MAC}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}|hyperpage}{99} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}!MAC@{MAC}|hyperpage}{99} +\indexentry{MAC@{MAC}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}|hyperpage}{99} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}!MAC@{MAC}|hyperpage}{99} +\indexentry{MAC@{MAC}!lpp@{lpp}|hyperpage}{99} +\indexentry{lpp@{lpp}!MAC@{MAC}|hyperpage}{99} +\indexentry{MAC@{MAC}!MAC\_\discretionary {-}{}{}MUL\_\discretionary {-}{}{}ADD@{MAC\_\discretionary {-}{}{}MUL\_\discretionary {-}{}{}ADD}|hyperpage}{99} +\indexentry{MAC\_\discretionary {-}{}{}MUL\_\discretionary {-}{}{}ADD@{MAC\_\discretionary {-}{}{}MUL\_\discretionary {-}{}{}ADD}!MAC@{MAC}|hyperpage}{99} +\indexentry{MAC@{MAC}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{99} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!MAC@{MAC}|hyperpage}{99} +\indexentry{MAC@{MAC}!OP1@{OP1}|hyperpage}{99} +\indexentry{OP1@{OP1}!MAC@{MAC}|hyperpage}{99} +\indexentry{MAC@{MAC}!OP2@{OP2}|hyperpage}{99} +\indexentry{OP2@{OP2}!MAC@{MAC}|hyperpage}{99} +\indexentry{MAC@{MAC}!RES@{RES}|hyperpage}{99} +\indexentry{RES@{RES}!MAC@{MAC}|hyperpage}{99} +\indexentry{MAC@{MAC}!reset@{reset}|hyperpage}{99} +\indexentry{reset@{reset}!MAC@{MAC}|hyperpage}{99} +\indexentry{MAC@{MAC}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{99} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!MAC@{MAC}|hyperpage}{99} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{100} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!ADD@{ADD}|hyperpage}{101} +\indexentry{ADD@{ADD}!MAC_CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!ctrl@{ctrl}|hyperpage}{101} +\indexentry{ctrl@{ctrl}!MAC_CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{101} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MAC_CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!IEEE@{IEEE}|hyperpage}{101} +\indexentry{IEEE@{IEEE}!MAC_CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!lpp@{lpp}|hyperpage}{101} +\indexentry{lpp@{lpp}!MAC_CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!MACMUX2\_\discretionary {-}{}{}sel@{MACMUX2\_\discretionary {-}{}{}sel}|hyperpage}{101} +\indexentry{MACMUX2\_\discretionary {-}{}{}sel@{MACMUX2\_\discretionary {-}{}{}sel}!MAC_CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!MACMUX\_\discretionary {-}{}{}sel@{MACMUX\_\discretionary {-}{}{}sel}|hyperpage}{101} +\indexentry{MACMUX\_\discretionary {-}{}{}sel@{MACMUX\_\discretionary {-}{}{}sel}!MAC_CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!MULT@{MULT}|hyperpage}{101} +\indexentry{MULT@{MULT}!MAC_CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{101} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!MAC_CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{101} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!MAC_CONTROLER@{MAC\_\discretionary {-}{}{}CONTROLER}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{101} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{103} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!IEEE@{IEEE}|hyperpage}{103} +\indexentry{IEEE@{IEEE}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!INA1@{INA1}|hyperpage}{103} +\indexentry{INA1@{INA1}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!INA2@{INA2}|hyperpage}{103} +\indexentry{INA2@{INA2}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!INB1@{INB1}|hyperpage}{103} +\indexentry{INB1@{INB1}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!INB2@{INB2}|hyperpage}{103} +\indexentry{INB2@{INB2}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}|hyperpage}{103} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}|hyperpage}{103} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!lpp@{lpp}|hyperpage}{103} +\indexentry{lpp@{lpp}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{103} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!OUTA@{OUTA}|hyperpage}{103} +\indexentry{OUTA@{OUTA}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!OUTB@{OUTB}|hyperpage}{103} +\indexentry{OUTB@{OUTB}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!sel@{sel}|hyperpage}{103} +\indexentry{sel@{sel}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX@{MAC\_\discretionary {-}{}{}MUX}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{103} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!MAC_MUX@{MAC\_\discretionary {-}{}{}MUX}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{103} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{105} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MAC_MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{105} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!IEEE@{IEEE}|hyperpage}{105} +\indexentry{IEEE@{IEEE}!MAC_MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{105} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!Input\_\discretionary {-}{}{}SZ@{Input\_\discretionary {-}{}{}SZ}|hyperpage}{105} +\indexentry{Input\_\discretionary {-}{}{}SZ@{Input\_\discretionary {-}{}{}SZ}!MAC_MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{105} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!lpp@{lpp}|hyperpage}{105} +\indexentry{lpp@{lpp}!MAC_MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{105} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{105} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!MAC_MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{105} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!RES@{RES}|hyperpage}{105} +\indexentry{RES@{RES}!MAC_MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{105} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!RES1@{RES1}|hyperpage}{105} +\indexentry{RES1@{RES1}!MAC_MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{105} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!RES2@{RES2}|hyperpage}{105} +\indexentry{RES2@{RES2}!MAC_MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{105} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!sel@{sel}|hyperpage}{105} +\indexentry{sel@{sel}!MAC_MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{105} +\indexentry{MAC\_\discretionary {-}{}{}MUX2@{MAC\_\discretionary {-}{}{}MUX2}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{105} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!MAC_MUX2@{MAC\_\discretionary {-}{}{}MUX2}|hyperpage}{105} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{106} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!clk@{clk}|hyperpage}{107} +\indexentry{clk@{clk}!MAC_REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{107} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!D@{D}|hyperpage}{107} +\indexentry{D@{D}!MAC_REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{107} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{107} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MAC_REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{107} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!IEEE@{IEEE}|hyperpage}{107} +\indexentry{IEEE@{IEEE}!MAC_REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{107} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!lpp@{lpp}|hyperpage}{107} +\indexentry{lpp@{lpp}!MAC_REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{107} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{107} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!MAC_REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{107} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!Q@{Q}|hyperpage}{107} +\indexentry{Q@{Q}!MAC_REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{107} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!reset@{reset}|hyperpage}{107} +\indexentry{reset@{reset}!MAC_REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{107} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!size@{size}|hyperpage}{107} +\indexentry{size@{size}!MAC_REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{107} +\indexentry{MAC\_\discretionary {-}{}{}REG@{MAC\_\discretionary {-}{}{}REG}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{107} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!MAC_REG@{MAC\_\discretionary {-}{}{}REG}|hyperpage}{107} +\indexentry{Multiplier@{Multiplier}|hyperpage}{107} +\indexentry{Multiplier@{Multiplier}!clk@{clk}|hyperpage}{109} +\indexentry{clk@{clk}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{109} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!IEEE@{IEEE}|hyperpage}{109} +\indexentry{IEEE@{IEEE}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}|hyperpage}{109} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}A}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}|hyperpage}{109} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}B}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!lpp@{lpp}|hyperpage}{109} +\indexentry{lpp@{lpp}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!mult@{mult}|hyperpage}{109} +\indexentry{mult@{mult}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{109} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!OP1@{OP1}|hyperpage}{109} +\indexentry{OP1@{OP1}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!OP2@{OP2}|hyperpage}{109} +\indexentry{OP2@{OP2}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!RES@{RES}|hyperpage}{109} +\indexentry{RES@{RES}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!reset@{reset}|hyperpage}{109} +\indexentry{reset@{reset}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{Multiplier@{Multiplier}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{109} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!Multiplier@{Multiplier}|hyperpage}{109} +\indexentry{MUX2@{MUX2}|hyperpage}{109} +\indexentry{MUX2@{MUX2}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{111} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!MUX2@{MUX2}|hyperpage}{111} +\indexentry{MUX2@{MUX2}!IEEE@{IEEE}|hyperpage}{111} +\indexentry{IEEE@{IEEE}!MUX2@{MUX2}|hyperpage}{111} +\indexentry{MUX2@{MUX2}!IN1@{IN1}|hyperpage}{111} +\indexentry{IN1@{IN1}!MUX2@{MUX2}|hyperpage}{111} +\indexentry{MUX2@{MUX2}!IN2@{IN2}|hyperpage}{111} +\indexentry{IN2@{IN2}!MUX2@{MUX2}|hyperpage}{111} +\indexentry{MUX2@{MUX2}!Input\_\discretionary {-}{}{}SZ@{Input\_\discretionary {-}{}{}SZ}|hyperpage}{111} +\indexentry{Input\_\discretionary {-}{}{}SZ@{Input\_\discretionary {-}{}{}SZ}!MUX2@{MUX2}|hyperpage}{111} +\indexentry{MUX2@{MUX2}!lpp@{lpp}|hyperpage}{111} +\indexentry{lpp@{lpp}!MUX2@{MUX2}|hyperpage}{111} +\indexentry{MUX2@{MUX2}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{111} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!MUX2@{MUX2}|hyperpage}{111} +\indexentry{MUX2@{MUX2}!RES@{RES}|hyperpage}{111} +\indexentry{RES@{RES}!MUX2@{MUX2}|hyperpage}{111} +\indexentry{MUX2@{MUX2}!sel@{sel}|hyperpage}{111} +\indexentry{sel@{sel}!MUX2@{MUX2}|hyperpage}{111} +\indexentry{MUX2@{MUX2}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{111} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!MUX2@{MUX2}|hyperpage}{111} +\indexentry{RAM@{RAM}|hyperpage}{111} +\indexentry{RAM@{RAM}!ieee@{ieee}|hyperpage}{112} +\indexentry{ieee@{ieee}!RAM@{RAM}|hyperpage}{112} +\indexentry{RAM@{RAM}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{112} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!RAM@{RAM}|hyperpage}{112} +\indexentry{RAM@{RAM}!RADDR@{RADDR}|hyperpage}{112} +\indexentry{RADDR@{RADDR}!RAM@{RAM}|hyperpage}{112} +\indexentry{RAM@{RAM}!RD@{RD}|hyperpage}{112} +\indexentry{RD@{RD}!RAM@{RAM}|hyperpage}{112} +\indexentry{RAM@{RAM}!REN@{REN}|hyperpage}{112} +\indexentry{REN@{REN}!RAM@{RAM}|hyperpage}{112} +\indexentry{RAM@{RAM}!RESET@{RESET}|hyperpage}{112} +\indexentry{RESET@{RESET}!RAM@{RAM}|hyperpage}{112} +\indexentry{RAM@{RAM}!RWCLK@{RWCLK}|hyperpage}{112} +\indexentry{RWCLK@{RWCLK}!RAM@{RAM}|hyperpage}{112} +\indexentry{RAM@{RAM}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{112} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!RAM@{RAM}|hyperpage}{112} +\indexentry{RAM@{RAM}!WADDR@{WADDR}|hyperpage}{112} +\indexentry{WADDR@{WADDR}!RAM@{RAM}|hyperpage}{112} +\indexentry{RAM@{RAM}!WD@{WD}|hyperpage}{113} +\indexentry{WD@{WD}!RAM@{RAM}|hyperpage}{113} +\indexentry{RAM@{RAM}!WEN@{WEN}|hyperpage}{113} +\indexentry{WEN@{WEN}!RAM@{RAM}|hyperpage}{113} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{113} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!ieee@{ieee}|hyperpage}{114} +\indexentry{ieee@{ieee}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{114} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!RADDR@{RADDR}|hyperpage}{114} +\indexentry{RADDR@{RADDR}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!RD@{RD}|hyperpage}{114} +\indexentry{RD@{RD}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!REN@{REN}|hyperpage}{114} +\indexentry{REN@{REN}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!RESET@{RESET}|hyperpage}{114} +\indexentry{RESET@{RESET}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!RWCLK@{RWCLK}|hyperpage}{114} +\indexentry{RWCLK@{RWCLK}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{114} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!WADDR@{WADDR}|hyperpage}{114} +\indexentry{WADDR@{WADDR}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!WD@{WD}|hyperpage}{114} +\indexentry{WD@{WD}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CEL@{RAM\_\discretionary {-}{}{}CEL}!WEN@{WEN}|hyperpage}{114} +\indexentry{WEN@{WEN}!RAM_CEL@{RAM\_\discretionary {-}{}{}CEL}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{114} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!clk@{clk}|hyperpage}{116} +\indexentry{clk@{clk}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!count@{count}|hyperpage}{116} +\indexentry{count@{count}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!FILTERcfg@{FILTERcfg}|hyperpage}{116} +\indexentry{FILTERcfg@{FILTERcfg}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{116} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!GO\_\discretionary {-}{}{}0@{GO\_\discretionary {-}{}{}0}|hyperpage}{116} +\indexentry{GO\_\discretionary {-}{}{}0@{GO\_\discretionary {-}{}{}0}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!IEEE@{IEEE}|hyperpage}{116} +\indexentry{IEEE@{IEEE}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}|hyperpage}{116} +\indexentry{iir\_\discretionary {-}{}{}filter@{iir\_\discretionary {-}{}{}filter}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}1@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}1}|hyperpage}{116} +\indexentry{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}1@{Input\_\discretionary {-}{}{}SZ\_\discretionary {-}{}{}1}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!lpp@{lpp}|hyperpage}{116} +\indexentry{lpp@{lpp}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{116} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!Read@{Read}|hyperpage}{116} +\indexentry{Read@{Read}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{116} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!reset@{reset}|hyperpage}{117} +\indexentry{reset@{reset}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{117} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}|hyperpage}{117} +\indexentry{sample\_\discretionary {-}{}{}in@{sample\_\discretionary {-}{}{}in}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{117} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!sample\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}out}|hyperpage}{117} +\indexentry{sample\_\discretionary {-}{}{}out@{sample\_\discretionary {-}{}{}out}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{117} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{117} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{117} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!SVG\_\discretionary {-}{}{}ADDR@{SVG\_\discretionary {-}{}{}ADDR}|hyperpage}{117} +\indexentry{SVG\_\discretionary {-}{}{}ADDR@{SVG\_\discretionary {-}{}{}ADDR}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{117} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!WADDR\_\discretionary {-}{}{}sel@{WADDR\_\discretionary {-}{}{}sel}|hyperpage}{117} +\indexentry{WADDR\_\discretionary {-}{}{}sel@{WADDR\_\discretionary {-}{}{}sel}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{117} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!WD\_\discretionary {-}{}{}sel@{WD\_\discretionary {-}{}{}sel}|hyperpage}{117} +\indexentry{WD\_\discretionary {-}{}{}sel@{WD\_\discretionary {-}{}{}sel}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{117} +\indexentry{RAM\_\discretionary {-}{}{}CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}!Write@{Write}|hyperpage}{117} +\indexentry{Write@{Write}!RAM_CTRLR2@{RAM\_\discretionary {-}{}{}CTRLR2}|hyperpage}{117} +\indexentry{REG@{REG}|hyperpage}{117} +\indexentry{REG@{REG}!clk@{clk}|hyperpage}{118} +\indexentry{clk@{clk}!REG@{REG}|hyperpage}{118} +\indexentry{REG@{REG}!D@{D}|hyperpage}{118} +\indexentry{D@{D}!REG@{REG}|hyperpage}{118} +\indexentry{REG@{REG}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{118} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!REG@{REG}|hyperpage}{118} +\indexentry{REG@{REG}!IEEE@{IEEE}|hyperpage}{118} +\indexentry{IEEE@{IEEE}!REG@{REG}|hyperpage}{118} +\indexentry{REG@{REG}!initial\_\discretionary {-}{}{}VALUE@{initial\_\discretionary {-}{}{}VALUE}|hyperpage}{118} +\indexentry{initial\_\discretionary {-}{}{}VALUE@{initial\_\discretionary {-}{}{}VALUE}!REG@{REG}|hyperpage}{118} +\indexentry{REG@{REG}!lpp@{lpp}|hyperpage}{118} +\indexentry{lpp@{lpp}!REG@{REG}|hyperpage}{118} +\indexentry{REG@{REG}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{118} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!REG@{REG}|hyperpage}{118} +\indexentry{REG@{REG}!Q@{Q}|hyperpage}{119} +\indexentry{Q@{Q}!REG@{REG}|hyperpage}{119} +\indexentry{REG@{REG}!reset@{reset}|hyperpage}{119} +\indexentry{reset@{reset}!REG@{REG}|hyperpage}{119} +\indexentry{REG@{REG}!size@{size}|hyperpage}{119} +\indexentry{size@{size}!REG@{REG}|hyperpage}{119} +\indexentry{REG@{REG}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{119} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!REG@{REG}|hyperpage}{119} +\indexentry{RShifter@{RShifter}|hyperpage}{119} +\indexentry{RShifter@{RShifter}!clk@{clk}|hyperpage}{120} +\indexentry{clk@{clk}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!cnt@{cnt}|hyperpage}{120} +\indexentry{cnt@{cnt}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}|hyperpage}{120} +\indexentry{general\_\discretionary {-}{}{}purpose@{general\_\discretionary {-}{}{}purpose}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!IEEE@{IEEE}|hyperpage}{120} +\indexentry{IEEE@{IEEE}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!Input\_\discretionary {-}{}{}SZ@{Input\_\discretionary {-}{}{}SZ}|hyperpage}{120} +\indexentry{Input\_\discretionary {-}{}{}SZ@{Input\_\discretionary {-}{}{}SZ}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!lpp@{lpp}|hyperpage}{120} +\indexentry{lpp@{lpp}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{120} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!OP@{OP}|hyperpage}{120} +\indexentry{OP@{OP}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!RES@{RES}|hyperpage}{120} +\indexentry{RES@{RES}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!reset@{reset}|hyperpage}{120} +\indexentry{reset@{reset}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!shift@{shift}|hyperpage}{120} +\indexentry{shift@{shift}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!shift\_\discretionary {-}{}{}SZ@{shift\_\discretionary {-}{}{}SZ}|hyperpage}{120} +\indexentry{shift\_\discretionary {-}{}{}SZ@{shift\_\discretionary {-}{}{}SZ}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{RShifter@{RShifter}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{120} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!RShifter@{RShifter}|hyperpage}{120} +\indexentry{TestbenshALU@{TestbenshALU}|hyperpage}{121} +\indexentry{TestbenshALU@{TestbenshALU}!IEEE@{IEEE}|hyperpage}{121} +\indexentry{IEEE@{IEEE}!TestbenshALU@{TestbenshALU}|hyperpage}{121} +\indexentry{TestbenshALU@{TestbenshALU}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{121} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!TestbenshALU@{TestbenshALU}|hyperpage}{121} +\indexentry{TestbenshALU@{TestbenshALU}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{121} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!TestbenshALU@{TestbenshALU}|hyperpage}{121} +\indexentry{TestbenshMAC@{TestbenshMAC}|hyperpage}{122} +\indexentry{TestbenshMAC@{TestbenshMAC}!IEEE@{IEEE}|hyperpage}{122} +\indexentry{IEEE@{IEEE}!TestbenshMAC@{TestbenshMAC}|hyperpage}{122} +\indexentry{TestbenshMAC@{TestbenshMAC}!numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}|hyperpage}{122} +\indexentry{numeric\_\discretionary {-}{}{}std@{numeric\_\discretionary {-}{}{}std}!TestbenshMAC@{TestbenshMAC}|hyperpage}{122} +\indexentry{TestbenshMAC@{TestbenshMAC}!std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}|hyperpage}{122} +\indexentry{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164@{std\_\discretionary {-}{}{}logic\_\discretionary {-}{}{}1164}!TestbenshMAC@{TestbenshMAC}|hyperpage}{122} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr.vhd@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr.vhd}|hyperpage}{123} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/FRAME\_\discretionary {-}{}{}CLK.vhd@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/FRAME\_\discretionary {-}{}{}CLK.vhd}|hyperpage}{123} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG.vhd@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}CFG.vhd}|hyperpage}{123} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE.vhd@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ENGINE.vhd}|hyperpage}{123} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER.vhd@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}2x16\_\discretionary {-}{}{}DRIVER.vhd}|hyperpage}{124} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR.vhd@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/LCD\_\discretionary {-}{}{}CLK\_\discretionary {-}{}{}GENERATOR.vhd}|hyperpage}{124} +\indexentry{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/Top\_\discretionary {-}{}{}LCD.vhd@{amba\_\discretionary {-}{}{}lcd\_\discretionary {-}{}{}16x2\_\discretionary {-}{}{}ctrlr/Top\_\discretionary {-}{}{}LCD.vhd}|hyperpage}{124} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL.vhd@{dsp/iir\_\discretionary {-}{}{}filter/APB\_\discretionary {-}{}{}IIR\_\discretionary {-}{}{}CEL.vhd}|hyperpage}{124} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/FILTER.vhd@{dsp/iir\_\discretionary {-}{}{}filter/FILTER.vhd}|hyperpage}{124} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR.vhd@{dsp/iir\_\discretionary {-}{}{}filter/FILTER\_\discretionary {-}{}{}RAM\_\discretionary {-}{}{}CTRLR.vhd}|hyperpage}{124} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/FILTERcfg.vhd@{dsp/iir\_\discretionary {-}{}{}filter/FILTERcfg.vhd}|hyperpage}{125} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/FilterCTRLR.vhd@{dsp/iir\_\discretionary {-}{}{}filter/FilterCTRLR.vhd}|hyperpage}{125} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR.vhd@{dsp/iir\_\discretionary {-}{}{}filter/IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}CTRLR.vhd}|hyperpage}{125} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER.vhd@{dsp/iir\_\discretionary {-}{}{}filter/IIR\_\discretionary {-}{}{}CEL\_\discretionary {-}{}{}FILTER.vhd}|hyperpage}{125} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/iir\_\discretionary {-}{}{}filter.vhd@{dsp/iir\_\discretionary {-}{}{}filter/iir\_\discretionary {-}{}{}filter.vhd}|hyperpage}{125} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/RAM.vhd@{dsp/iir\_\discretionary {-}{}{}filter/RAM.vhd}|hyperpage}{125} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/RAM\_\discretionary {-}{}{}CEL.vhd@{dsp/iir\_\discretionary {-}{}{}filter/RAM\_\discretionary {-}{}{}CEL.vhd}|hyperpage}{126} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/RAM\_\discretionary {-}{}{}CTRLR2.vhd@{dsp/iir\_\discretionary {-}{}{}filter/RAM\_\discretionary {-}{}{}CTRLR2.vhd}|hyperpage}{126} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/TestbenshMAC.vhd@{dsp/iir\_\discretionary {-}{}{}filter/TestbenshMAC.vhd}|hyperpage}{126} +\indexentry{dsp/iir\_\discretionary {-}{}{}filter/Top\_\discretionary {-}{}{}Filtre\_\discretionary {-}{}{}IIR.vhd@{dsp/iir\_\discretionary {-}{}{}filter/Top\_\discretionary {-}{}{}Filtre\_\discretionary {-}{}{}IIR.vhd}|hyperpage}{126} +\indexentry{general\_\discretionary {-}{}{}purpose/Adder.vhd@{general\_\discretionary {-}{}{}purpose/Adder.vhd}|hyperpage}{126} +\indexentry{general\_\discretionary {-}{}{}purpose/ADDRcntr.vhd@{general\_\discretionary {-}{}{}purpose/ADDRcntr.vhd}|hyperpage}{126} +\indexentry{general\_\discretionary {-}{}{}purpose/ALU.vhd@{general\_\discretionary {-}{}{}purpose/ALU.vhd}|hyperpage}{126} +\indexentry{general\_\discretionary {-}{}{}purpose/general\_\discretionary {-}{}{}purpose.vhd@{general\_\discretionary {-}{}{}purpose/general\_\discretionary {-}{}{}purpose.vhd}|hyperpage}{127} +\indexentry{general\_\discretionary {-}{}{}purpose/MAC.vhd@{general\_\discretionary {-}{}{}purpose/MAC.vhd}|hyperpage}{127} +\indexentry{general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}CONTROLER.vhd@{general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}CONTROLER.vhd}|hyperpage}{127} +\indexentry{general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}MUX.vhd@{general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}MUX.vhd}|hyperpage}{127} +\indexentry{general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}MUX2.vhd@{general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}MUX2.vhd}|hyperpage}{127} +\indexentry{general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}REG.vhd@{general\_\discretionary {-}{}{}purpose/MAC\_\discretionary {-}{}{}REG.vhd}|hyperpage}{127} +\indexentry{general\_\discretionary {-}{}{}purpose/Multiplier.vhd@{general\_\discretionary {-}{}{}purpose/Multiplier.vhd}|hyperpage}{128} +\indexentry{general\_\discretionary {-}{}{}purpose/MUX2.vhd@{general\_\discretionary {-}{}{}purpose/MUX2.vhd}|hyperpage}{128} +\indexentry{general\_\discretionary {-}{}{}purpose/REG.vhd@{general\_\discretionary {-}{}{}purpose/REG.vhd}|hyperpage}{128} +\indexentry{general\_\discretionary {-}{}{}purpose/Shifter.vhd@{general\_\discretionary {-}{}{}purpose/Shifter.vhd}|hyperpage}{128} +\indexentry{general\_\discretionary {-}{}{}purpose/TestbenshALU.vhd@{general\_\discretionary {-}{}{}purpose/TestbenshALU.vhd}|hyperpage}{128} diff --git a/lib/lpp/doc/latex/refman.out b/lib/lpp/doc/latex/refman.out new file mode 100644 --- /dev/null +++ b/lib/lpp/doc/latex/refman.out @@ -0,0 +1,962 @@ +\BOOKMARK [0][-]{chapter.1}{\376\377\000D\000e\000s\000i\000g\000n\000\040\000U\000n\000i\000t\000\040\000I\000n\000d\000e\000x}{} +\BOOKMARK [1][-]{section.1.1}{\376\377\000D\000e\000s\000i\000g\000n\000\040\000U\000n\000i\000t\000\040\000H\000i\000e\000r\000a\000r\000c\000h\000y}{chapter.1} +\BOOKMARK [0][-]{chapter.2}{\376\377\000D\000e\000s\000i\000g\000n\000\040\000U\000n\000i\000t\000\040\000I\000n\000d\000e\000x}{} +\BOOKMARK [1][-]{section.2.1}{\376\377\000D\000e\000s\000i\000g\000n\000\040\000U\000n\000i\000t\000\040\000L\000i\000s\000t}{chapter.2} +\BOOKMARK [0][-]{chapter.3}{\376\377\000F\000i\000l\000e\000\040\000I\000n\000d\000e\000x}{} +\BOOKMARK [1][-]{section.3.1}{\376\377\000F\000i\000l\000e\000\040\000L\000i\000s\000t}{chapter.3} +\BOOKMARK [0][-]{chapter.4}{\376\377\000C\000l\000a\000s\000s\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{} +\BOOKMARK [1][-]{section.4.1}{\376\377\000A\000d\000d\000e\000r\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.1.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.1}{\376\377\000a\000d\000d}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.2}{\376\377\000c\000l\000k}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.3}{\376\377\000c\000l\000r}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.4}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.5}{\376\377\000I\000E\000E\000E}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.6}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\000A}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.7}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\000B}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.8}{\376\377\000l\000p\000p}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.9}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.10}{\376\377\000O\000P\0001}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.11}{\376\377\000O\000P\0002}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.12}{\376\377\000R\000E\000S}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.13}{\376\377\000r\000e\000s\000e\000t}{subsection.4.1.1} +\BOOKMARK [3][-]{subsubsection.4.1.1.14}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.1.1} +\BOOKMARK [1][-]{section.4.2}{\376\377\000A\000D\000D\000R\000c\000n\000t\000r\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.2.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.2} +\BOOKMARK [3][-]{subsubsection.4.2.1.1}{\376\377\000c\000l\000k}{subsection.4.2.1} +\BOOKMARK [3][-]{subsubsection.4.2.1.2}{\376\377\000c\000l\000r}{subsection.4.2.1} +\BOOKMARK [3][-]{subsubsection.4.2.1.3}{\376\377\000c\000o\000u\000n\000t}{subsection.4.2.1} +\BOOKMARK [3][-]{subsubsection.4.2.1.4}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.2.1} +\BOOKMARK [3][-]{subsubsection.4.2.1.5}{\376\377\000I\000E\000E\000E}{subsection.4.2.1} +\BOOKMARK [3][-]{subsubsection.4.2.1.6}{\376\377\000l\000p\000p}{subsection.4.2.1} +\BOOKMARK [3][-]{subsubsection.4.2.1.7}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.2.1} +\BOOKMARK [3][-]{subsubsection.4.2.1.8}{\376\377\000Q}{subsection.4.2.1} +\BOOKMARK [3][-]{subsubsection.4.2.1.9}{\376\377\000r\000e\000s\000e\000t}{subsection.4.2.1} +\BOOKMARK [3][-]{subsubsection.4.2.1.10}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.2.1} +\BOOKMARK [1][-]{section.4.3}{\376\377\000A\000L\000U\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.3.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.3} +\BOOKMARK [3][-]{subsubsection.4.3.1.1}{\376\377\000A\000r\000i\000t\000h\000\137\000e\000n}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.2}{\376\377\000c\000l\000k}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.3}{\376\377\000c\000t\000r\000l}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.4}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.5}{\376\377\000I\000E\000E\000E}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.6}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\0001}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.7}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\0002}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.8}{\376\377\000L\000o\000g\000i\000c\000\137\000e\000n}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.9}{\376\377\000l\000p\000p}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.10}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.11}{\376\377\000O\000P\0001}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.12}{\376\377\000O\000P\0002}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.13}{\376\377\000R\000E\000S}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.14}{\376\377\000r\000e\000s\000e\000t}{subsection.4.3.1} +\BOOKMARK [3][-]{subsubsection.4.3.1.15}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.3.1} +\BOOKMARK [1][-]{section.4.4}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r\000\040\000P\000a\000c\000k\000a\000g\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.4.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.4} +\BOOKMARK [3][-]{subsubsection.4.4.1.1}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000d\000r\000i\000v\000e\000r}{subsection.4.4.1} +\BOOKMARK [3][-]{subsubsection.4.4.1.2}{\376\377\000A\000M\000B\000A\000\137\000L\000C\000D\000\137\0001\0006\000x\0002\000\137\000D\000R\000I\000V\000E\000R}{subsection.4.4.1} +\BOOKMARK [3][-]{subsubsection.4.4.1.3}{\376\377\000F\000R\000A\000M\000E\000\137\000C\000L\000K\000\137\000G\000E\000N}{subsection.4.4.1} +\BOOKMARK [3][-]{subsubsection.4.4.1.4}{\376\377\000i\000e\000e\000e}{subsection.4.4.1} +\BOOKMARK [3][-]{subsubsection.4.4.1.5}{\376\377\000L\000C\000D\000\137\0001\0006\000x\0002\000\137\000E\000N\000G\000I\000N\000E}{subsection.4.4.1} +\BOOKMARK [3][-]{subsubsection.4.4.1.6}{\376\377\000L\000C\000D\000\137\0002\000x\0001\0006\000\137\000D\000R\000I\000V\000E\000R}{subsection.4.4.1} +\BOOKMARK [3][-]{subsubsection.4.4.1.7}{\376\377\000L\000C\000D\000\137\000C\000L\000K\000\137\000G\000E\000N\000E\000R\000A\000T\000O\000R}{subsection.4.4.1} +\BOOKMARK [3][-]{subsubsection.4.4.1.8}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.4.1} +\BOOKMARK [1][-]{section.4.5}{\376\377\000A\000M\000B\000A\000\137\000L\000C\000D\000\137\0001\0006\000x\0002\000\137\000D\000R\000I\000V\000E\000R\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.5.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.5} +\BOOKMARK [3][-]{subsubsection.4.5.1.1}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.2}{\376\377\000B\000p\0000}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.3}{\376\377\000B\000p\0001}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.4}{\376\377\000B\000p\0002}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.5}{\376\377\000c\000l\000k}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.6}{\376\377\000I\000E\000E\000E}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.7}{\376\377\000L\000C\000D\000\137\0001\0006\000x\0002\000\137\000C\000F\000G}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.8}{\376\377\000L\000C\000D\000\137\000C\000S\0001}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.9}{\376\377\000L\000C\000D\000\137\000C\000S\0002}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.10}{\376\377\000L\000C\000D\000\137\000d\000a\000t\000a}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.11}{\376\377\000L\000C\000D\000\137\000E}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.12}{\376\377\000L\000C\000D\000\137\000R\000E\000T}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.13}{\376\377\000L\000C\000D\000\137\000R\000S}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.14}{\376\377\000L\000C\000D\000\137\000R\000W}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.15}{\376\377\000l\000p\000p}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.16}{\376\377\000r\000e\000s\000e\000t}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.17}{\376\377\000S\000F\000\137\000C\000E\0000}{subsection.4.5.1} +\BOOKMARK [3][-]{subsubsection.4.5.1.18}{\376\377\000S\000T\000D\000\137\000L\000O\000G\000I\000C\000\137\0001\0001\0006\0004}{subsection.4.5.1} +\BOOKMARK [1][-]{section.4.6}{\376\377\000A\000P\000B\000\137\000I\000I\000R\000\137\000C\000E\000L\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.6.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.6} +\BOOKMARK [3][-]{subsubsection.4.6.1.1}{\376\377\000a\000b\000i\000t\000s}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.2}{\376\377\000a\000m\000b\000a}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.3}{\376\377\000a\000p\000b\000i}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.4}{\376\377\000a\000p\000b\000o}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.5}{\376\377\000c\000l\000k}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.6}{\376\377\000d\000e\000v\000i\000c\000e\000s}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.7}{\376\377\000F\000I\000L\000T\000E\000R\000c\000f\000g}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.8}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.9}{\376\377\000g\000r\000l\000i\000b}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.10}{\376\377\000i\000e\000e\000e}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.11}{\376\377\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.12}{\376\377\000l\000p\000p}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.13}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.14}{\376\377\000p\000a\000d\000d\000r}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.15}{\376\377\000p\000i\000n\000d\000e\000x}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.16}{\376\377\000p\000i\000r\000q}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.17}{\376\377\000p\000m\000a\000s\000k}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.18}{\376\377\000r\000s\000t}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.19}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000c\000l\000k}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.20}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000c\000l\000k\000\137\000o\000u\000t}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.21}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000i\000n}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.22}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000o\000u\000t}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.23}{\376\377\000S\000a\000m\000p\000l\000e\000\137\000S\000Z}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.24}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.6.1} +\BOOKMARK [3][-]{subsubsection.4.6.1.25}{\376\377\000s\000t\000d\000l\000i\000b}{subsection.4.6.1} +\BOOKMARK [1][-]{section.4.7}{\376\377\000a\000r\000\137\000A\000d\000d\000e\000r\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.7.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.7} +\BOOKMARK [3][-]{subsubsection.4.7.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0001\0001}{subsection.4.7.1} +\BOOKMARK [2][-]{subsection.4.7.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.7} +\BOOKMARK [3][-]{subsubsection.4.7.2.1}{\376\377\000R\000E\000G}{subsection.4.7.2} +\BOOKMARK [3][-]{subsubsection.4.7.2.2}{\376\377\000R\000E\000S\000A\000D\000D}{subsection.4.7.2} +\BOOKMARK [1][-]{section.4.8}{\376\377\000a\000r\000\137\000A\000D\000D\000R\000c\000n\000t\000r\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.8.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.8} +\BOOKMARK [3][-]{subsubsection.4.8.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0001\0002}{subsection.4.8.1} +\BOOKMARK [2][-]{subsection.4.8.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.8} +\BOOKMARK [3][-]{subsubsection.4.8.2.1}{\376\377\000r\000e\000g}{subsection.4.8.2} +\BOOKMARK [1][-]{section.4.9}{\376\377\000a\000r\000\137\000A\000L\000U\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.9.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.9} +\BOOKMARK [3][-]{subsubsection.4.9.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0001\0003}{subsection.4.9.1} +\BOOKMARK [2][-]{subsection.4.9.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.9} +\BOOKMARK [3][-]{subsubsection.4.9.2.1}{\376\377\000c\000l\000r\000\137\000M\000A\000C}{subsection.4.9.2} +\BOOKMARK [3][-]{subsubsection.4.9.2.2}{\376\377\000M\000A\000C\000i\000n\000s\000t}{subsection.4.9.2} +\BOOKMARK [1][-]{section.4.10}{\376\377\000A\000R\000\137\000A\000P\000B\000\137\000I\000I\000R\000\137\000C\000E\000L\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.10.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.10} +\BOOKMARK [3][-]{subsubsection.4.10.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0004}{subsection.4.10.1} +\BOOKMARK [3][-]{subsubsection.4.10.1.2}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0005}{subsection.4.10.1} +\BOOKMARK [2][-]{subsection.4.10.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.10} +\BOOKMARK [3][-]{subsubsection.4.10.2.1}{\376\377\000r\000e\000g\000i\000n}{subsection.4.10.2} +\BOOKMARK [3][-]{subsubsection.4.10.2.2}{\376\377\000r\000e\000g\000o\000u\000t}{subsection.4.10.2} +\BOOKMARK [3][-]{subsubsection.4.10.2.3}{\376\377\000b\000o\000o\000t\000m\000s\000g}{subsection.4.10.2} +\BOOKMARK [3][-]{subsubsection.4.10.2.4}{\376\377\000f\000i\000l\000t\000e\000r}{subsection.4.10.2} +\BOOKMARK [3][-]{subsubsection.4.10.2.5}{\376\377\000f\000i\000l\000t\000e\000r\000\137\000r\000e\000s\000e\000t}{subsection.4.10.2} +\BOOKMARK [3][-]{subsubsection.4.10.2.6}{\376\377\000F\000I\000L\000T\000E\000R\000r\000e\000g}{subsection.4.10.2} +\BOOKMARK [3][-]{subsubsection.4.10.2.7}{\376\377\000p\000c\000o\000n\000f\000i\000g}{subsection.4.10.2} +\BOOKMARK [3][-]{subsubsection.4.10.2.8}{\376\377\000r}{subsection.4.10.2} +\BOOKMARK [3][-]{subsubsection.4.10.2.9}{\376\377\000R\000E\000V\000I\000S\000I\000O\000N}{subsection.4.10.2} +\BOOKMARK [3][-]{subsubsection.4.10.2.10}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000c\000l\000k\000\137\000o\000u\000t\000\137\000R}{subsection.4.10.2} +\BOOKMARK [3][-]{subsubsection.4.10.2.11}{\376\377\000s\000m\000p\000\137\000c\000n\000t}{subsection.4.10.2} +\BOOKMARK [1][-]{section.4.11}{\376\377\000a\000r\000\137\000F\000I\000L\000T\000E\000R\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.11.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.11} +\BOOKMARK [3][-]{subsubsection.4.11.1.1}{\376\377\000A\000L\000U\0001}{subsection.4.11.1} +\BOOKMARK [3][-]{subsubsection.4.11.1.2}{\376\377\000A\000L\000U\000\137\000c\000t\000r\000l}{subsection.4.11.1} +\BOOKMARK [3][-]{subsubsection.4.11.1.3}{\376\377\000A\000L\000U\000\137\000O\000U\000T}{subsection.4.11.1} +\BOOKMARK [3][-]{subsubsection.4.11.1.4}{\376\377\000C\000o\000e\000f}{subsection.4.11.1} +\BOOKMARK [3][-]{subsubsection.4.11.1.5}{\376\377\000f\000i\000l\000t\000e\000r\000c\000t\000r\000l\000r\0001}{subsection.4.11.1} +\BOOKMARK [3][-]{subsubsection.4.11.1.6}{\376\377\000S\000a\000m\000p\000l\000e}{subsection.4.11.1} +\BOOKMARK [1][-]{section.4.12}{\376\377\000a\000r\000\137\000F\000I\000L\000T\000E\000R\000\137\000R\000A\000M\000\137\000C\000T\000R\000L\000R\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.12.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.12} +\BOOKMARK [3][-]{subsubsection.4.12.1.1}{\376\377\000A\000D\000D\000R\000c\000n\000t\000r\000\137\000i\000n\000s\000t}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.2}{\376\377\000A\000D\000D\000R\000r\000e\000g}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.3}{\376\377\000M\000U\000X\0002\000\137\000i\000n\000s\000t\0001}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.4}{\376\377\000M\000U\000X\0002\000\137\000i\000n\000s\000t\0001\000\137\000s\000e\000l}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.5}{\376\377\000M\000U\000X\0002\000\137\000i\000n\000s\000t\0002}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.6}{\376\377\000n\000e\000x\000t\000\137\000b\000l\000k\000\137\000D}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.7}{\376\377\000n\000e\000x\000t\000\137\000b\000l\000k\000R\000r\000e\000g}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.8}{\376\377\000R\000A\000D\000D\000R}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.9}{\376\377\000R\000A\000M\000b\000l\000k}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.10}{\376\377\000R\000A\000M\000b\000l\000k}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.11}{\376\377\000R\000D}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.12}{\376\377\000R\000E\000N}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.13}{\376\377\000r\000u\000n\000\137\000D}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.14}{\376\377\000r\000u\000n\000\137\000D\000\137\000i\000n\000v}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.15}{\376\377\000r\000u\000n\000\137\000i\000n\000v}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.16}{\376\377\000R\000u\000n\000R\000r\000e\000g}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.17}{\376\377\000W\000A\000D\000D\000R}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.18}{\376\377\000W\000A\000D\000D\000R\000\137\000b\000a\000c\000k}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.19}{\376\377\000W\000A\000D\000D\000R\000\137\000b\000a\000c\000k\000\137\000D}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.20}{\376\377\000W\000A\000D\000D\000R\000\137\000b\000a\000c\000k\000r\000e\000g}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.21}{\376\377\000W\000A\000D\000D\000R\000\137\000b\000a\000c\000k\000r\000e\000g\0002}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.22}{\376\377\000W\000A\000D\000D\000R\000\137\000D}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.23}{\376\377\000W\000D}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.24}{\376\377\000W\000D\000\137\000D}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.25}{\376\377\000W\000D\000R\000r\000e\000g}{subsection.4.12.1} +\BOOKMARK [3][-]{subsubsection.4.12.1.26}{\376\377\000W\000E\000N}{subsection.4.12.1} +\BOOKMARK [1][-]{section.4.13}{\376\377\000a\000r\000\137\000F\000i\000l\000t\000e\000r\000C\000T\000R\000L\000R\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.13.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.13} +\BOOKMARK [3][-]{subsubsection.4.13.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0006}{subsection.4.13.1} +\BOOKMARK [2][-]{subsection.4.13.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.13} +\BOOKMARK [3][-]{subsubsection.4.13.2.1}{\376\377\000A\000D\000D\000R}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.2}{\376\377\000A\000D\000D\000R\000\137\000D}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.3}{\376\377\000A\000D\000D\000R\000r\000e\000g}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.4}{\376\377\000c\000h\000a\000n\000e\000l\000C\000n\000t}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.5}{\376\377\000c\000l\000k\000\137\000i\000n\000v}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.6}{\376\377\000D\000c\000o\000e\000f\000C\000n\000t}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.7}{\376\377\000D\000E\000N\000C\000o\000e\000f\000s\000C\000n\000t}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.8}{\376\377\000i\000n\000\137\000R\000o\000t\000a\000t\000e\000\137\000B\000u\000f\000f}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.9}{\376\377\000N\000c\000o\000e\000f\000C\000n\000t}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.10}{\376\377\000N\000U\000M\000C\000o\000e\000f\000s\000C\000n\000t}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.11}{\376\377\000o\000u\000t\000\137\000R\000o\000t\000a\000t\000e\000\137\000B\000u\000f\000f}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.12}{\376\377\000R\000A\000M\000b\000l\000k}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.13}{\376\377\000R\000D}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.14}{\376\377\000R\000E\000N}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.15}{\376\377\000R\000o\000t\000a\000t\000e\000\137\000B\000u\000f\000f\000T}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.16}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000c\000l\000k\000\137\000o\000l\000d}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.17}{\376\377\000s\000t\000a\000t\000e}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.18}{\376\377\000s\000t\000a\000t\000e\000T}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.19}{\376\377\000W\000A\000D\000D\000R\000\137\000b\000a\000c\000k}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.20}{\376\377\000W\000D}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.21}{\376\377\000W\000D\000\137\000D}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.22}{\376\377\000W\000D\000r\000e\000g}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.23}{\376\377\000W\000E\000N}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.24}{\376\377\000W\000E\000N\000\137\000D}{subsection.4.13.2} +\BOOKMARK [3][-]{subsubsection.4.13.2.25}{\376\377\000W\000R\000r\000e\000g}{subsection.4.13.2} +\BOOKMARK [1][-]{section.4.14}{\376\377\000a\000r\000\137\000I\000I\000R\000\137\000C\000E\000L\000\137\000C\000T\000R\000L\000R\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.14.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.14} +\BOOKMARK [3][-]{subsubsection.4.14.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0007}{subsection.4.14.1} +\BOOKMARK [2][-]{subsection.4.14.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.14} +\BOOKMARK [3][-]{subsubsection.4.14.2.1}{\376\377\000A\000L\000U\000\137\000C\000o\000e\000f\000\137\000i\000n}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.2}{\376\377\000A\000L\000U\000\137\000c\000t\000r\000l}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.3}{\376\377\000A\000L\000U\000\137\000i\000n\000s\000t}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.4}{\376\377\000A\000L\000U\000\137\000o\000u\000t}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.5}{\376\377\000A\000L\000U\000\137\000s\000a\000m\000p\000l\000e\000\137\000i\000n}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.6}{\376\377\000c\000o\000u\000n\000t}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.7}{\376\377\000c\000u\000r\000e\000n\000t\000C\000e\000l}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.8}{\376\377\000c\000u\000r\000e\000n\000t\000C\000h\000a\000n}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.9}{\376\377\000f\000s\000m\000I\000I\000R\000\137\000C\000E\000L\000\137\000T}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.10}{\376\377\000G\000O\000\137\0000}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.11}{\376\377\000I\000I\000R\000\137\000C\000E\000L\000\137\000S\000T\000A\000T\000E}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.12}{\376\377\000R\000A\000M\000\137\000C\000T\000R\000L\000R\0002\000i\000n\000s\000t}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.13}{\376\377\000R\000A\000M\000\137\000s\000a\000m\000p\000l\000e\000\137\000i\000n}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.14}{\376\377\000R\000A\000M\000\137\000s\000a\000m\000p\000l\000e\000\137\000i\000n\000\137\000b\000k}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.15}{\376\377\000R\000A\000M\000\137\000s\000a\000m\000p\000l\000e\000\137\000o\000u\000t}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.16}{\376\377\000R\000e\000a\000d}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.17}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000i\000n\000\137\000B\000U\000F\000F}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.18}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000o\000u\000t\000\137\000B\000U\000F\000F}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.19}{\376\377\000s\000m\000p\000l\000\137\000c\000l\000k\000\137\000o\000l\000d}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.20}{\376\377\000S\000V\000G\000\137\000A\000D\000D\000R}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.21}{\376\377\000W\000A\000D\000D\000R\000\137\000s\000e\000l}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.22}{\376\377\000W\000D\000\137\000s\000e\000l}{subsection.4.14.2} +\BOOKMARK [3][-]{subsubsection.4.14.2.23}{\376\377\000W\000r\000i\000t\000e}{subsection.4.14.2} +\BOOKMARK [1][-]{section.4.15}{\376\377\000a\000r\000\137\000I\000I\000R\000\137\000C\000E\000L\000\137\000F\000I\000L\000T\000E\000R\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.15.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.15} +\BOOKMARK [3][-]{subsubsection.4.15.1.1}{\376\377\000C\000T\000R\000L\000R}{subsection.4.15.1} +\BOOKMARK [3][-]{subsubsection.4.15.1.2}{\376\377\000v\000i\000r\000g\000\137\000p\000o\000s}{subsection.4.15.1} +\BOOKMARK [1][-]{section.4.16}{\376\377\000a\000r\000\137\000L\000C\000D\000\137\0001\0006\000x\0002\000\137\000E\000N\000G\000I\000N\000E\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.16.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.16} +\BOOKMARK [3][-]{subsubsection.4.16.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0001}{subsection.4.16.1} +\BOOKMARK [2][-]{subsection.4.16.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.16} +\BOOKMARK [3][-]{subsubsection.4.16.2.1}{\376\377\000C\000M\000D\000\137\000F\000l\000a\000g}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.2}{\376\377\000C\000o\000n\000f\000i\000g\000T\000b\000l}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.3}{\376\377\000D\000r\000i\000v\000e\000r\0000}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.4}{\376\377\000D\000R\000I\000V\000E\000R\000\137\000C\000M\000D}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.5}{\376\377\000E\000x\000e\000c\000\137\000R\000e\000g}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.6}{\376\377\000F\000R\000A\000M\000E\000\137\000C\000L\000K}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.7}{\376\377\000F\000R\000A\000M\000E\000\137\000C\000L\000K\000\137\000G\000E\000N\0000}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.8}{\376\377\000F\000R\000A\000M\000E\000\137\000C\000L\000K\000\137\000r\000e\000g}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.9}{\376\377\000i}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.10}{\376\377\000R\000e\000f\000r\000e\000s\000h\000F\000l\000a\000g}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.11}{\376\377\000s\000t\000a\000t\000e}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.12}{\376\377\000s\000t\000a\000t\000e\000\137\000t}{subsection.4.16.2} +\BOOKMARK [3][-]{subsubsection.4.16.2.13}{\376\377\000S\000Y\000N\000C\000H}{subsection.4.16.2} +\BOOKMARK [1][-]{section.4.17}{\376\377\000a\000r\000\137\000L\000C\000D\000\137\000C\000L\000K\000\137\000G\000E\000N\000E\000R\000A\000T\000O\000R\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.17.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.17} +\BOOKMARK [3][-]{subsubsection.4.17.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0003}{subsection.4.17.1} +\BOOKMARK [2][-]{subsection.4.17.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.17} +\BOOKMARK [3][-]{subsubsection.4.17.2.1}{\376\377\000c\000l\000k\000\137\0001\000u\000s\000\137\000i\000n\000t}{subsection.4.17.2} +\BOOKMARK [3][-]{subsubsection.4.17.2.2}{\376\377\000c\000l\000k\000\137\0001\000u\000s\000T\000R\000I\000G\000E\000R}{subsection.4.17.2} +\BOOKMARK [3][-]{subsubsection.4.17.2.3}{\376\377\000c\000p\000t\0001}{subsection.4.17.2} +\BOOKMARK [1][-]{section.4.18}{\376\377\000a\000r\000\137\000M\000A\000C\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.18.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.18} +\BOOKMARK [3][-]{subsubsection.4.18.1.1}{\376\377\000a\000d\000d}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.2}{\376\377\000a\000d\000d\000\137\000D}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.3}{\376\377\000a\000d\000d\000e\000r\000\137\000i\000n\000s\000t}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.4}{\376\377\000A\000D\000D\000E\000R\000i\000n\000A}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.5}{\376\377\000A\000D\000D\000E\000R\000i\000n\000B}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.6}{\376\377\000A\000D\000D\000E\000R\000o\000u\000t}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.7}{\376\377\000a\000d\000d\000R\000E\000G}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.8}{\376\377\000c\000l\000r\000\137\000M\000A\000C\000\137\000D}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.9}{\376\377\000c\000l\000r\000\137\000M\000A\000C\000\137\000D\000\137\000D}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.10}{\376\377\000c\000l\000r\000\137\000M\000A\000C\000R\000E\000G\0001}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.11}{\376\377\000c\000l\000r\000\137\000M\000A\000C\000R\000E\000G\0002}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.12}{\376\377\000M\000A\000C\000\137\000C\000O\000N\000T\000R\000O\000L\000E\000R\0001}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.13}{\376\377\000M\000A\000C\000\137\000M\000U\000X\0002\000\137\000i\000n\000s\000t}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.14}{\376\377\000M\000A\000C\000M\000U\000X\0002\000s\000e\000l}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.15}{\376\377\000M\000A\000C\000M\000U\000X\0002\000s\000e\000l\000\137\000D}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.16}{\376\377\000M\000A\000C\000M\000U\000X\0002\000s\000e\000l\000\137\000D\000\137\000D}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.17}{\376\377\000M\000A\000C\000M\000U\000X\0002\000s\000e\000l\000R\000E\000G}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.18}{\376\377\000M\000A\000C\000M\000U\000X\0002\000s\000e\000l\000R\000E\000G\0002}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.19}{\376\377\000M\000A\000C\000M\000U\000X\000\137\000i\000n\000s\000t}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.20}{\376\377\000M\000A\000C\000M\000U\000X\000s\000e\000l}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.21}{\376\377\000M\000A\000C\000M\000U\000X\000s\000e\000l\000\137\000D}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.22}{\376\377\000M\000A\000C\000M\000U\000X\000s\000e\000l\000R\000E\000G}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.23}{\376\377\000m\000u\000l\000t}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.24}{\376\377\000M\000u\000l\000t\000i\000p\000l\000i\000e\000r\000i\000\137\000n\000s\000t}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.25}{\376\377\000M\000U\000L\000T\000o\000u\000t}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.26}{\376\377\000M\000U\000L\000T\000o\000u\000t\000\137\000D}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.27}{\376\377\000M\000U\000L\000T\000o\000u\000t\000R\000E\000G}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.28}{\376\377\000O\000P\0001\000\137\000D}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.29}{\376\377\000O\000P\0001\000\137\000D\000\137\000R\000e\000s\000z}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.30}{\376\377\000O\000P\0001\000R\000E\000G}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.31}{\376\377\000O\000P\0002\000\137\000D}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.32}{\376\377\000O\000P\0002\000\137\000D\000\137\000R\000e\000s\000z}{subsection.4.18.1} +\BOOKMARK [3][-]{subsubsection.4.18.1.33}{\376\377\000O\000P\0002\000R\000E\000G}{subsection.4.18.1} +\BOOKMARK [1][-]{section.4.19}{\376\377\000a\000r\000\137\000M\000A\000C\000\137\000C\000O\000N\000T\000R\000O\000L\000E\000R\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [1][-]{section.4.20}{\376\377\000a\000r\000\137\000M\000A\000C\000\137\000M\000U\000X\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [1][-]{section.4.21}{\376\377\000a\000r\000\137\000M\000A\000C\000\137\000M\000U\000X\0002\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [1][-]{section.4.22}{\376\377\000a\000r\000\137\000M\000A\000C\000\137\000R\000E\000G\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.22.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.22} +\BOOKMARK [3][-]{subsubsection.4.22.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0001\0004}{subsection.4.22.1} +\BOOKMARK [1][-]{section.4.23}{\376\377\000a\000r\000\137\000M\000u\000l\000t\000i\000p\000l\000i\000e\000r\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.23.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.23} +\BOOKMARK [3][-]{subsubsection.4.23.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0001\0005}{subsection.4.23.1} +\BOOKMARK [2][-]{subsection.4.23.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.23} +\BOOKMARK [3][-]{subsubsection.4.23.2.1}{\376\377\000R\000E\000G}{subsection.4.23.2} +\BOOKMARK [3][-]{subsubsection.4.23.2.2}{\376\377\000R\000E\000S\000M\000U\000L\000T}{subsection.4.23.2} +\BOOKMARK [1][-]{section.4.24}{\376\377\000a\000r\000\137\000M\000U\000X\0002\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [1][-]{section.4.25}{\376\377\000a\000r\000\137\000R\000A\000M\000\137\000C\000E\000L\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.25.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.25} +\BOOKMARK [3][-]{subsubsection.4.25.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0009}{subsection.4.25.1} +\BOOKMARK [2][-]{subsection.4.25.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.25} +\BOOKMARK [3][-]{subsubsection.4.25.2.1}{\376\377\000R\000A\000M\000a\000r\000r\000a\000y}{subsection.4.25.2} +\BOOKMARK [3][-]{subsubsection.4.25.2.2}{\376\377\000R\000A\000M\000a\000r\000r\000a\000y\000T}{subsection.4.25.2} +\BOOKMARK [3][-]{subsubsection.4.25.2.3}{\376\377\000R\000D\000\137\000i\000n\000t}{subsection.4.25.2} +\BOOKMARK [1][-]{section.4.26}{\376\377\000a\000r\000\137\000R\000A\000M\000\137\000C\000T\000R\000L\000R\0002\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.26.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.26} +\BOOKMARK [3][-]{subsubsection.4.26.1.1}{\376\377\000A\000D\000D\000R\000c\000n\000t\000r\000\137\000i\000n\000s\000t}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.2}{\376\377\000A\000D\000D\000R\000r\000e\000g}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.3}{\376\377\000M\000U\000X\0002\000\137\000i\000n\000s\000t\0001}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.4}{\376\377\000M\000U\000X\0002\000\137\000i\000n\000s\000t\0002}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.5}{\376\377\000R\000A\000D\000D\000R}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.6}{\376\377\000R\000A\000M\000b\000l\000k}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.7}{\376\377\000R\000A\000M\000b\000l\000k}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.8}{\376\377\000R\000D}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.9}{\376\377\000R\000E\000N}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.10}{\376\377\000W\000A\000D\000D\000R}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.11}{\376\377\000W\000A\000D\000D\000R\000\137\000b\000a\000c\000k}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.12}{\376\377\000W\000A\000D\000D\000R\000\137\000b\000a\000c\000k\000\137\000D}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.13}{\376\377\000W\000A\000D\000D\000R\000\137\000b\000a\000c\000k\000r\000e\000g}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.14}{\376\377\000W\000A\000D\000D\000R\000\137\000b\000a\000c\000k\000r\000e\000g\0002}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.15}{\376\377\000W\000A\000D\000D\000R\000\137\000D}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.16}{\376\377\000W\000D}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.17}{\376\377\000W\000D\000\137\000D}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.18}{\376\377\000W\000D\000R\000r\000e\000g}{subsection.4.26.1} +\BOOKMARK [3][-]{subsubsection.4.26.1.19}{\376\377\000W\000E\000N}{subsection.4.26.1} +\BOOKMARK [1][-]{section.4.27}{\376\377\000a\000r\000\137\000R\000E\000G\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.27.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.27} +\BOOKMARK [3][-]{subsubsection.4.27.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0001\0006}{subsection.4.27.1} +\BOOKMARK [1][-]{section.4.28}{\376\377\000a\000r\000\137\000R\000S\000h\000i\000f\000t\000e\000r\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.28.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.28} +\BOOKMARK [3][-]{subsubsection.4.28.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0001\0007}{subsection.4.28.1} +\BOOKMARK [2][-]{subsection.4.28.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.28} +\BOOKMARK [3][-]{subsubsection.4.28.2.1}{\376\377\000R\000E\000G}{subsection.4.28.2} +\BOOKMARK [3][-]{subsubsection.4.28.2.2}{\376\377\000R\000E\000S\000S\000H\000I\000F\000T}{subsection.4.28.2} +\BOOKMARK [1][-]{section.4.29}{\376\377\000a\000r\000\137\000T\000e\000s\000t\000b\000e\000n\000s\000h\000A\000L\000U\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.29.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.29} +\BOOKMARK [3][-]{subsubsection.4.29.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0001\0008}{subsection.4.29.1} +\BOOKMARK [2][-]{subsection.4.29.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.29} +\BOOKMARK [3][-]{subsubsection.4.29.2.1}{\376\377\000A\000D\000D}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.2}{\376\377\000A\000L\000U\0001}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.3}{\376\377\000c\000l\000k}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.4}{\376\377\000c\000l\000r\000\137\000m\000a\000c}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.5}{\376\377\000c\000t\000r\000l}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.6}{\376\377\000I\000D\000L\000E}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.7}{\376\377\000M\000A\000C}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.8}{\376\377\000M\000U\000L\000T}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.9}{\376\377\000O\000P\0001\000s\000z}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.10}{\376\377\000O\000P\0002\000s\000z}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.11}{\376\377\000O\000p\000e\000r\000a\000n\000d\0001}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.12}{\376\377\000O\000p\000e\000r\000a\000n\000d\0002}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.13}{\376\377\000r\000e\000s\000e\000t}{subsection.4.29.2} +\BOOKMARK [3][-]{subsubsection.4.29.2.14}{\376\377\000R\000e\000s\000u\000l\000t\000a\000t}{subsection.4.29.2} +\BOOKMARK [1][-]{section.4.30}{\376\377\000a\000r\000\137\000T\000e\000s\000t\000b\000e\000n\000s\000h\000M\000A\000C\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.30.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.30} +\BOOKMARK [3][-]{subsubsection.4.30.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0001\0000}{subsection.4.30.1} +\BOOKMARK [2][-]{subsection.4.30.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.30} +\BOOKMARK [3][-]{subsubsection.4.30.2.1}{\376\377\000A\000D\000D}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.2}{\376\377\000c\000l\000k}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.3}{\376\377\000c\000l\000r\000M\000A\000C}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.4}{\376\377\000I\000D\000L\000E}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.5}{\376\377\000M\000A\000C}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.6}{\376\377\000M\000A\000C\0001}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.7}{\376\377\000M\000A\000C\000\137\000M\000U\000L\000\137\000A\000D\000D}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.8}{\376\377\000M\000U\000L\000T}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.9}{\376\377\000O\000P\0001\000s\000z}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.10}{\376\377\000O\000P\0002\000s\000z}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.11}{\376\377\000O\000p\000e\000r\000a\000n\000d\0001}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.12}{\376\377\000O\000p\000e\000r\000a\000n\000d\0002}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.13}{\376\377\000r\000e\000s\000e\000t}{subsection.4.30.2} +\BOOKMARK [3][-]{subsubsection.4.30.2.14}{\376\377\000R\000e\000s\000u\000l\000t\000a\000t}{subsection.4.30.2} +\BOOKMARK [1][-]{section.4.31}{\376\377\000B\000e\000h\000a\000v\000i\000o\000r\000a\000l\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.31.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.31} +\BOOKMARK [3][-]{subsubsection.4.31.1.1}{\376\377\000C\000M\000D}{subsection.4.31.1} +\BOOKMARK [3][-]{subsubsection.4.31.1.2}{\376\377\000D\000r\000i\000v\000e\000r\0000}{subsection.4.31.1} +\BOOKMARK [3][-]{subsubsection.4.31.1.3}{\376\377\000E\000x\000e\000c}{subsection.4.31.1} +\BOOKMARK [3][-]{subsubsection.4.31.1.4}{\376\377\000F\000r\000a\000m\000B\000U\000F\000F}{subsection.4.31.1} +\BOOKMARK [3][-]{subsubsection.4.31.1.5}{\376\377\000L\000C\000D\000\137\000C\000T\000R\000L}{subsection.4.31.1} +\BOOKMARK [3][-]{subsubsection.4.31.1.6}{\376\377\000R\000e\000a\000d\000y}{subsection.4.31.1} +\BOOKMARK [3][-]{subsubsection.4.31.1.7}{\376\377\000r\000s\000t}{subsection.4.31.1} +\BOOKMARK [1][-]{section.4.32}{\376\377\000B\000e\000h\000a\000v\000i\000o\000r\000a\000l\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.32.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.32} +\BOOKMARK [3][-]{subsubsection.4.32.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0002}{subsection.4.32.1} +\BOOKMARK [2][-]{subsection.4.32.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.32} +\BOOKMARK [3][-]{subsubsection.4.32.2.1}{\376\377\000C\000F\000G\000M\000\137\000c\000o\000m\000p\000l\000e\000t\000e\000d}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.2}{\376\377\000C\000F\000G\000M\000\137\000E\000n\000a\000b\000l\000e}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.3}{\376\377\000C\000F\000G\000M\000\137\000L\000C\000D\000\137\000D\000A\000T\000A}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.4}{\376\377\000C\000F\000G\000M\000\137\000L\000C\000D\000\137\000E}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.5}{\376\377\000C\000F\000G\000M\000\137\000L\000C\000D\000\137\000R\000S}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.6}{\376\377\000C\000F\000G\000M\000\137\000L\000C\000D\000\137\000R\000W}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.7}{\376\377\000C\000o\000n\000f\000i\000g\000M\000o\000d\000u\000l\000e}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.8}{\376\377\000C\000o\000u\000n\000t\000e\000r}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.9}{\376\377\000F\000r\000a\000m\000e\000W\000r\000i\000t\000e\000r}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.10}{\376\377\000F\000R\000M\000W\000\137\000c\000o\000m\000p\000l\000e\000t\000e\000d}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.11}{\376\377\000F\000R\000M\000W\000\137\000E\000n\000a\000b\000l\000e}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.12}{\376\377\000F\000R\000M\000W\000\137\000L\000C\000D\000\137\000D\000A\000T\000A}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.13}{\376\377\000F\000R\000M\000W\000\137\000L\000C\000D\000\137\000E}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.14}{\376\377\000F\000R\000M\000W\000\137\000L\000C\000D\000\137\000R\000S}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.15}{\376\377\000F\000R\000M\000W\000\137\000L\000C\000D\000\137\000R\000W}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.16}{\376\377\000M\000i\000d\000l\000e\000T\000i\000m\000e\000P\000u\000l\000s\000e}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.17}{\376\377\000R\000e\000f\000r\000e\000s\000h\000\137\000R\000a\000t\000e\000P\000u\000l\000s\000e}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.18}{\376\377\000S\000h\000o\000r\000t\000T\000i\000m\000e\000P\000u\000l\000s\000e}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.19}{\376\377\000S\000t\000a\000r\000t}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.20}{\376\377\000s\000t\000a\000t\000e}{subsection.4.32.2} +\BOOKMARK [3][-]{subsubsection.4.32.2.21}{\376\377\000s\000t\000a\000t\000e\000T}{subsection.4.32.2} +\BOOKMARK [1][-]{section.4.33}{\376\377\000B\000e\000h\000a\000v\000i\000o\000r\000a\000l\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.33.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.33} +\BOOKMARK [3][-]{subsubsection.4.33.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0000}{subsection.4.33.1} +\BOOKMARK [2][-]{subsection.4.33.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.33} +\BOOKMARK [3][-]{subsubsection.4.33.2.1}{\376\377\000C\000P\000T}{subsection.4.33.2} +\BOOKMARK [3][-]{subsubsection.4.33.2.2}{\376\377\000F\000R\000A\000M\000E\000\137\000C\000L\000K\000\137\000r\000e\000g}{subsection.4.33.2} +\BOOKMARK [3][-]{subsubsection.4.33.2.3}{\376\377\000F\000R\000A\000M\000E\000\137\000C\000L\000K\000\137\000T\000R\000I\000G}{subsection.4.33.2} +\BOOKMARK [3][-]{subsubsection.4.33.2.4}{\376\377\000G\000o\000a\000l\000\137\000F\000R\000A\000M\000E\000\137\000C\000L\000K\000\137\000F\000R\000E\000Q}{subsection.4.33.2} +\BOOKMARK [1][-]{section.4.34}{\376\377\000D\000E\000F\000\137\000A\000R\000C\000H\000\040\000A\000r\000c\000h\000i\000t\000e\000c\000t\000u\000r\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.34.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000F\000u\000n\000c\000t\000i\000o\000n\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.34} +\BOOKMARK [3][-]{subsubsection.4.34.1.1}{\376\377\000P\000R\000O\000C\000E\000S\000S\000\137\0008}{subsection.4.34.1} +\BOOKMARK [2][-]{subsection.4.34.2}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.34} +\BOOKMARK [3][-]{subsubsection.4.34.2.1}{\376\377\000R\000A\000M\000a\000r\000r\000a\000y}{subsection.4.34.2} +\BOOKMARK [3][-]{subsubsection.4.34.2.2}{\376\377\000R\000A\000M\000a\000r\000r\000a\000y\000T}{subsection.4.34.2} +\BOOKMARK [3][-]{subsubsection.4.34.2.3}{\376\377\000R\000D\000\137\000i\000n\000t}{subsection.4.34.2} +\BOOKMARK [1][-]{section.4.35}{\376\377\000F\000I\000L\000T\000E\000R\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.35.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.35} +\BOOKMARK [3][-]{subsubsection.4.35.1.1}{\376\377\000c\000l\000k}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.2}{\376\377\000F\000I\000L\000T\000E\000R\000c\000f\000g}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.3}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.4}{\376\377\000I\000E\000E\000E}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.5}{\376\377\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.6}{\376\377\000l\000p\000p}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.7}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.8}{\376\377\000r\000e\000s\000e\000t}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.9}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000c\000l\000k}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.10}{\376\377\000S\000a\000m\000p\000l\000e\000\137\000I\000N}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.11}{\376\377\000S\000a\000m\000p\000l\000e\000\137\000O\000U\000T}{subsection.4.35.1} +\BOOKMARK [3][-]{subsubsection.4.35.1.12}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.35.1} +\BOOKMARK [1][-]{section.4.36}{\376\377\000F\000I\000L\000T\000E\000R\000\137\000R\000A\000M\000\137\000C\000T\000R\000L\000R\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.36.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.36} +\BOOKMARK [3][-]{subsubsection.4.36.1.1}{\376\377\000B\000\137\000A}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.2}{\376\377\000c\000l\000k}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.3}{\376\377\000F\000I\000L\000T\000E\000R\000c\000f\000g}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.4}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.5}{\376\377\000G\000O\000\137\0000}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.6}{\376\377\000I\000E\000E\000E}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.7}{\376\377\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.8}{\376\377\000l\000p\000p}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.9}{\376\377\000n\000e\000x\000t\000\137\000b\000l\000k}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.10}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.11}{\376\377\000r\000e\000s\000e\000t}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.12}{\376\377\000r\000u\000n}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.13}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000i\000n}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.14}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000o\000u\000t}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.15}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.36.1} +\BOOKMARK [3][-]{subsubsection.4.36.1.16}{\376\377\000w\000r\000i\000t\000e\000F\000o\000r\000c\000e}{subsection.4.36.1} +\BOOKMARK [1][-]{section.4.37}{\376\377\000F\000I\000L\000T\000E\000R\000c\000f\000g\000\040\000P\000a\000c\000k\000a\000g\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.37.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.37} +\BOOKMARK [3][-]{subsubsection.4.37.1.1}{\376\377\000N\000u\000m\000C\000o\000e\000f\000s}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.2}{\376\377\000D\000e\000n\000C\000o\000e\000f\000s}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.3}{\376\377\000c\000o\000n\000f\000i\000g}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.4}{\376\377\000c\000o\000e\000f\000s\000T\000B}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.5}{\376\377\000v\000i\000r\000g\000P\000o\000s}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.6}{\376\377\000c\000o\000n\000f\000i\000g}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.7}{\376\377\000s\000t\000a\000t\000u\000s}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.8}{\376\377\000a\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.9}{\376\377\000a\0000\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.10}{\376\377\000a\0000\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.11}{\376\377\000a\0000\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.12}{\376\377\000a\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.13}{\376\377\000a\0001\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.14}{\376\377\000a\0001\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.15}{\376\377\000a\0001\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.16}{\376\377\000a\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.17}{\376\377\000a\0002\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.18}{\376\377\000a\0002\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.19}{\376\377\000a\0002\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.20}{\376\377\000a\0003}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.21}{\376\377\000a\0003\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.22}{\376\377\000a\0003\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.23}{\376\377\000a\0003\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.24}{\376\377\000a\0004}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.25}{\376\377\000a\0004\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.26}{\376\377\000a\0004\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.27}{\376\377\000a\0004\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.28}{\376\377\000a\0005\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.29}{\376\377\000a\0005\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.30}{\376\377\000a\0005\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.31}{\376\377\000a\0006\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.32}{\376\377\000a\0006\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.33}{\376\377\000a\0006\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.34}{\376\377\000A\000D\000D}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.35}{\376\377\000b\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.36}{\376\377\000b\0000\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.37}{\376\377\000b\0000\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.38}{\376\377\000b\0000\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.39}{\376\377\000b\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.40}{\376\377\000b\0001\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.41}{\376\377\000b\0001\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.42}{\376\377\000b\0001\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.43}{\376\377\000b\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.44}{\376\377\000b\0002\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.45}{\376\377\000b\0002\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.46}{\376\377\000b\0002\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.47}{\376\377\000b\0003}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.48}{\376\377\000b\0003\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.49}{\376\377\000b\0003\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.50}{\376\377\000b\0003\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.51}{\376\377\000b\0004}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.52}{\376\377\000b\0004\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.53}{\376\377\000b\0004\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.54}{\376\377\000b\0004\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.55}{\376\377\000b\0005}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.56}{\376\377\000b\0005\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.57}{\376\377\000b\0005\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.58}{\376\377\000b\0005\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.59}{\376\377\000b\0006}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.60}{\376\377\000b\0006\000\137\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.61}{\376\377\000b\0006\000\137\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.62}{\376\377\000b\0006\000\137\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.63}{\376\377\000c\000e\000l\000a\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.64}{\376\377\000c\000e\000l\000a\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.65}{\376\377\000c\000e\000l\000a\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.66}{\376\377\000c\000e\000l\000a\0003}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.67}{\376\377\000c\000e\000l\000a\0004}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.68}{\376\377\000c\000e\000l\000a\0005}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.69}{\376\377\000c\000e\000l\000a\0006}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.70}{\376\377\000c\000e\000l\000b\0000}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.71}{\376\377\000c\000e\000l\000b\0001}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.72}{\376\377\000c\000e\000l\000b\0002}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.73}{\376\377\000c\000e\000l\000b\0003}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.74}{\376\377\000c\000e\000l\000b\0004}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.75}{\376\377\000c\000e\000l\000b\0005}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.76}{\376\377\000c\000e\000l\000b\0006}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.77}{\376\377\000C\000e\000l\000s\000\137\000c\000o\000u\000n\000t}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.78}{\376\377\000C\000h\000a\000n\000e\000l\000s\000C\000N\000T}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.79}{\376\377\000c\000l\000r\000\137\000m\000a\000c}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.80}{\376\377\000c\000o\000e\000f\000\137\000c\000e\000l\000T}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.81}{\376\377\000C\000o\000e\000f\000\137\000S\000Z}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.82}{\376\377\000c\000o\000e\000f\000s\000\137\000c\000e\000l\000s\000T}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.83}{\376\377\000c\000o\000e\000f\000s\000\137\000c\000e\000l\000T}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.84}{\376\377\000c\000o\000e\000f\000s\000T}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.85}{\376\377\000c\000o\000e\000f\000T}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.86}{\376\377\000D\000e\000n\000C\000o\000e\000f\000s\000\137\000c\000e\000l}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.87}{\376\377\000D\000e\000n\000o\000m\000i\000n\000a\000t\000o\000r\000C\000o\000e\000f\000s}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.88}{\376\377\000I\000D\000L\000E}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.89}{\376\377\000I\000E\000E\000E}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.90}{\376\377\000i\000n\000\137\000I\000I\000R\000\137\000C\000E\000L\000\137\000r\000e\000g}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.91}{\376\377\000M\000A\000C\000\137\000o\000p}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.92}{\376\377\000M\000e\000m\000\137\000u\000s\000e}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.93}{\376\377\000M\000U\000L\000T}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.94}{\376\377\000N\000u\000m\000C\000o\000e\000f\000s\000\137\000c\000e\000l}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.95}{\376\377\000N\000u\000m\000e\000r\000a\000t\000o\000r\000C\000o\000e\000f\000s}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.96}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.97}{\376\377\000o\000u\000t\000\137\000I\000I\000R\000\137\000C\000E\000L\000\137\000r\000e\000g}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.98}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000T\000b\000l}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.99}{\376\377\000s\000a\000m\000p\000l\000T}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.100}{\376\377\000S\000c\000a\000l\000e\000f\000a\000c\000\137\000S\000Z}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.101}{\376\377\000s\000c\000a\000l\000e\000V\000a\000l\000T}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.102}{\376\377\000S\000m\000p\000l\000\137\000S\000Z}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.103}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.104}{\376\377\000u\000s\000e\000\137\000C\000E\000L}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.105}{\376\377\000u\000s\000e\000\137\000R\000A\000M}{subsection.4.37.1} +\BOOKMARK [3][-]{subsubsection.4.37.1.106}{\376\377\000v\000i\000r\000g\000P\000o\000s}{subsection.4.37.1} +\BOOKMARK [1][-]{section.4.38}{\376\377\000F\000i\000l\000t\000e\000r\000C\000T\000R\000L\000R\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.38.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.38} +\BOOKMARK [3][-]{subsubsection.4.38.1.1}{\376\377\000A\000L\000U\000\137\000C\000t\000r\000l}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.2}{\376\377\000c\000l\000k}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.3}{\376\377\000c\000o\000e\000f}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.4}{\376\377\000F\000I\000L\000T\000E\000R\000c\000f\000g}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.5}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.6}{\376\377\000I\000E\000E\000E}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.7}{\376\377\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.8}{\376\377\000l\000p\000p}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.9}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.10}{\376\377\000r\000e\000s\000e\000t}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.11}{\376\377\000s\000a\000m\000p\000l\000e}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.12}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000c\000l\000k}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.13}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000i\000n}{subsection.4.38.1} +\BOOKMARK [3][-]{subsubsection.4.38.1.14}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.38.1} +\BOOKMARK [1][-]{section.4.39}{\376\377\000F\000R\000A\000M\000E\000\137\000C\000L\000K\000\137\000G\000E\000N\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.39.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.39} +\BOOKMARK [3][-]{subsubsection.4.39.1.1}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r}{subsection.4.39.1} +\BOOKMARK [3][-]{subsubsection.4.39.1.2}{\376\377\000c\000l\000k}{subsection.4.39.1} +\BOOKMARK [3][-]{subsubsection.4.39.1.3}{\376\377\000F\000R\000A\000M\000E\000\137\000C\000L\000K}{subsection.4.39.1} +\BOOKMARK [3][-]{subsubsection.4.39.1.4}{\376\377\000I\000E\000E\000E}{subsection.4.39.1} +\BOOKMARK [3][-]{subsubsection.4.39.1.5}{\376\377\000l\000p\000p}{subsection.4.39.1} +\BOOKMARK [3][-]{subsubsection.4.39.1.6}{\376\377\000N\000U\000M\000E\000R\000I\000C\000\137\000S\000T\000D}{subsection.4.39.1} +\BOOKMARK [3][-]{subsubsection.4.39.1.7}{\376\377\000O\000S\000C\000\137\000f\000r\000e\000q\000K\000H\000z}{subsection.4.39.1} +\BOOKMARK [3][-]{subsubsection.4.39.1.8}{\376\377\000r\000e\000s\000e\000t}{subsection.4.39.1} +\BOOKMARK [3][-]{subsubsection.4.39.1.9}{\376\377\000S\000T\000D\000\137\000L\000O\000G\000I\000C\000\137\0001\0001\0006\0004}{subsection.4.39.1} +\BOOKMARK [1][-]{section.4.40}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000\040\000P\000a\000c\000k\000a\000g\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.40.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.40} +\BOOKMARK [3][-]{subsubsection.4.40.1.1}{\376\377\000A\000d\000d\000e\000r}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.2}{\376\377\000A\000D\000D\000R\000c\000n\000t\000r}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.3}{\376\377\000A\000L\000U}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.4}{\376\377\000i\000e\000e\000e}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.5}{\376\377\000M\000A\000C}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.6}{\376\377\000M\000A\000C\000\137\000C\000O\000N\000T\000R\000O\000L\000E\000R}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.7}{\376\377\000M\000A\000C\000\137\000M\000U\000X}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.8}{\376\377\000M\000A\000C\000\137\000M\000U\000X\0002}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.9}{\376\377\000M\000A\000C\000\137\000R\000E\000G}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.10}{\376\377\000M\000u\000l\000t\000i\000p\000l\000i\000e\000r}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.11}{\376\377\000M\000U\000X\0002}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.12}{\376\377\000R\000E\000G}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.13}{\376\377\000R\000S\000h\000i\000f\000t\000e\000r}{subsection.4.40.1} +\BOOKMARK [3][-]{subsubsection.4.40.1.14}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.40.1} +\BOOKMARK [1][-]{section.4.41}{\376\377\000I\000I\000R\000\137\000C\000E\000L\000\137\000C\000T\000R\000L\000R\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.41.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.41} +\BOOKMARK [3][-]{subsubsection.4.41.1.1}{\376\377\000c\000l\000k}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.2}{\376\377\000c\000o\000e\000f\000s}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.3}{\376\377\000F\000I\000L\000T\000E\000R\000c\000f\000g}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.4}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.5}{\376\377\000I\000E\000E\000E}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.6}{\376\377\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.7}{\376\377\000l\000p\000p}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.8}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.9}{\376\377\000r\000e\000s\000e\000t}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.10}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000c\000l\000k}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.11}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000i\000n}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.12}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000o\000u\000t}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.13}{\376\377\000S\000a\000m\000p\000l\000e\000\137\000S\000Z}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.14}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.41.1} +\BOOKMARK [3][-]{subsubsection.4.41.1.15}{\376\377\000v\000i\000r\000g\000\137\000p\000o\000s}{subsection.4.41.1} +\BOOKMARK [1][-]{section.4.42}{\376\377\000I\000I\000R\000\137\000C\000E\000L\000\137\000F\000I\000L\000T\000E\000R\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.42.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.42} +\BOOKMARK [3][-]{subsubsection.4.42.1.1}{\376\377\000c\000l\000k}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.2}{\376\377\000F\000I\000L\000T\000E\000R\000c\000f\000g}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.3}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.4}{\376\377\000I\000E\000E\000E}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.5}{\376\377\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.6}{\376\377\000l\000p\000p}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.7}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.8}{\376\377\000r\000e\000g\000s\000\137\000i\000n}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.9}{\376\377\000r\000e\000g\000s\000\137\000o\000u\000t}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.10}{\376\377\000r\000e\000s\000e\000t}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.11}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000c\000l\000k}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.12}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000i\000n}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.13}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000o\000u\000t}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.14}{\376\377\000S\000a\000m\000p\000l\000e\000\137\000S\000Z}{subsection.4.42.1} +\BOOKMARK [3][-]{subsubsection.4.42.1.15}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.42.1} +\BOOKMARK [1][-]{section.4.43}{\376\377\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000\040\000P\000a\000c\000k\000a\000g\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.43.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.43} +\BOOKMARK [3][-]{subsubsection.4.43.1.1}{\376\377\000a\000m\000b\000a}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.2}{\376\377\000A\000P\000B\000\137\000I\000I\000R\000\137\000C\000E\000L}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.3}{\376\377\000d\000e\000v\000i\000c\000e\000s}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.4}{\376\377\000F\000I\000L\000T\000E\000R}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.5}{\376\377\000F\000I\000L\000T\000E\000R\000\137\000R\000A\000M\000\137\000C\000T\000R\000L\000R}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.6}{\376\377\000F\000I\000L\000T\000E\000R\000c\000f\000g}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.7}{\376\377\000F\000i\000l\000t\000e\000r\000C\000T\000R\000L\000R}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.8}{\376\377\000g\000r\000l\000i\000b}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.9}{\376\377\000i\000e\000e\000e}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.10}{\376\377\000I\000I\000R\000\137\000C\000E\000L\000\137\000C\000T\000R\000L\000R}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.11}{\376\377\000I\000I\000R\000\137\000C\000E\000L\000\137\000F\000I\000L\000T\000E\000R}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.12}{\376\377\000l\000p\000p}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.13}{\376\377\000R\000A\000M}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.14}{\376\377\000R\000A\000M\000\137\000C\000E\000L}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.15}{\376\377\000R\000A\000M\000\137\000C\000T\000R\000L\000R\0002}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.16}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.43.1} +\BOOKMARK [3][-]{subsubsection.4.43.1.17}{\376\377\000s\000t\000d\000l\000i\000b}{subsection.4.43.1} +\BOOKMARK [1][-]{section.4.44}{\376\377\000L\000C\000D\000\137\0001\0006\000x\0002\000\137\000C\000F\000G\000\040\000P\000a\000c\000k\000a\000g\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.44.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.44} +\BOOKMARK [3][-]{subsubsection.4.44.1.1}{\376\377\000L\000C\000D\000\137\000R\000W}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.2}{\376\377\000L\000C\000D\000\137\000R\000S}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.3}{\376\377\000L\000C\000D\000\137\000E}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.4}{\376\377\000L\000C\000D\000\137\000D\000A\000T\000A}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.5}{\376\377\000D\000R\000V\000R\000\137\000R\000E\000A\000D\000Y}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.6}{\376\377\000L\000C\000D\000\137\000I\000N\000I\000T\000I\000A\000L\000I\000S\000E\000D}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.7}{\376\377\000W\000o\000r\000d}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.8}{\376\377\000C\000M\000D\000\137\000D\000a\000t\000a}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.9}{\376\377\000E\000x\000e\000c}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.10}{\376\377\000D\000u\000r\000a\000t\000i\000o\000n}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.11}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.12}{\376\377\000C\000l\000e\000a\000r\000D\000S\000P\000L\000Y}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.13}{\376\377\000C\000u\000r\000s\000o\000r\000O\000F\000F}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.14}{\376\377\000C\000u\000r\000s\000o\000r\000O\000N}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.15}{\376\377\000D\000S\000P\000L\000\137\000C\000T\000R\000L}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.16}{\376\377\000D\000u\000r\000a\000t\000i\000o\000n\000\137\0001\0000\0000\000u\000s}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.17}{\376\377\000D\000u\000r\000a\000t\000i\000o\000n\000\137\0002\0000\000m\000s}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.18}{\376\377\000D\000u\000r\000a\000t\000i\000o\000n\000\137\0004\000m\000s}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.19}{\376\377\000D\000u\000r\000a\000t\000i\000o\000n\000\137\0004\000u\000s}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.20}{\376\377\000F\000u\000n\000c\000t\000i\000o\000n\000S\000e\000t}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.21}{\376\377\000I\000E\000E\000E}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.22}{\376\377\000L\000C\000D\000\137\000C\000F\000G\000\137\000T\000b\000l}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.23}{\376\377\000L\000C\000D\000\137\000D\000R\000V\000R\000\137\000C\000M\000D\000\137\000B\000U\000S\000S}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.24}{\376\377\000L\000C\000D\000\137\000D\000R\000V\000R\000\137\000C\000T\000R\000L\000\137\000B\000U\000S\000S}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.25}{\376\377\000L\000C\000D\000\137\000D\000R\000V\000R\000\137\000S\000Y\000N\000C\000H\000\137\000B\000U\000S\000S}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.26}{\376\377\000l\000p\000p}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.27}{\376\377\000R\000e\000t\000H\000o\000m\000e}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.28}{\376\377\000S\000e\000t\000E\000n\000t\000r\000y\000M\000o\000d\000e}{subsection.4.44.1} +\BOOKMARK [3][-]{subsubsection.4.44.1.29}{\376\377\000S\000T\000D\000\137\000L\000O\000G\000I\000C\000\137\0001\0001\0006\0004}{subsection.4.44.1} +\BOOKMARK [1][-]{section.4.45}{\376\377\000L\000C\000D\000\137\0001\0006\000x\0002\000\137\000E\000N\000G\000I\000N\000E\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.45.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.45} +\BOOKMARK [3][-]{subsubsection.4.45.1.1}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.2}{\376\377\000c\000l\000k}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.3}{\376\377\000C\000M\000D}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.4}{\376\377\000D\000A\000T\000A}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.5}{\376\377\000E\000x\000e\000c}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.6}{\376\377\000I\000E\000E\000E}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.7}{\376\377\000L\000C\000D\000\137\0001\0006\000x\0002\000\137\000C\000F\000G}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.8}{\376\377\000L\000C\000D\000\137\000C\000T\000R\000L}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.9}{\376\377\000l\000p\000p}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.10}{\376\377\000N\000U\000M\000E\000R\000I\000C\000\137\000S\000T\000D}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.11}{\376\377\000O\000S\000C\000\137\000f\000r\000e\000q\000K\000H\000z}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.12}{\376\377\000R\000e\000a\000d\000y}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.13}{\376\377\000r\000e\000s\000e\000t}{subsection.4.45.1} +\BOOKMARK [3][-]{subsubsection.4.45.1.14}{\376\377\000S\000T\000D\000\137\000L\000O\000G\000I\000C\000\137\0001\0001\0006\0004}{subsection.4.45.1} +\BOOKMARK [1][-]{section.4.46}{\376\377\000L\000C\000D\000\137\0002\000x\0001\0006\000\137\000D\000R\000I\000V\000E\000R\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.46.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.46} +\BOOKMARK [3][-]{subsubsection.4.46.1.1}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.2}{\376\377\000c\000l\000k}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.3}{\376\377\000F\000r\000a\000m\000B\000U\000F\000F}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.4}{\376\377\000I\000E\000E\000E}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.5}{\376\377\000L\000C\000D\000\137\000C\000S\0001}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.6}{\376\377\000L\000C\000D\000\137\000C\000S\0002}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.7}{\376\377\000L\000C\000D\000\137\000d\000a\000t\000a}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.8}{\376\377\000L\000C\000D\000\137\000E}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.9}{\376\377\000L\000C\000D\000\137\000R\000E\000T}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.10}{\376\377\000L\000C\000D\000\137\000R\000S}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.11}{\376\377\000L\000C\000D\000\137\000R\000W}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.12}{\376\377\000l\000p\000p}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.13}{\376\377\000N\000U\000M\000E\000R\000I\000C\000\137\000S\000T\000D}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.14}{\376\377\000O\000S\000C\000\137\000F\000r\000e\000q\000\137\000M\000H\000z}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.15}{\376\377\000R\000e\000f\000r\000e\000s\000h\000\137\000R\000a\000t\000e\000H\000z}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.16}{\376\377\000r\000e\000f\000r\000e\000s\000h\000P\000u\000l\000s\000e}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.17}{\376\377\000r\000e\000s\000e\000t}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.18}{\376\377\000S\000T\000A\000T\000E\000O\000U\000T}{subsection.4.46.1} +\BOOKMARK [3][-]{subsubsection.4.46.1.19}{\376\377\000S\000T\000D\000\137\000L\000O\000G\000I\000C\000\137\0001\0001\0006\0004}{subsection.4.46.1} +\BOOKMARK [1][-]{section.4.47}{\376\377\000L\000C\000D\000\137\000C\000L\000K\000\137\000G\000E\000N\000E\000R\000A\000T\000O\000R\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.47.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.47} +\BOOKMARK [3][-]{subsubsection.4.47.1.1}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r}{subsection.4.47.1} +\BOOKMARK [3][-]{subsubsection.4.47.1.2}{\376\377\000c\000l\000k}{subsection.4.47.1} +\BOOKMARK [3][-]{subsubsection.4.47.1.3}{\376\377\000c\000l\000k\000\137\0001\000u\000s}{subsection.4.47.1} +\BOOKMARK [3][-]{subsubsection.4.47.1.4}{\376\377\000I\000E\000E\000E}{subsection.4.47.1} +\BOOKMARK [3][-]{subsubsection.4.47.1.5}{\376\377\000l\000p\000p}{subsection.4.47.1} +\BOOKMARK [3][-]{subsubsection.4.47.1.6}{\376\377\000N\000U\000M\000E\000R\000I\000C\000\137\000S\000T\000D}{subsection.4.47.1} +\BOOKMARK [3][-]{subsubsection.4.47.1.7}{\376\377\000O\000S\000C\000\137\000f\000r\000e\000q\000K\000H\000z}{subsection.4.47.1} +\BOOKMARK [3][-]{subsubsection.4.47.1.8}{\376\377\000r\000e\000s\000e\000t}{subsection.4.47.1} +\BOOKMARK [3][-]{subsubsection.4.47.1.9}{\376\377\000S\000T\000D\000\137\000L\000O\000G\000I\000C\000\137\0001\0001\0006\0004}{subsection.4.47.1} +\BOOKMARK [1][-]{section.4.48}{\376\377\000M\000A\000C\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.48.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.48} +\BOOKMARK [3][-]{subsubsection.4.48.1.1}{\376\377\000c\000l\000k}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.2}{\376\377\000c\000l\000r\000\137\000M\000A\000C}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.3}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.4}{\376\377\000I\000E\000E\000E}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.5}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\000A}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.6}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\000B}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.7}{\376\377\000l\000p\000p}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.8}{\376\377\000M\000A\000C\000\137\000M\000U\000L\000\137\000A\000D\000D}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.9}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.10}{\376\377\000O\000P\0001}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.11}{\376\377\000O\000P\0002}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.12}{\376\377\000R\000E\000S}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.13}{\376\377\000r\000e\000s\000e\000t}{subsection.4.48.1} +\BOOKMARK [3][-]{subsubsection.4.48.1.14}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.48.1} +\BOOKMARK [1][-]{section.4.49}{\376\377\000M\000A\000C\000\137\000C\000O\000N\000T\000R\000O\000L\000E\000R\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.49.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.49} +\BOOKMARK [3][-]{subsubsection.4.49.1.1}{\376\377\000A\000D\000D}{subsection.4.49.1} +\BOOKMARK [3][-]{subsubsection.4.49.1.2}{\376\377\000c\000t\000r\000l}{subsection.4.49.1} +\BOOKMARK [3][-]{subsubsection.4.49.1.3}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.49.1} +\BOOKMARK [3][-]{subsubsection.4.49.1.4}{\376\377\000I\000E\000E\000E}{subsection.4.49.1} +\BOOKMARK [3][-]{subsubsection.4.49.1.5}{\376\377\000l\000p\000p}{subsection.4.49.1} +\BOOKMARK [3][-]{subsubsection.4.49.1.6}{\376\377\000M\000A\000C\000M\000U\000X\0002\000\137\000s\000e\000l}{subsection.4.49.1} +\BOOKMARK [3][-]{subsubsection.4.49.1.7}{\376\377\000M\000A\000C\000M\000U\000X\000\137\000s\000e\000l}{subsection.4.49.1} +\BOOKMARK [3][-]{subsubsection.4.49.1.8}{\376\377\000M\000U\000L\000T}{subsection.4.49.1} +\BOOKMARK [3][-]{subsubsection.4.49.1.9}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.49.1} +\BOOKMARK [3][-]{subsubsection.4.49.1.10}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.49.1} +\BOOKMARK [1][-]{section.4.50}{\376\377\000M\000A\000C\000\137\000M\000U\000X\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.50.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.50} +\BOOKMARK [3][-]{subsubsection.4.50.1.1}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.2}{\376\377\000I\000E\000E\000E}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.3}{\376\377\000I\000N\000A\0001}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.4}{\376\377\000I\000N\000A\0002}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.5}{\376\377\000I\000N\000B\0001}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.6}{\376\377\000I\000N\000B\0002}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.7}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\000A}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.8}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\000B}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.9}{\376\377\000l\000p\000p}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.10}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.11}{\376\377\000O\000U\000T\000A}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.12}{\376\377\000O\000U\000T\000B}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.13}{\376\377\000s\000e\000l}{subsection.4.50.1} +\BOOKMARK [3][-]{subsubsection.4.50.1.14}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.50.1} +\BOOKMARK [1][-]{section.4.51}{\376\377\000M\000A\000C\000\137\000M\000U\000X\0002\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.51.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.51} +\BOOKMARK [3][-]{subsubsection.4.51.1.1}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.51.1} +\BOOKMARK [3][-]{subsubsection.4.51.1.2}{\376\377\000I\000E\000E\000E}{subsection.4.51.1} +\BOOKMARK [3][-]{subsubsection.4.51.1.3}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z}{subsection.4.51.1} +\BOOKMARK [3][-]{subsubsection.4.51.1.4}{\376\377\000l\000p\000p}{subsection.4.51.1} +\BOOKMARK [3][-]{subsubsection.4.51.1.5}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.51.1} +\BOOKMARK [3][-]{subsubsection.4.51.1.6}{\376\377\000R\000E\000S}{subsection.4.51.1} +\BOOKMARK [3][-]{subsubsection.4.51.1.7}{\376\377\000R\000E\000S\0001}{subsection.4.51.1} +\BOOKMARK [3][-]{subsubsection.4.51.1.8}{\376\377\000R\000E\000S\0002}{subsection.4.51.1} +\BOOKMARK [3][-]{subsubsection.4.51.1.9}{\376\377\000s\000e\000l}{subsection.4.51.1} +\BOOKMARK [3][-]{subsubsection.4.51.1.10}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.51.1} +\BOOKMARK [1][-]{section.4.52}{\376\377\000M\000A\000C\000\137\000R\000E\000G\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.52.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.52} +\BOOKMARK [3][-]{subsubsection.4.52.1.1}{\376\377\000c\000l\000k}{subsection.4.52.1} +\BOOKMARK [3][-]{subsubsection.4.52.1.2}{\376\377\000D}{subsection.4.52.1} +\BOOKMARK [3][-]{subsubsection.4.52.1.3}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.52.1} +\BOOKMARK [3][-]{subsubsection.4.52.1.4}{\376\377\000I\000E\000E\000E}{subsection.4.52.1} +\BOOKMARK [3][-]{subsubsection.4.52.1.5}{\376\377\000l\000p\000p}{subsection.4.52.1} +\BOOKMARK [3][-]{subsubsection.4.52.1.6}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.52.1} +\BOOKMARK [3][-]{subsubsection.4.52.1.7}{\376\377\000Q}{subsection.4.52.1} +\BOOKMARK [3][-]{subsubsection.4.52.1.8}{\376\377\000r\000e\000s\000e\000t}{subsection.4.52.1} +\BOOKMARK [3][-]{subsubsection.4.52.1.9}{\376\377\000s\000i\000z\000e}{subsection.4.52.1} +\BOOKMARK [3][-]{subsubsection.4.52.1.10}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.52.1} +\BOOKMARK [1][-]{section.4.53}{\376\377\000M\000u\000l\000t\000i\000p\000l\000i\000e\000r\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.53.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.53} +\BOOKMARK [3][-]{subsubsection.4.53.1.1}{\376\377\000c\000l\000k}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.2}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.3}{\376\377\000I\000E\000E\000E}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.4}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\000A}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.5}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\000B}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.6}{\376\377\000l\000p\000p}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.7}{\376\377\000m\000u\000l\000t}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.8}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.9}{\376\377\000O\000P\0001}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.10}{\376\377\000O\000P\0002}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.11}{\376\377\000R\000E\000S}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.12}{\376\377\000r\000e\000s\000e\000t}{subsection.4.53.1} +\BOOKMARK [3][-]{subsubsection.4.53.1.13}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.53.1} +\BOOKMARK [1][-]{section.4.54}{\376\377\000M\000U\000X\0002\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.54.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.54} +\BOOKMARK [3][-]{subsubsection.4.54.1.1}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.54.1} +\BOOKMARK [3][-]{subsubsection.4.54.1.2}{\376\377\000I\000E\000E\000E}{subsection.4.54.1} +\BOOKMARK [3][-]{subsubsection.4.54.1.3}{\376\377\000I\000N\0001}{subsection.4.54.1} +\BOOKMARK [3][-]{subsubsection.4.54.1.4}{\376\377\000I\000N\0002}{subsection.4.54.1} +\BOOKMARK [3][-]{subsubsection.4.54.1.5}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z}{subsection.4.54.1} +\BOOKMARK [3][-]{subsubsection.4.54.1.6}{\376\377\000l\000p\000p}{subsection.4.54.1} +\BOOKMARK [3][-]{subsubsection.4.54.1.7}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.54.1} +\BOOKMARK [3][-]{subsubsection.4.54.1.8}{\376\377\000R\000E\000S}{subsection.4.54.1} +\BOOKMARK [3][-]{subsubsection.4.54.1.9}{\376\377\000s\000e\000l}{subsection.4.54.1} +\BOOKMARK [3][-]{subsubsection.4.54.1.10}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.54.1} +\BOOKMARK [1][-]{section.4.55}{\376\377\000R\000A\000M\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.55.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.55} +\BOOKMARK [3][-]{subsubsection.4.55.1.1}{\376\377\000i\000e\000e\000e}{subsection.4.55.1} +\BOOKMARK [3][-]{subsubsection.4.55.1.2}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.55.1} +\BOOKMARK [3][-]{subsubsection.4.55.1.3}{\376\377\000R\000A\000D\000D\000R}{subsection.4.55.1} +\BOOKMARK [3][-]{subsubsection.4.55.1.4}{\376\377\000R\000D}{subsection.4.55.1} +\BOOKMARK [3][-]{subsubsection.4.55.1.5}{\376\377\000R\000E\000N}{subsection.4.55.1} +\BOOKMARK [3][-]{subsubsection.4.55.1.6}{\376\377\000R\000E\000S\000E\000T}{subsection.4.55.1} +\BOOKMARK [3][-]{subsubsection.4.55.1.7}{\376\377\000R\000W\000C\000L\000K}{subsection.4.55.1} +\BOOKMARK [3][-]{subsubsection.4.55.1.8}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.55.1} +\BOOKMARK [3][-]{subsubsection.4.55.1.9}{\376\377\000W\000A\000D\000D\000R}{subsection.4.55.1} +\BOOKMARK [3][-]{subsubsection.4.55.1.10}{\376\377\000W\000D}{subsection.4.55.1} +\BOOKMARK [3][-]{subsubsection.4.55.1.11}{\376\377\000W\000E\000N}{subsection.4.55.1} +\BOOKMARK [1][-]{section.4.56}{\376\377\000R\000A\000M\000\137\000C\000E\000L\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.56.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.56} +\BOOKMARK [3][-]{subsubsection.4.56.1.1}{\376\377\000i\000e\000e\000e}{subsection.4.56.1} +\BOOKMARK [3][-]{subsubsection.4.56.1.2}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.56.1} +\BOOKMARK [3][-]{subsubsection.4.56.1.3}{\376\377\000R\000A\000D\000D\000R}{subsection.4.56.1} +\BOOKMARK [3][-]{subsubsection.4.56.1.4}{\376\377\000R\000D}{subsection.4.56.1} +\BOOKMARK [3][-]{subsubsection.4.56.1.5}{\376\377\000R\000E\000N}{subsection.4.56.1} +\BOOKMARK [3][-]{subsubsection.4.56.1.6}{\376\377\000R\000E\000S\000E\000T}{subsection.4.56.1} +\BOOKMARK [3][-]{subsubsection.4.56.1.7}{\376\377\000R\000W\000C\000L\000K}{subsection.4.56.1} +\BOOKMARK [3][-]{subsubsection.4.56.1.8}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.56.1} +\BOOKMARK [3][-]{subsubsection.4.56.1.9}{\376\377\000W\000A\000D\000D\000R}{subsection.4.56.1} +\BOOKMARK [3][-]{subsubsection.4.56.1.10}{\376\377\000W\000D}{subsection.4.56.1} +\BOOKMARK [3][-]{subsubsection.4.56.1.11}{\376\377\000W\000E\000N}{subsection.4.56.1} +\BOOKMARK [1][-]{section.4.57}{\376\377\000R\000A\000M\000\137\000C\000T\000R\000L\000R\0002\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.57.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.57} +\BOOKMARK [3][-]{subsubsection.4.57.1.1}{\376\377\000c\000l\000k}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.2}{\376\377\000c\000o\000u\000n\000t}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.3}{\376\377\000F\000I\000L\000T\000E\000R\000c\000f\000g}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.4}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.5}{\376\377\000G\000O\000\137\0000}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.6}{\376\377\000I\000E\000E\000E}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.7}{\376\377\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.8}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z\000\137\0001}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.9}{\376\377\000l\000p\000p}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.10}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.11}{\376\377\000R\000e\000a\000d}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.12}{\376\377\000r\000e\000s\000e\000t}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.13}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000i\000n}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.14}{\376\377\000s\000a\000m\000p\000l\000e\000\137\000o\000u\000t}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.15}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.16}{\376\377\000S\000V\000G\000\137\000A\000D\000D\000R}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.17}{\376\377\000W\000A\000D\000D\000R\000\137\000s\000e\000l}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.18}{\376\377\000W\000D\000\137\000s\000e\000l}{subsection.4.57.1} +\BOOKMARK [3][-]{subsubsection.4.57.1.19}{\376\377\000W\000r\000i\000t\000e}{subsection.4.57.1} +\BOOKMARK [1][-]{section.4.58}{\376\377\000R\000E\000G\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.58.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.58} +\BOOKMARK [3][-]{subsubsection.4.58.1.1}{\376\377\000c\000l\000k}{subsection.4.58.1} +\BOOKMARK [3][-]{subsubsection.4.58.1.2}{\376\377\000D}{subsection.4.58.1} +\BOOKMARK [3][-]{subsubsection.4.58.1.3}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.58.1} +\BOOKMARK [3][-]{subsubsection.4.58.1.4}{\376\377\000I\000E\000E\000E}{subsection.4.58.1} +\BOOKMARK [3][-]{subsubsection.4.58.1.5}{\376\377\000i\000n\000i\000t\000i\000a\000l\000\137\000V\000A\000L\000U\000E}{subsection.4.58.1} +\BOOKMARK [3][-]{subsubsection.4.58.1.6}{\376\377\000l\000p\000p}{subsection.4.58.1} +\BOOKMARK [3][-]{subsubsection.4.58.1.7}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.58.1} +\BOOKMARK [3][-]{subsubsection.4.58.1.8}{\376\377\000Q}{subsection.4.58.1} +\BOOKMARK [3][-]{subsubsection.4.58.1.9}{\376\377\000r\000e\000s\000e\000t}{subsection.4.58.1} +\BOOKMARK [3][-]{subsubsection.4.58.1.10}{\376\377\000s\000i\000z\000e}{subsection.4.58.1} +\BOOKMARK [3][-]{subsubsection.4.58.1.11}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.58.1} +\BOOKMARK [1][-]{section.4.59}{\376\377\000R\000S\000h\000i\000f\000t\000e\000r\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.59.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.59} +\BOOKMARK [3][-]{subsubsection.4.59.1.1}{\376\377\000c\000l\000k}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.2}{\376\377\000c\000n\000t}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.3}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.4}{\376\377\000I\000E\000E\000E}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.5}{\376\377\000I\000n\000p\000u\000t\000\137\000S\000Z}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.6}{\376\377\000l\000p\000p}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.7}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.8}{\376\377\000O\000P}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.9}{\376\377\000R\000E\000S}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.10}{\376\377\000r\000e\000s\000e\000t}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.11}{\376\377\000s\000h\000i\000f\000t}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.12}{\376\377\000s\000h\000i\000f\000t\000\137\000S\000Z}{subsection.4.59.1} +\BOOKMARK [3][-]{subsubsection.4.59.1.13}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.59.1} +\BOOKMARK [1][-]{section.4.60}{\376\377\000T\000e\000s\000t\000b\000e\000n\000s\000h\000A\000L\000U\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.60.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.60} +\BOOKMARK [3][-]{subsubsection.4.60.1.1}{\376\377\000I\000E\000E\000E}{subsection.4.60.1} +\BOOKMARK [3][-]{subsubsection.4.60.1.2}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.60.1} +\BOOKMARK [3][-]{subsubsection.4.60.1.3}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.60.1} +\BOOKMARK [1][-]{section.4.61}{\376\377\000T\000e\000s\000t\000b\000e\000n\000s\000h\000M\000A\000C\000\040\000E\000n\000t\000i\000t\000y\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.4} +\BOOKMARK [2][-]{subsection.4.61.1}{\376\377\000M\000e\000m\000b\000e\000r\000\040\000D\000a\000t\000a\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{section.4.61} +\BOOKMARK [3][-]{subsubsection.4.61.1.1}{\376\377\000I\000E\000E\000E}{subsection.4.61.1} +\BOOKMARK [3][-]{subsubsection.4.61.1.2}{\376\377\000n\000u\000m\000e\000r\000i\000c\000\137\000s\000t\000d}{subsection.4.61.1} +\BOOKMARK [3][-]{subsubsection.4.61.1.3}{\376\377\000s\000t\000d\000\137\000l\000o\000g\000i\000c\000\137\0001\0001\0006\0004}{subsection.4.61.1} +\BOOKMARK [0][-]{chapter.5}{\376\377\000F\000i\000l\000e\000\040\000D\000o\000c\000u\000m\000e\000n\000t\000a\000t\000i\000o\000n}{} +\BOOKMARK [1][-]{section.5.1}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r\000/\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.2}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r\000/\000F\000R\000A\000M\000E\000\137\000C\000L\000K\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.3}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r\000/\000L\000C\000D\000\137\0001\0006\000x\0002\000\137\000C\000F\000G\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.4}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r\000/\000L\000C\000D\000\137\0001\0006\000x\0002\000\137\000E\000N\000G\000I\000N\000E\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.5}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r\000/\000L\000C\000D\000\137\0002\000x\0001\0006\000\137\000D\000R\000I\000V\000E\000R\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.6}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r\000/\000L\000C\000D\000\137\000C\000L\000K\000\137\000G\000E\000N\000E\000R\000A\000T\000O\000R\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.7}{\376\377\000a\000m\000b\000a\000\137\000l\000c\000d\000\137\0001\0006\000x\0002\000\137\000c\000t\000r\000l\000r\000/\000T\000o\000p\000\137\000L\000C\000D\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.8}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000A\000P\000B\000\137\000I\000I\000R\000\137\000C\000E\000L\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.9}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000F\000I\000L\000T\000E\000R\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.10}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000F\000I\000L\000T\000E\000R\000\137\000R\000A\000M\000\137\000C\000T\000R\000L\000R\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.11}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000F\000I\000L\000T\000E\000R\000c\000f\000g\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.12}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000F\000i\000l\000t\000e\000r\000C\000T\000R\000L\000R\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.13}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000I\000I\000R\000\137\000C\000E\000L\000\137\000C\000T\000R\000L\000R\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.14}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000I\000I\000R\000\137\000C\000E\000L\000\137\000F\000I\000L\000T\000E\000R\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.15}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.16}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000R\000A\000M\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.17}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000R\000A\000M\000\137\000C\000E\000L\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.18}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000R\000A\000M\000\137\000C\000T\000R\000L\000R\0002\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.19}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000T\000e\000s\000t\000b\000e\000n\000s\000h\000M\000A\000C\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.20}{\376\377\000d\000s\000p\000/\000i\000i\000r\000\137\000f\000i\000l\000t\000e\000r\000/\000T\000o\000p\000\137\000F\000i\000l\000t\000r\000e\000\137\000I\000I\000R\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.21}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000A\000d\000d\000e\000r\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.22}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000A\000D\000D\000R\000c\000n\000t\000r\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.23}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000A\000L\000U\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.24}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.25}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000M\000A\000C\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.26}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000M\000A\000C\000\137\000C\000O\000N\000T\000R\000O\000L\000E\000R\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.27}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000M\000A\000C\000\137\000M\000U\000X\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.28}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000M\000A\000C\000\137\000M\000U\000X\0002\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.29}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000M\000A\000C\000\137\000R\000E\000G\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.30}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000M\000u\000l\000t\000i\000p\000l\000i\000e\000r\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.31}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000M\000U\000X\0002\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.32}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000R\000E\000G\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.33}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000S\000h\000i\000f\000t\000e\000r\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} +\BOOKMARK [1][-]{section.5.34}{\376\377\000g\000e\000n\000e\000r\000a\000l\000\137\000p\000u\000r\000p\000o\000s\000e\000/\000T\000e\000s\000t\000b\000e\000n\000s\000h\000A\000L\000U\000.\000v\000h\000d\000\040\000F\000i\000l\000e\000\040\000R\000e\000f\000e\000r\000e\000n\000c\000e}{chapter.5} diff --git a/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd b/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd @@ -0,0 +1,193 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- APB_IIR_CEL.vhd +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; +library lpp; +use lpp.iir_filter.all; +use lpp.FILTERcfg.all; +use lpp.general_purpose.all; +use lpp.lpp_amba.all; + +entity APB_IIR_CEL is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8; + Sample_SZ : integer := Smpl_SZ + ); + port ( + rst : in std_logic; + clk : in std_logic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + sample_clk : in std_logic; + sample_clk_out : out std_logic; + sample_in : in samplT; + sample_out : out samplT + ); +end; + + +architecture AR_APB_IIR_CEL of APB_IIR_CEL is + +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, ROCKET_TM, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); + + + +type FILTERreg is record + regin : in_IIR_CEL_reg; + regout : out_IIR_CEL_reg; +end record; + +signal r : FILTERreg; +signal filter_reset : std_logic:='0'; +signal smp_cnt : integer :=0; +signal sample_clk_out_R : std_logic; +begin + +filter_reset <= rst and r.regin.config(0); +sample_clk_out <= sample_clk_out_R; + +filter : IIR_CEL_FILTER +generic map(Sample_SZ => Sample_SZ) +port map( + reset => filter_reset, + clk => clk, + sample_clk => sample_clk, + regs_in => r.regin, + regs_out => r.regout, + sample_in => sample_in, + sample_out => sample_out + ); + +process(rst,sample_clk) +begin +if rst = '0' then + smp_cnt <= 0; + sample_clk_out_R <= '0'; +elsif sample_clk'event and sample_clk = '1' then + if smp_cnt = 1 then + smp_cnt <= 0; + sample_clk_out_R <= not sample_clk_out_R; + else + smp_cnt <= smp_cnt +1; + end if; +end if; +end process; + + +process(rst,clk) +begin + if rst = '0' then + r.regin.coefsTB.NumCoefs <= NumCoefs_cel; + r.regin.coefsTB.DenCoefs <= DenCoefs_cel; + r.regin.virgPos <= std_logic_vector(to_unsigned(virgPos,5)); + + elsif clk'event and clk = '1' then + + +--APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(7 downto 2) is + when "000000" => + r.regin.config(0) <= apbi.pwdata(0); + when "000001" => + r.regin.virgPos <= apbi.pwdata(4 downto 0); + when others => + for i in 0 to Cels_count-1 loop + if conv_integer(apbi.paddr(7 downto 5)) = i+1 then + case apbi.paddr(4 downto 2) is + when "000" => + r.regin.coefsTB.NumCoefs(i)(0) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + when "001" => + r.regin.coefsTB.NumCoefs(i)(1) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + when "010" => + r.regin.coefsTB.NumCoefs(i)(2) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + when "011" => + r.regin.coefsTB.DenCoefs(i)(0) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + when "100" => + r.regin.coefsTB.DenCoefs(i)(1) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + when "101" => + r.regin.coefsTB.DenCoefs(i)(2) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + when others => + end case; + end if; + end loop; + end case; + end if; + +--APB READ OP + if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then + case apbi.paddr(7 downto 2) is + when "000000" => + + when "000001" => + apbo.prdata(4 downto 0) <= r.regin.virgPos; + when others => + for i in 0 to Cels_count-1 loop + if conv_integer(apbi.paddr(7 downto 5)) = i+1 then + case apbi.paddr(4 downto 2) is + when "000" => + apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.NumCoefs(i)(0)); + when "001" => + apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.NumCoefs(i)(1)); + when "010" => + apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.NumCoefs(i)(2)); + when "011" => + apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.DenCoefs(i)(0)); + when "100" => + apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.DenCoefs(i)(1)); + when "101" => + apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.DenCoefs(i)(2)); + when others => + end case; + end if; + end loop; + end case; + end if; + + end if; + apbo.pconfig <= pconfig; +end process; + + + +-- pragma translate_off + bootmsg : report_version + generic map ("apbuart" & tost(pindex) & + ": Generic UART rev " & tost(REVISION) & ", fifo " & tost(fifosize) & + ", irq " & tost(pirq)); +-- pragma translate_on + + + +end ar_APB_IIR_CEL; + diff --git a/lib/lpp/dsp/iir_filter/DOC_APB_ROCKET_TM.odt b/lib/lpp/dsp/iir_filter/DOC_APB_ROCKET_TM.odt new file mode 100644 index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..462accf4b98eec59c117078bb6bcb611d2981e68 GIT binary patch literal 14592 zc$}4c1yo#1)-_IWm*BxefW|F21PKy?yEo8CH_{N?CBfa@oe(s*I{|_OcXxNfpFEj) zdEc8^^Iul6`kvZ**QvVIcO4FOl;q$M@L^z{z`#iQ&W%~cC zpFaot|7SrV5bOWWqWlLI$i~PV=l~E0L2Zm|9sbL6Li^E7AYkYp&*DIL0le0L({iNp zM8E=o+DAzD_-@UQmUN4Ffi|Q|=bY7$cJ{5@Lu~G9uErMmEhgeEnMceSE#32(cow;M zAb(|TBhicnU+2x{S|mx7r0~Q2uJHyU&hGVM5-LeUG1bVaO>atC(GopPS;2R~`vnFs z0Y_%8j~*|eQN3J)SHzD7D^nEYl4a(WGEF{MMH0vqP#khLt7YUq9ZihrS@@k7(Mp$r zXen|Vx!e*JrG=HFdQ|~$x`lq+*C;GE{kY%Ar5`Tve7mL*TvIfn^$xx-6$8Iw`sBN3 zzAz^tdh+m%`(k1WM#fNb=F$-_a1Zxm%>u zv;_u9R2BHA@?lIKNd#ZsCukvYPjS))I7l#6U{!#KDD}q`XB#4g%tK`>TslcNB2oY) zx>Vq|2IB9+m_qo(R@i6`a+{CtU`cM?3#+U zTUwAJ`3A30o|Q!ul|R@;Bsq!>pYxO(5Lc8ob725!5!4*%3wQxEuluy=94iLtM#u4s zd3y_^kUSi|p;eU!L|o}6N++?GTb9mfnE`@x+-WakDb{DJo=FYBpBJ9m zq{ugug*dhCfg4&U>~)8A=r7TkaKiF=14@$sBkB5u;B z;bY7j?KTEX>Y)``!Vg>FEQ@HF!fUG%$h z()bc3%9pjLF|3bqPZM3cP+bYST)||#QwJvYOzbi;IxTs0xOe>7trU>96X(VNPk&eFI^i+OJxJmg{tm274|E&PXE1mhMxRKz32mA;*jLarHnb{FFIhR0D}k)@&uCfo(X@EnEoK zN7}u9QtGRII52DJ1AbcgIV0aLWLhhNhpW2pR$U|u@EH|VJ%`nxEUxR;>wa>eVDkgQ zW7ZPLKxKwP6wVw0X(R-R6`P^DU+b|g(_F&1!a}^l+K=ip`|C6>d&g0<=~>TWY^z9t z(Lqc6UI=k>&v19AY#6A>HeQO9y`OE(a!x#5FfN$+JE8KX{1Trtq~|W}OiVzf0DHVk z-4Q3c9$f-L*zuI;*&9@5k#pjeN?n5{ypSUDP-^CZ4;L2O5eZ{j!Ys+;Qu zt3*hq>dk{tlZjZ->xW)Bo|utft4kHHLC^b+>opT&tOoiWJ??O3$bvRqdu%B~`?e=m z>yAQthi4tFfnDp49E^)+jBEzBe}S%tgBrp6Ll~O)5$xG zm6X~;+)U-3f0``8BfS#JzL}($Y52-esIaPk6>iC>`F*=!bbBi4Hs>_mk z894cG!_F`w5an5U6yA$0ZNnR-?%|h$btK5B!+D%!~S28W{i!Rzn0a|tgt_7*ywIa(SQTJ+NeXPS5Wz~mA8a{d>+ z49)pu2I{?bcixVo_D^;@NegWsm^mlfQT=t&q4u!^IT%%WcCB zC{xyw%L$|c_D<}q5S8Y%+Y5(X(ba1t7x>D5e6ZBfAZAcHBiyJjcMQ-Flc27Q`D70z-ZyTD!YVCE%Aepbsi3m%R~aZz9wWEx#m)1>o!VEumEv?ZbH(C%)Vr zoep#H)XwA|%M6s};XX=qtjRT)Re?2~ z=Mmxb*z0E$+F!qEb^jyAlF<4y3jL>`3H3*FfVx-%9e&143)%|~YqdCDM+R9&`5rlp z{g*S!UlMk556%TX^Tg<;b7G^s?u&v!hEdr0etQUqfTEl$pHU{*hn0?ViPx&Pzv_47 z#kW4Q|KW8vf){%H*()f^Q0yN;lx0dZj35G*^by70;$Dt<{8C0>qL(o z$!N=Bwo|zL7H6h>R7zFCeCp6w`q;y+`eYnEt%68yWg!aqYHHjS;7#$v>ZYdx$?6&+@JC^Zn3V`2)63l`QxooB;D@s2*E zrjiDJCS6Q1b#;c|8t^c3P2vqbUEF}^ukUS+4U*L=n#x*KDani3Af(Zc$MgjyE`C)k~6XjgoT;G5cUyV_S(Q33^7`A+|y zq}$`ga&I$dGq0SCh<5W&MEqJvoHCBR(N+7~jAG}Zf_^h!zRQ)&0NRF;&+o(V#XXkR zs{GnZb4YGF$v0Fvo|Kke)Q};Lv*&)ti$dSqa43HupZ)|JG=E(WQe)542PQMn=2RJ= zXjCl;hx>j7rg(o4U{W~y=BzI5$TeS4M-i51Bm}-|JmbiE{=BMT@8xif+)LSDp%D09 zokvVG6f_A-B$R+=NX{iKj@px3g2|N?cFiG(@v(!q^F9tY{wDS^?WOSTAc z)|=K4g&;o8w7UhR!OrBxbD#7IE`QyZsD%3GEJP^p%{eCK6iL|9l}gp>z0MfcQlY{f z!pPJtr~xh#A+sjgh}4yKJj$WXjRP!{5#ZiR*e82lcy_<(ffvs zmGYI0pW`DjAFs_hJ&Lm${S6A&dmKwfQ|NZlv&8WZxxV?<*h}B#h*j6FK6$*kQKbX} zI9!Rxrj^F=(lB<`0Agt7=0XZurWoJbXC&eJE_;pN@LLBUU~xv%ddHn?urI@9r&skn ze7I4M6`aab(}aEM`fP~wqnGeAJ?a1yKVbjZlk>K@MyDF6N-YfAT;3^$%SM1r?uj19 z*=Vj@cFdyvX9RAu6hYE$Y)C@8r|(-0o?9&jkRmab-OB@Ih+~IptmTr4OZO=o181uz zaAJha&2|p;LkX-3#sJT{g4B-%x!3N@!dDyN3q7COAbK656hc1fCirxv!R^aO$Y}mq z#m8V=>KMan!TM#On|$110LpwE&<$Wk3Oe|o1wDcqK%xQo9gQ9XaXUed7G%N7Fd zHFo4nj6pR8j(#H^jCpGk8TF_f*K&MU$MQv%tKvDE#;>iPMKN}r#cITE`i;naDO{za zqH>5*liqkLY`5|kZ_x<2G>C}l+{e45_K%{gDaxmK5+{rcJx1Yc_AIeISuUmp=;|E6 zk?81bwu~%FC9zakCdX$bmW4EyYKKlcUbN7&?Ejq4Try6T*Gl?N+S{UiMT>X}nsRo~d_+|B5;?J_mowm3PYtf7~LbVzGdtULl=fs@1l2yqIim1q$>RfIa99SnjN#1K1 zL(}4xW1iVJv{{KW{SuqWAqcyN~8mR9_xpKrL;4~gkE%n2vITBw3U zHi;KjfU(xdv~Zh9RB5iWZLOc8k_&d?H(`UG^x6}C?2FHDENxrHg>nU-Iz(c;+@7GJ zE<=_ulR84(H%Hs7^=bxF@&=6-#Z@yJT3eBXKEq(FXtuB!Hs`%AghWU;Z1qACmKW%RpI z61#|*mH3V!43Sf2qCr@S=+%@HY&6+pr-8sZdLQzHkJ@^~hu>#g5|Ydhj|evb$E`!e zsD&vL>A3D^Tq4w7`HRa%**q7D4rTP~lH39$Ru@#30(aIscl#TK38z9AW5-*)l;5#_ z#tU$VAcSjV7?>93zh|w!zs1vFM}UF(*?!B&0ii}eqXj{cAHS%afc6d`2v~@kot2H6 z3J5lVn1aCOLey%iQY?JbBEqPG5HmB72~gnAG#$%NKGhE@*g@d;E+J}1d$7Q72|WR@ zkqyv60BR!e*UX;4U(o`;4fMOk*%}145~8+%LTv>AfOqfSvA*MEh1i<|*!lVS0l)SB z2{kqO7tYqv-ugF`sR;mR4g5KR1Av{C9q=dir-%QmQ$MkPbqNB2{A-|}@ZX*OZ8#1# zHZH*L_taE>Oy)1k$3ZRp=UV*~6&CzW+Qc6CTPlpj)CdX`{vpB7!p6nI&ZElCF2Knl zz{bJK!zBp#2k7r~peYFY<9V={{*h4=R#IhSli}u4VB=8vgZ6(QzcNi+On!ui!hC;2 z|6%?eYYqheo(&a#^JB_y{yk+*R(4tq5SWvL>emhfTYHEl&;$zLWM{KsXQvf+1X-K1 z@N@F>|1r$J6$MRA1pb&iI~xldI}6*7x$_FJvk9>Av2wBr0{-m(3H)sdevpi;fzBWY zs@FgxuqhDw8|Kfh-#z}-_+d8%nt{MT)8FAgcc&1wEZ7V}#s0s+IQ};n=l=%d`UwO4vU36d^qm*}&wqye zOc$$KINBJ4jX>580O+3|S#81Q!6;Tq zQC<~4VQeOP?t9J}={@01O}>MJ(fkS>o5~w+DVCelYPgj5ar5qM!Sjm$Zr^dF`NUNK zn}msw2^SZa1jBK%pvwyl1?4#k9e*XXi>^jS91eRzNsR}+Z*K5Nah9T=xR06U*gT3% zS8s7Oe8=22ds*43>G`FF^24hZWin>RY92+Q`|fc&>6FPgO*7xMp4<(Itjwx>=ynrM z;UNmnI+EqY>+*+5^K?U#h5|!lvDP}jT=nf_yk>hXnClVODVdf3aJ%txQ4IK?lb9Xs z3~1i*1bLd1PI=|u?Y{=UAt2}yq>379QRI+f{RqpojP}*|Xv)}D#aYtc07)gc>T1cjzKj=KJBh6iXbpYlmU`D)A+0D@D6TY2) zSb43|<4VKxQaX&fc$4jT@>DU7L{>)kZ3vB?LY`J!A8TT$)YZ%v-ZGbMAU|kvhj8e^ z#Su_tihPFeCQZF<@jW%(>+Uu3fbTfY+%dV_<9n-~P!f0>ss&4}m&c{o_UCI3?^cx! zCwu7#hskXWL&=A1l?CftDs1}AOK>ym*+8QY`wLAfJBC<7DRNUMg-&uLcVEYjXo&jD z$d6RZ(50On9vnWPaF*R3WQJcg!G(T&pU7Po<})PtEnbPE+Af0ZPWKf;o+La?fmOSJ-Jq_*Nai*pZw)YU$X2m3kKpJ4lZX+Vz`cfr?YJG zbaUih%6PJNUj-7OaxY0K_#`n!8?eqN3^di327%u0@l%J1Y6rcO5@4!Bs z(YFm`kmbcdZVfN?-$ZRmk(@R058xLqbp+2T=9U{XS_v9W>dIfu;RVR|GvkZ6fa9U0 z<}AT94M71eLXwwls}tztti`bypI$G;-CiKnF)1j6j;Nm7z#mQAGF^Pay9Xl~GA<6l zyOL3Z)TDiZ1865f^&);={;U;_fGc6#&jblw8_t$O73lr)bx|*gE`&r$jO)^-l4BNc zYu~(Y=jM;rRPH(qFMa*-g6Cs+Xu(Hhg~Wv81Ma)Qa)@H3uq*KuZJ*2FUTsFM^?B*z zylA5J1OFEW#M>s?vbPq!UmIU2wo_&?Xf>V)5%_gjSwA*Rmw^`()k69WUagurF_`Df zwySsm5Rz`v$^fmaU#tX5~V+&#_t|mYTsozJICn8~+<~)~ajBCiQE!EMD4e*PyAtEANjkIr7b5jK zs%-t3?e%#^hH2^X)Y@CEl;6el>uq_u-l`rpvTEt0@8Z2Wao( zfa$Gdt`hM&?>+iXSCb)D_DmfjxcU-*G?w$d_CxeZ5S4rzk4a4P`MI4TxORm`w(~sy ztw8v}uo8X59v+1+AG~*Qe&nqARU>i?gQ<%O3}NO-u*`P3i|p0vc83l$Gp0>1;lBTs zq)$@stGBRN5;4wmZxo1gvTNtHqv14`Fn8fTXo8AZm*Pk;5nqpmx*4lWuQHQia~{a=|e5ij$=!K@sOI$$mj~i zV!k_?rm~x?!M=lokuU1rg>~DxQn_(8*)4w+pBk8SvcFO%aFb`7r)663GNW|F2v^sg zL+iDJQ5wTi*Zl2XP@h-{uM`Qxu(_V(CebWJ+O~3U`_PuK$#K1_d7Orbmj3is!)S`g zqCKShl0@Xu`vzTPCduG^1lSsmOUAI3%Py1EQ6{q7_4fR-`}&x-sIlOTt<2=wj0<&d z8?&DyjtoDi031vK=gF~j@N@}h&|RP~aCUKM&9PRmN99*S&rchOjAP8Y?%Y%Dlzl7#%gATD<9b)k6=Rqy zyf0X`C-lTCy!O7R+SD-*bFz|*v7g`tJh%5Px??^w!*w`#rbf*Nz6Lqi7fbBq!<~s< z?J0{eBXZZuH&tWkQGH^AV0o~-dFhdysnQ4?9eqiaMu6AIl*%BSFHBNuT;*a1QM##c zMqb%c3}fi8)n)`H6S-1~1!p#sj+lwG`oww=m$CPV6YDTIY2r`foQNmrO506{<0^9F zeXhYuJD?1vE4vWJ_(W!T!;wI)`^;fJ=rmR7WQl(?G~wwJ35#J*3%~Um_vtgQk2-v8 zToa;{_@!)o4U#~#26t?aXy)_bTAsjW zBv?j~kl~1dg+A6WtV@AYYB}%%_Fj=DZ{e_C*V&w*PR}MBGZl?Rz$1i*<^-!`xd^p$ zxH0Pa~w@Nt2riLVLl^o~aV@Q*~IM8{oVza*Sc*5Is$@?i4 zYZ>r5{Hjg|{oOMuFhgB}I_pHgO3&95I@K?&%NnE;2@EnuPApq}dBbR~9of`Y?TRjX zd}wgQ%ur&y){zDgke_19SHdTsypp;;MU3$z5os!mXUA|?5uj=zuZ%-wsK}q9V)yPNFZL-qW{ zCEjMOwh7+gcnXoc5IC4*dtFxQq~k!)Dady{V)=6!$VvG`ta|es64mfYu0-EfWYwpz z1Vp;_ZfA7qF{%ETR^6*1I=^b}kNEU`bPFV0ctAZZeWUcr-;@zwNcn*)7Mt7u5f8_6zV+fIH*JO=R__D z>54CyUwp8b9Bt)A@WZ4L&U7nWu(KVh99pIp>}hynKz;pfCOvl`1k(znm;NRE%y+m}h zaEQqFyHTH|Z^wR+eEaL^Sqw74kph?qtquYJGGJwD@y(IGW>yS8FPs>4d$6QQFH;Mi z>*B7|Hj-}xrUZrITbYq;yj#0e;gP;2M^5avDnG*vga_}@%AqT|M=7c?uTkIi`Duv{ zxdeLDkCK`Jt|-^SO^=(AvT{<1^6QE1yy6kQbh;ZaqWa_5VY9gRwLRx zs_~UX3fXD2Q{T(ckW|C(3C2atGLQ?y;wMPtFNFU^*_~Hu_MHb1jVBmyH0pj1K_y+qd1l{01f>)leT8q4#WB`D z0F`KnD;{saUDJg^@hiUH$cbX&tSOoSD;x`Y z!S<@1N%v%-T_NHQCYK}wQ#cIw;2ECs5E%3W2<*n5I4 zrnB>V(>~|5;-T+J)*P&+Tq#=zHz{PN8Z;u@tTT_hw<4seU#z0sOM2qT#&6f)Z$Tl9?~GRGbZsaj}cc4%*~t;o?ihw+cQU zvQthyH`)n1EdJWYV^s24`e99jtMenil=E6)lF*?+a4|N{u#^4O`Afm7lE-9JmaX?a zDhCP=WQt-Y*3l9p(po4X`>2NZ)ks^i2QOp;^)Z4eR44*QtCjYzL_Vbqg^PDM5s$X7 ztK)pV?kq2yDt!y&36!%=MZftbz)8Xz-b*kQc}N zK=N%RRWz87imh+b$1O}hbcYyPWnV`TPUb*`2n3El3y~F_gYmHB~5r# zX#x!=30J7)KG1E1~C!& ztI`e-@aaR44yMx$-(YdQIh9Rd$_~o-`eC`=b>zX99%I)q9QocNI>&PZ)>@Ft7PM@7 zVl8r+MjIoo#y!O=L!tPvl}(k)Ye>Uk#2|_Jxi_KSBWw#FKspS%fkgvu&7(Fn8yTN3 z2~t~dqf{ArAOzn9kI=sm~N-^*Ut zq^Nw{SJcn2PPvIJ3WFHRYjNMjZR(vrFdp)bj!_+m4PJ6YFkK*s=*>v&P2ASv9Qs6D<_qN z19M0a&wg^N3YOdjI@(;(;pK$jT)m}EIiZT77o6L!tF;s%)GqK7zTER_m zi{V0i&|nUpBW+-Xd3M^d7H3ej+omOT_GjH0;@i)ya5OIN{Y%=Tk84b4Rjf|i{h*e9 za7a#4KDMEAZ^wF|{H5Q?#EaOdc{Yrj0oP`o1Gtva6hew;H!vCQ(tsdQmc@6%iqGUW zs>9^meT-W16H2wO{qUZ8WT0S16pXn%8xlBgEgHC%&&g+IbZ(JbdQQlClw-Y{1-Ghr zh+eQ|rC6PT+)DV(>WRCaIy zcyLk`-nBCDsi6*(m&zw!lEx7ca=FNxb){=9vIC)UgW$>{QVRgF=Ud6+_zt+B+n z^&JYI19A-~bVI}EJiQTTryUPkOsjG?5BotpIu<7EJ@17y-c-j}vY6MH_F~BqKmEeB zKA&#MJR3z;9Ob_NT|aejGkhX7Thr}Q))DI0un`7~je4WpUWd+ALre=fqhzWsIcLiY>)@7$G#S7@>^8PDcim*6|L z=nbfl`hX|xKq>;p4`MBvf$$42vD;c~0`WU* znIZ$MaF=_p1xI$@n(Bo;CC&X>xO{c;fLW=+H!v|zY_-*PyC^H+%Gnr-H zj!Wrj7A~WkfS7(!NSk%&@Yh4d@ejV`CVO4V;42}pPP+YIcrAZv}_XgJ!02^5HU;nP_#Aht-pH*0}?6YO+@QRp7`uWW-$%s*&Kc zAkh5L4uVfUr}M7J4Xd3#&Fo?PH0kwd`R z{E^&cE5>{2x~$bukYTxX-NyTwD1|MWTf-RA+k`IxeB`kT+(J!b{f|TjC%R$U1@o|U z`c)!bW4KaB*zOVzRHk%oZDOa9>N(>JIVfaKk{UP8BynbJbHX}{lgtNbBC&RSL-7lJ`y;VE-2q)p9kGxeqXYC`^=r(lGv z-JaFiAh(3nf|BhwEQe32vKrlW`}gJ~UnuqGUlcey$!*WasqSF*x}m19fDcSm?B_N_ zMJK~_vPBv4@L8Fc>xmVffloB2<^3>#)1L%N3Z7xfojl28zrD%R$(PA&8~G5Eu0LJsiX+`*l2f0~kQ=7^!G{c~z~jF~}hh!g6O@}lF?rK3+ zevWpDF~JSs=f9{Hidf>12w`AMi2mD=7WAJ-T7b}>|D^csP)m*0oc$^vw#QP1%uQM( zS`wXVN9?msW;|R*s+d_9JSX_YKFb7JTCEer#)@sA=W8Tc_J<_cO<*XE7R) zOyYKXX&LD*3{-IFH0+HR={;$$7w%^7_mB$48NH?6i;?(^5+G`i<2s7Z1z4I^K$=y9 zkvIs70u9*<12IVwy{E0MnhX{`4t!#eqxg`Z11Wd>TBuGG(!H~Kioa3n>gnO3R|Dad zgU27Ya4IaezHn1}f1TfF-G^xsrQ&*nb;&r0cN4&FeXxxYVTeqR*2lDscLG z)AV7Q>|lx}F6`SALWus^>E%Pq7DL$;-A8u%#mz8%x^sHmSu1_LsS$8-qc|qfykF6m z7+s}(2mD=^^!dTTTSu{(N6{bABgO6N{{0b6K*VM}vAE20qyv6DP9+^w`uAjs$I06H zwyELe7P7jG&TZJ2vKbuZn1lu&w3I(cK9PI!&Lb|vzzIt&P?vo31YGZ^nny=h@r|p< z-Oio%icY^-{m#B=Ldelxzk=L=ktx}hv01TH6&Yx=fqA9&B+j5&Uj~X+)5BMHTV8G{ zJ@7q%I70TTQ}OUy!p>|89i!s8zHv=!4XD;vo%evexbt!Y0p?N0vk^~Em-U@SO9V1F z3?u2Uel+3fXU9U-`)Vugy`D5UQY1VPmZkRa@h4?AM@#d{Yax@Y4q%~mlXh-4QmiszPX z^U#GPQIs?pCIvIm1YD)Y%9{=S>A8#Js3*U5;Ww72rF%%_NVV)6FV{8}}?`mwPJ>nvvQC_N6%ob@>e`T)mNE z_v1y)Iej&~D&NFt-yqh&vnDoR;|7g8Zow`r3w-cck#XL8h8EN&j_=MeHYkQ50XOyF z6H|*$sVyt4>0e zC>uYdrg2VPBgC6v)I%IZcWah}%0fIoyyp&U z&pU70I3h*lzje=D-926WMx#*r(Y>HKsam$WD@=iqHc%8kn0@%0HVM77gC%mBKND#) zZL$CRh8H(W7#}^ASP+S^w(36$Slrui;=sHcz4km3;Tq|c_vf#2j~X;2y{0VsItB#} zNC`>1es7Z;aF&B}vm2+Gz#g(aMl~7I&gvX^W|&VlcZ|ooQ6*(=5^@7$(U;bozHoM9 ztjMMll^I#EDgWx$cd#V);-L>+o7p)@R=#_2uIp1(ljb{Ku3r7VhNtPEuNeC1YyzMw zV_6r{HbA6I%p7H4?Hm2*Il05#FGTCREs(w8N7$e5PmZraQb>p}Flm(k?fpscqba;n z6=RWAlmgfofk9?KhuyU^CmqqG%pqPk!@3ep;XE;?xX zMCyeszoMNjxk%%+YCB4J7r2q3KwDAxsy|UcX0}eA?L-Ov>N#Zb!d2#kjFc5II@kZ@ z)4}CDWkaer9{5b%eCdJ=b@e6hGkNuK@qLjgmHWJ;nEWF=-+OO>UD2C~Q=53ZmuClH zm6|L+aTvbs_1izPL5LwO31S$YR6VXErihKecpX~fN|gR^-fH|kEde>%#D)FuB~kxbhwK-f*Z-vRONrF~Ixqi!Q2KYt)c>l|@DD2gE}{C*DwMyd9RGvL z|0${Zbr<~g( H&%6HzOg5gf diff --git a/lib/lpp/dsp/iir_filter/FILTER.vhd b/lib/lpp/dsp/iir_filter/FILTER.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/FILTER.vhd @@ -0,0 +1,102 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- FILTER.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.iir_filter.all; +use lpp.FILTERcfg.all; +use lpp.general_purpose.all; +--Maximum filter speed(smps/s) = Fclk/(Nchanels*Ncoefs) +--exemple 26MHz sys clock and 6 chanels @ 110ksmps/s +--Ncoefs = 26 000 000 /(6 * 110 000) = 39 coefs + +entity FILTER is +port( + + reset : in std_logic; + clk : in std_logic; + sample_clk : in std_logic; + Sample_IN : in std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0); + Sample_OUT : out std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0) +); +end entity; + + + + + +architecture ar_FILTER of FILTER is + + + + +signal ALU_ctrl : std_logic_vector(3 downto 0); +signal Sample : std_logic_vector(Smpl_SZ-1 downto 0); +signal Coef : std_logic_vector(Coef_SZ-1 downto 0); +signal ALU_OUT : std_logic_vector(Smpl_SZ+Coef_SZ-1 downto 0); + +begin + +--============================================================== +--=========================A L U================================ +--============================================================== +ALU1 : entity ALU +generic map( + Arith_en => 1, + Logic_en => 0, + Input_SZ_1 => Smpl_SZ, + Input_SZ_2 => Coef_SZ + +) +port map( + clk => clk, + reset => reset, + ctrl => ALU_ctrl, + OP1 => Sample, + OP2 => Coef, + RES => ALU_OUT +); +--============================================================== + +--============================================================== +--===============F I L T E R C O N T R O L E R================ +--============================================================== +filterctrlr1 : FilterCTRLR +port map( + reset => reset, + clk => clk, + sample_clk => sample_clk, + ALU_Ctrl => ALU_ctrl, + sample_in => sample_Tbl, + coef => Coef, + sample => Sample +); +--============================================================== + +chanelCut : for i in 0 to ChanelsCNT-1 generate + sample_Tbl(i) <= Sample_IN((i+1)*Smpl_SZ-1 downto i*Smpl_SZ); +end generate; + + + + +end ar_FILTER; + diff --git a/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd b/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd @@ -0,0 +1,226 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- FILTER_RAM_CTRLR.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.iir_filter.all; +use lpp.FILTERcfg.all; +use lpp.general_purpose.all; + +--TODO am�liorer la flexibilit� de la config de la RAM. + +entity FILTER_RAM_CTRLR is +port( + reset : in std_logic; + clk : in std_logic; + run : in std_logic; + GO_0 : in std_logic; + B_A : in std_logic; + writeForce : in std_logic; + next_blk : in std_logic; + sample_in : in std_logic_vector(Smpl_SZ-1 downto 0); + sample_out : out std_logic_vector(Smpl_SZ-1 downto 0) +); +end FILTER_RAM_CTRLR; + + +architecture ar_FILTER_RAM_CTRLR of FILTER_RAM_CTRLR is + +signal WD : std_logic_vector(35 downto 0); +signal WD_D : std_logic_vector(35 downto 0); +signal RD : std_logic_vector(35 downto 0); +signal WEN, REN : std_logic; +signal WADDR_back : std_logic_vector(7 downto 0); +signal WADDR_back_D: std_logic_vector(7 downto 0); +signal RADDR : std_logic_vector(7 downto 0); +signal WADDR : std_logic_vector(7 downto 0); +signal WADDR_D : std_logic_vector(7 downto 0); +signal run_D : std_logic; +signal run_D_inv : std_logic; +signal run_inv : std_logic; +signal next_blk_D : std_logic; +signal MUX2_inst1_sel : std_logic; + + +begin + +sample_out <= RD(Smpl_SZ-1 downto 0); + +MUX2_inst1_sel <= run_D and not next_blk; +run_D_inv <= not run_D; +run_inv <= not run; +WEN <= run_D_inv and not writeForce; +REN <= run_inv ;--and not next_blk; + + +--============================================================== +--=========================R A M================================ +--============================================================== +memRAM : if Mem_use = use_RAM generate +RAMblk :RAM + port map( + WD => WD_D, + RD => RD, + WEN => WEN, + REN => REN, + WADDR => WADDR, + RADDR => RADDR, + RWCLK => clk, + RESET => reset + ) ; +end generate; + +memCEL : if Mem_use = use_CEL generate +RAMblk :RAM_CEL + port map( + WD => WD_D, + RD => RD, + WEN => WEN, + REN => REN, + WADDR => WADDR, + RADDR => RADDR, + RWCLK => clk, + RESET => reset + ) ; +end generate; +--============================================================== +--============================================================== + + +ADDRcntr_inst : ADDRcntr +port map( + clk => clk, + reset => reset, + count => run, + clr => GO_0, + Q => RADDR +); + + + +MUX2_inst1 :MUX2 +generic map(Input_SZ => Smpl_SZ) +port map( + sel => MUX2_inst1_sel, + IN1 => sample_in, + IN2 => RD(Smpl_SZ-1 downto 0), + RES => WD(Smpl_SZ-1 downto 0) +); + + +MUX2_inst2 :MUX2 +generic map(Input_SZ => 8) +port map( + sel => next_blk_D, + IN1 => WADDR_D, + IN2 => WADDR_back_D, + RES => WADDR +); + + +next_blkRreg :REG +generic map(size => 1) +port map( + reset => reset, + clk => clk, + D(0) => next_blk, + Q(0) => next_blk_D +); + +WADDR_backreg :REG +generic map(size => 8) +port map( + reset => reset, + clk => B_A, + D => RADDR, + Q => WADDR_back +); + +WADDR_backreg2 :REG +generic map(size => 8) +port map( + reset => reset, + clk => B_A, + D => WADDR_back, + Q => WADDR_back_D +); + +WDRreg :REG +generic map(size => Smpl_SZ) +port map( + reset => reset, + clk => clk, + D => WD(Smpl_SZ-1 downto 0), + Q => WD_D(Smpl_SZ-1 downto 0) +); + +RunRreg :REG +generic map(size => 1) +port map( + reset => reset, + clk => clk, + D(0) => run, + Q(0) => run_D +); + + + +ADDRreg :REG +generic map(size => 8) +port map( + reset => reset, + clk => clk, + D => RADDR, + Q => WADDR_D +); + + + +end ar_FILTER_RAM_CTRLR; + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/lib/lpp/dsp/iir_filter/FILTERcfg.vhd b/lib/lpp/dsp/iir_filter/FILTERcfg.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/FILTERcfg.vhd @@ -0,0 +1,242 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- FILTERcfg.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; + + +package FILTERcfg is + + +--===========================================================| +--================A L U C O N T R O L======================| +--===========================================================| +constant IDLE : std_logic_vector(3 downto 0) := "0000"; +constant MAC_op : std_logic_vector(3 downto 0) := "0001"; +constant MULT : std_logic_vector(3 downto 0) := "0010"; +constant ADD : std_logic_vector(3 downto 0) := "0011"; +constant clr_mac : std_logic_vector(3 downto 0) := "0100"; + + +--===========================================================| +--========F I L T E R C O N F I G V A L U E S=============| +--===========================================================| +--____________________________ +--Bus Width and chanels number| +--____________________________| +constant ChanelsCNT : integer := 6; +constant Smpl_SZ : integer := 16; +constant Coef_SZ : integer := 9; +constant Scalefac_SZ: integer := 3; +constant Cels_count : integer := 5; +--____ +--RAM | +--____| +constant use_RAM : integer := 1; +constant use_CEL : integer := 0; + +constant Mem_use : integer := 1; + +--===========================================================| +--=============C O E F S ====================================| +--===========================================================| +-- create a specific type of data for coefs to avoid errors | +--===========================================================| + +type coefT is array(Coef_SZ-1 downto 0) of std_logic; +type scaleValT is array(natural range <>) of integer; + +type coef_celT is array(0 to 2) of coefT; + +type coefsT is array(natural range <>) of coefT ; + +type coefs_celT is array(natural range <>) of coef_celT; + +type samplT is array(ChanelsCNT-1 downto 0) of std_logic_vector(Smpl_SZ-1 downto 0); + + + + +type coefs_celsT is record + NumCoefs : coefs_celT(0 to Cels_count-1); + DenCoefs : coefs_celT(0 to Cels_count-1); +end record; + + +type in_IIR_CEL_reg is record + config : std_logic_vector(31 downto 0); + coefsTB : coefs_celsT; + virgPos : std_logic_vector(4 downto 0); +end record; +type out_IIR_CEL_reg is record + config : std_logic_vector(31 downto 0); + status : std_logic_vector(31 downto 0); +end record; + + +--============================================================ +-- create each initial values for each coefs ============ +--!!!!!!!!!!It should be interfaced with a software !!!!!!!!!! +--============================================================ +constant b0 : coefT := coefT(TO_SIGNED(-30,Coef_SZ)); +constant b1 : coefT := coefT(TO_SIGNED(-81,Coef_SZ)); +constant b2 : coefT := coefT(TO_SIGNED(-153,Coef_SZ)); +constant b3 : coefT := coefT(TO_SIGNED(-171,Coef_SZ)); +constant b4 : coefT := coefT(TO_SIGNED(-144,Coef_SZ)); +constant b5 : coefT := coefT(TO_SIGNED(-72,Coef_SZ)); +constant b6 : coefT := coefT(TO_SIGNED(-25,Coef_SZ)); + +constant a0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +constant a1 : coefT := coefT(TO_SIGNED(87,Coef_SZ)); +constant a2 : coefT := coefT(TO_SIGNED(-193,Coef_SZ)); +constant a3 : coefT := coefT(TO_SIGNED(60,Coef_SZ)); +constant a4 : coefT := coefT(TO_SIGNED(-62,Coef_SZ)); + + +constant b0_0 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); +constant b0_1 : coefT := coefT(TO_SIGNED(-66,Coef_SZ)); +constant b0_2 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); + +constant b1_0 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); +constant b1_1 : coefT := coefT(TO_SIGNED(-57,Coef_SZ)); +constant b1_2 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); + +constant b2_0 : coefT := coefT(TO_SIGNED(29,Coef_SZ)); +constant b2_1 : coefT := coefT(TO_SIGNED(-17,Coef_SZ)); +constant b2_2 : coefT := coefT(TO_SIGNED(29,Coef_SZ)); + +constant b3_0 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); +constant b3_1 : coefT := coefT(TO_SIGNED(4,Coef_SZ)); +constant b3_2 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); + +constant b4_0 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); +constant b4_1 : coefT := coefT(TO_SIGNED(24,Coef_SZ)); +constant b4_2 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); + +constant b5_0 : coefT := coefT(TO_SIGNED(-81,Coef_SZ)); +constant b5_1 : coefT := coefT(TO_SIGNED(-153,Coef_SZ)); +constant b5_2 : coefT := coefT(TO_SIGNED(-171,Coef_SZ)); + +constant b6_0 : coefT := coefT(TO_SIGNED(-144,Coef_SZ)); +constant b6_1 : coefT := coefT(TO_SIGNED(-72,Coef_SZ)); +constant b6_2 : coefT := coefT(TO_SIGNED(-25,Coef_SZ)); + + +constant a0_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +constant a0_1 : coefT := coefT(TO_SIGNED(189,Coef_SZ)); +constant a0_2 : coefT := coefT(TO_SIGNED(-111,Coef_SZ)); + +constant a1_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +constant a1_1 : coefT := coefT(TO_SIGNED(162,Coef_SZ)); +constant a1_2 : coefT := coefT(TO_SIGNED(-81,Coef_SZ)); + +constant a2_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +constant a2_1 : coefT := coefT(TO_SIGNED(136,Coef_SZ)); +constant a2_2 : coefT := coefT(TO_SIGNED(-55,Coef_SZ)); + +constant a3_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +constant a3_1 : coefT := coefT(TO_SIGNED(114,Coef_SZ)); +constant a3_2 : coefT := coefT(TO_SIGNED(-33,Coef_SZ)); + +constant a4_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +constant a4_1 : coefT := coefT(TO_SIGNED(100,Coef_SZ)); +constant a4_2 : coefT := coefT(TO_SIGNED(-20,Coef_SZ)); + +constant a5_0 : coefT := coefT(TO_SIGNED(60,Coef_SZ)); +constant a5_1 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +constant a5_2 : coefT := coefT(TO_SIGNED(87,Coef_SZ)); +constant a6_0 : coefT := coefT(TO_SIGNED(60,Coef_SZ)); +constant a6_1 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +constant a6_2 : coefT := coefT(TO_SIGNED(87,Coef_SZ)); + + +constant celb0 : coef_celT := (b0_0,b0_1,b0_2); +constant celb1 : coef_celT := (b1_0,b1_1,b1_2); +constant celb2 : coef_celT := (b2_0,b2_1,b2_2); +constant celb3 : coef_celT := (b3_0,b3_1,b3_2); +constant celb4 : coef_celT := (b4_0,b4_1,b4_2); +constant celb5 : coef_celT := (b5_0,b5_1,b5_2); +constant celb6 : coef_celT := (b6_0,b6_1,b6_2); + +constant cela0 : coef_celT := (a0_0,a0_1,a0_2); +constant cela1 : coef_celT := (a1_0,a1_1,a1_2); +constant cela2 : coef_celT := (a2_0,a2_1,a2_2); +constant cela3 : coef_celT := (a3_0,a3_1,a3_2); +constant cela4 : coef_celT := (a4_0,a4_1,a4_2); +constant cela5 : coef_celT := (a5_0,a5_1,a5_2); +constant cela6 : coef_celT := (a6_0,a6_1,a6_2); + + + +constant NumCoefs_cel : coefs_celT(0 to Cels_count-1) := (celb0,celb1,celb2,celb3,celb4); +constant DenCoefs_cel : coefs_celT(0 to Cels_count-1) := (cela0,cela1,cela2,cela3,cela4); +constant virgPos : integer := 7; + + + + + + + +signal NumeratorCoefs : coefsT(0 to 6) := (b0,b1,b2,b3,b4,b5,b6); +signal DenominatorCoefs : coefsT(0 to 4) := (a0,a1,a2,a3,a4); + + +signal sample_Tbl : samplT; + + +end; + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd b/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd @@ -0,0 +1,263 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- FilterCTRLR.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.iir_filter.all; +use lpp.FILTERcfg.all; +use lpp.general_purpose.all; + +--TODO am�liorer la gestion de la RAM et de la flexibilit� du filtre + +entity FilterCTRLR is +port( + reset : in std_logic; + clk : in std_logic; + sample_clk : in std_logic; + ALU_Ctrl : out std_logic_vector(3 downto 0); + sample_in : in samplT; + coef : out std_logic_vector(Coef_SZ-1 downto 0); + sample : out std_logic_vector(Smpl_SZ-1 downto 0) +); +end FilterCTRLR; + + +architecture ar_FilterCTRLR of FilterCTRLR is + +constant NUMCoefsCnt : integer:= NumeratorCoefs'high; +constant DENCoefsCnt : integer:= DenominatorCoefs'high; + +signal NcoefCnt : integer range 0 to NumeratorCoefs'high:=0; +signal DcoefCnt : integer range 0 to DenominatorCoefs'high:=0; + +signal chanelCnt : integer range 0 to 15:=0; + +signal WD : std_logic_vector(35 downto 0); +signal WD_D : std_logic_vector(35 downto 0); +signal RD : std_logic_vector(35 downto 0); +signal WEN, REN,WEN_D : std_logic; +signal WADDR_back : std_logic_vector(7 downto 0); +signal ADDR : std_logic_vector(7 downto 0); +signal ADDR_D : std_logic_vector(7 downto 0); +signal clk_inv : std_logic; + +type Rotate_BuffT is array(ChanelsCNT-1 downto 0) of std_logic_vector(Smpl_SZ-1 downto 0); +signal in_Rotate_Buff : Rotate_BuffT; +signal out_Rotate_Buff : Rotate_BuffT; + +signal sample_clk_old : std_logic; + +type stateT is (waiting,computeNUM,computeDEN,NextChanel); +signal state : stateT; + +begin +clk_inv <= not clk; + +process(clk,reset) +begin +if reset = '0' then + state <= waiting; + WEN <= '1'; + REN <= '1'; + ADDR <= (others => '0'); + WD <= (others => '0'); + NcoefCnt <= 0; + DcoefCnt <= 0; + chanelCnt <= 0; + ALU_Ctrl <= clr_mac; + sample_clk_old <= '0'; + coef <= (others => '0'); + sample <= (others => '0'); +rst:for i in 0 to ChanelsCNT-1 loop + in_Rotate_Buff(i) <= (others => '0'); + end loop; +elsif clk'event and clk = '1' then + + sample_clk_old <= sample_clk; + +--================================================================= +--===============DATA processing=================================== +--================================================================= + case state is + when waiting=> + + if sample_clk_old = '0' and sample_clk = '1' then + ALU_Ctrl <= MAC_op; + sample <= in_Rotate_Buff(0); + coef <= std_logic_vector(NumeratorCoefs(0)); + else + ALU_Ctrl <= clr_mac; +loadinput: for i in 0 to ChanelsCNT-1 loop + in_Rotate_Buff(i) <= sample_in(i); + end loop; + end if; + + when computeNUM=> + ALU_Ctrl <= MAC_op; + sample <= RD(Smpl_SZ-1 downto 0); + coef <= std_logic_vector(NumeratorCoefs(NcoefCnt)); + + when computeDEN=> + ALU_Ctrl <= MAC_op; + sample <= RD(Smpl_SZ-1 downto 0); + coef <= std_logic_vector(DenominatorCoefs(DcoefCnt)); + + when NextChanel=> +rotate : for i in 0 to ChanelsCNT-2 loop + in_Rotate_Buff(i) <= in_Rotate_Buff(i+1); + end loop; +rotatetoo: if ChanelsCNT > 1 then + sample <= in_Rotate_Buff(1); + coef <= std_logic_vector(NumeratorCoefs(0)); + end if; + end case; + +--================================================================= +--===============RAM read write==================================== +--================================================================= + case state is + when waiting=> + if sample_clk_old = '0' and sample_clk = '1' then + REN <= '0'; + else + REN <= '1'; + end if; + ADDR <= (others => '0'); + WD(Smpl_SZ-1 downto 0) <= in_Rotate_Buff(0); + WEN <= '1'; + + when computeNUM=> + WD <= RD; + REN <= '0'; + WEN <= '0'; + ADDR <= std_logic_vector(unsigned(ADDR)+1); + when computeDEN=> + WD <= RD; + REN <= '0'; + WEN <= '0'; + ADDR <= std_logic_vector(unsigned(ADDR)+1); + when NextChanel=> + REN <= '1'; + WEN <= '1'; + end case; +--================================================================= + + +--================================================================= +--===============FSM Management==================================== +--================================================================= + case state is + when waiting=> + if sample_clk_old = '0' and sample_clk = '1' then + state <= computeNUM; + end if; + DcoefCnt <= 0; + NcoefCnt <= 1; + chanelCnt<= 0; + when computeNUM=> + if NcoefCnt = NumCoefsCnt then + state <= computeDEN; + NcoefCnt <= 1; + else + NcoefCnt <= NcoefCnt+1; + end if; + when computeDEN=> + if DcoefCnt = DENCoefsCnt then + state <= NextChanel; + DcoefCnt <= 0; + else + DcoefCnt <= DcoefCnt+1; + end if; + when NextChanel=> + if chanelCnt = (ChanelsCNT-1) then + state <= waiting; + else + chanelCnt<= chanelCnt+1; + state <= computeNUM; + end if; + end case; +--================================================================= + +end if; +end process; + +ADDRreg : REG +generic map(size => 8) +port map( + reset => reset, + clk => clk, + D => ADDR, + Q => ADDR_D +); + +WDreg :REG +generic map(size => 36) +port map( + reset => reset, + clk => clk, + D => WD, + Q => WD_D +); + +WRreg :REG +generic map(size => 1) +port map( + reset => reset, + clk => clk, + D(0) => WEN, + Q(0) => WEN_D +); +--============================================================== +--=========================R A M================================ +--============================================================== +memRAM : if Mem_use = use_RAM generate +RAMblk :RAM + port map( + WD => WD_D, + RD => RD, + WEN => WEN_D, + REN => REN, + WADDR => ADDR_D, + RADDR => ADDR, + RWCLK => clk_inv, + RESET => reset + ) ; +end generate; + +memCEL : if Mem_use = use_CEL generate +RAMblk :RAM + port map( + WD => WD_D, + RD => RD, + WEN => WEN_D, + REN => REN, + WADDR => ADDR_D, + RADDR => ADDR, + RWCLK => clk_inv, + RESET => reset + ) ; +end generate; + +--============================================================== + + + +end ar_FilterCTRLR; diff --git a/lib/lpp/dsp/iir_filter/GPL_HEADER b/lib/lpp/dsp/iir_filter/GPL_HEADER new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/GPL_HEADER @@ -0,0 +1,18 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- diff --git a/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd b/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd @@ -0,0 +1,293 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- IIR_CEL_CTRLR.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.iir_filter.all; +use lpp.FILTERcfg.all; +use lpp.general_purpose.all; + +--TODO am�liorer la gestion de la RAM et de la flexibilit� du filtre + +entity IIR_CEL_CTRLR is +generic(Sample_SZ : integer := 16); +port( + reset : in std_logic; + clk : in std_logic; + sample_clk : in std_logic; + sample_in : in samplT; + sample_out : out samplT; + virg_pos : in integer; + coefs : in coefs_celsT +); +end IIR_CEL_CTRLR; + + + + +architecture ar_IIR_CEL_CTRLR of IIR_CEL_CTRLR is + +signal smpl_clk_old : std_logic := '0'; +signal WD_sel : std_logic := '0'; +signal Read : std_logic := '0'; +signal SVG_ADDR : std_logic := '0'; +signal count : std_logic := '0'; +signal Write : std_logic := '0'; +signal WADDR_sel : std_logic := '0'; +signal GO_0 : std_logic := '0'; + +signal RAM_sample_in : std_logic_vector(Sample_SZ-1 downto 0); +signal RAM_sample_in_bk: std_logic_vector(Sample_SZ-1 downto 0); +signal RAM_sample_out : std_logic_vector(Sample_SZ-1 downto 0); +signal ALU_ctrl : std_logic_vector(3 downto 0); +signal ALU_sample_in : std_logic_vector(Sample_SZ-1 downto 0); +signal ALU_Coef_in : std_logic_vector(Coef_SZ-1 downto 0); +signal ALU_out : std_logic_vector(Sample_SZ+Coef_SZ-1 downto 0); +signal curentCel : integer range 0 to Cels_count-1 := 0; +signal curentChan : integer range 0 to ChanelsCNT-1 := 0; + +signal sample_in_BUFF : samplT; +signal sample_out_BUFF : samplT; + + + +type fsmIIR_CEL_T is (waiting,pipe1,computeb1,computeb2,computea1,computea2,next_cel,pipe2,pipe3,next_chan); + +signal IIR_CEL_STATE : fsmIIR_CEL_T; + +begin + + + + + +RAM_CTRLR2inst : RAM_CTRLR2 +generic map(Input_SZ_1 => Sample_SZ) +port map( + reset => reset, + clk => clk, + WD_sel => WD_sel, + Read => Read, + WADDR_sel => WADDR_sel, + count => count, + SVG_ADDR => SVG_ADDR, + Write => Write, + GO_0 => GO_0, + sample_in => RAM_sample_in, + sample_out => RAM_sample_out +); + + + +ALU_inst :ALU +generic map(Logic_en => 0,Input_SZ_1 => Sample_SZ, Input_SZ_2 => Coef_SZ) +port map( + clk => clk, + reset => reset, + ctrl => ALU_ctrl, + OP1 => ALU_sample_in, + OP2 => ALU_coef_in, + RES => ALU_out +); + + + + + + +WD_sel <= '0' when (IIR_CEL_STATE = waiting or IIR_CEL_STATE = pipe1 or IIR_CEL_STATE = computeb2) else '1'; +Read <= '1' when (IIR_CEL_STATE = pipe1 or IIR_CEL_STATE = computeb1 or IIR_CEL_STATE = computeb2 or IIR_CEL_STATE = computea1 or IIR_CEL_STATE = computea2) else '0'; +WADDR_sel <= '1' when IIR_CEL_STATE = computea1 else '0'; +count <= '1' when (IIR_CEL_STATE = pipe1 or IIR_CEL_STATE = computeb1 or IIR_CEL_STATE = computeb2 or IIR_CEL_STATE = computea1) else '0'; +SVG_ADDR <= '1' when IIR_CEL_STATE = computeb2 else '0'; +--Write <= '1' when (IIR_CEL_STATE = computeb1 or IIR_CEL_STATE = computeb2 or (IIR_CEL_STATE = computea1 and not(curentChan = 0 and curentCel = 0)) or IIR_CEL_STATE = computea2) else '0'; +Write <= '1' when (IIR_CEL_STATE = computeb1 or IIR_CEL_STATE = computeb2 or IIR_CEL_STATE = computea1 or IIR_CEL_STATE = computea2) else '0'; + +GO_0 <= '1' when IIR_CEL_STATE = waiting else '0'; + + + + + + + +process(clk,reset) +variable result : std_logic_vector(Sample_SZ-1 downto 0); + +begin + +if reset = '0' then + + smpl_clk_old <= '0'; + RAM_sample_in <= (others=> '0'); + ALU_ctrl <= IDLE; + ALU_sample_in <= (others=> '0'); + ALU_Coef_in <= (others=> '0'); + RAM_sample_in_bk<= (others=> '0'); + curentCel <= 0; + curentChan <= 0; + IIR_CEL_STATE <= waiting; +reset : for i in 0 to ChanelsCNT-1 loop + sample_in_BUFF(i) <= (others => '0'); + sample_out_BUFF(i) <= (others => '0'); + sample_out(i) <= (others => '0'); + end loop; + +elsif clk'event and clk = '1' then + + smpl_clk_old <= sample_clk; + + case IIR_CEL_STATE is + + when waiting => + if sample_clk = '1' and smpl_clk_old = '0' then + IIR_CEL_STATE <= pipe1; + RAM_sample_in <= sample_in_BUFF(0); + ALU_sample_in <= sample_in_BUFF(0); + + else + ALU_ctrl <= IDLE; + sample_in_BUFF <= sample_in; + sample_out <= sample_out_BUFF; + + end if; + curentCel <= 0; + curentChan <= 0; + + when pipe1 => + IIR_CEL_STATE <= computeb1; + ALU_ctrl <= MAC_op; + ALU_Coef_in <= std_logic_vector(coefs.NumCoefs(curentCel)(0)); + + when computeb1 => + + ALU_ctrl <= MAC_op; + ALU_sample_in <= RAM_sample_out; + ALU_Coef_in <= std_logic_vector(coefs.NumCoefs(curentCel)(1)); + IIR_CEL_STATE <= computeb2; + RAM_sample_in <= RAM_sample_in_bk; + when computeb2 => + ALU_sample_in <= RAM_sample_out; + ALU_Coef_in <= std_logic_vector(coefs.NumCoefs(curentCel)(2)); + IIR_CEL_STATE <= computea1; + + + when computea1 => + ALU_sample_in <= RAM_sample_out; + ALU_Coef_in <= std_logic_vector(coefs.DenCoefs(curentCel)(1)); + IIR_CEL_STATE <= computea2; + + + when computea2 => + ALU_sample_in <= RAM_sample_out; + ALU_Coef_in <= std_logic_vector(coefs.DenCoefs(curentCel)(2)); + IIR_CEL_STATE <= next_cel; + + + when next_cel => + ALU_ctrl <= clr_mac; + IIR_CEL_STATE <= pipe2; + + when pipe2 => + IIR_CEL_STATE <= pipe3; + + + when pipe3 => + + result := ALU_out(Sample_SZ+virg_pos-1 downto virg_pos); + + sample_out_BUFF(0) <= result; + RAM_sample_in_bk <= result; + RAM_sample_in <= result; + if curentCel = Cels_count-1 then + IIR_CEL_STATE <= next_chan; + curentCel <= 0; + else + curentCel <= curentCel + 1; + IIR_CEL_STATE <= pipe1; + ALU_sample_in <= result; + end if; + when next_chan => + +rotate : for i in 0 to ChanelsCNT-2 loop + sample_in_BUFF(i) <= sample_in_BUFF(i+1); + sample_out_BUFF(i) <= sample_out_BUFF(i+1); + end loop; + sample_in_BUFF(ChanelsCNT-1) <= sample_in_BUFF(0); + sample_out_BUFF(ChanelsCNT-1)<= sample_out_BUFF(0); + + if curentChan = (ChanelsCNT-1) then + IIR_CEL_STATE <= waiting; + ALU_ctrl <= clr_mac; + else + curentChan <= curentChan + 1; + IIR_CEL_STATE <= pipe1; + ALU_sample_in <= sample_in_BUFF(1); + RAM_sample_in <= sample_in_BUFF(1); + end if; + end case; + +end if; +end process; + + + + + + +end ar_IIR_CEL_CTRLR; + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd b/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd @@ -0,0 +1,90 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- IIR_CEL_FILTER.vhd + +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.iir_filter.all; +use lpp.FILTERcfg.all; +use lpp.general_purpose.all; + +--TODO am�liorer la gestion de la RAM et de la flexibilit� du filtre + +entity IIR_CEL_FILTER is +generic(Sample_SZ : integer := 16); +port( + reset : in std_logic; + clk : in std_logic; + sample_clk : in std_logic; + regs_in : in in_IIR_CEL_reg; + regs_out : in out_IIR_CEL_reg; + sample_in : in samplT; + sample_out : out samplT + +); +end IIR_CEL_FILTER; + + + + +architecture ar_IIR_CEL_FILTER of IIR_CEL_FILTER is + +signal virg_pos : integer; +begin + +virg_pos <= to_integer(unsigned(regs_in.virgPos)); + + +CTRLR : IIR_CEL_CTRLR +generic map (Sample_SZ => Sample_SZ) +port map( + reset => reset, + clk => clk, + sample_clk => sample_clk, + sample_in => sample_in, + sample_out => sample_out, + virg_pos => virg_pos, + coefs => regs_in.coefsTB +); + + + + + +end ar_IIR_CEL_FILTER; + + + + + + + + + + + + + + + + + + diff --git a/lib/lpp/dsp/iir_filter/RAM.vhd b/lib/lpp/dsp/iir_filter/RAM.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/RAM.vhd @@ -0,0 +1,62 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- RAM.vhd +library ieee; +use ieee.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity RAM is + port( WD : in std_logic_vector(35 downto 0); RD : out + std_logic_vector(35 downto 0);WEN, REN : in std_logic; + WADDR : in std_logic_vector(7 downto 0); RADDR : in + std_logic_vector(7 downto 0);RWCLK, RESET : in std_logic + ) ; +end RAM; + + +architecture DEF_ARCH of RAM is +type RAMarrayT is array (0 to 255) of std_logic_vector(35 downto 0); +signal RAMarray : RAMarrayT:=(others => X"000000000"); +signal RD_int : std_logic_vector(35 downto 0); + +begin + +RD_int <= RAMarray(to_integer(unsigned(RADDR))); + + +process(RWclk,reset) +begin +if reset = '0' then + RD <= (X"000000000"); +rst:for i in 0 to 255 loop + RAMarray(i) <= (others => '0'); + end loop; + +elsif RWclk'event and RWclk = '1' then + if REN = '0' then + RD <= RD_int; + end if; + + if WEN = '0' then + RAMarray(to_integer(unsigned(WADDR))) <= WD; + end if; + +end if; +end process; +end DEF_ARCH; diff --git a/lib/lpp/dsp/iir_filter/RAM_CEL.vhd b/lib/lpp/dsp/iir_filter/RAM_CEL.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/RAM_CEL.vhd @@ -0,0 +1,91 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- RAM_CEL.vhd +library ieee; +use ieee.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity RAM_CEL is + port( WD : in std_logic_vector(35 downto 0); RD : out + std_logic_vector(35 downto 0);WEN, REN : in std_logic; + WADDR : in std_logic_vector(7 downto 0); RADDR : in + std_logic_vector(7 downto 0);RWCLK, RESET : in std_logic + ) ; +end RAM_CEL; + + + +architecture ar_RAM_CEL of RAM_CEL is +type RAMarrayT is array (0 to 255) of std_logic_vector(35 downto 0); +signal RAMarray : RAMarrayT:=(others => X"000000000"); +signal RD_int : std_logic_vector(35 downto 0); + +begin + +RD_int <= RAMarray(to_integer(unsigned(RADDR))); + + +process(RWclk,reset) +begin +if reset = '0' then + RD <= (X"000000000"); +rst:for i in 0 to 255 loop + RAMarray(i) <= (others => '0'); + end loop; + +elsif RWclk'event and RWclk = '1' then + if REN = '0' then + RD <= RD_int; + end if; + + if WEN = '0' then + RAMarray(to_integer(unsigned(WADDR))) <= WD; + end if; + +end if; +end process; +end ar_RAM_CEL; + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd b/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd @@ -0,0 +1,210 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- RAM_CTRLR2.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.iir_filter.all; +use lpp.FILTERcfg.all; +use lpp.general_purpose.all; + +--TODO am�liorer la flexibilit� de la config de la RAM. + +entity RAM_CTRLR2 is +generic( + Input_SZ_1 : integer := 16 +); +port( + reset : in std_logic; + clk : in std_logic; + WD_sel : in std_logic; + Read : in std_logic; + WADDR_sel : in std_logic; + count : in std_logic; + SVG_ADDR : in std_logic; + Write : in std_logic; + GO_0 : in std_logic; + sample_in : in std_logic_vector(Input_SZ_1-1 downto 0); + sample_out : out std_logic_vector(Input_SZ_1-1 downto 0) +); +end RAM_CTRLR2; + + +architecture ar_RAM_CTRLR2 of RAM_CTRLR2 is + +signal WD : std_logic_vector(35 downto 0); +signal WD_D : std_logic_vector(35 downto 0); +signal RD : std_logic_vector(35 downto 0); +signal WEN, REN : std_logic; +signal WADDR_back : std_logic_vector(7 downto 0); +signal WADDR_back_D: std_logic_vector(7 downto 0); +signal RADDR : std_logic_vector(7 downto 0); +signal WADDR : std_logic_vector(7 downto 0); +signal WADDR_D : std_logic_vector(7 downto 0); + + + +begin + +sample_out <= RD(Smpl_SZ-1 downto 0); + + +WEN <= not Write; +REN <= not read; + + +--============================================================== +--=========================R A M================================ +--============================================================== +memRAM : if Mem_use = use_RAM generate +RAMblk :RAM + port map( + WD => WD_D, + RD => RD, + WEN => WEN, + REN => REN, + WADDR => WADDR, + RADDR => RADDR, + RWCLK => clk, + RESET => reset + ) ; +end generate; + +memCEL : if Mem_use = use_CEL generate +RAMblk :RAM_CEL + port map( + WD => WD_D, + RD => RD, + WEN => WEN, + REN => REN, + WADDR => WADDR, + RADDR => RADDR, + RWCLK => clk, + RESET => reset + ) ; +end generate; +--============================================================== +--============================================================== + + +ADDRcntr_inst : ADDRcntr +port map( + clk => clk, + reset => reset, + count => count, + clr => GO_0, + Q => RADDR +); + + + +MUX2_inst1 :MUX2 +generic map(Input_SZ => Smpl_SZ) +port map( + sel => WD_sel, + IN1 => sample_in, + IN2 => RD(Smpl_SZ-1 downto 0), + RES => WD(Smpl_SZ-1 downto 0) +); + + +MUX2_inst2 :MUX2 +generic map(Input_SZ => 8) +port map( + sel => WADDR_sel, + IN1 => WADDR_D, + IN2 => WADDR_back_D, + RES => WADDR +); + + + + +WADDR_backreg :REG +generic map(size => 8,initial_VALUE =>ChanelsCNT*Cels_count*4-2) +port map( + reset => reset, + clk => SVG_ADDR, + D => RADDR, + Q => WADDR_back +); + +WADDR_backreg2 :REG +generic map(size => 8) +port map( + reset => reset, + clk => SVG_ADDR, + D => WADDR_back, + Q => WADDR_back_D +); + +WDRreg :REG +generic map(size => Smpl_SZ) +port map( + reset => reset, + clk => clk, + D => WD(Smpl_SZ-1 downto 0), + Q => WD_D(Smpl_SZ-1 downto 0) +); + + + + +ADDRreg :REG +generic map(size => 8) +port map( + reset => reset, + clk => clk, + D => RADDR, + Q => WADDR_D +); + + + +end ar_RAM_CTRLR2; + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd b/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd @@ -0,0 +1,114 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- TestbenshMAC.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; + + + +entity TestbenshMAC is +end TestbenshMAC; + + + + +architecture ar_TestbenshMAC of TestbenshMAC is + + + +constant OP1sz : integer := 16; +constant OP2sz : integer := 12; +--IDLE =00 MAC =01 MULT =10 ADD =11 +constant IDLE : std_logic_vector(1 downto 0) := "00"; +constant MAC : std_logic_vector(1 downto 0) := "01"; +constant MULT : std_logic_vector(1 downto 0) := "10"; +constant ADD : std_logic_vector(1 downto 0) := "11"; + +signal clk : std_logic:='0'; +signal reset : std_logic:='0'; +signal clrMAC : std_logic:='0'; +signal MAC_MUL_ADD : std_logic_vector(1 downto 0):=IDLE; +signal Operand1 : std_logic_vector(OP1sz-1 downto 0):=(others => '0'); +signal Operand2 : std_logic_vector(OP2sz-1 downto 0):=(others => '0'); +signal Resultat : std_logic_vector(OP1sz+OP2sz-1 downto 0); + + + + +begin + + +MAC1 : entity LPP_IIR_FILTER.MAC +generic map( + Input_SZ_A => OP1sz, + Input_SZ_B => OP2sz + +) +port map( + clk => clk, + reset => reset, + clr_MAC => clrMAC, + MAC_MUL_ADD => MAC_MUL_ADD, + OP1 => Operand1, + OP2 => Operand2, + RES => Resultat +); + +clk <= not clk after 25 ns; + +process +begin +wait for 40 ns; +reset <= '1'; +wait for 11 ns; +Operand1 <= X"0001"; +Operand2 <= X"001"; +MAC_MUL_ADD <= ADD; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"100"; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"001"; +MAC_MUL_ADD <= MULT; +wait for 50 ns; +Operand1 <= X"0002"; +Operand2 <= X"002"; +wait for 50 ns; +clrMAC <= '1'; +wait for 50 ns; +clrMAC <= '0'; +Operand1 <= X"0001"; +Operand2 <= X"003"; +MAC_MUL_ADD <= MAC; +wait; +end process; +end ar_TestbenshMAC; + + + + + + + + + + + diff --git a/lib/lpp/dsp/iir_filter/Top_Filtre_IIR.vhd b/lib/lpp/dsp/iir_filter/Top_Filtre_IIR.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/Top_Filtre_IIR.vhd @@ -0,0 +1,19 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- Top_Filtre_IIR.vhd \ No newline at end of file diff --git a/lib/lpp/dsp/iir_filter/iir_filter.vhd b/lib/lpp/dsp/iir_filter/iir_filter.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/iir_filter.vhd @@ -0,0 +1,161 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; +library lpp; +use lpp.FILTERcfg.all; + + + +package iir_filter is + +component APB_IIR_CEL is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8; + Sample_SZ : integer := Smpl_SZ + ); + port ( + rst : in std_logic; + clk : in std_logic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + sample_clk : in std_logic; + sample_clk_out : out std_logic; + sample_in : in samplT; + sample_out : out samplT + ); +end component; + + +component FILTER is +port( + + reset : in std_logic; + clk : in std_logic; + sample_clk : in std_logic; + Sample_IN : in std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0); + Sample_OUT : out std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0) +); +end component; + + + +component FilterCTRLR is +port( + reset : in std_logic; + clk : in std_logic; + sample_clk : in std_logic; + ALU_Ctrl : out std_logic_vector(3 downto 0); + sample_in : in samplT; + coef : out std_logic_vector(Coef_SZ-1 downto 0); + sample : out std_logic_vector(Smpl_SZ-1 downto 0) +); +end component; + + +component FILTER_RAM_CTRLR is +port( + reset : in std_logic; + clk : in std_logic; + run : in std_logic; + GO_0 : in std_logic; + B_A : in std_logic; + writeForce : in std_logic; + next_blk : in std_logic; + sample_in : in std_logic_vector(Smpl_SZ-1 downto 0); + sample_out : out std_logic_vector(Smpl_SZ-1 downto 0) +); +end component; + + +component IIR_CEL_CTRLR is +generic(Sample_SZ : integer := 16); +port( + reset : in std_logic; + clk : in std_logic; + sample_clk : in std_logic; + sample_in : in samplT; + sample_out : out samplT; + virg_pos : in integer; + coefs : in coefs_celsT +); +end component; + + +component RAM is + port( WD : in std_logic_vector(35 downto 0); RD : out + std_logic_vector(35 downto 0);WEN, REN : in std_logic; + WADDR : in std_logic_vector(7 downto 0); RADDR : in + std_logic_vector(7 downto 0);RWCLK, RESET : in std_logic + ) ; +end component; + + +component RAM_CEL is + port( WD : in std_logic_vector(35 downto 0); RD : out + std_logic_vector(35 downto 0);WEN, REN : in std_logic; + WADDR : in std_logic_vector(7 downto 0); RADDR : in + std_logic_vector(7 downto 0);RWCLK, RESET : in std_logic + ) ; +end component; + +component IIR_CEL_FILTER is +generic(Sample_SZ : integer := 16); +port( + reset : in std_logic; + clk : in std_logic; + sample_clk : in std_logic; + regs_in : in in_IIR_CEL_reg; + regs_out : in out_IIR_CEL_reg; + sample_in : in samplT; + sample_out : out samplT + +); +end component; + + +component RAM_CTRLR2 is +generic( + Input_SZ_1 : integer := 16 +); +port( + reset : in std_logic; + clk : in std_logic; + WD_sel : in std_logic; + Read : in std_logic; + WADDR_sel : in std_logic; + count : in std_logic; + SVG_ADDR : in std_logic; + Write : in std_logic; + GO_0 : in std_logic; + sample_in : in std_logic_vector(Input_SZ_1-1 downto 0); + sample_out : out std_logic_vector(Input_SZ_1-1 downto 0) +); +end component; + + +end; diff --git a/lib/lpp/dsp/iir_filter/temp.sh b/lib/lpp/dsp/iir_filter/temp.sh new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/temp.sh @@ -0,0 +1,1 @@ +ls|grep .vhd|grep -i -v test>vhdlsyn.txt diff --git a/lib/lpp/dsp/iir_filter/vhdlsyn.txt b/lib/lpp/dsp/iir_filter/vhdlsyn.txt new file mode 100644 --- /dev/null +++ b/lib/lpp/dsp/iir_filter/vhdlsyn.txt @@ -0,0 +1,12 @@ +APB_IIR_CEL.vhd +FILTERcfg.vhd +FilterCTRLR.vhd +FILTER_RAM_CTRLR.vhd +FILTER.vhd +IIR_CEL_CTRLR.vhd +IIR_CEL_FILTER.vhd +iir_filter.vhd +RAM_CEL.vhd +RAM_CTRLR2.vhd +RAM.vhd +Top_Filtre_IIR.vhd diff --git a/lib/lpp/general_purpose/ADDRcntr.vhd b/lib/lpp/general_purpose/ADDRcntr.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/ADDRcntr.vhd @@ -0,0 +1,62 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- ADDRcntr.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; + + + +entity ADDRcntr is +port( + clk : in std_logic; + reset : in std_logic; + count : in std_logic; + clr : in std_logic; + Q : out std_logic_vector(7 downto 0) +); +end entity; + + + + +architecture ar_ADDRcntr of ADDRcntr is + +signal reg : std_logic_vector(7 downto 0); + +begin + +Q <= REG; + +process(clk,reset) +begin +if reset = '0' then + REG <= (others => '0'); +elsif clk'event and clk ='1' then + if clr = '1' then + REG <= (others => '0'); + elsif count ='1' then + REG <= std_logic_vector(unsigned(REG)+1); + end if; +end if; +end process; + +end ar_ADDRcntr; diff --git a/lib/lpp/general_purpose/ALU.vhd b/lib/lpp/general_purpose/ALU.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/ALU.vhd @@ -0,0 +1,101 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- ALU.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; +--IDLE =0000 MAC =0001 MULT =0010 ADD =0011 CLRMAC =0100 +--NOT =0101 AND =0110 OR =0111 XOR =1000 +--SHIFTleft =1001 SHIFTright =1010 + +entity ALU is +generic( + Arith_en : integer := 1; + Logic_en : integer := 1; + Input_SZ_1 : integer := 16; + Input_SZ_2 : integer := 9 + +); +port( + clk : in std_logic; + reset : in std_logic; + ctrl : in std_logic_vector(3 downto 0); + OP1 : in std_logic_vector(Input_SZ_1-1 downto 0); + OP2 : in std_logic_vector(Input_SZ_2-1 downto 0); + RES : out std_logic_vector(Input_SZ_1+Input_SZ_2-1 downto 0) +); +end entity; + + + +architecture ar_ALU of ALU is + + + +signal clr_MAC : std_logic:='1'; + + +begin + +clr_MAC <= '1' when ctrl = "0100" else '0'; + + +arith : if Arith_en = 1 generate + + +MACinst : MAC +generic map( + Input_SZ_A => Input_SZ_1, + Input_SZ_B => Input_SZ_2 + +) +port map( + clk => clk, + reset => reset, + clr_MAC => clr_MAC, + MAC_MUL_ADD => ctrl(1 downto 0), + OP1 => OP1, + OP2 => OP2, + RES => RES +); + +end generate; + +process(clk,reset) +begin +if reset = '0' then +elsif clk'event and clk ='1' then + +end if; +end process; +end architecture; + + + + + + + + + + + + diff --git a/lib/lpp/general_purpose/Adder.vhd b/lib/lpp/general_purpose/Adder.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/Adder.vhd @@ -0,0 +1,70 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- Adder.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; + + + +entity Adder is +generic( + Input_SZ_A : integer := 16; + Input_SZ_B : integer := 16 + +); +port( + clk : in std_logic; + reset : in std_logic; + clr : in std_logic; + add : in std_logic; + OP1 : in std_logic_vector(Input_SZ_A-1 downto 0); + OP2 : in std_logic_vector(Input_SZ_B-1 downto 0); + RES : out std_logic_vector(Input_SZ_A-1 downto 0) +); +end entity; + + + + +architecture ar_Adder of Adder is + +signal REG : std_logic_vector(Input_SZ_A-1 downto 0); +signal RESADD : std_logic_vector(Input_SZ_A-1 downto 0); + +begin + +RES <= REG; +RESADD <= std_logic_vector(resize(signed(OP1)+signed(OP2),Input_SZ_A)); + +process(clk,reset) +begin +if reset = '0' then + REG <= (others => '0'); +elsif clk'event and clk ='1' then + if clr = '1' then + REG <= (others => '0'); + elsif add = '1' then + REG <= RESADD; + end if; +end if; +end process; +end ar_Adder; diff --git a/lib/lpp/general_purpose/MAC.vhd b/lib/lpp/general_purpose/MAC.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/MAC.vhd @@ -0,0 +1,276 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- MAC.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; +--TODO +--terminer le testbensh puis changer le resize dans les instanciations +--par un resize sur un vecteur en combi + + + + + +entity MAC is +generic( + Input_SZ_A : integer := 8; + Input_SZ_B : integer := 8 + +); +port( + clk : in std_logic; + reset : in std_logic; + clr_MAC : in std_logic; + MAC_MUL_ADD : in std_logic_vector(1 downto 0); + OP1 : in std_logic_vector(Input_SZ_A-1 downto 0); + OP2 : in std_logic_vector(Input_SZ_B-1 downto 0); + RES : out std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0) +); +end MAC; + + + + +architecture ar_MAC of MAC is + + + + + +signal add,mult : std_logic; +signal MULTout : std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0); + +signal ADDERinA : std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0); +signal ADDERinB : std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0); +signal ADDERout : std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0); + + +signal MACMUXsel : std_logic; +signal OP1_D_Resz : std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0); +signal OP2_D_Resz : std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0); + + + +signal MACMUX2sel : std_logic; + +signal add_D : std_logic; +signal OP1_D : std_logic_vector(Input_SZ_A-1 downto 0); +signal OP2_D : std_logic_vector(Input_SZ_B-1 downto 0); +signal MULTout_D : std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0); +signal MACMUXsel_D : std_logic; +signal MACMUX2sel_D : std_logic; +signal MACMUX2sel_D_D : std_logic; +signal clr_MAC_D : std_logic; +signal clr_MAC_D_D : std_logic; + + + + + +begin + + + + +--============================================================== +--=============M A C C O N T R O L E R========================= +--============================================================== +MAC_CONTROLER1 : MAC_CONTROLER +port map( + ctrl => MAC_MUL_ADD, + MULT => mult, + ADD => add, + MACMUX_sel => MACMUXsel, + MACMUX2_sel => MACMUX2sel + +); +--============================================================== + + + + +--============================================================== +--=============M U L T I P L I E R============================== +--============================================================== +Multiplieri_nst : Multiplier +generic map( + Input_SZ_A => Input_SZ_A, + Input_SZ_B => Input_SZ_B +) +port map( + clk => clk, + reset => reset, + mult => mult, + OP1 => OP1, + OP2 => OP2, + RES => MULTout +); + +--============================================================== + + + + +--============================================================== +--======================A D D E R ============================== +--============================================================== +adder_inst : Adder +generic map( + Input_SZ_A => Input_SZ_A+Input_SZ_B, + Input_SZ_B => Input_SZ_A+Input_SZ_B +) +port map( + clk => clk, + reset => reset, + clr => clr_MAC_D, + add => add_D, + OP1 => ADDERinA, + OP2 => ADDERinB, + RES => ADDERout +); + +--============================================================== + + +clr_MACREG1 : MAC_REG +generic map(size => 1) +port map( + reset => reset, + clk => clk, + D(0) => clr_MAC, + Q(0) => clr_MAC_D +); + +clr_MACREG2 : MAC_REG +generic map(size => 1) +port map( + reset => reset, + clk => clk, + D(0) => clr_MAC_D, + Q(0) => clr_MAC_D_D +); + +addREG : MAC_REG +generic map(size => 1) +port map( + reset => reset, + clk => clk, + D(0) => add, + Q(0) => add_D +); + +OP1REG : MAC_REG +generic map(size => Input_SZ_A) +port map( + reset => reset, + clk => clk, + D => OP1, + Q => OP1_D +); + + +OP2REG : MAC_REG +generic map(size => Input_SZ_B) +port map( + reset => reset, + clk => clk, + D => OP2, + Q => OP2_D +); + + +MULToutREG : MAC_REG +generic map(size => Input_SZ_A+Input_SZ_B) +port map( + reset => reset, + clk => clk, + D => MULTout, + Q => MULTout_D +); + + +MACMUXselREG : MAC_REG +generic map(size => 1) +port map( + reset => reset, + clk => clk, + D(0) => MACMUXsel, + Q(0) => MACMUXsel_D +); + +MACMUX2selREG : MAC_REG +generic map(size => 1) +port map( + reset => reset, + clk => clk, + D(0) => MACMUX2sel, + Q(0) => MACMUX2sel_D +); + +MACMUX2selREG2 : MAC_REG +generic map(size => 1) +port map( + reset => reset, + clk => clk, + D(0) => MACMUX2sel_D, + Q(0) => MACMUX2sel_D_D +); + +--============================================================== +--======================M A C M U X =========================== +--============================================================== +MACMUX_inst : MAC_MUX +generic map( + Input_SZ_A => Input_SZ_A+Input_SZ_B, + Input_SZ_B => Input_SZ_A+Input_SZ_B + +) +port map( + sel => MACMUXsel_D, + INA1 => ADDERout, + INA2 => OP2_D_Resz, + INB1 => MULTout, + INB2 => OP1_D_Resz, + OUTA => ADDERinA, + OUTB => ADDERinB +); +OP1_D_Resz <= std_logic_vector(resize(signed(OP1_D),Input_SZ_A+Input_SZ_B)); +OP2_D_Resz <= std_logic_vector(resize(signed(OP2_D),Input_SZ_A+Input_SZ_B)); +--============================================================== + + +--============================================================== +--======================M A C M U X2 ========================== +--============================================================== +MAC_MUX2_inst : MAC_MUX2 +generic map(Input_SZ => Input_SZ_A+Input_SZ_B) +port map( + sel => MACMUX2sel_D_D, + RES2 => MULTout_D, + RES1 => ADDERout, + RES => RES +); + + +--============================================================== + +end ar_MAC; diff --git a/lib/lpp/general_purpose/MAC_CONTROLER.vhd b/lib/lpp/general_purpose/MAC_CONTROLER.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/MAC_CONTROLER.vhd @@ -0,0 +1,67 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- MAC_CONTROLER.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; + + +--IDLE =00 MAC =01 MULT =10 ADD =11 + + +entity MAC_CONTROLER is +port( + ctrl : in std_logic_vector(1 downto 0); + MULT : out std_logic; + ADD : out std_logic; + MACMUX_sel : out std_logic; + MACMUX2_sel : out std_logic + +); +end MAC_CONTROLER; + + + + + +architecture ar_MAC_CONTROLER of MAC_CONTROLER is + +begin + + + +MULT <= '0' when (ctrl = "00" or ctrl = "11") else '1'; +ADD <= '0' when (ctrl = "00" or ctrl = "10") else '1'; +MACMUX_sel <= '0' when (ctrl = "00" or ctrl = "01") else '1'; +MACMUX2_sel <= '0' when (ctrl = "00" or ctrl = "01"or ctrl = "11") else '1'; + + +end ar_MAC_CONTROLER; + + + + + + + + + + diff --git a/lib/lpp/general_purpose/MAC_MUX.vhd b/lib/lpp/general_purpose/MAC_MUX.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/MAC_MUX.vhd @@ -0,0 +1,55 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- MAC_MUX.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; + + + +entity MAC_MUX is +generic( + Input_SZ_A : integer := 16; + Input_SZ_B : integer := 16 + +); +port( + sel : in std_logic; + INA1 : in std_logic_vector(Input_SZ_A-1 downto 0); + INA2 : in std_logic_vector(Input_SZ_A-1 downto 0); + INB1 : in std_logic_vector(Input_SZ_B-1 downto 0); + INB2 : in std_logic_vector(Input_SZ_B-1 downto 0); + OUTA : out std_logic_vector(Input_SZ_A-1 downto 0); + OUTB : out std_logic_vector(Input_SZ_B-1 downto 0) +); +end entity; + + + + +architecture ar_MAC_MUX of MAC_MUX is + +begin + +OUTA <= INA1 when sel = '0' else INA2; +OUTB <= INB1 when sel = '0' else INB2; + +end ar_MAC_MUX; diff --git a/lib/lpp/general_purpose/MAC_MUX2.vhd b/lib/lpp/general_purpose/MAC_MUX2.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/MAC_MUX2.vhd @@ -0,0 +1,47 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- MAC_MUX2.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; + + + +entity MAC_MUX2 is +generic(Input_SZ : integer := 16); +port( + sel : in std_logic; + RES1 : in std_logic_vector(Input_SZ-1 downto 0); + RES2 : in std_logic_vector(Input_SZ-1 downto 0); + RES : out std_logic_vector(Input_SZ-1 downto 0) +); +end entity; + + + + +architecture ar_MAC_MUX2 of MAC_MUX2 is + +begin + +RES <= RES1 when sel = '0' else RES2; + +end ar_MAC_MUX2; diff --git a/lib/lpp/general_purpose/MAC_REG.vhd b/lib/lpp/general_purpose/MAC_REG.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/MAC_REG.vhd @@ -0,0 +1,60 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- MAC_REG.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; + + + +entity MAC_REG is +generic(size : integer := 16); +port( + reset : in std_logic; + clk : in std_logic; + D : in std_logic_vector(size-1 downto 0); + Q : out std_logic_vector(size-1 downto 0) +); +end entity; + + + +architecture ar_MAC_REG of MAC_REG is +begin +process(clk,reset) +begin +if reset = '0' then + Q <= (others => '0'); +elsif clk'event and clk ='1' then + Q <= D; +end if; +end process; +end ar_MAC_REG; + + + + + + + + + + diff --git a/lib/lpp/general_purpose/MUX2.vhd b/lib/lpp/general_purpose/MUX2.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/MUX2.vhd @@ -0,0 +1,47 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- MUX2.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; + + + +entity MUX2 is +generic(Input_SZ : integer := 16); +port( + sel : in std_logic; + IN1 : in std_logic_vector(Input_SZ-1 downto 0); + IN2 : in std_logic_vector(Input_SZ-1 downto 0); + RES : out std_logic_vector(Input_SZ-1 downto 0) +); +end entity; + + + + +architecture ar_MUX2 of MUX2 is + +begin + +RES <= IN1 when sel = '0' else IN2; + +end ar_MUX2; diff --git a/lib/lpp/general_purpose/Multiplier.vhd b/lib/lpp/general_purpose/Multiplier.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/Multiplier.vhd @@ -0,0 +1,78 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- Multiplier.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; + +library lpp; +use lpp.general_purpose.all; + + + +entity Multiplier is +generic( + Input_SZ_A : integer := 16; + Input_SZ_B : integer := 16 + +); +port( + clk : in std_logic; + reset : in std_logic; + mult : in std_logic; + OP1 : in std_logic_vector(Input_SZ_A-1 downto 0); + OP2 : in std_logic_vector(Input_SZ_B-1 downto 0); + RES : out std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0) +); +end Multiplier; + + + + + +architecture ar_Multiplier of Multiplier is + +signal REG : std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0); +signal RESMULT : std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0); + + +begin + +RES <= REG; +RESMULT <= std_logic_vector(signed(OP1)*signed(OP2)); +process(clk,reset) +begin +if reset = '0' then + REG <= (others => '0'); +elsif clk'event and clk ='1' then + if mult = '1' then + REG <= RESMULT; + end if; +end if; +end process; + +end ar_Multiplier; + + + + + + + + diff --git a/lib/lpp/general_purpose/REG.vhd b/lib/lpp/general_purpose/REG.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/REG.vhd @@ -0,0 +1,48 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- REG.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; + +entity REG is +generic(size : integer := 16 ; initial_VALUE : integer := 0); +port( + reset : in std_logic; + clk : in std_logic; + D : in std_logic_vector(size-1 downto 0); + Q : out std_logic_vector(size-1 downto 0) +); +end entity; + + + +architecture ar_REG of REG is +begin +process(clk,reset) +begin +if reset = '0' then + Q <= std_logic_vector(to_unsigned(initial_VALUE,size)); +elsif clk'event and clk ='1' then + Q <= D; +end if; +end process; +end ar_REG; diff --git a/lib/lpp/general_purpose/Shifter.vhd b/lib/lpp/general_purpose/Shifter.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/Shifter.vhd @@ -0,0 +1,66 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- Shifter.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; +library lpp; +use lpp.general_purpose.all; + + + +entity RShifter is +generic( + Input_SZ : integer := 16; + shift_SZ : integer := 4 +); +port( + clk : in std_logic; + reset : in std_logic; + shift : in std_logic; + OP : in std_logic_vector(Input_SZ-1 downto 0); + cnt : in std_logic_vector(shift_SZ-1 downto 0); + RES : out std_logic_vector(Input_SZ-1 downto 0) +); +end entity; + + + + +architecture ar_RShifter of RShifter is + +signal REG : std_logic_vector(Input_SZ-1 downto 0); +signal RESSHIFT: std_logic_vector(Input_SZ-1 downto 0); + +begin + +RES <= REG; +RESSHIFT <= std_logic_vector(SHIFT_RIGHT(signed(OP),to_integer(unsigned(cnt)))); + +process(clk,reset) +begin +if reset = '0' then + REG <= (others => '0'); +elsif clk'event and clk ='1' then + if shift = '1' then + REG <= RESSHIFT; + end if; +end if; +end process; +end ar_RShifter; diff --git a/lib/lpp/general_purpose/TestbenshALU.vhd b/lib/lpp/general_purpose/TestbenshALU.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/TestbenshALU.vhd @@ -0,0 +1,136 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- TestbenshALU.vhd +library IEEE; +use IEEE.numeric_std.all; +use IEEE.std_logic_1164.all; + + + +entity TestbenshALU is +end TestbenshALU; + + + + +architecture ar_TestbenshALU of TestbenshALU is + + + +constant OP1sz : integer := 16; +constant OP2sz : integer := 12; +--IDLE =00 MAC =01 MULT =10 ADD =11 +constant IDLE : std_logic_vector(3 downto 0) := "0000"; +constant MAC : std_logic_vector(3 downto 0) := "0001"; +constant MULT : std_logic_vector(3 downto 0) := "0010"; +constant ADD : std_logic_vector(3 downto 0) := "0011"; +constant clr_mac : std_logic_vector(3 downto 0) := "0100"; + +signal clk : std_logic:='0'; +signal reset : std_logic:='0'; +signal ctrl : std_logic_vector(3 downto 0):=IDLE; +signal Operand1 : std_logic_vector(OP1sz-1 downto 0):=(others => '0'); +signal Operand2 : std_logic_vector(OP2sz-1 downto 0):=(others => '0'); +signal Resultat : std_logic_vector(OP1sz+OP2sz-1 downto 0); + + + + +begin + +ALU1 : entity LPP_IIR_FILTER.ALU +generic map( + Arith_en => 1, + Logic_en => 0, + Input_SZ_1 => OP1sz, + Input_SZ_2 => OP2sz + +) +port map( + clk => clk, + reset => reset, + ctrl => ctrl, + OP1 => Operand1, + OP2 => Operand2, + RES => Resultat +); + + + + +clk <= not clk after 25 ns; + +process +begin +wait for 40 ns; +reset <= '1'; +wait for 11 ns; +Operand1 <= X"0001"; +Operand2 <= X"001"; +ctrl <= ADD; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"100"; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"001"; +ctrl <= MULT; +wait for 50 ns; +Operand1 <= X"0002"; +Operand2 <= X"002"; +wait for 50 ns; +ctrl <= clr_mac; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"003"; +ctrl <= MAC; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"001"; +wait for 50 ns; +Operand1 <= X"0011"; +Operand2 <= X"003"; +wait for 50 ns; +Operand1 <= X"1001"; +Operand2 <= X"003"; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"000"; +wait for 50 ns; +Operand1 <= X"0001"; +Operand2 <= X"003"; +wait for 50 ns; +Operand1 <= X"0101"; +Operand2 <= X"053"; +wait for 50 ns; +ctrl <= clr_mac; +wait; +end process; +end ar_TestbenshALU; + + + + + + + + + + + diff --git a/lib/lpp/general_purpose/general_purpose.vhd b/lib/lpp/general_purpose/general_purpose.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/general_purpose.vhd @@ -0,0 +1,195 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + + +package general_purpose is + +component Adder is +generic( + Input_SZ_A : integer := 16; + Input_SZ_B : integer := 16 + +); +port( + clk : in std_logic; + reset : in std_logic; + clr : in std_logic; + add : in std_logic; + OP1 : in std_logic_vector(Input_SZ_A-1 downto 0); + OP2 : in std_logic_vector(Input_SZ_B-1 downto 0); + RES : out std_logic_vector(Input_SZ_A-1 downto 0) +); +end component; + +component ADDRcntr is +port( + clk : in std_logic; + reset : in std_logic; + count : in std_logic; + clr : in std_logic; + Q : out std_logic_vector(7 downto 0) +); +end component; + +component ALU is +generic( + Arith_en : integer := 1; + Logic_en : integer := 1; + Input_SZ_1 : integer := 16; + Input_SZ_2 : integer := 9 + +); +port( + clk : in std_logic; + reset : in std_logic; + ctrl : in std_logic_vector(3 downto 0); + OP1 : in std_logic_vector(Input_SZ_1-1 downto 0); + OP2 : in std_logic_vector(Input_SZ_2-1 downto 0); + RES : out std_logic_vector(Input_SZ_1+Input_SZ_2-1 downto 0) +); +end component; + + +component MAC is +generic( + Input_SZ_A : integer := 8; + Input_SZ_B : integer := 8 + +); +port( + clk : in std_logic; + reset : in std_logic; + clr_MAC : in std_logic; + MAC_MUL_ADD : in std_logic_vector(1 downto 0); + OP1 : in std_logic_vector(Input_SZ_A-1 downto 0); + OP2 : in std_logic_vector(Input_SZ_B-1 downto 0); + RES : out std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0) +); +end component; + + +component MAC_CONTROLER is +port( + ctrl : in std_logic_vector(1 downto 0); + MULT : out std_logic; + ADD : out std_logic; + MACMUX_sel : out std_logic; + MACMUX2_sel : out std_logic + +); +end component; + +component MAC_MUX is +generic( + Input_SZ_A : integer := 16; + Input_SZ_B : integer := 16 + +); +port( + sel : in std_logic; + INA1 : in std_logic_vector(Input_SZ_A-1 downto 0); + INA2 : in std_logic_vector(Input_SZ_A-1 downto 0); + INB1 : in std_logic_vector(Input_SZ_B-1 downto 0); + INB2 : in std_logic_vector(Input_SZ_B-1 downto 0); + OUTA : out std_logic_vector(Input_SZ_A-1 downto 0); + OUTB : out std_logic_vector(Input_SZ_B-1 downto 0) +); +end component; + + +component MAC_MUX2 is +generic(Input_SZ : integer := 16); +port( + sel : in std_logic; + RES1 : in std_logic_vector(Input_SZ-1 downto 0); + RES2 : in std_logic_vector(Input_SZ-1 downto 0); + RES : out std_logic_vector(Input_SZ-1 downto 0) +); +end component; + + +component MAC_REG is +generic(size : integer := 16); +port( + reset : in std_logic; + clk : in std_logic; + D : in std_logic_vector(size-1 downto 0); + Q : out std_logic_vector(size-1 downto 0) +); +end component; + + +component MUX2 is +generic(Input_SZ : integer := 16); +port( + sel : in std_logic; + IN1 : in std_logic_vector(Input_SZ-1 downto 0); + IN2 : in std_logic_vector(Input_SZ-1 downto 0); + RES : out std_logic_vector(Input_SZ-1 downto 0) +); +end component; + +component Multiplier is +generic( + Input_SZ_A : integer := 16; + Input_SZ_B : integer := 16 + +); +port( + clk : in std_logic; + reset : in std_logic; + mult : in std_logic; + OP1 : in std_logic_vector(Input_SZ_A-1 downto 0); + OP2 : in std_logic_vector(Input_SZ_B-1 downto 0); + RES : out std_logic_vector(Input_SZ_A+Input_SZ_B-1 downto 0) +); +end component; + +component REG is +generic(size : integer := 16 ; initial_VALUE : integer := 0); +port( + reset : in std_logic; + clk : in std_logic; + D : in std_logic_vector(size-1 downto 0); + Q : out std_logic_vector(size-1 downto 0) +); +end component; + + + +component RShifter is +generic( + Input_SZ : integer := 16; + shift_SZ : integer := 4 +); +port( + clk : in std_logic; + reset : in std_logic; + shift : in std_logic; + OP : in std_logic_vector(Input_SZ-1 downto 0); + cnt : in std_logic_vector(shift_SZ-1 downto 0); + RES : out std_logic_vector(Input_SZ-1 downto 0) +); +end component; + +end; diff --git a/lib/lpp/general_purpose/temp.sh b/lib/lpp/general_purpose/temp.sh new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/temp.sh @@ -0,0 +1,1 @@ +ls|grep .vhd|grep -i -v test>vhdlsyn.txt diff --git a/lib/lpp/general_purpose/vhdlsyn.txt b/lib/lpp/general_purpose/vhdlsyn.txt new file mode 100644 --- /dev/null +++ b/lib/lpp/general_purpose/vhdlsyn.txt @@ -0,0 +1,13 @@ +Adder.vhd +ADDRcntr.vhd +ALU.vhd +general_purpose.vhd +MAC_CONTROLER.vhd +MAC_MUX2.vhd +MAC_MUX.vhd +MAC_REG.vhd +MAC.vhd +Multiplier.vhd +MUX2.vhd +REG.vhd +Shifter.vhd diff --git a/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd b/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd @@ -0,0 +1,129 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- APB_SIMPLE_DIODE.vhd + +library ieee; +use ieee.std_logic_1164.all; +--use ieee.numeric_std.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; +library lpp; +use lpp.lpp_amba.all; + + +entity APB_SIMPLE_DIODE is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8); + port ( + rst : in std_ulogic; + clk : in std_ulogic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + LED : out std_ulogic + ); +end; + + +architecture AR_APB_SIMPLE_DIODE of APB_SIMPLE_DIODE is + +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, ROCKET_TM, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); + + + +type LEDregs is record + DATAin : std_logic_vector(31 downto 0); + DATAout : std_logic_vector(31 downto 0); +end record; + +signal r : LEDregs; + + +begin + +r.DATAout <= r.DATAin xor X"FFFFFFFF"; + +process(rst,clk) +begin + if rst = '0' then + LED <= '0'; + r.DATAin <= (others => '0'); + apbo.prdata <= (others => '0'); + elsif clk'event and clk = '1' then + + LED <= r.DATAin(0); + +--APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + r.DATAin <= apbi.pwdata; + when others => + null; + end case; + end if; + +--APB READ OP + if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + apbo.prdata <= r.DATAin; + when others => + apbo.prdata <= r.DATAout; + end case; + end if; + + end if; + apbo.pconfig <= pconfig; +end process; + + + +-- pragma translate_off + bootmsg : report_version + generic map ("apbuart" & tost(pindex) & + ": Generic UART rev " & tost(REVISION) & ", fifo " & tost(fifosize) & + ", irq " & tost(pirq)); +-- pragma translate_on + + + +end ar_APB_SIMPLE_DIODE; + + + + + + + + + + + + + diff --git a/lib/lpp/lpp_amba/lpp_amba.vhd b/lib/lpp/lpp_amba/lpp_amba.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_amba/lpp_amba.vhd @@ -0,0 +1,59 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +library grlib; +use grlib.amba.all; +-- pragma translate_off +use std.textio.all; +-- pragma translate_on + + + + + +package lpp_amba is + +constant VENDOR_LPP : amba_vendor_type := 16#19#; + +-- LPP device ids + +constant ROCKET_TM : amba_device_type := 16#001#; +constant otherCore : amba_device_type := 16#002#; + + +component APB_SIMPLE_DIODE is + generic ( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8); + port ( + rst : in std_ulogic; + clk : in std_ulogic; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + LED : out std_ulogic + ); +end component; + + +end; diff --git a/lib/lpp/lpp_amba/vhdlsyn.txt b/lib/lpp/lpp_amba/vhdlsyn.txt new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_amba/vhdlsyn.txt @@ -0,0 +1,2 @@ +APB_SIMPLE_DIODE.vhd +lpp_amba.vhd diff --git a/lib/lpp/makeDirs.sh b/lib/lpp/makeDirs.sh new file mode 100644 --- /dev/null +++ b/lib/lpp/makeDirs.sh @@ -0,0 +1,50 @@ +echo "=======================================================================================" +echo "---------------------------------------------------------------------------------------" +echo " LPP VHDL lib makeDirs " +echo " Copyright (C) 2010 Laboratory of Plasmas Physic. " +echo "=======================================================================================" +echo '---------------------------------------------------------------------------------------- + This file is a part of the LPP VHDL IP LIBRARY + Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +----------------------------------------------------------------------------------------' +echo +echo +echo + + + +LPP_PATCHPATH=`pwd -L` + +cd $LPP_PATCHPATH/lib/lpp + + +#find . -type d|grep ./>$LPP_PATCHPATH/lib/lpp/dirs.txt + +rm $LPP_PATCHPATH/lib/lpp/dirs.txt + +for folders in $(find . -type d|grep ./) + do + echo "enter folder : $folders" + files=$(ls $folders|grep .vhd) + if(ls $folders|grep .vhd|grep -i -v .html|grep -i -v .tex); then + echo "found $files" + echo $folders>>$LPP_PATCHPATH/lib/lpp/dirs.txt + fi + done + + +cd $LPP_PATCHPATH diff --git a/lib/lpp/vhdlsynPatcher.sh b/lib/lpp/vhdlsynPatcher.sh new file mode 100644 --- /dev/null +++ b/lib/lpp/vhdlsynPatcher.sh @@ -0,0 +1,61 @@ +echo "=======================================================================================" +echo "---------------------------------------------------------------------------------------" +echo " LPP vhdlsyn PATCHER " +echo " Copyright (C) 2010 Laboratory of Plasmas Physic. " +echo "=======================================================================================" +echo '---------------------------------------------------------------------------------------- + This file is a part of the LPP VHDL IP LIBRARY + Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +----------------------------------------------------------------------------------------' +echo +echo +echo + +# Absolute path to this script. /home/user/bin/foo.sh +#SCRIPT=$(readlink -f $0) +# Absolute path this script is in. /home/user/bin + +#LPP_PATCHPATH=`dirname $SCRIPT` +LPP_PATCHPATH=`pwd -L` + +cd $LPP_PATCHPATH/lib/lpp + +echo `pwd -L` + +case $1 in + -h | --help | --h | -help) + echo 'Help: + This script add all non testbensh VHDL files in vhdlsyn.txt file of each folder.' + ;; + * ) + for folders in $(find . -type d|grep ./) + do + echo "enter folder : $folders" + files=$(ls $folders | grep .vhd | grep -i -v "test") + echo "found $files" + rm $folders/vhdlsyn.txt + for file in $files + do + echo $file>>$folders/vhdlsyn.txt + done + done + ;; + +esac + +cd $LPP_PATCHPATH + diff --git a/lib/patchlibs.sh b/lib/patchlibs.sh new file mode 100644 --- /dev/null +++ b/lib/patchlibs.sh @@ -0,0 +1,64 @@ +echo "=======================================================================================" +echo "---------------------------------------------------------------------------------------" +echo " LPP's GRLIB IPs PATCHER " +echo " Copyright (C) 2010 Laboratory of Plasmas Physic. " +echo "=======================================================================================" +echo '---------------------------------------------------------------------------------------- + This file is a part of the LPP VHDL IP LIBRARY + Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +----------------------------------------------------------------------------------------' +echo +echo +echo + + +LPP_LIBPATH=`pwd -L` + +echo "Patching Grlib..." +echo +echo + +#COPY +echo "Remove old lib Files..." +rm -R -v $1/lib/lpp +echo "Copy lib Files..." +cp -R -v $LPP_LIBPATH/lib $1 +echo +echo +echo + + +#PATCH libs.txt +echo "Patch $1/lib/libs.txt..." +if(grep -q lpp $1/lib/libs.txt); then + echo "No need to Patch $1/lib/libs.txt..." +else + echo lpp>>$1/lib/libs.txt +fi + +echo +echo +echo + +#CLEAN +echo "CLEANING .." +rm -v $1/lib/*.sh +rm -v $1/lib/GPL_HEADER +echo +echo +echo + diff --git a/patch.sh b/patch.sh new file mode 100644 --- /dev/null +++ b/patch.sh @@ -0,0 +1,89 @@ +echo "=======================================================================================" +echo "---------------------------------------------------------------------------------------" +echo " LPP's GRLIB GLOBAL PATCHER " +echo " Copyright (C) 2010 Laboratory of Plasmas Physic. " +echo "=======================================================================================" +echo '------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------' +echo +echo +echo + +# Absolute path to this script. /home/user/bin/foo.sh +#SCRIPT=$(readlink -f $0) +# Absolute path this script is in. /home/user/bin + +#LPP_PATCHPATH=`dirname $SCRIPT` +LPP_PATCHPATH=`pwd -L` + +GRLIBPATH=$1 + + +if [ -d "$GRLIBPATH" ]; then + if [ -d "$GRLIBPATH/lib" ]; then + if [ -d "$GRLIBPATH/designs" ]; then + if [ -d "$GRLIBPATH/boards" ]; then + #PATCH /lib + echo "patch /lib" + echo + + sh $LPP_PATCHPATH/lib/patchlibs.sh $GRLIBPATH + + #PATCH /boards + echo "patch /boards" + echo + sh $LPP_PATCHPATH/boards/patchboards.sh $GRLIBPATH + + #PATCH /designs + echo "patch /designs" + echo + sh $LPP_PATCHPATH/designs/patchdesigns.sh $GRLIBPATH + + echo + echo + + #CLEAN + echo "CLEANING .." + rm -v $1/lib/*.sh + rm -v $1/lib/TODO + rm -v $1/lib/Makefile + rm -v $1/lib/log.txt + echo + echo + echo + else + echo "I can't find GRLIB in $1" + fi + + else + echo "I can't find GRLIB in $1" + fi + else + echo "I can't find GRLIB in $1" + fi + +else + echo "I can't find GRLIB in $1" +fi + + + + + +